repo_name
stringlengths
6
79
path
stringlengths
6
236
copies
int64
1
472
size
int64
137
1.04M
content
stringlengths
137
1.04M
license
stringclasses
15 values
hash
stringlengths
32
32
alpha_frac
float64
0.25
0.96
ratio
float64
1.51
17.5
autogenerated
bool
1 class
config_or_test
bool
2 classes
has_no_keywords
bool
1 class
has_few_assignments
bool
1 class
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_fma/flt_fma_add_exp.vhd
3
65,051
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block JbNPBNpXbzuCwqgfHXUo0CKKEKC3Ho/iDaBCfitnTvxhsxRdUSR+0FKa3WYZUyjB2Z1sYIx38tJN kYcRKuulNw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Xrp9ZJjhK/C3GJtMOsmRzTUEbRl2EBjbyuEuTSHK7VnCStmz9bwb1qqcx42ppFcN4Q+NNJ6flNsF w+jn1v7FOyUPatbu8FGMX0d+7XgBqyxTKHsKB7a8WxQdj3m7kZ+0Lt8HeEQmDSm1hf92P2UK/lMz DTJSXRKzQLVDGFy3FhE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block pUhTZHUcvfC0l0Wv/zVU2R+sEdbuTgRSFqizxBK1jXfPlevnfJLxD3mPcqZUIjfxMcwejwCYoHW9 FUYBs6+JwoKOPVs1+ZtAuD8DD6EmogGPiXZkcv8L6x2RsSmHTS92H6VaLwDblyw/WMIUSUuLdQts I88fEgHdySUUq6WrYpIXQD0EBJPmKqZJbrFuTtq1PS/qjMol3SEnURJS/dau1Xpd1wWMINfb0/1m BPYG7W1FMHUQTtD7SXTGmku2M5Y9wTu8Y8ljP+Ge2Ce16NhY+X2ydX53MFvu7ecWL1XvMuBMC4gO Na0Pg0TU0opPWLn10PDv0Xr1YLpRvRmrKKIShw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block zDVnhZy4E1e3OP9tU497xmUl33nFyu4HKQVE5eObofuMxmGgfby/SvrhmKykT8NrMGtDeBz1dh1/ jNN2T3aJreiokb9l70PMKrlWgJ6R0+xdwt6Y/OEdOvzF4ZEQgdoKWv6J0FOh2ONqNXdjAsaY1X6h tstFqFix9OWh7UOdO/U= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block a8kHOuTZginpjHtILcVNhLunRQwOe1ES2keYAvWwbqJ1/dP2HR58Y2qrfgkPfgJOhs1Sz8tBpdaz 3b3GZ3CGFdTkcQ6Np1zFLsz5LxqN9CH8/ss5eAKk+0AxCP/1J5ucoIHvImEp6FKZyoT4MujGdzeu nXKRv9WouxkemNVX5yz0MldORdKM84AbVTMuB7I3XneDsIcti8nMI9aK3STpjhr4OIItlK0MMUQC JsGn834Bn/QDrR8OKwbRI5OmH6SQkrQ4i+cj9syMNkoNHD1l6squ/XMbUPmco9memZGKhFlj2mF9 yRU/qY/Z3xn3q5sMyZmsaDVrjwUVDyh9RUxqZg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 46416) `protect data_block GiO+1IkKzJyEJ+IJWiVmoV4gKBbfRRqYKYuHP41xaRjCAVFpBTNUhFCRuXFXZXA+c6jCPalEf6JW 0LLuYqG/4vkVNpkeINmqEzQwklQbqEwnY2ebzRws6X38Y/qmAHjiwVSCI/ugn+dps77j6iJ7CW5K ByjN9SXqL+6uuCNYIwAwn8ZShi1dh0sH1xu4zOsBEziKWKLKmpJwoys44AA6PBeekJg1MlarIDN4 KcF9rQ1eYmOrnzvVOMmtxKygkQOhvP4QWvoE/AxchNk0zVbH+zfKVOAqg7ywppVupN9Eb4hbHxgq 44acIa4CXZudAokIwI+yOTQCsL8xAui2C/W53Hx4bHqFXiG2p2kUfBVuY3cUAssT4aAbj7AATZLE ilbLSYF3INfdAjtUiUsuY1P+3rydlOh51h7bq7HVppvj56HNvpO+O5wGb67EAbmiFcvCskL6GErv FrhtM/TGoRPSksRHFd1BUuNg4Chc8l1MHtQlbfb5Wp6hj3m/U6I7kp9TtqJG3P2zNXEaE0ce/YAs Z2IiBaW+stkx8BHYsA6QE1V5e8yxwJDT4op2/WmMnzwHVYS1p3C+HTlpZW+KatQlb/A7AjOGGTkT NcLxASPSoORnne2ZrGIIEl/X3h23X4WCqRCXK9CX/PE43/1YJnCBsrsDl2QG7TMtRF///86fduBN pmaUctRXl4VSDgCnrtkhYHwND3eSUtT0j6/JQveVQrn36Za6vKIlbzB6p3xrstQW9jNphvul30Sd gnQ9IDaLCB8lrIOVRP8gYHskjLDHMKsr8NImg5vqRir6st6lU4Yx8bTAyFyyLM/uWANP1MKu2NtK WyPuPOjx+PXK0gsArwwyslytwXqkX7nL0GE3o8gfPP+86tB9lYkgy21eAFcIW2bKD5xk/7crk4rd s3xE9N7cLq1/IPq8hlTSh9YSfVxesyXd17EykwyKZFziM6X7Q01UPA/knAS8WxnncgqbMcrzMHv3 5N0YjsAYYGr/WsL2vBC72HH9tus17ppuwCOPjuT34gxsuXsM3ufqnO+Bf1WACppKH10+wiib0xsI yWW8gbfGe4cULOL4uYUon9MImsdyvDvfw0JjDiuLOgaw++ZM9hFiLS4l/OCe7VgW87DopkY0YUmS J1oIEmKikes3wjOwW4u3PeOZue6460LvTPGd68hlpA/XCY9v/5T1bhQ7JpV61M0yQ0QH22hb8G4O B+153j7S+4cvc9stBxzJKSzS3fKQwnTtud7iRdYlOdi6RoUoRnrhvusOVrWBSVzFUwO66h4mqQSI N+OyJQiCxyuhBnX20BR1X68MegK669+GaIgCi+zEo1V27vLp9SLSuqxSPBeZhtzWSsBI33rBG5Gn IBYPnrlZ5hRgXbQNzjBgwobjogIkfePQTS3BiwXVWbPxFZoP3jQJ3W5LpkQW/VttWBDijqQNKSr7 SEK+cIakI3U7Fu9KCOzRtlkNyXY2nfGJo0Hsm8R57F1krIvTEB1J4BjSqkF2HCYvmYkxNK4wsZUs agj/jRftAa8ijOC2PI7GdE6uffZpnsNa+EZH538z/p7Jpsk0Jzx5ag02HiNUn3cFPEF3eCj+7Bgf 5Wb/cMXi2GVdzlXkChaG7VHQztrzy8DWVuwdcelouy8799uResge91xH8GZIKEMUgEcuEfwHob9t 0mA25Y5GqONljkU6Lo7IVUiTVnRZH6lQj2ih1oTdgXWafXmkCQYx5+fd7ugfHwcCFmSjLLFZvYmO oYr2Wxb3Y3AWf6iJakx7syFIP+5xpR2DJQWMrpIc77eQBqo+vnlFQmv7wu1HpRjS1iut6Qb8FPCN RTjoC+e9BEGEJERCuDeEUv7D8dzpMkheeBceGJFR0dUDZoGQnM0MTniFcNtOTDmXocV/nkYdiMqc Re7AJe0zj7zz+aJlCnZ1yUuu2qGikZrRLiLBPb0S3KrAVi8bPcWhABIxYbA1Na2NQTrYBtt0Syaf 6ToZevFM/9DulV/iLz31wIvBojPUBwgGfHgPI5U61Ug65sdVaP07caMgBF6WW0faUHMEJ5xUoeGR axHTRlqycmUI52XBuqwPpOULG7ZZbhJNYbyrLQyFKA0Wouz+ClbZbJT9TI4Qh24lga5rp8dXA7wk stgX9EgEGQC5az8arfUIxkvlmH+4zFYSqpVJocgjQPZlxh2gEIkuxbTMPodNQFYWqpvtevLK5x0U Ge/lK4klxgK8i+SFhwbi5be/iMUbFK/MNTbiM/hKFvXxdJXgZdwHGuSmBWtZdufqt5whWpufczmm c2XaX2q+B9mSwaWECBdLzk3MA8nypHKiUqPgprH1Sc9GiqItRE7Mjue7wL2NVPOm6wvuOHm1BP9h ZiL0MO7n+hPLdMVz+fDRYgcbI3LbW6aUwfqa+eb2X0WKgoo8l+Fn/h+kDmSwva0PiPVkldkOdNwA QATSeKXBEy9+3zyQQOAMGqzrwWUE/hqGaut3+0G8nSzo+nTInAUKhJGLVJHRgSx/l++qB+FEV9Bh /yqrmfAssSB/PUcJsJYFlLsfIskeDE2I7WYst9XtoyFSGINDam6BDi3bEzsqcKFgm07DPzbA8/s9 CIIEoI/i53aA516kxrIJS7banSc5bCQwXNwI6LCj58FelB9IM+D4MENj2UZI/VPR2WL958LE893d foK6RDkeJJLpg6j42XN3e+Um65pt5B+pd3KR29G8rpIa+Z0v56mou1mYrPCmT6CCJ1W2n7bi5j3u gqPhKdvyOv3OMjbs64444ZQu4V+nXYOk8jff6uNgam6ujCwfVxzafZnpQmv//0mIub2R6waej8RP xQpPfR1p3rmMbEzR0PlhYtS3p1lktJyEWJWWmywjXVYhK6D6shY4gxF/pjQNkdo0ql2Xir1rtyYg /uAi0voBFmFZu8ZVgvqi+pN5lbHUIup6snJ5MozU6VWnEo0u6VIEqm5z/Q8UXwObqSuX4nikSikx ZJJJTPCf5J7HcVrgcNPzb+UC9tg3L1M48hHdFuDR+IDsB9h8ta1736Alx0dxzWyzuQ9tJ30YhsME 4DWt95q0yPFZQHkzZ76xKfHFWPTqUBEjwRLtMnVQtUZERw1u8IIXln9aQGZ7MzTf9WRC6bzG8nFQ Q3dEtbbdSSE/WGxIMncRHPXwRYg+p9AIXVoLp4tswaVR3z8ZU6ABdJ6whBUzLK/rgsdv+k6eSL/8 2SpxHz84XBndu+TVQ3cT8TwvkQIyL6QuL6PEyK6q/3R3IXfz2m+FdEPbr6fM2EYaUsz6L4cyVzmp to8TUHhlplwMwftvf55FpXNkeDCYPANNHPSZ83epfx22Zjr5Tqdun1hX4Dbsjeqa8JfgFTZnjkAW ezTqKmymHDRg5T3O7p24ststwyVR8+ugQMfNF5U2BKK+/0bvVWK8SLKgk62RKoPphP0JXSGyaY42 5O6FFU+NslEaqj5N9afgfHRAdR0EnyLVvV7hEIZAxXlGM83bmwjkMqE9QRU3YoXpHr8MqSHod3Eb N1AVIThwtPrDNJMtlNs1aCQAHRJyL4t9o1G4vovkEu3mri/76JfZg6OOUqgNsz4UPHhHD3jNp6Fh qjlqvMx67KhfjbhWDYb/TtAFydP+Q2bOoD5trknY1QtOab978KJjfsp50BItr7s4/r7Uq5WPMqW1 7rhe6Pl8YRzP05f8Ad+TP4j+CcKX1U9qo8bgAhqPmrU14eE8E54lMV39p1B3+1zYQMG7sf2vdslg 6QZhLKAIqHOfSH6JptP/nXStk1f+7f30zEfC0LW5B8vEVivBZmVjZ6x2XHWKsjzsOksiocFg7jvx XDbbxaZ2d19NkAK2ajDv/GG3aPUFjadNrYiiqy3c1LuCQjLj5zMZ0/bxhr8T6qfNIPuL1tuL3eSk nG2y+bMbQMKQoR7VZwMVrs7pUWljmdrI3lzVEXPPIoLdqjymPrNrGkwctrCeWT2KXTehQqlc3vHP CGgRq6cOrePcTaiVECoUgAoDULU/nBuSpWOXrOziHscwOej3fuWoU2JaF6FOb72ZZGBPFbaac2aM GtNwp+e7RIz2GoZwl/CuGU1scw6Jtqo+nxsaQlVmmhe4UkZty3DDeoyyZDYfMT9P+1paMTjJCLo6 XpqDkmmykcU1jX+3BqcNiInYVbZacoRe4300sD/25Bei30Nw3YL0Drzf31xDy/+BK1CWk9FB2mmJ U7AMYAOQPzOD802Wp5VQBfH6FdhwWVVqc4Qbq1F3UoYuBssx/sGYgfdI0ixoMxwXGbClzCIL/bDu GetoQtEj6WFEolMqxX5Xr9k0P119dThJ8P/R/3jVMbmpX+21pLWtxe47f7u4zMkYw5c9FT4Oc0wH HPugC0v2X3N9iynLC5eXKrQXT8EFTs9slzVfIwU9z8qidfz+LMqHA9QiShvXnkbw/Yti11S3nwpu JenocARv3ix6Blqz6khjmBYQ9xcvWTzjUcpFMdVrU4+H4UE4dHxm76cTyFTpNrrKS9WOMl2TP8b5 SG33yRsyqIqRVGwMjoWqPjtbfw+mWqC9Y1D/2DlinsOutqP0P4bqtJVOX2gL6BZLZe7h+AFfJQG2 L1E+88Ss+7f5XNE9cbrQ8BWt0+O2Qh0y/4rhIIdkCdXfnV/DhKaHw/l/9xQwG7F2+81fLRUYBikD 0n2ImQ3MlQ8yGbWuyjTAX2ibnrZZjUSFH2tlLO3+dF1EK1dWCR7Sz32XoF6IHjqQS/wu7aPvFUvl Qqk3TUpZSNsI6es5/ooqKPVQcPK1tz7QJ4WlQXTG7aXFR2NZ2AhgepX8jo4PHLNr3QiP738knA4Y KwsKCP/bzAsGEoMUtdkN+O5TCVMB52BO2IsEMHqVEUa5v3vEjiS3Sjqe/oSjU1/gckoXgg8OLYxF m4JMEoPcGE1MKTqwV3/jRykkeGqPkl2eiNsH3mlC1nLdy2BwlWjvxRI4wvFHxrU2uApeHsfm63Cw YH6tiGlsyWFg4vFETjJUlWAybbAhcPYB2m2p/DUVtKomtFdEnOW7A2+Y0IXI3wpLB3eiegiqahLc 90Pbrk/hpvOlE/Bt2YwimzpPMT/Y6uYZUyagk3F6eLjs8WaBUHmF1iu37aATKWUncigiv5sy4NTN yxpNLokO3Rp4JL+vEDO+ZyXpREe0IVuoG4BbhVaFJ66YgSnv03CP6Oi9bCUTQ9m6Pyd973gUmHCd IHIIHMG7YoXcXVohRO+HKdcut7nZ7oYUb1vt1qiVCxztznlZU7jYkbQc3gzqpCls3ZW17S2nAi1j pLICleTWREz3obJNnRgNERZYdDzPj5nnt2p+y/zeOZpMLoQs0jI2qDgmvh5ghkQfkqCpOyBvahYS DzG24ciH0KEd1qlQV/Z6BdjKCTvJX7luzX0kRUYhL2tnnqg8BS7N/SZuE1kvT5/BLtpdymrjfq3a AYlazRTwx8Y4o7rel4YW5RbqcnecL/ABcZXH0ucZwX7KJqKc+Zgo8QIipJQS4b5thZQ658M8pgNZ qRmAmuIXB0sZdfO29vbPLJhmnFf9fKOPQjIkYr06ZScPqE8KrRGpMVQX5QON9kIwnDxhegrFmqRn 40BMEtH2Zm8i91XTY7b3OyK4/6NDA95OeBYt8MqOxlFWeKILef2yfd/Bq3xi1EgEE+hkGLuXbHIt qmhhqq6IVBhJ+mjY1srKnSMi+sG6G6t5yTYBzTo+SC9zlTxrPqkW1k7gVN681/ZMtkp/KR38wSoK uLQMgHLfSxAyMW2K56dDTcDwWJH65JOd96/gUzaufQ8e/onwl8ushNmoXLR9sUhN4Himlm25oHHZ Af7WFlZQn0+TV76ACC98u7vfqbPKK6KfsQkz4OyrLhb9CDgP7TWEPHlXYAdT0rhHXfGVFX1J6HkI 6EJ5iW3uS1m6n+k8Q3g1CFaAe2RDWAeqCIlmieQG1Wt/RJELibJDvs6HPQ19lc3SZOlE1M45Umiv 24FFt81sN3YuWwyKwK6XpHu1awLj38wv5LZls6N1ZFoBnGOOkxkfiMu0zMnwzCbI0aUA/zShDFy+ vIT+RV2TxjJAIhKly3zDkG6nTB7Gf7DKMbZ6tC/1vAOmsjpO9JXLuSO047Icx1O5HyPYTKky3paS AkA3MNggZPXB2A8THPl+0vWcKqz3LwCYSjte5xuVcVw83VNQe9DNIuAKyuq+GAHkbqeDqrOR9e8D hFy+rWu5kJ5eD4+JcYt3Lx5C6osk8YJ9iVkXnDYiH46xMe69jx64wYV9mkV3BxtTKYY1zwokl1Pc SQttyJv7bXXPJ4SjA54FwDx52hlwMh+iVmTl+AZl6pnXVHa5uDPCxO1ElV0GFRpjh01pT1wD/6G3 2wm6Dl7NhmKnh9oF3GKkWQ50itRO14fZENaVtpQNVLk0JLd5KNt3CmPvtZJXs/Ev+abgKFEvHipn Lh/H067rMNKQFLC8+G/kZ2SZkPBBH9ri9drotM5ag6gQUVN8LGxmQUVO45B1Ghsq6d5hKaONABar SYj4aTvTINybEvBduT9XacrvfhkPLUrp97suuq68OVcnlXV1Ne9CJZEpskIFgKkfWMAMevzOUs6p +Kw6oWhS7Gv9cMEnGvUAUOnIpjdu+ZohOI82wg7bFdkUkT8pgcGrd5LNX3RscqfoK9aAG97WPDvI 3pMxd3kpL/KACn8gTFjW4A5+U4urkbqUEobS3mcJZdSJ6Z5NEcSpmnfFFCb+8hNouZEPFQis5tLx OQ1iVfhlav9WeM/r+3rmIWpO5YAqD1SR/kfDFLU75nkZCuxSjqDIaFaP9xy9DQ5tjAzVjqPJUHzI +o7t6wrLbE32tNWON8tA6wyE77s5OJ8Y7du5U52dyrEBcvl348jcfXnpfgxcNzH2gSwwmlK2mjpp 2J2zaqy0iVApvbJNakeZ+Cm8tTsw/deqLXqI4vkZdOQYSgHlHK7T8Nu/RIno546kLLbRxwU5OKLi BLMvoORk8z7gYkhJ2vQcTkFOju2YzNwbLO2yDixun547gNG/0FlRpWqpk6in1K5AFqT/eYyHp4dX cqV0cmxDmInuGjvY9550wvfm/EBrwf3EzYPgeTZJAhGHsZvU4tu9q1v+WTUKGHTX3si5zzWjdU6S 8tey41pXZ9A7pUryqYzIvbsSEhIxGtfep8M1dX2pO3D/PjcY8GKt+8RXUNZqLLSb9/ZJhfFZeRbq 2leILPbXOVDmIIj7whd7ZZ1kcBN/oCA8s03YV094W6EEX2h4kVt9IndA4S+fF3AOGyThW8A1ELpY 9V9QbB65rMF7vecUODYniDkeIuW5IHej6WwUd9FeFoD7zVb9+08jwrTaUgSMcyTAzQC4ct+Q4hPc qwaST0BQpOyQqIfRcWWFSDrg9Xhi4tUqNUZyzGWcLEjVdflhF238U9l/qDnXAzOxofi8ELBk6hl1 baa3sL3RDyV7jeyimaJWDGNBpa0LCA6B8DYvDoP/Idtp9GXgkMCpM24XEfHSTCq14jqjBT4Rpkd8 X/0YwnIimV054oa8Fy5AkjT7PTmLhxHaO65z6vM9aLo1RaknR/pPKeK2/N9HbaDFBfNXjuXClJUJ EmBjgPwmKyv3QQsIcmw5XY1B0X4PdTM7LngKZvOrobh2PJgUz1qjm5l8j9QYRgscNKNreDPgOCQ1 KoM+p4gkhUG2LPPcbEhHuuLWBBlAglDimutmV8bqLqDdHlBF0o1USyCgDBjAuIyCq1agdy8A0a84 VbCeJC+oQOR5cuHlyxZbMifHo63fGoxx/uPRyRU+Nn+PyeSiGrYylL8o1/UGGC5BH6TdyVvUWNDf I625PNIO6yDSvH+iJR1e7bfLcoJBr98E1m2XOoLa8YCAsldLJdC6BK9kBOzyC6S8sJbQqEjRygJG 6oEmlvEX6NdD8GiTAAD5GMjnyMRExzBziRObXmY3u5j31wRTtS25LpSKeJNPa44ROIh5SVsCEVAj le4F+be6qJ2k6Ak9nQDGEIKq/ylg3oGT1z11Bbl0rN0epFJm7cWmufg8QXCyvAQWe2/tLUAFv5Lb li+S4eutFhAShXsADPOU6Vu5iYRlRIdZoSCVSoDsvtdfe0eerQpf3FEkxEd7jcwLmrl6sd1yov5C 9IljkB3nzYoMPZUKhdYfBo0ybfxa83GzeOxdNhYkKswbolPL3rq84Ewq4NE1DUfjJyDyjrWqVneA vUJw02SwlPKXK3pAt1cOZIT03O0Ilv1BgDrdCPMCR6IVHJMQvIPbAPWdNSYijnJM7iR7KYz2CcGR 03Wa/uk6XBVHAIM4Y2ewGOkDkDbY6yTD0onI8sPCiEBUrTNWEKBYivJUXkNUnrIDEysuYH+NFNmd 3XsCkkv6CS/Muq0eUcPvBNj6LZnAjDtX797Cmi9UJO3zaOQPKDXEo4b3I8T+PNUnS43Lmj+T0bU/ RPIb57z92ggahGUscfJCd/fl71jsZLzO9/06SwO6OdKxAxeU/wgrRRwP0dKGjVMk8HSZtvN9Cpke H6SDp2QdiTI1xhfELKawt+4kdeIXp6jhaEGjBtlOrnszpHrUEft48pyNLYgQwQrsUFsg9mGYnzdY ZFrDxR7EybF+S/FugvxHi+kmzMCsPe3/SRcgdjmNxWl+x+SPyUtrBVepcgjrTngtQKb90FeOsvbv AZH9tcx73r7kAIbGa7HIzAFmeyWvP1PkrfnTkn69ZISWYlPfVmxuzX2n7UnFUsE9VxVmiZ23NSbp Rtv3caux9tx45AAVdiCKkHeKvBj44XeF/s9QDS+K5k6N5YFiRdScgokl1Qd1DxNtoPBVlxjm4wu2 aMqCxRrQVykCMPq6Mon57AXHoBs/SemP2jtQejs8MtdvhTxQ8HhcKiwgvlKlT3/TgYey3UeLemW1 A2lfIjw+Lp7p1GK6p+hgnOY0KvPWjRP1qbtvZ/BZ8mGa1vCBKIsZJlidUvoCJutOxlPW9DKqEQA5 BFBwsr9tR0fNVkgJbjgXYBQWnVhbJdnKLgl+s1hwn3g9zdc7RYjh1jfAIDhKvjJFtp1EeGPyP55Q 6Djpx+/ppJlJm72NEbt4A7VRUI59N4qZoa4KmCa2BgjU5qB9K305uZETZSUJo3aeNYlblizEV8LK Wv9pRulPBeKO3zGVBZqEAZoSdR2imJ5cnWbYxhKwXSyQRBG3Ic/IbOJb0tQjrY3jSiuQVOCgnWGV Z618qaVa4wt/9WSfaaWftKasnaerG8uTcnu3Rv9q4e5hjYG0G/i9jjgxWBNxr2nN/Hlld6W8iXC2 nMXTmg4s6URHXZQrPWsJw2tSGySDQoQ2PZqjpcCEs6dlHWa8BHYh7bd/LpHDqzKVgfunvAPM9Xzi Cy+8VH3XWT5duy3ujt2qmKJNNQpyxzL+1Xp2GD8N3RC0B48UsgA3JxfYeM0hBr3eKuwpGMdTpSwz MgDpm2ueeEuiS6HHQdoJZL4NHNbeHapxr3rNm7md/h/SBRKg6vw3eg09IjxkjtqYKX2WSmCZgOJc 7RpohJAmP64MY54I9P3TyPnlZsQ/x+Wz2AWAFcsCOnyaC/OPeCZtgxu2SAmG9eZt7Fs93sBr9HPK R8OfFsvgqlnpACjtBKLA3opFC9xiNmQCmYryiJGMQejIs0+CQjIzAg2qkDJkEb4lv6zbJ4S2o60Q MP08I+UdszbBPpTr+eLFWrmeDKVjm+KY1Z+qMMGO3+9ZA3RQDEvKTreu+ArWE/4S8mCBVCii5Y7J VSz09vNSGYfeV4SzHCRqdrrBrjHlPVNX9mJiK+LnVCx7OOyoUbSRZk61IXJOKGTjWYvSB+0Xv0Jz Ps1RjkDxvnohqpJQ/5SnnY4Q90MsmseRndd2gvEJ8gBdDNxA8wbgN5gfFRwEiz+bNGTlmVXhqjhw U1MrPZU8MZtEe5jXBWZEga28cSPrtLZ0kd/NlwoSy2WQpkxWNs8Yqj5aMIe3a+zF3sMho04SkUkf jKmeILZWmeYveauGUNHqTJOpPIim6JMBoaPDX4MyKsBrBYPGe0an42pDVH0ee6qfZebtG7bdBL9c jiLs1molNln2ZsilQGDJyAFKV8TlR80Cf95cN3SkA7rbGueyXSQQ4OCpsOUMX0TgJXffKLOE4OER CiMXGP7MVIH4fwiN7Luo7k6tNmO4EH+VwFvvPMNJG4GD78FFX0CGkmPW49rH249CcEfZPlbKtr+/ oLRO7ZQw11ECCr5JKsOEvR3lSpP9eXEmM68dm1Regq11plmPM93OyVUMzqu3keDZc+xjdf0qWY23 NLc3IQlFvss0A5wmP1GmzwMCegsYLPBFXPPFVGdYXQOUEka6YndVySOnOogs1ndImeZkJoId//YZ dLT2PvfoxPmR9dCW+lLn5pHCX12mB5jyxH3xjcTlMeSyNtHcANpKSNc7sjVpInZ/a61q0zmBD4jJ qASqgLm0lSrHzcQ9R0ktnx3Z6Ry5tfX5hC0aqm/YeQQMdFveUW92JnnmfkBd5jwJOTKwlHcSmjD3 RwtUeNf/yJQPyJQWf/dqLPiwPDgPSkkuFEu6HHR+uqioUzcRWS29nbS7k3GoDvmYFPSUq6voHB8n S4nFQoPZamdqQ60052fRI4eqVfbZo8mGiwup6D7nDodWHRCV5KAO2cF3/f599LuV6+GFc+xvEjvP m/TA1AHO1FOLUvgRf9N9WwvGgGGeL/KuiuA5Q0XYqSfjGOaD8zYrP17AcL7v20//edfjlU+A4L4P eSKYAtAxIDS7gCMXfxUEkfWIdHFx3c6xrRVgKkEM/INj8LKcXOJ2+y9f7Ysr5AOSehdm8cp2TuOK 4DiblHpdNd+UR5u7VtvU/IKab1eJdJKp++bizSzm7CJppgGZ8sq1+UBgjDgWGITDAXqYRZyBphk1 OQ3EgZeCZY+LOvkCqXnM9j+IWazFsqo/PpQweDHrveUx3eSdntrAK3bv4bPvZl+W3/2u7Vz7XsBw 1mPoPZFkE5m6jUqMGUlRmYZcZodPYD2fzapDX4vRCWOpmDJEntknkWVVaklkfq+wfQ4FPiNA1+n9 q6Fp1Y91mpDZ/oSYzF52vrN43A/h7xfmrhw4fY/pdXAcE9uOMYRyJwjEYSbuZYNeaG/2thSfUPH4 C+TeZ+Fy1DNnp8tSqp7NyIpSiRERL6O6VVs6t6zPR+op+248WjUkB2wy7AYmPa3UGcoViAwgnOGk E4+yyGDWShduOHwFGHvXndAdPRIzwT6JOSVHp3xTE2vrDIiFImgX6v6G9a5zwb4+7IE+4NF9qRb5 AMFH9Pp/rwnIW7W970H0n1ZKqmyojxr9G8Vp2hK0AxoVOOArYaEQYI6DmFbey8phweebteAkKbJC FPYV8BrQXLbRm0hTyufY3jkpl8QnDUsL4hK5rgjsXfQNHc/l5ceIydTqwGzr+5MkE4Z6b4BsZNaV xum9O6auQrBmaONOxk7MxelACEW4RcqW5OX34RTMBQRKwo0vZ+0O/WPERNaf4ngSKVHnfCCNLdiR qUB30vCwK6LCh9CoLBifwChG7pyPsrgqP8it6HoouqbXu/cWD9Zn5fkxRO0kkwVyk0tFo10uO3Xt 6yl4yw/7mC+QiE/S9zAjjF9LvAcTXqiz6UrH1chdLQrhNoV0GupHCt3OMw7uOrwSHxKdqeqO98sp Dhns8dv3ufUNqkysmezi5+arhO144TseZvKTHThc0cQL3TXG1Jq+DP3msCeZH1uydZJLvfUDuaEM OhuAaBmeMXGfFwvOyPXhdhO+LNKpaUvig7/BVhep4mKYHwrCHwR30S+eiZspg1FJzjvJBgJRXuhK coIGuolJRThE9y2wOcw5PVd00fKQ+qh5gFg/XPdJir9FFyxX3BPQbvBCyzrwwbmJfmkqdY9MmjL0 rSBGrdUid2dJ7olIA2bQXhLeehGeCDnOY87OOrvulJyM7eHyA5H76YwCFPWX+Ohoa1yd7NTk3trv PkoUflF91kyCEugfZzxxe90sfFzfsKdL5IpN9TZLhZEE0mcXTE9jFaSXTkvPuQ4z2ldzmzR4L33m dScMJCnClcNnMfeFHvtn0XeSfYqvVu0wnvgWD+tkKAcsC2hCxqX1KSl/poSWDSVyw6z0sU3OChD1 wJ+8rutQ6be5pWJE3VEx7hhpcdoC3MUxK4eVokVDNasPKMu+RJRZ12I8nppviK2BPcFOLtR+rz+K amDRtcf72MOLc/oRWqxnNv3DkvyeBH43V2uxEKOUETLjlZiwwZLHZNlI5mLlnJdV8yB9jFrN5vdd i5IWil68idN143CNAwSfw3O+a36eTK9+7SOtZgk19tdMD+6ki0b3kz+qkT2UxaItKZQkEU++STKQ o7/iuzs2SqVCJ73XdPpODRW2VFV97039EB74bUIPb34eId6Ix5HCreI3RC2cC5Lk/SvgWDoMbbtG DAYoRghknL6myiRS82iMNIO5+9LPrAn0nVtOUA2odZF+PcP2zpb2gfaxlgd/I7gDNg74gZPmiLRO BJ1VQP/qD8GuM2eosisyVDpV21noM6quSbQorNNFyWlBPzkee5kqvM7dh6y0ZHv5wBr0DzlC2QHG z2NC5Tz5hbepzTeqaYnsBNaoFfSXBb8orPLmMMi+J9czLbJy9gFokUzjvgtu8RUagHRRm11OqFSr MM/gpXcEeYy2YjlDt9u6ExKIjAIQWwutLHO2v1WC8KObofHDLENkIWeKkynUhIaFaRpHZANbybkF QyGVjXN5/IwhfIoPZBrxEdd/0RZSZw9U9r97hfuhyNGW9ewJ/E1rq9PDuNgLM9JRYN+L3P8/j66E CywhCW1o5ZtEmk/UCCjmZmArKCotiVigISBO2zBTmtIRcLX9TDIFo1/WfwuaqPbF1ljJ3YTBlg0R lBte1bkQh6Ey4ncDH70JekYUorZV++jTZYaWBll8+yY4AcujctF3e0joDv9A25WYeB/isozjCgrx NzbrxnlYPPh/SyGmIhhj43tVimlraFU/aNsImQazNprUYUsyN9ff6Rc8l8fmZCjny9U2dAJQo1Cx pdWajCS4fBi/ERdcyaJLoNkbfu+fy/mTQ5hcs7irjWnqCiJJdnuvR3jIRdgomzN6N1tUpfd8fX+m nk57sNTskxlZM1KQt4nCDN2ZDmMe9pusRh5vusseRV3ltt1AlkqJ4gkZnVnRkJ+YIkutTI1+zr9l r04ODbAc1Q9qJWJU3l/Zc88sNlzUkOMxmL6PsSvk5rTDlj0Bf+eUnPvnotszwusuKn3H0wf2QLmB kX2i3CRIl23VaX305Z1zhoSHr1NHX5qGdutZ0j7koD1K252XYtM9iUO0fGmo7/ypkyRyDxZgu8ku dpCJA+e8niYoDlFviHpdagFiADdmnFssnmDi19S9LmAUugvHXVDa/6DH9B8MlQPpnRUwCzNkeiUn X9F4IwyGa/apJzKjKe2PCH8WA7GpYURIAeMqdA+hHeUNvkWBAamxeBgRbzkT/CgiRXV+SR9i9mFo aUGPucVcXjACqTAMPSj+Ij68FW7L1ZulqIKzxmCwPq/6UzbpRfhUdqe/IZVgp4muYJ/8gj9Ewjl6 VH2g3sE1FSmtdVciWUbx45d3MOgJzMUQSnvSglOQt50CFvirIf7P5r5uDIHKs8UVrioPTU8iMZai EoC4Skq5lbnvnHqfwL6wAcrRVDIp4dsWDVUlP06h+/DmohoMImsNtwDjydRpqMHGy8QgjRS9joTj NC6i4A2oRYqoKUS/N6uXZweYx/mJq+th7Vpz8CqHHO25r8rvZTyA6IGVkGXuA3r0G8nkJqOdCS46 qskyKya9lcK/RenVZSeSlRGpNwzHIfDiM1FHagyQGh1sn09BZ1nzHHFeVxZAi4kFjXQMkTh1bWsW aJi1LKk3S+8PUWeND0JAxHUB17lEHDPNDCSfz0/u8xPjMl1T9zy+oIhDsMfNs0VrktTL6F8N+/xh s8ZEGRLEVMSRFPbaxkroJLV4WCSAYGfaPtEdJ1m9VsCuQ8m4a7R3sdRDcOSk+c/otWYojstX7mL/ 46Mdw0/OE1hJyCdiuiG3FTFgTrxbxw6dksnix3sdQ8avvFQr/wqSKT+AifehOwGDjlfuXJa1gR0c eT8IYLUnsPiUmtM1OLtzEWxtu48oH8ayijzt3T/8bNFdP+3a8sWGdWn6NjGd281DUotVfX4Cialu 3+iHBFFdTfhSIDp7FMRKIYH3V7UH7fc496e4krzNeZIlCgHYl2nUlh3+FjjnrZiJigmV3R8D+QuS VRPe3KG5b/rrBmIqKPRMbTWx3fZzqb6DKlr82gXB15BcdiaqsUXPkO6Knk8OfVgvqCFX1Aogml+V eGdQqKDLzFM7lNEV2QS2tU+RcJtX3yPPNOx3n6nOPYN1yZXp5OrULn4BTne4PQ4r/Hzlm8qZ/VJW +frSQaiaSSV8Md+nKV6xz0aFiYSTsZ2ivR6mCMv9MurzF75x0f7oEm2UyPWEPfNDYhgkjPbCE3vP 1nVQgDOutI0AUtsBZvSDl3jFOt3IcBZRTkcIKkLsaCv9iyZsFvyu+bk/cFhpWvop2JpPQTmVmThA XIzTKamjWlqL2+HlG0ijIR9TEnxJtJq9iAf0GHHpccpFC9sVfXflgAWJjZYJInyCOGLhhXGiYCEH ULzSiAuyTtbgrTAhoQD8cqLJ4Sm7SgsF8/kgkC+7bMq2k4kA8ffN42D2zrFk1TCnqF8l6nIpAcdZ 1WwwUq3/bZv4P3P0JYpr6TDEpDMZpy5qpkO5lbng4Twnd/jrSo+Tbfcq8znGAeh6oIRFIMYZkWpt 2mTymzp+vK/YVgwStLTY0nICUyzqv4lR6ECyiO8aMg2QK0KsmfgUELEXJ/dnOoLQQTKh7pagbFl3 KxmvP5Xf3FWFBZE9+nkJfk8lmiRohtVHp5lOrsTmNuyx7B8ORlaENaPRsI0jZqdspd0Wvo/UPC4d XPSp1EinF22VMGj1kgs62YvwPFGqhQoaOKt6EgVUBNnu9HDIwSv5VYdMNMokdeL6DqEi+y7nm/fG nkUBJIyA3hROvXQa0d+7STW7rWEke+L+t79n2WL0hAbCbnGygP4c6zkp3UQZnjXgT6CWUCNMb0Ga Gry2QIFzvmrTsOcoPD5V/xUPAN45k1KRtvPJG4nzsKQodtGkrv+IeP4Evv6Xn44W0wrDxQchD05B uHkHLLue+hWILWfs2qLZMDPQCNxZX2IbEOLPqhMJLBaB/b6lvgk0yLnK2eW9p5nPp0PgnHWpobkc qj6PWrT40BVjPEmyrxwTA5a6/ZbP+PR/AtmAJsQs6iBvKzx0PM2YxXKvrU3KxG6bv2wN08awGpTY N+QOvoDkgxI8eFy8Lq5NOaSSUZAqddmTJN0m7Zh1tpIPuW0pc/S5jcDy/5/I6P65AkITF5m6rQHg XiXsJ9ypFtdsI0Qky2G2s2d3tPlqO/Y96FybT+2NCVZs8EywJ8K0mBqGE6Ir3VRL9BQNkrc0pcP5 Xtk4IHKrpSR/r7S39wSfI6T+HWOjy+bdnluRiKgNnMMo/gey4Fk3QG68WlKaoxN9AWaaa9Ax729x mnl590Xfj0EGr634bZTT907r6BqbeGz9Za8KDE/jMMDgrOEO6Xv4jTKt8/rHVCYpbGZrJ8wej4iX EkUyo58LC7cW/rkkTAN3zoRnU1UZbMP78MPyK2UyaXJbtIGc+4b8m4E+cmnletQF25IHppRFOTU/ fg2HXJz0cNIm/TMhL1MUolxyYQmPzbQiOlMcdbCBW2G484vE/lV2iA1rKdlRMH7K+XMyzJd8s+jZ ZgGPnajofPrLxA8ZIbLUMFyCTxqmQ/nDPAcY29HcSssyCLQC/lfZ0fpABzi50dazVWr9hDU9aGv4 pGcZqsQo7wN/4wDsAs9kj62A6KaxsxCANbH94INeCCfb66XCJ+xYlPH0Bvv1fV/O+Kbpw1BefUVY VoqGy6jCDPA15XJblnlx0Cr50K9ZyIkmc1rZrjUCg3MModpTzjchRXXYZnTgzDgcd6mxhqS+XVSK HzNgGgG3AMBWPuUXFgHkvxQJM70Auf70XyDvDVGv2VJFHbwgtWy4jXB2Dk0XdeAZkU4RiDT06AXN ZgfF73g7+SP3HftvKPwwbzAdYfIKFGcEgqC3Zq7wSSahcfTte0F4y2AuSl32osSvjCOs+UEXwgTZ Li8ZPETynYxynAPZCCeSzD8icXbpbJvULrAPIW2eAwZclbkEmGKn7dyLa/ocfK34FVJLksD9Fkky dg8ryT8j/aXjjtEeOsKk/kSXyRfsMYAt7hQ6Tg7/tpOoLGaTLtHcLHWGD0WCtmNz6nDtJNBH2bEY ISSoVfztlhXG+bbMOCpJhhI7wHNNVxX8fdhxCTtZZmGdBv2Rkc1DWM6eQkHZsQkQc0elqtAafNLF bn0OCa09VxW8bWqz9x61KoYrova+VHF/VozaKFtufyAsA8CDxEO/WeN+r1R3bMUKQa5Df/3Fc2zH qm0QAV5SHc62tpPhxg/R2/ItUKSjstumm0BjAoz9U8O7WPeJWDTBQlGNlDVjn7Tarqiv3DQSnCqk /8GGVnJqaU69b1+0kdq1hbS8i0s1MkuhpzesxnhyQ4pcxXpd3ElDlodscEh7aOouGOsWML5bm2s0 LgE8YrN1bYaVhI9wBLVpihlyOKqPMyafdCFus4qBUqnyq+8yjJOyQwgo5zOdQwO277wmluit0XUJ iAFKwl1gUUC/0YPUeB6KU2QQJQHjYa2S0QeX8rlnToaGRWwjvElpuDmGpidydPoN+HovoboaqEZ7 I7K4vYpI6nSLByHTd9NdRP4GI0JNbO1d3nOZEUtJ3TM7cSHZFl0YfvrO4Rk2+QQojt9JFGdklu5Z 7D77y+NCY2+A7Pqfl+XheWPILeaCD/UueSLnhCYruCspr38pjs5+8ju/pOv/tfn2e2jj07Bg+SBT hYykuc3fY/wlrquJwvMbixWsJUgWBNtKc2bcSKT9SDbb3J4VDGgC6MZ3GD8it+N2i4B9xReA/yQb M7qzwTCpSf0JkM+KIRjSa8Yx//3TcQq0V0tUis9fQhBW8DmMH/wPdMNiCWxfhcvmA8olCSAGf/nh kymZhMdheg54fUK+6I0m9J4mtV/Ic4jXzFeUBOphOlyAMeS2wYzw8Fv9aiUranl7XfBkckvRdgB6 h+pnrwxED+SknVFA/PprsuxlGukU1ycL6TzXn2wa5ns0i4oX+c0sRGVrDm3t2/fCxTbHf70zOGHH ehfUemfXV0gTvdCoNm6oCrWWK9MTJjkA7OALoCRqvsXua29+8UW8i3VJsnCZe/LmzhIydmTnJ7p1 3pJ0+IcGCbZ7i4t71+A0KCNhi74HsF5Mz0o9nrL40NWzDbUj6udtpGccscWZfU284DD287Q38P5i 51YgCQUf8FU8viCdqdpMhMprVLEqwKjN6fmTsmetzo1MAkAPQhrcy0gqH40XYI9HFzhSvVFKKlds WUogymdfKLzFi7uVviPwQyotKQ1FuWe7Ee7bEOHVLnkW2kNPI+eZnJOt+7qYnhN96Jlt7B8eddG4 epQ96ECcVwbtHMtxL4FEKiOWD1SkK9r5bykaQjttHsDclUDPF6bWW7Ii9WtwRItUszA2p6GVu2Lm kGM8HeFGSyL1ZzfU9ePIzrR9HiiWaK71TZ2LMcYIz4G7zVCo2SEAEZxZwKsV8ZCel0B3hZIaJCPp ARBaAQ0eScn6tW4KzdbX12NmW5dZDw4XlzOthkQHGdWQ3zrZUAfBJWZDgi5h141zDcYur3inGmXQ LRlnUWFwmrX0lJWA/i+nugCy/q83V+b4NF43PIDw4+Yb8KF5kAUbvZSY+xHkJlnXPZ14rq7UCxPw 4FaL27XznJWNP1euinELb9Liw8JIMUivbhMUM+PytmrgjKHQrV66PexE6TNq9MS0dtDwNy9d32/E 7RUeeLddZvNr5sWjD+zdnmz9bQsCdaW79TAK/67ittNYw+T8qoQxBgQzWEdqD7bPEYxXOeyFF7+A quNSBxf7MY9K4xLV7ZNxpabSWhdZJfMjhg/WLcLcvHuujSIVwB95NZjtPIlq9C+6yau5bccQNtFG +YlNMgBcxxNing2p/iZXlCOXvsiWvxWzuiHu6Z1O9osW30caRnbrMjN6zUo1AZ6zQgX5khJRXJef dIckWpp8Gnr7tkLtlKBT1ATjCE4MybBEGZWzPgH6YgsMzRLxNcCXvpKKaooD69WOmAkp/A6w42v/ lmiGBuHtIMjndCqZZCz1NDC2+nF+T7Fng3Mji81OItKlxSLjLxUbtdmsxB6N8tvn4Pm2ODl2RWiZ kUGA0GJTop2gVWI0Ld+ZoPp40dbwpqY83cRnF2hMTrSPCV4uzuFMWHCOPeGcZnlzBUQArkKrV1Oh DPtXbEU9Q4/0x5cOAlS/+PBV8UT71j3UfKbBvquPNa9bzXeNGI52IZ23NQ/GhUhOnRSHTMFs5A5H Us3xvj3hcnzJFKBX+2uwnt7Epntj7Qw/hTVp7QNfevGLAbvJAwnJ7mZ5GMcjwk7d+Y2L6L1SscBi OkKc1D3CmQm0q0jw5y+CbxxdTYtoeCEyAArzMM/LO3re2NW/WaI4qKHBhp4j4YaoIqfcHNwR6wTs DcQU1wv9nhHLm/Rg3Uz9M/eSNP3bDT+mebpl1ld7cdr5qHWXeUwBxokbGZiY02Sd5/lSWH114TwM 3lbdhq28seP90Uu4ePysTgZupbZ8mm8YNDLn5ngjbkuV7i+6ujaL+0O+D4LuHu0zA5qHiVytI2E0 luhgUFNz99/Ut79AsKEFM0+vwYczBzSflWsTsCai6lsLn3nNeN/igrB0fvEqNw9NxYpb5AcvGKZd ClrmYqu8G5wcxOHSjGAZCBlwZhjwRoXsYO86KdCVC1r4Ls6IjCw/0TYQDLnErAJjCxygra0EEHRu jZtQFEF+PIlm8VtmkvOcp/e2ILdOqg7FIMYmhnTc8ZlBiaJnZEovo0Gwx8Q9GaWG5Xza4ovfweJP tTOmR438E05eU7AiK53FC77eBw1vdj3indYkWPBUsxekfPb2E3JNrkGSR78ZULdkpLE/zp8h2OKI phLa25cjuZwimJRfIQMqp/pvzreI3VHu8pqUdKhma89Mj2aPeN3WcgfYAe6ysh44o3mrdn39eul9 eX2eT4V34znso9ejq+6n5l7mZRRCvjeG982+9gsRSTx8jfB3YRHT/0TJHZSAbTwxguyhsKeP1oUg Cv/GL07UHbt90RStvMveRqVu2Qvy4xAqIqfKMOEryXJGvuew52V/yW6pMQAUlylbyCnYXJpwyCR+ 6qWJub5rMkWZW5g40LC1ITAUYJo1flwuhGSNFSu+LaMuvZee8VNf5QzU14hFMIheckYM41THLyBj +8oU+ej9xq48rD0Bv47GqQvxxvr4/XahXzeXBWZ21NOlXsktEzS+b53ZXzsN2LziSUKs5qKjb5NU hwqVmmHvRxGkIIEPSRXDnfInxoUrJj905m5QSLrMUlzXitx+EWOMfV7KGZX1NegfDvO4TMthgfTz nQez9kWFqzcXpAwwv0utC2lOwFrMwqU6cBZq01iiGXxbNXVHOeJFPbEjZEbEpUHS1U5B5KI67Lmw q/BT/NcDzX2ITnZqt0Qorj+OhJNW4YjuUupYqfUg4V00ksESHYocnMO8omHvDZOfcm47qnZuHDpA ogGSXJiuvSk7El5B94FHtipKMs5H50FZRQxZYC5SHvLcE7NhOXxprVzljaUgjIm3F0EKx6fOlhUt 993UJ/0975H4PN+XytsXe1AubRyXxoqqV9DuStTtnxqm6AJpVQkNEOmTZJnFNwlCmKg+QNc4wDGD q1iNLQCZmO7YanNiBAAaowUr3wYlWJdvnJgU9a93RQdiUUoLn8SjLtgy1vxjWFwsFYHaLYSc1dF5 dvamI6CaV6N7gKKZ4hm09twPUjypxAPNhoA1suWJJgJXEnGVdFriu8r9euI/ExbWFKmzjf7kAZ7T a54MMHBxxM4WpXuxwiyhSHsD6W/lAjgLzgCePDloPwmC20FvJAzi3P+5ARcRsR40EqjRJlbLHc6k +/4VsZfY+ZV0RIwmrnjXnVEQ6+0MKYDILgUdbIcph3OYgO0gSHEan8JW5oTNakJB74W2FimQlDnQ IHGferO6YVQwna7aX3G3EzE5Tt9ycimKO4/64tyDVcr0KBnlMUBPVWyaRF0ylhSMwVqVS/9ZM+yh N+290VLmwF9FXbMga/R0FzgQXEThbpFM1l5g2NR9Pf8dHQmncMyXQVD/H3QAfGHSHPA6UJNmx8Kx Ati4SUbk1H+tyh2gLel90tL7GLWPW6u404D4hisuFw0WPZZVOnmWFzPq1txcJtI7zw0cXu+tifZL 5RjLWOor1g/bRWlr3spZm6B54+yl54sEGmEpp5u62lbU1ei1Ewlt0eo60ASzDimcV+7auwYy2FMW l9wJIorQUH8FiLegqj5NiqguzYyaB3rRrCJq4wdWWnTNoTil5hTp/j1C+5KAj01zKZulOpVfnKr8 DAx4o9oRWnwUovBOl9vd2HRarovAc+X2YiUaRKpKRLfCiUyX/EoduugOdloI43PlMkhLPOgpI7oi +uVCo2BKbMV9IBmSSMlq6C/Vh/8QHPU4/LwF43IQrF17fkqpQbyA9xW0WHR+g00WDO58Tzq39k9Y z26m5K4V+ftG5OATK/l+YxV5WxCLkxMA5a0/2eJtd7s/roGZG8WZUd0mIfyfiQeBf/rYZt+JEABh /JIHtnvgtXWc280xes2EIlcqlqNw8NCnoW+dr4AX63sy5Hc2xxaNO6YYyzRSKox/hPUOrSdWzRpD vhLPq61HAhPw3f0i5w9NmneMhuQ6U+aXU85zz//w96myI2vfGo7QSn4tJ5mC/4byR9orrXG2aOQG SPpAN+SzoxiTh6IkIv31KSuQXLHpK16NuoiRkx/IumLragjmNWatIfqw66fFamblrf5JhoJYeVjn djhkdhfbqzBsAAq8uJwl7A/DKXbLeY60GJGVRxUAn5ML2LHVqiLwh+txQKncuV5V4gMLvzrBbE+d STZiRsVdB0b1dM8VfKfkDQY0AJWm6ZVFHWpqTMMOoXdo3YdX4PPGOlNobiyfO7T+CbJ57NdfkPr4 MruImSnqw9ZippFi8+2CYGVrKl+DPehVrMr+qgJT4/MNHVqTjqL2BOPwOibay45IYZtmu8sNqEO4 HRQklXXerIiMs05qvWNLprnLl1wwiJa025JvtmcPEp3tZsF6r+VYnOuhwLlzegEd7KOZAZRf315F P4gSMKyTS89z1U00M6g+NMDBnxSbWzyWRvroJso4MTAiDmaOS2wBjC6hkFJ8G91P1OvezPvBJO+l fWL4ZUfcIAlEhkZBMLG5OGjf5YjuYDb91Ty6RI9kWRPJtWxLqIAmcaLI6tz0w17F/P/nqox2pGnj o7MujsjAr7s2HATkEcTQv0QFHncam4gPqcY64sQ4g8Xi6GLh9OP1W1/gvJLGIeOURP+i/KbWPTYF ZNqCnmtdSLeJvp2BrA/tHILQ+UvbKQhEdRsdMzlzGcU3PvzLUPzY35KTUf6oFBbviKsB4j4tO2Tx DVe1j3c+2NizChdfMmop07umgo4D8NvMnczH350ZEf4WodLqJIAKDGR9dOjefG36txuRPPAyaman 6d+KRlbXsyGnwlSt/po0B/qVy5ioqLOsxurSlg31O8OyReKBMNkZHi2aWg9GpJE1XcclpMliBbgU +JTVbjLmbBgfWEtayXHN9Lp+Jinf3HbYDIA2GCQ0e2G4SsszfY+xCVm9VS/t0HuQF/3h/E+76+4k 9Ufs1nCMq3Y2m4gyF5DEQ84vyfBM/yKF8DDawzvBHxqi6Odp0Gs4PO3ot68fxD7Ubr+k6Dv/amvX +PvEjLcr8kBtxcp+wwe5LCouEYg0QScg0hdAz3hB3pSLXZks+kF0gYYQqXq0tyEYNWzikplISXDZ TP0qH5eatXG2gjx1OQnlVtER5jFnJ4BvfyptTyNpoga9y31x27wL+qwFLlTVTCWOqv4ZJEIqrY+u S7u0FYgFcc4kxrH+500xs0COCN7hPY3llnlfdYmxgmTAkRoucrgbOwUylT9pOITobHD/hGWNcXm3 tKdtlQUdKHvrO7BgwUKEHZwPf/5880cAfImDAdYCBe5wSa49vfQ+BMoLJkBhc/+U+yYNa1tiwbkg Ng/1EydYMKfg/oAXNDCX4NgJ4b6yKZ1o06Ym7CCJIh4qS082AJ2x/wgnJQHKHGKCwaMQvrbBQn9o vhw6kpnH7Rlp01Hs6fbr5Br0VNAugbAV4xgjPVyam8oI/lXhcDldAOiooWBRFwfTy7n9C8FDFDjY BQ7IvyCS8KYT9k6zP4rxzPT507XfXUlHvPlnV4qRZsIxl1mBVASuntHO+5qvtfZtVn+HnonazYf5 0wecxhmMHxeGkN9Tt38kQyT+SJphDrv/2gYaZXI1/GLNRS7dD1MoIxhrbniwHb+3Znj2cTydUbUs auW9OAtQmHGtNCFQoBEfT8ZMMsBLWV2Xj9jaoZvEWDqo+icM95wwUH/EuQ5CCkt/7aTmL+fKNoyi dtKum0J4+oxFZyTp3lrUo7FVV2tH4A5puMwQFmdnTL/VtMDsg6tZXoob2xC6IR4FTK0WZT3B9UfV PLF59dFKGymptMKDZTbHT1/A0A3WUHHbRlDsqoRidVKKXeZKAmCA8rX4+WD1y2PLctJVLhDYpl/0 Z2CwZXyd541G3ovrISKReR2ldtqEc02Oy0ETZ1qbNnVyaFFbRqv8y9yX0kAn3N2uLsBDH0HF4XAK 62ttwBir4K2qQDj9yFf6VEvXkJNuqIIaud+m/ga4tx8HKOsofb160yWglcy/mTDvj7Y12aI2yQye 9j48fBv43vOwjn8VhHmLEP3FPTIShYOj4LGllj7XbGst9zv840OHmVmqROuW7xhcWXmCSEVWmcE1 o0jduilyD+6d4HuVr430S36ZPPB/KOIt111nvmwF6rsp60RaBkpss3FXeP+5rdWZcwizcmUH00XR ImEjVPHQAVZadcG1i3cOg3XZi/zUC0QMsxmLXoWCI7rgw8n1TlRe2OoMYlHI7gD1ecoolR8bKXWb Mm89yA8pTSI08qbrWUeHDBZash7yPJqzJAsvrA+2t5BKCgIvKevrjaiFmCv3s855ucDsxcrx6R4s hwP4FlJYsZdxDEdgU0kfQo8al6En4z55pg38fBTz2FNlo+FWWSsu09d2uzjb/giQWL+mZg6voyan 5nLrV/9Nr4H1xne9gBskm9DIlbsnb5n98qXgpNvOUirxpdqw8F+0Z3Ir9Dflhb2erosFIspAefo5 k7pJDs+96l+dAMXNsnRcVfaSlBhzvw93F8t71airBmsOjY2taHg2ryK4KFI/wh50NoauwP9MiWON ZG/yYzuWBH0U9X/13qCUAZ9izwbpta8G77NlDVyxExebHNK0eUTUyw0HX9+NKZ4XFXPzztQLcRnH L2ywElJsMPQiSRufyhVb8InOBedwJpkT0sdZe+DAiqHw3cJoV20SJOWTOasuGRZFg58N6uVLH/VI CUXLTn+yHtZHnVD5C0CNsv7u/a01P4RgBzYL98HKlF46R1m2SdQREtRi3CglvlYaQREYMDBZ18J4 UkgmWH7zvAN2ouCoA3SXZNlhwR55aNMMsBmf7SobGdF4tFPg+itvYoLsU828AdfW4yGc5l3cT/lG QXbT2iwJiTTK7HzR3QRpMBXGLaECK7YbbqFRvTHbhUKle6m2I+M0ejUwjbLRyD/Y4I5cZQAl7wWD frx2AfMDwIkYpFnghO6q4SXmyZH5/IPuo/fLmBR1MIsWj4eY3hSVzXpjb5PPOEbX2MVdx3ryKmtY Rz/uotulDN1I8ez+M+/auQ7lsX2O9jOB527xIY7sG7QTRA1WytjZBZy2u7AKL4ucltZXVUCKkouS pilETqmwsNtC+RRUKJRyEmUka6VJyTf93rLCe7I8r1g1/cw8l5OjKuGnheTzUU0Jx1utz8rwdIkP 9CVuUQ2WcPyZ9cLGJXVROyo9wJzwbKLMRdSh1YyxmdX2WQmQgnlBneUz60Rezf1fhTohBpu5y9Tz Fyj1dK/x/h6s2wTbGybhy4wX49LsumIOaqtQgbeSeBqD+hp7BIVyulAdJplUE2Mul7scOoCWmu1Z O6HJSHCQR9QUAFUuSkp0XNadv1Nl0+tvPfiM1FXqbeOT/wxqyjdJrbFV0wuZBjdOAFQUL6I7MCFf LGdnBd9ah4dDIxOctQ1t0Qw+5N3usFx3A6NJfb4cgV5gm72eXx6MtewUsl4D7CHvA2b6IYN6CLTK JEe5axqkDWgrr0JfK1l7WoRqaG9/9endUNhfwEA/+9tErhSu+fuG2a/4P//Dk9RwSY4Gv3gp8iMY 3PpfsS6Yg3s8r574uTZo7Q1Pgtw/+SilspZ4hg21r3VB4hqZfQ0jdMz0GBqJE/h7Qt8wDR3bZmYH lREpJEJbv9bdCJaky/kUa9MKqMW0cIeptw81s9xBn0gxhxEpBvMYCP6MvUyTRSmtWTqTAMU7Zr8d E/+pNiXFZTBEYneExgrW528OBUXI2PFSr40V92tgC6KEs9G6KsJsz28ZRpVASVmn6Dn4D24STpfb rl3OsM/qUMEQ2iDABWxuAkvTIwdYjRRnSOIoSqPXYFWoTROKfoLb5lY13Ly90nyaHTH6hwKYGS6Q XjMKixqAVisTcFv3w6+i5qu/7X2v79Hj3lIx+yD5y5LwSUuAVvzeIRuvpKBrAj1ougS2fWnZsOI2 /YF3DO4y/xlEdpwJfWgWJgBC7pmelYZzBfqao9b5jB0rkw9JaC92LyV2CyYh2Q5wAMvsrNvAywNR 1WyGGvlEHUM9jukVK32Ed5YZUlTZ1EPthh/yzqRV+Kx7j/zL8Jp/7jI6GzOPSFZur7/Ou7lL4R/3 AfQGvsyv86p5cmbTtqrMD2lXzIdp/NYxilLyV4l9B3AovMCK6uWCIzmd76x7p0mMYLWp4DKO31Hg kHEDUXOieEB9NIj89ml8luFd/HOPiOa1B+tr+LfxyMDCYPegVfcfIIM5rlw/N83VwxABjQ8nRIRv iKNO2uJoqMVjPqh3fypbno8rMIENwEvAEXCeueyPdkU5PpqIMm9mITQYMTbKw2eHE/sKwyAyUEJs GEaOLr6vN7Fs8lpnPnGxPCdF066SejHgqzdgb4Id7AkJSiOa0SlePkoZKrq32LjdXaQNK8NC+PmO TDi48dTzn/qaqXn/29362oXbWtD5IJP1fYtl7f8Hqco0ek7d6wzqhzrd190v8ue0ljX9YdcgU5Ly qhZTlHS+YqzcXkoEte5l1BedzHgmm6doEN0++U1tL2cIu9tzuDL2/hJVwomYR9GN8mkFhHtsR6N1 EacRQFyRjN/JPG/liDcrHQKvMLQw9yIJu2l6TKj9xuqa+L/lwpVQYzeQ9UOLbWEhRET+2HejTrkL d2bM5aoDpcavY6I0TggVjEGTA/QyfDrGZIJrV9gmVhVhYQq6QNFvNIIbntOJFSHEI7ZE0iqbXI+h P1Ost9rWx6YJIywqZJcv2jQqRMuYqQXh5FSks8y4+9hHhs0Et3Z9trNSQPN2KMs83RUqqYRpBsWc w+Tw6hfO3nWuItA8b3UlFd46dc9yA55AGge1CkUOquu0hRvU2yScW2+pyO0b+UnfM6nm8HYh0Hoj LwysEZrnbON9hFBTTuND4ouLin2XkfQ0vkntK1jpj45jUSQNgg9rpi8O5yrl7GlrPVw4ZAp7KkEg lSPGty00nO7QAGPzaTgUxQXhnuuks1dp5uRLYgxufOTFxAaUopBQNy1c7uMM5SnyYfCLVaYQRfXW 3reEeCiRaTQQATVxufLy+D/nx+3Dc9sGYA+X88BcOeIccmgfu5acobZFZd6DCz+H/gUFt0B4OFHW Moqtkk1QiabE7Sg4cp0aoCqZCuxw8COhHNCjv/JrDX32K/6O87P/Nr8xUX5QZZW15UbKrJmMhO00 z9boMtSq2Q26iy4/M3XECt228h8stiR6nm9kxScGR1VE36vt9j9ABXkkfhMdbZU6cWiyqvFWQ4Rb /JS/LBghpoR6ndI/5kOXL+IVgqOezMVnH8RVRsw/kZNNSH48RkFbzd8Ki2gFSuIJ/dlIt+4Ein7l AI1QHhOlbH7wrIYYjUPcoQdLD6/+Le5kK18x6UWyh5DALclvdVIU+/teBaM6UtlqvsQ8GNOuqJkH cmfbizpsMstDlXOqsZEwOJKxkwokO8evJZfrnF8caqxjKUa+I84r1C+SgySdWTlSyFaoeGAnXaJ6 v4Z38pfKLq5upruFNsrP5DxGMblTVVwsLdvM4Bfs2fJq+5TBklanlJrGLwku+xDMnqyGIVtir+8P BCwl/dvlYP3WfjmxHfDX/e7uYMKI67fW9pX0zJ+Kcjw+rMTeWzfHY0Cao6ty5eQTSJKGihwKQqBz Cy3D2/ON86BzgZLVoKbjZqv4N7833eRd1mTqHmhEk2qtOEbYMgY5leOmGnXAcZQSq7HmtaOzpTo/ IxLZETShiOlwKnI4bSDYI8xU2X8zA5+voUogJOS5aLak+++8AH3llbhalLCiZsJA/4eqXsXGv+G8 5/8OdIss4qxNhZpM4we2rsr4YX73zz7tfZ7RszoT7w+EZ9RzMa2en/zcwswMe0tIu2gin6eIab5H qxwzYXopULjXHHGaEoLlBSt/2Sf5ImLWsg6wOnq+OVRAYPplSvSMwwT702vZZ7moX4KfpGHBsvcn 0Ms9n6hS28NYCrFEHZNwx3oFh84Cz26A10xGxgsaZCawVKyn00yDtBFB2tSxsIrE71YkZ3ZwGrXK oKSEUjcyjff5WY41IZ9FsvxUw++o5AOYZuEQmz4dZNb2lz653BRbpEl6yY7HZAZofZuHFPK3zDkp DvCBzrx9ZXSCuuON6x3Ls691Pps3c/lquepeMzY5UjLPNcElZz7M2fO3xw5Fc0Q+efS3yF9jJMlx f7xyyFEOafnCmDQpMvGmbZPMRZ0O+VqjMgujEUiSg1faUfFc5GFqs0gOqHqkY2JCcAUx/Ehrkhak 2oUJtY2aThLN0uQFRD9XZ3xyfInaFtm/lbWaMyzvB5ZCOhztiXpgzP0DnZrNALqZ4HY6zxLOmujs lnb5vNXaQTwftnguT9gES+80nTXqiLhVQYDFXhtTx7w2gekQZaFkqsJBON2LAUTM9qPcdsVS3WeB FMQ3KLbmaqsV1Bov2vncZBLG22Mr5vTBArVmED7eIhfjU6kE+F9WsLRNfIsZxlop1PIgES2nGV5W uhx+rgwq3HFJSCCHONckR99/NrdqQZ/JCGQxtkqhUIgX8UYWe7bDyYcgjKBvX9i4+7tFu7qRYttr DLfLBLbh6Xg1QOBz0wJJWo7jOqnBbndyz7idhO+cCBvZ/E/D+GSSvaXNCvwjf+TCm2P+ir7nrfq4 PDKKZ+GOY7gZbrsxIKZzGDfAmcD8C8WJXhirOwZSWxEM2Ass1k17Vx+BKdabCoC7Kf/Vtdzqqyk4 qethgBmjLgS1KX3RyxowXmVBtJIFWdWRP1jCcUtAj/DoBG2kCyoQuY3b2waINKScaTDThzf2wwbS Cia1BuDAZ8kU2Qh+4RWNxKkkl2o8RM6REDjmAvqvQPdXiGzUL23/0iCL/3mmFLb0NysDLVuUYiLa J9J0mH6MQlUkJvW/GygLnCgKSoa5p9viQ9GOrygheP4Tcx2aSUpTkvTPnRgqD8r1TQqm8Kqkz1fX KyaI+XaAW0F0UVkIpd0Qf7UT0AWn68sgvcE0dfE9YqYWBEiANjWhuB+NdVyHOjRymyXiITYXez0a QT7a7ChB9Czd4CDDtYUfZHCHaa5eVMU5M7gyUgZ14mOOZGcyyEZa+j7fHKZLEywc4ah8dvX8eapU nRzD5+/hhOlO0VqWwxY0ndP6pqQ5AmgT8+3yvGOr2GCpVLHkb7KJ660be/vutaDWSnSZEpwKfCQZ YrXQlwLc869Za84CzVxfvL4nqhE9lnGzTsBUB++Hh9loS3flxObaiAnGrPLXWE53klW2+OcAjeUW dWytgJ2MCgkuCRf0IsaFbstm8UXHdlULo1WBIzMZoHmVQKVeK+aDspT1AS/nTrPzNoylkJY8ye3n MG76bI9BtVtZDTmFDucVa/t+XiKYPZv8+ggxl6E/78joQN4vGlcizV6AEYHjgAwj2huu3WGDUe7P ZAcevyjFtUMcPmMJprB5B5W0EUOZpAfpKDyEFpDomD0M/VPfDTQ7ulltOYOYiBLkic9hiFfH4lcz 3osJ8Trm8BQXzQQFSUPSAMImIvlATOHnC1XHi/m53VNNTm+WLkQC/d7ABb5QbQW0ZNMvxs/AKWlZ 8oPIcTp3Mc+ycee1Iy4yCDiH67M8YRnt+bFvOe4VB/sFt3bby3dff7HjfpemplYGK3/2EiATubOL xq/2xmtD73fEBrchWbN0wAi+U2BfO2lgV7NC/HMToRRa/fsAJ58pEbNpc9CutElRpBcVd+AOqY8l WkG/Xwod/DVT0JrAE3LfbqEouAaJumik6PG8TBo+LYlkRh+MnLX5nxviJoOzMANkNyBDn2mnGssI u21FnPJ57kLazfsoi0MVubchlzzqku1p/66k5I0OdalOQeU5K9QI+tJ3FCtqBsVjUh3BAYIV441M LnexHKNXvmXRNFyRundd8JZHGpm8C4F+f8XDxXjK0pYVSMvNXoEcNU644bVDzKv30fZezetmFZzN JR758ALNV8gSedDU46zKku8ZVfgrnWFs3COL20T+93DAlp7LkijY1jsQMqBJ9/xahnmsNequ4Rd/ ++cbN1K/4ewPs4iA7iPnqFtIixmSl1lspUDyfCTb6jVDyI4kElm2spsCqIzC/yPyQonUgw/hAh3B n/5pP9aGqO3J55QPFX3uPPzMXwLiTQH6k8SxtozfOOQ5LHQc93FefEOLgG+f61mNPRejbkFZVwPN Q3jgZiPeMPrH0bT4GwjyREpoLPD7poMA/ZK5CiN2sE8cw+YItY87s+UmXjqjQ9Bmzkcq+UuaLSuo rOpxgkGQaNuerb75ht1+9UHcJ29YkApBIFAHq58E63SJYUXfbel++BWdT7xJeh7MmMZRxVWub4v0 DVeMb7Ei+7WWQ5FCF97eXTTZf34e942P6zk6LHdOSHGkNoRIAKhsrq4bO0R2BY6Cdb0x1tOXByK/ FUzCK8s/K8fknIZgQycWIPqDlYHcajXammTszS54smBKK0aqVEtOAw4Shfjiug62bmhDKYiuzPSi EQLRx/lOokbfvUNVILzpYniE6Et8MJZs5fkIGNrjM2ysriLjt3KZ/1FaIaYnfgJD/1RDyde7dBjM ZP7V71iekO8buX7r2x0hcUT6QOc7Pnyf9FsDq20jkGqWU6EcB+VceScmSvAXjJKGEFbZBO3Z3dT+ /gAutHVZknpmkLo5laKbiJN0OMNh5IXxtFI3NOI2ZVfE4rSLNu7stcjvSXIZvXOcgqWell49EarD +6jeK8hkhFX1hKOdIsbW0WCea4tzqvt0v/ZORIwZPJt17Ys/5oFFFDAaCDKNbwVuN8IGdhMT5vBk mD49XAl6dsXhGd6OSZIv9qn0FA450H8pufwkNKv0mN+vWaadxsDTmpOKzFmOs4XiomEgyRHIspFY YPS5zsEHDQe9qYMEYTEtG9RxU4q3t0DaKCLD4Ry7RahuxUMyqFT3Kjgw6OOyMQb+VEmYC0J8bvYq LcBnJFP8tOb8y7natHEaHKSge1Fi3WnJY6tKrzYrHkQ45ch9Ysql2WR3waa/i1W+Mq1wiKb7nhgQ x+hnlSfdWdsaRmbLzZUhzWXq4IsqZeztiDW27stwDXLD3TWXyvI/2D5jgywJqunRh5W8RyXWn3Ps U6XrVt+zH+tx3whnWtbce2DTNCtFH9hYP5VkRLoTImrdT1YCwkZ95+Ja2V3AmYY6QmbjmRbgFhu2 IO7p58zwRBPd0YhS16vgGI9O97tkTlYcPCVXUjHmPFqvTT5FOhddgd4O7BGGTT0J9h6zpYp3oRDA g7qUIUJLmV/ZUjWOI+8b0J7iUx3goKZJzzOwyQkd2ZFI+GPY5I0sccjs+MITOLreAQYyQAH1BbGn 8DXqHsPwIzISfyht5H3tniG7cBAYNkQtM4s8u1LAxdTihp8Aa94DoH0rX/G0JrKNa/1REZJ54Hzn EcsmpIrfV0mFM012rn5LdkuX2kMmu9Ghc9/vWNFXVTCLu4WWoeEjqmfMyhlAyBPx7U3nNrgViQhl 7c+q/Ky6J9gs3WbNGTAa2MeHYr2fA/XDUPqL7ca7sbEy2O9fl0WqW5pEs9UqOYjTrjyDH/WMeh0K T8HsDxUB/BX2IiCGW7yeOuYUOq8uCTKgvf2Rwi0Xgse/m1Rv5xKBvKhCHwsdjFr4rCECppP2knBw Wjpqx6/D9b1mTLnaCXZTQLg5zNOtr2LhansQX9grs9MDlOkQVfNOsdpC3mXsFnqFlOdHZCWc9SZO SmbxJAGBKQ4qbUabAhivBFF6vCpAjVsQK09uYnPMVthIwpRtZNi9Tc/ww4l//vxy6DmIWM5giBam SEFh0VKHym0JaiL/e4+x41kEZyhVdedL1Qmljz9xJkxZXAcp/hmfPts628N7oGJUt58WYz02UYCs F2NPEg+47yRAnV/Mi4Qku5PnTAc8RCy0WhmoTbq++diozYJ7hbnUJdsqRpEK2uWxGFUfZuOehrSL KAw6wv3iQmltz+xyoYoOBRQjsNnhr8R/careuUnp8rBxboM31KboXyhJQ3ybzIGlSsJlvLsKQ9oC jTN4RVNgaisOUZG6vpkgnKgigfBqxqQov2bL/MKYxPqBrMFc14XgyPF8XuZLEfh5xaHUL1WEEuPs iSFMe6xh7u24UbxZnsYv5FsJHLy/phN2t+hPx+63bU/RNfV8dimIUVQObiakONfPOX0cLQnTyajS aUT2yW0N6prZZ24s5CsMqnnicvsQ+Hy0jY/fkb1OmnSHHtZSMKcIDuVO/uozDovU/C3UaTLwqhz5 nZUDJADina47/UpFvNEjBNehHpfJ5+I/C+R7/tiywZp2vtz8+p5eTsyDOdElZ6MrzUN+aceqjXJ/ cUiPn46SykroNEBfJC62SEPO+TJtQ2aaojrwn/xn3k7fkhr1ZD7OtCRZWUo/y1Oq1/quFQPIyFX/ wbOAgz10nCoUWH3xYYEIuYEI9bBsDQ2MXDTEUeiaHED7THo8xkxBrMAWufGcnlwkRhzNpUGRjtgf UBSQ5gFFZlcinnhH/6DYhEezwXT2SEXNPbzsUUwkwQM6lrvYk0fEN7ynscI1y9ouTYkVcXZkCl1/ 7DwpjAHMMInHYhNV9cxgN8ZXA+4wNDtTqHv3CpDR31oM9LmJjfMqRjlGxZzlDrL1Ckoj13glz6H1 Fl5wbA5fOwLogBqaoRAee7ej5lNF5mOWiSeKXvJ0xMXbx3QSqZLxoXwTl48xXZvDbVmMYcVdPW/2 LlpHyfaY8s7gT/wgzh9cQhPBM6bJo/JjpgXtTLI8uh3XjgOe7dU8MHZdrbyG6R3PdJOhIDvMWe2T 5XzHkpgGH5CObRLQx4xlPQTJ2Lr5EFO4m5BUWiOonUF85viAA+oW4if4fBXhOua1VwkgLZj4sOih 8Uia/EF9v/7x7mv9qhpp5nlqzDDXYEYRdltLNEnVV2qmBTd+9NGzOSa3knLCeHfL8JcbnUIRS4rP kE4nYHr/7artz3SwACgbzjxdXR2BHKZbn5b6l4EoJLKavGizRhrWPQEIzEXDv7nnJuMDyRzPt5wK 8LV3G+f2UuhnnVFegDQZOwri8+/4RhMZcugiWqK0OMuEjQUXXE7fCWXJPHeG1IV8r4A+PKGUJPU7 ZaN0Ebd3UXbj7SQjaSlXYklnIRUfRiwDiAEihPXQ4rf/BG6uaTatcRjuscfNljal7QtTghNzP48C B6aeKe3dfohshgkY41OlLUGjBQGy5vjIKPHs5VqXt43+rj7Yk5or6IJekJ7DhOECv3a6Z0uYb9o7 WiORDzMv65EBgNgz5fJk/vwAomBJnmoHFp9qsQMA91M56Ka8lWpJqXqm/4r5AYlhEp/yQ9GQXOR/ Z9fS0XIrbWUwRqjwdRX/4BothDIeq6vtb2u1oxm0RAsUzXNHK/ubsgSjMl7eoxc2IwdBbh1zkal3 Yxhd+Qj0wQIIs9f5M2g2UjsyTHZkDVbj5JFBl21Vth9GbsfnhFmYXyK3qc2S6bI9yDnC74ErVkpM xh7Sy0VTd+zhKxBxLSxH9GNZPpfzei93CdyONWVLzhMcqKvAXUQsGe2YzsJL+4eLN42jCzzsas+7 U4zsThhiyGa7dAOgyKno5YXTFe106Ol/gxnNjcVZGgL6QAtF+C4qwrej8BcghbzrMANy2tlI7Ctq zV1qqTWdifETTcGer1otMYH4pKIP9O8aZQYAjzNVTPCrixXfPm1W391eqgmtVDC+x6Y4EWud+ETq BSi6TSYluy45meDWN3mRs46DAM/Th3UGv7fQYy/9U1nfRaOfedCf2tPVO85SPmmwTKXZ+vcliTzP ecQzbo50usks6hlK1w0UG/yJU0a5NlMf98gW6GLnfpHrtO4B6d6uNkbNV+MBbSJtKbXUGDXH7zul uKVSsEMuIVdot3MOiGdtCLpkM11fP3CLtKVulDrt2RwWiS3G24oEb9qzw65e4ZcaK1TxcBMIm3tV NL6wB8s8nvyf2zDK/S9YkJIRvwcy28F9+TIvZ6f0HQyEQ81cMn5AVXkUhNIj+LeuACxV6ccxsHEo eFkCJEhkIypMk82+/A7NVB9gmo4jVYoYHqs8032yOp2dJw9QPfwUIgkt8u5xyh3aHTJMtj0ZG8ew hObmSjSKLaHK8JcaYBsPspOyFmyceiSrRkLgkHLIZfNm2dDdKObLsOgxx/8r9MUAziSEpnHxOgpO duaduYGaXYRY6Q8jYNsc3bpLr1L8dDQMcpKJhu1gkZ22PTg0L6U9cv3xoZG8VfEhPAL2DPZ4E8G1 TpFqARIznjevN1km0g/Zaaq9f+EMMS/mzpB30u1Q7mOOSLz9+3J/kmi5d5W77ICbYceUin6vm85T XyiUHBxghLe1aUQtEcpN7+nnpzG2nTrbHmEtFVtl6tcGo0MPHkJYbfh99oyhyW2q3eisYdXIU3go bERBdFiDL2rqp8Xb8XhSl0k/TudkThoCc4KCe/Ffy2zQLDuNLQaE1fstj4U5rP9lnrtV6SlwnAJE LfFobBn4r6mTYLFv74Hu2D+1oZ3KEpsHtj8oB6D6ktDbSrZgKshq38XWhU+1K0gKRXdyVi5luIEM IWdWpUANMEgNO54HSzTSmR0tF8fG3lxTJv7yFOyCFKZmoSuN7PZYMLA8ajITocmEPmjhHPshFr+M FRwxSgkxt43R0TUqeOOsfHBucSEZ6bFys15+9pzi8YOFCGedM7nUrHHQEyZ2m17m/ZYwq3GICpg3 wYtYopA/rFjAQg3mNZJWx3wDxFUP0LUIM79Mffx8Z86BidP18FBOyvpbabckGsGVvp8dtzdT6EW5 DZ7P3V8VxT6oXn+5GwbazLyJit8otZFQjxM+CT1Lo2+qiC+GubvJO9pEW885KXqv8FubUMH2DQ8/ zk4P6PQtdRTNM7mMQAuWLJkkx1ZGGrUUuM3PdCMYfV+RCHPmRrAN4PW6jJZycVUj91MorUml8TzZ Tcxo+moyosV+hPut/LvA0eaWMn9bJxUD5bM0I3ceu9QhBqYHYXTQzRfQJqAyH+6aE5q3H/qAVqy/ LgJmi3r1ZgDC6UvzIeXgUsFEs8Pmj4b3qQt0n94UAkxb6KoH5WcL2CAQiCGzb3It1c0R2EImOqls yhJalYW9mqoXjMqZNSSjglEzcrSBi4jKbIHJsAIRuoKkJiISyl6DrZtvQxgckJG7Y3XtSNcdvzr1 IEuV9JH6jPtgDRtxKMTUBq97iLRT0Xtd/QOvcvVuanccWABrBdxD4cib51C5afdJqpCKZ3F19kFA D5I8L6Nl9HUU+ZaBc3l3Q4iQ6R9gMyrojd9lyVYEvYPzlcggSTTzY0I02V171Iu1oBsen923XoZZ 9SMozkPcqWdO5glnYVV2utTso+Ecg6qdbMQ3rq8AxS2O8OcIjchxBrK+gfaSgMaEiqqtobEw7X6U F6vYw5ezMD1KOywpN6J1AjV5V5mWlrAMJ0p5g66EmgdQgaXbstOIUwCFCwRPMgg/3od5rKXLr55q kdXeRNd/TZ9OBPgquYKA4Ngpnsv1zTCKydXezVA/CIC6GFou3phSCaK8tYRW/TipSMSBr2Qdsw0o dgtRJkDtSb9EqjRzxIRlO9UsXTCQjSJ9Cak30OQuXyhzwVc95XM1CHBTmGbGKW2nQ9uDTNAhYZEd mKs7xGjGE97AIp/1rd68xhERO7MB7x+Wojjr8pkUEr/rx1lusUxT7hUHVLDGwQw7auQkxYvzMCyi vicvjA2gyOKJo++FR56pYXX1z75dRFFEdSRrBV7Jti/H4rlf0cGDJPR1t8To9b/LIkdB1K+aG15U cQhcsXAaXfdQMzLbNwf7/mg2gDLNLg61EnHeaxaHMPLrAGX8faUmFIsMfvPNRRVERu4Kgv2HaS6r p8vNZ9HKKBs+OAX5tJKGe8bypiRMjv7MdzzM5mZFdSxE2kwXD+7cf40Ae9LdHtlAwv2JFpazk3qd 3wevruKXmO31LJUdpQ4K2bCnzM76SQIDzGEEAyA6gJGwkvo+3u4ymwGsx5KLlPyJeyZrLJUa+vHJ kNcXBYB61iECmpZjJBHq8MvH+xN5ZXnz49CqgjDUh45d5C7JN29Ue8KHUUxD6Jc31oQWcq3uFpZO 3VhHpKOivqoVaofk17GCibZWi4FIe6JLwUqvTyou7xjxXtGjiTdbx7M9AnGHa1rrU0DaWlgZnfw7 jvm465dqTYjqMc6GVloASaOYeL2yGmz1KVJpXVt4js5I1TxgKULjSejeGdQkgEukiBJnR5U4000F sAjCnf6MpjJwVpsBgJySYvvKTrX94sWcy4xXeWxZHd+GGc51ZxtOiCgvBMJHtSrubkybf8WWd4wx Xkn/zlpv+0/ANHNF4dKhmrXIsyrPYTpvGfxCDGDnvW0wt0m1S2YunGha7/ZZC4AasEVlDx3vpq6m gvVr/C2EZ5paJG9XsjTYivl+u9LwTqR6XynXXC6yqS5jKfJJ6VI+qOjSWDDWcemAPRzMNIDMRlUR CcgSoPpAbg1eaR5iYkMU9rcFZYWIZtFkqVPiw/H12pzpmQkpV/dKd2UACxvxWgV6ysXB831iPPIS 0V9P9VLLlxOeTVwn2NdvwZGARdf9yHAghp2vxmnm7n96B1EtQO7v8Lu6eUwmxtPfq5qwKVeEVozb zCdTRPo/vzfSC8l6ZfBXxwyoIw8tBUVgR03W311Ct16hnCirgMeW0TKiV/pk4MmEO03bTbwLfoOT DalBZsw1aaIMRLatzXx5CrZ5obSE5GAeKs6qaL4j/tdxySiCRT3w6mFGgEZS3jBrJLcIeTAq0v1l pONHHqujUO+spqoB3e9gqd8VU/VGP5ZPvE4hXhQP/q7MRUqC1BkjCnL9lrAoYoLbK+oVO7ndKz5F kbrfdRj8tvsgzCWBG/OlvvFJIXomifDfMVc8UdiflhskcBmMehKYYK7CYVO835BXxCAmR1+JFnMF ZCuSloBHYGbMmZUZ2KWnDDHZ+omEUeVbxzdbdfeJJcEhNvtfl3EABL2WYJDOlSItTfeSwKD2XYl9 Y481WVlLQ6X5nkgZsT3zbR3kyoAaXOfoq9elorpVXEaL2JizrjlBfYIj7aSVD8la61ejNo4m05kb fxdSiTYOxOWKpg0atnC1ISnXAFiEha+kHK3iGIy6mBg1DhcWmxvRSGYNDz0rMw8a3ZBLcwWNUUKx 79YH00RDwZDzVhz72/oZr8G1d0E1qE5iQMo5b6fOZyOea/smQv8MWAHrzpzxeUiZu8vt/c44W2ct c1BmVwXvhGtYV0Y4zetuGp8spyMPt4beb/P8qVoMqbslQ42LvU4cx1pPQ/4XpV/J2uHVjM8IMbkG c9Sv/5wG+JBaVYxY5qUKHMokm3uV6k9Y9IdMjDsL9e0r3n7Mx/falWrAymSq+vvOywh1NuQzyf/J pBH/0Q+tnxzOtortzm4WPZiODMNECHzEoEXyBVuBMmwxuo5xEcE1fz7uyTy5Wyqz8Td+HThgI4Vi 0ut/i5qKbTuWP5Qmpo/pAe8D8Tfn6AGQeZipHB5sQq7rKiPlrmiPH+2f/7cRfbTFDAUVT00Yc62p sZ/I1wbNhDPo3FHNPtUr228TjDUAJJC90EzxeKmANRu+hXZPiEHIvM0gm+jKUUIn2g8YXKJf1j5l sKexzBadLZP0aBIWVazceh1KuGtZ53oU/k+3TrH2V0H/KPlLmv4DZqog4ZIC3Ydm3zi865GosarF TBvkJlzktazCVjnNit4J3mhTnKoKAxl3pHKiTjxLiQ/AJ4qVCXJ/Qk4T4o9h+X+SBICkOmKxAhhN rINCgZo6GE3SpzURs93t+J1AaS8Wg7uMCVGwC+HAyN3hBqzwUiaAosI5e25dF0W0u2EWbIii+l+U wUzgauW9Qq6ebfRZqh2yULr7ofPiq1w/uRL40sAe4HeIkqetmROIlFr36e3yrRfevv98nZo2Y/kb vvQlM+NVg9hN43GQmrmZ8QtXJ7C1x7tOgx7BqSuOA7lG+GkBHS7rwm7VQE7NvpoHGtgDPy5MGCOg 0liRvisCPK/ZyCKzEqFV5+AZ1zNrl0Ld1kRmRYpPV6ZRtaYLZXg7FAWxC2wTItUMsq4Z3oLnNKch vqsDoKTAlo8h9VzfYuvogmRa4TIeuq7jhQhzIhzIYAkmWxb0W9WkbigR8IaoQB7mphu4a7fc3Q3U PsUHiXBTM5dmQXE3CxHUu5AbbCQQ+WKBXbnAf0BOLvoAXKAzhZXfFwxFr9wXAOi4eHdySoDbxj0Q uOfYy1JvZ48f919W606+2utugO+rItrNGMfqrrNmLcW9qDB/tWyBFbqN1khTJIudQxLVTOUjgXiI DsZOg+oouxehyz5LSBjoMxPq7I1zXEmoQOrn35SFJXvc2M5ToTuKuNdcm46dK/2Aqbkab46XvmHO AfA/1Buu/KS514HKrxqsmf2YOpR9BbT6TtqCR2F1+8jM+JFdi5WYnhPMaGQL4yo7frOrrxuM7f0Z kWPXRWK3fWcePOQ5qAQGYZ6q8W++khR4JhwOkKqjbUfEdbTiYRvlWLLr8qFayoAB7KrO24eMeVIB zNFjEkpPiO1IgCXZ9PB/z3kDcknCHaxlRLFB+UWE/U4wQc8T+Db7S/W1mg3q5fQ3y7q7zVEmjWWF TYj7Or1Um2LWynWvr2H2SVS5NXaXnpwuMJ95ihKje5puV6RiTjj8HsVfwqK3zdodUY7uNM/RHSjF 3DHDKe012ExRqPK87HfG+D9A3Jj2MoeMqe7BdPWI09y078kNSjRukdLgCxawH3UIFSjBZ4QIWXfK rj8j9TyXVNeAY6o92ErJV0EwRnlDmzUHixpD8uKMTyjsOsR4ka88m7sM4D+ok77CSclvPheCOZd2 LMTAvavIcpucEGExxBkSYIv8Oa4iL4hUiV5vTrQCRnyhGCe9YGTla2zPffCAWehGknwOOXvdwJQw OZtwoDGpljCqvdEAtupGGDNuQFdC9S30U2vFsi1VNwgCjhx3Ov8kKisTToHkWlsTfQ0QuJMv4wc1 HIuHNI4zncq1Hl/QfSKYpz9xeuXQB50e0NVFWhA5tw4FUNp9CIpE5H5UBURaWj93Yd2hIXSYtp5j PCYtL+EU9mEaFjArczjxNWjChe0Qr5qSLDmTmtbM07TVN87jubhBjzFhYkgZPPCSgDyeOrO3BZ1W uGQjyE28PKm7CHMip20rV6HUGmp2ZyyrLAZqzDIPqpSSMG/8pCiN6BO0BcAm6QI/qb1lQHwy242M GS+XmAISURNZbKOhImjTjkgnpzlUlyL6oF1PClmmf4kRFd9JcUIXf6F61z/fQDlLbOgpoK0/bjkK bf+5CVYnf4aaV+7CICO1FxCpRbMXKMbi44VBR76NW9fPAbLfHbl9ChW/cGLhUEEODEu+ncd8Nti6 60C0141RWSfS8yaS7bppnEvu3v7X2/D8btE5Bqr8MvDj8qnALp212uubR9wq497TqQdjxyHA9cQ6 oUSCU6X4a87J+p4a2outGjPhOSda1kgTip7eP60l+Vq6O70cyjO0mO5P2K7KUTMRoyKodBZLBHRD o16XwOoLWP+BuUu25TbP/igSajYZvpQ7teKh3DgYp4H2yl29zhVdUosdTLKuytQy0N1mGhvdZ2FR v3RMY8H/YO7EJWOaqx4fFsASpxeXxoPyA3RKzq0v6Jj/Zoey2VgMw1Jh/XanIxCkizOT4k/l5jo7 jYbA9edGLKkw4SFV1CYvpim3FRbGJPR8WwKjmgbmurgkhowtyAXXEe8c3+U/y7/VoalYhSI7qFxP O4jqbxlD+rscP0rH0pEq4lUAD00FBNpRH8esJRfMoR0e72vpAZkgpundg9FZWxgNDBoNKz0Vh3+D 4BuKhcRlYHKbYxo75KfufCA8ZZmoYRAYE7L4io4+XimkiOuCciyPL1RETK1bZ+yyxBg4nM+xxS6e pYUw9buNSWRX0vEMkt1kgpcVAle60M27cHyfgJ+Duly2/ZVkNNLFMD/+MyCqlBMRHCC0SNsOfiBp OKIbaRCEmRwhFih8n0es9kMOQHqM7PYeoqMXScY6PWrw+R9yMbMXbRFnA+FmW4qw42Hs3bHNrv8e kIGPHBjZQBywabxRS/LAhCx7dRA5N9Q0AJVUzzsCcWBgjnY+mi71q3WbCLNnLzWQzXQIl9zKgnNG 8luFujTKZHerx+Np1Z9VJWXeXJxo1zeAFzX4lSHuPrUSrtNT0c2/thEw0rWMu+MMjO2wSVl9nM+W ONY2/PWmrKHy5V+M5862WMr4rYJvW1D431KEs1TsbAmYNZr4K+VUhq/vWaW505tJrv4U8LYhBXPX lRtUTIAruIsufFsQuty5MAhQfXmlmlkMk/491lPt9S2FEAg2f+SCiMKc3nxpj7qgjPa7UveiWmeT Uzo4xjyUXsDYxK4bZPnvvTleYCw4t2MvIcgNrD8RD47E6VUkmQRu+L23iNdyyCyBOauOg/jS/uLc Sj/ApuEpdGb6Yxq1VaTBzuPqEIdSgtkrdqNyaZIxmZCVndk+QaTftqPmYIf4iAweSPiHih+ywQmK nYyy6Yyq3wObRonQchC3mW+JstUYbhOSsGeP1kFKwFT6CFyCqQjWetQux+NKVk65UJuhzDoO82ZW 8BJsIeBm2zaqPHd+oMVIvakuP93MdxAgbrQENMse/kktfAaIdaLNd6pBM3DaReUR0D0V8Av/5U6Z fg7dzSOj8pKYjPg6X3BZpkkuZYt6H+QQadeeZbKoP/E7yo7e0k4jUhBFvHCNJIABMoh9pQfh6u8J 7csxARywo9YNFe+Z32pwiXqcwm22Eu1OTXXX/Nmg4bZ8WaDwP4PFbAdg64EMT6HfXoM0K9Z6d7lb P2P2cKIRGjJZU5YFWzxprgGyZ5m34KRP8DQYPYpjVU5S3I9E0exhSTyh9ba/QxfhDtaqesuqZgbV B/R/mL2bqV7dGXhoXGUzjkgQscH1dBkYHNRsA7zjVO5fVCI5/LwVZ85MrjtnVFTOKWfbWRWAHMH4 DBTI3KcPbHlSBpV2LBu22k1Wo7Q5RjYI5VhaYWQa2+B+itAbJJ1OBqolgRyLtHdZ/Hiig0YUQbvi lbGAKRQoo9oOcMRi2ehBSwpN9l8GduQb3j7AFL9jwNsPRG6ycPM0nmgu9S26RYAGWx0heJ3bj2UQ vmNFUs4GMFFoqTM9AhdqtkVeNQClbjQDeWqPqDjh46gpZK1YYV9cZBHAjoqONkmKSwrxvsCcG63a 2gqV0vTNpCE1yjxOwhI1n6woViqDWB95wd8O8rFuZu1KTo58te8GSTm2CNu/w4821jI+oCs2vCMK Ur1Kq89dz5z0Rrex3nK6avANq2Jd/cBzCsl+MuZ7I5kQM0cvxIghDrj1gxSe7mCz+JgZLHHCKfXX haG3jG/Ybn+k+jQDB5ENnoNMn8V0PsmV1PWO15jZE4ORJRSOfLGJkygVAfbsU83Wsp/HvKnpcZp2 8IQLwcQ5v/fiywUPZL/0QmRlU0L8+R0i2ZjWq2GVTStzJcF8iX6KKSjCXMJp9tjMLQBh0NykEv0u F0ZjVrRHOAQPwr55poi0o/Pab+aCHrqm6vLYITuGoeWo2P+4d6qgy7p7V1SxRuscFEvtG2yxp6XY dHz3O4OZCv6WNfPKjopyqkk2laZQxA+dGYLmqYsnRs5pvfCPdGFl9/khS+NNUf/jyyUlnDKo2P17 AIWLZdW0MAa9dpUOIvdTNg3USO7xco2gDhzRoM1Y2NCgmNCP5LOu9yBui5TIK+5giMsyZQZGR3cZ SUngx6PIYA5gGyLxjfJXZnqLAaGPX4E3IZJLtAagtQFGE4nQ7MP2A4MecAMSEAbbyzPCBPFLy4Py 4lhMtplpf7PbEHLOnpZusgAcqe4Ib6Io+XpbwXajCkb0oFa/cOAFqFZtzUQmLgxtuONWlQgPnmlL h6rmEgTcWaOyc+spqGUmQqMi7wd2bYq7/B7vSgNKnW75Dfw22bbJVafVHMNQ+ZVTlySldnsP0WIf Zdlh7m8nPK5x2zQuFarvqOpD/4ofMZn7PUN223LZcG1aNOg/HNM3njX2KmrBXlE/l9hBXWnEko7b pPKdHq16YnKgIH81qd2cfYxFdgtesmDSgRWRFJkWzOu6QCTYyU9zXrTF4GEPcMFIGOBeIbL6wUVv 8Wt1vB3j9DNuqFXRev+hCQSu65oFy297xuLtbt531i36fsMVkxv8sI6XpoiEnAxg+OhgqGOQ11Tv ZkGQgmilmCIcEmXgFFTSPRL6+MZMkbXfhw1SX8JTuNliT0eqVoMu+XJZO5KZVv40B4bZ/OJ2QM55 ZQkQb/1MyCRlyCy1thg66A2yjxCbIcHgvx8j8V5a1oS2T3+zzJrSPnAKKS74rA2Getgrw34rjpT7 YBelibSj7LbGV7GDHdsCtR/HKDlvJn4ITFRhzLK6dUiYPqG1b1VyEfOZbVv9gwuaDDLots+a3WXo QjLt8HMlqd42SFr7goyy0jsbt3me32Fpdl+pcJ66uNXlZ2j1r/7xfCIlIvH8cn/KVUSZ5jBolq2B zyOpdKLFlQQi7VxqWiwmkBtaPB3EwYV//MANLDwloBp23A2Xr2L2us8bXCi8QKprxDGEP2Xc2prj wa3woJgS5ugCfaz5ZBVZE9nedukITlSEVfrv+DDVaUXqej2B3GKmFgMSjcoNpvL/F8d39B/UKJIC AD3Cp5q2ITwfSFVTqVevHAWPr03poQcaJVGuEM0ozM/Gz4KWYBIAYfumtmPew4ho5scIVs4f6z+U YA3CMXX2HvwJbnTFXLCBVC+JHATuQ5U3i11YnuK7aznyLYW/1ZUo1fuqZlAcgCDn10TJSOfRsPlP jYk1mcoEV42d9NQ4MkV84UrmoEn3QeTMYFB350q4sorlIbOuBJ18+gimMDI5f1JcIjEBW5qulcCx rjKs+34NoXJZuWp0X7INjDV5hyZ/qDv4i0n1zsB/K/Ghr7ohkDwDt0dzn4a6bEWWqUApTlg0xgfG /YSwlOtUvYaRHg4UJXLv0NkWIZw6i8D9DIf1uLa46oD1n3O66Y7LLlLeIVlA28VNJ49scWzLgw0X BEetoYfl+W/1F9gTYCGQJ+ZVUYCb9/GYlMXURBuZG2Fa6hjXsDf7bwRO4ooO00/fzrfbXU4HSHFH hN2ns1Fs0KAPNdD5xV9Lu3RMDR4huxsYnQKtyESYLqdVKL7t+rla3I8y3veS4TS0HMCrIUz0YYEQ NkL0s8N2neL7PlYn5hQB3dx+Bemsrz5bmJ7I/mBpR6S53qdiMjyGG6apKPxrqF/SEDE4VZMQ8vTS ihsQGrc9J0R2zyU53re8ywjMjDSD0lwRsw1qXsRLZSKoVeJtRcxVS06DZbbcSatO1eNagLCIZb/a LZQb1DruBMUpnHyhtb98Z7Kfi85Tc0LnEpz2HMze2xXu0XmCai/lO+n+DJZ+XgtJ2lIMqO3mZ6qU NMNumdzQYw2kDxwA1iJGDQwA234iyxyKLxtxUsQ534xfv1dl8eifLqnSvPPq5LVZlnLPNtzhwNxU yS5hCndXfigCgH5YxW26lnSdga44g/YzTmZLUdtKLUHZMmf96IYd+EwullEgZ5MjOch0MJ9DccGr 0+kZrTFfN0NuIVgG5EXxcPHsR05e85FsNUd0e2yGxlayXgqqYJVD9wg7SIt9hRcy/hsdzTYiAW1F nShAY7HTcUko2tF5jFPQCvGXf9co59OAH3oF94Q8CdkjnM/4e0Wv4J3TWg0+HDz0yp26xxEVWF50 qAX0BP9sm/K8GmlZlxs6uvWSVxaUYOwgeNqGZqAIAJsulA1zM4Ku11eVnkQOIS1tLhBd9cMGP6wc pSDuvxUMBypZ6r+HikNtV34G571GXOd7s+q0FwRDdvjQ4tQb+xQPw8gzfxAmQ1jTijVEdVe08Awq faqeLvsLYwmp6JoWMfYGk6wWR85u6vP9PasS4kb9x+p0jBXY9c2Fwt4v6nMJa2La2/FGUJLxQptd Lr/n31IiYNLlQlaRyo6bONNzRKqD6Lu/xqsaFVa+LsDWCVS7TmMgkk+qI9SyC3oYhV0zb7Cmcjnh fI80WNmYSKg5EQbhmqjz1s0D1NuezjU8WoNnlYaGAO4okLYXOR9wNiTIpMujogg1UgcJ1WIjwcZW rWiAiowqwBnx4XrL55mA527vhLjwamrva9INJPWFDnO5aA1C93e/8+cqu5D5HhttFj2AUETZETYt t6i8Cu7rDu5MybO8TqwQ4wnUIvaVuzItvXcF+i2R9a4G+caV59e4h+sNhlyDcIAukSD41C7sJNyp KK1HJ2//NJmqrh5b+LDiVudhEb8wb0QwQdxBfGHJxqbfGSwWWbusdQLe/CPgsTIoyWnqHoxQ6guR imOeD42zEo3wEpvizE01BL91VX3ZKh7MwEZuLUmeKbe5nqmdAhDq9k9zy/L9a87HZ4txzAXvSngX m2Qz1lz29BakCM24zy47x/ZWcH3gGE/Onw/V7wKw1UunwFNtEiEH3cQAK/AE0xNj9wv6qUMSWrNt 3HMx7Vl+cS79nbCVjY1WufQTJF2BZ5JIMvEX8SAYJLZebNwdUTTT7H8d0K8ofaWtheuMcVicGOnr b1/VWqHWKs7K3pONoGNzbOYQ2wsYZ4YejQV3c2fxFgYPB2YusgOOv/ZM0oSbRB//1HH8914LCCpn CEV42kJyuRF/YEpO+a715V+HDnLYLwdxrti7eQk0SCG6Bxtdp5KqTuJuPomI6Qewy8zoJhrAnkSW Hawl5TjsPy/mBjcJbO5Tu8q5PMn+0cbQTVKdVqcj+smpxCANqPMVerNqsATKF/RmdzXHzWlFKgd+ F0/5jFlMvevAlBKMKLygMD/IS4GWbB2C/2BaFLfmO50OOffdRLNaWsFSk5uSzLOBDKAZ5aooDzTG 1D8Xtegmkhv/GiLH88RCgfNtSX8GypoqGH8qY47Ti8IL/GyHxyo+H7sRjSWFx2x2L4hETo9MtNFi htJaT2x/Z6b7CKOXYKi0pWOO+IzQquc+hTh0pRnkLzvc6XTyWRUET353gqoUz1jiTgjY0mOPgaRo 6LmySbpRCCs2zwyGqxV/YbTut1VAeF/zjMEXNud1qVfaesDVeh39dgtEc2W52HLG8tFjdfipL4yg kUMdBCVfSlCOvM6G3J6bU3ALQYhJoIHtw3tBDCkmiQFGS0a2aAtnt89evYJEO3+2LneLfGzvkx/u eLBkLVpyjP1IzcJq5GcTNw+liHKngAvL1fYHLZKb1NJVBOHCbQqQ38sLnt74IjQB1I3fC3oSM++o Exzpb2ByQEQkjpFezb3+560zQ2NTmTU9ZSDlz3eVDzCPCfzgFrN9qNDD7Xhq9CNsgVQwOQOGIMSR IGKDKLj7WiHjbPFe1p42b8I9b44k8ysMVPh3VmhUDPrIbLl63kM1FJi2aGWWAhBZOr/arrInRNHY AtX6dzEI2OpSDhocr9qY9HWkRAnIlW6DskvzhlQmEUVq0thCAV2W2bCxNwkVBNGHtVkSC/Quyy4f iV/xk2NHbn+i5bbYYrkYhMLNq0rNIDTBXsqaERsPyJKAvVjOBJRTOgJYSIiogmRSG/3iPxwW/jZn 1AEFGCNJSrPxri4MAcy9b+Fe37Y0i7N9EWj1rBRF07S+g2UVGm4e1ywOBwpphk7wW+KXDQm6fXZb kAdLkkSxJFau9dYKdoYnu1VGKp/Ggaxn8I050qJchwP+EL6DgeU77OpgWn58ei1NVhA5T9Yrrbhb pi6tYAqxrPuiDSUwrTBABFWtXsR0ns862bZd1db0A5qGjuVZX2FNOOIk7ECz1U3LQ80PDM69jj5e iFvI1/H4Zglj/307zWsQQDGDL75soov1IfHqxqO9a336K1weOBmxW7JNcm2JeS5rBgAKLh+uXvlZ +QZdWuJ25XmuzuLr8/1sZyOVbBWLGqcTLGvyQlyyPFng2PeKnVo4ycpfTlumEoeV+p7mj1KgYRv6 F10ye17tR3UwfYCnq73wP1rOFrUtcdoCnSX8SToiI8r8qCJJZji/wZnYIz4VUT9Nd6HaMcJXkRfx +t5Se2ON917v7EtgdWm7/trLWLQRFJvAvva3Ty7fxb/R8oUT5278HnyxWUMx1/L4PrfGV4QqxQD8 C3dw7uB/2M/ltt55DvS2d63sr3kRBuzd/zB7p04CaagebdMVaVsmgb1uI4T91Jb6SgUY1ICWNM+N Fjn9wcTvFrDdvAChNbA+ScsPfY9hI3hRf1QsGAGy4jAC2CGI1w0aRvAgWzP1ZzGiphIZLefD+bVF NGP1AeOL3jYksEO/16nXCZ4xesqAEcj/dn9ZEH3acaqxjXAN7NPjxKxzW8djhyXz4DBHtrYOxp/4 lT0eYE7uaXolUxafEyJcd6bnZhNLjO+RNQDgUjesqYhL/yPHNzBHdAPH79z0JDvkXf0e3CUv1KYt XpkoN1tZfb+5niIxWhB+hzmUaEkgK4BBBmfyoM1QPAZiraeqRv2Kfjj4iybww/RvYPpx6w1D+zZ5 tZsJ/e9/BA4ES4a2QAFMEVEi8XiuPVIOi51IFOQK8+RPAqmy4zSFxUrwQQ4VnPOZexCRWxQbXfrH KeLdnvy2/8pQV0qoAP0icNqgG5mkEAgJJBlhRvtsSCJy1Ns/48te0Cs3sI+5+ysiba2m5OOj8bGm r4MJvB8XUAawH93+XDU2ao0Eee8MSZGX+ktHNr6lbSzJhMUoEFAbXbUE83dXW2DAJv2m5aY+/nmN MGs0rQDL2v+2bKZ9dqf+2hkthC/yNDFthxtBh/3LORj8/PqKq0JhQ7R+MdIWPJnntDwX2e+CNH+j nCCWyxgn/VxOs7vhmxRj6qJ6mhIOn7oGppiNkLd5H2BkAOARFQZ3AYtfcPZnIVB3QyLAiK5NxQTx HbfIFLmXcv1UVZOpupttQOIb4JMQ3Q5NK2cptz2Df/nXVLlB8iQnHUTtBzGfBFEVdNe6ovDkRahA B1ZESJ38TM2TT/dQSR/bZcI0Cjzbqn1IM+UJQWLzpjr22y8DotMhdzFIMnsLnrfEKUj1AqLIKpdG CnnJpUlc/39tF7baUqDZhLIbbkPf+PMxi3qqW+1O9pf0pxWSL+jCtPN3fpYQpDbCBcKgsB1S7wjR VNFfWMdGIDVOUyPBCok9MHNWrtRbnv+Azr3W9bWaiSFohfr7i3dbekKvcRhoj3g8XUJ6Kyow3jMt +xRCBmD1vvDPlFt0HAeUiHAsfGB6EbS9/3dXO63DizwikiKL53XvZvgTaC2CatEA0fbNEVS1auQv FO82wKJ0k0NhxauLd3sCO0/Kr2bBPEtaeP0TZiGkzdacMVuH/2kt3SrMmlMFAtBCAVDD+ZgRlFTQ zFy74OrEIYnZeKIdbIQyjI5wS65FYowlxf5byQ/BqYIRFyigyXOHijgcZIMDY6bOkOc6o5QzlL64 y4gkKWP2zm3Mphd6z3aLNJOw6o5ST0RX/IAVV9+c8KCRBnVND/mE4gGHnMiMYTp5m+ZkYsfToLiw ZdZFJdTi8QfobcJubbL4+eaGvUs10gLSX9+xHLn/pgE5hxnVAxTDLurmwnnKfib6/7uQIAaVlcFE rH7RYHqB7ABBHPUR/9e47TxcupIr5qonY1Os8vKX7lEqxDQ1wa/GBXcoMx6XeLBVgWq9QO5hlJo+ oKAItaKZZSHXIrUgUjJwk6wp0kOTfJ9s/UBJZDBl/vUnKuORp1i0KY1UBQigCZId97zynOAFMn3F T/p2uSUtxedSzeGI3zi3xJ5hEWRASQ157HXFoWI56IYQg5V/ghBSsen5TcoCs7F76ex4mBqqyUIR zwhQtLqGb/eTbYluvP3hFI7dlGMXW9qPambi/Zlf/MD/xHUXAdowvQm39RGcrO3NOORIEFSKZQrI EuNhC95APp6cDFt/GqEbVxgJAAJ0QwC+UJMnIO/F+WgERPjNtOu1w/sV/5RleLtNFYOGM/32R979 laZ/oCTV1TGtKENFDfMhL2Mo66kDUv4W5H4nKWRrcJ0OmsLflkHbzVRC4yMGzWNWQTKbJEosrYe0 cvpGZr4S34JJi+GRwxrKk/2J8XYZvd7L/ljgowhpyaevYLAXLIZXHuWgmaZcKfyK7yuPuTP0enXv f76D9vRQIA/sErzih5/L4M1FKSWtv4yIwasPphfukl91J1RlyNMWkINtIdsiKxCsy1oUo2Kg7fKg eObtCVkwesaLl9hYqjwF5w9ij6tMgLh3/OKQwZ1onbYac/L0qOk+Ji6lDu+kGD+/ADdZqz9bCCz4 afNrI57Nq7RHadX7NnlitQYpksx/4cAeUUjBbKcySavXU2hh4cIa2qLhbamZfbqhY9wSnOU7WU9v qGYZ6XPjyE3LHB/XnOnUqEm6l0I5GnaFf8VMeeLOD+DyhjNF/o1DcfEFyBmgizCXFHQ6uPY2C+Wr oQfUWIv0lnLmxCbrNp0jNaCgQupnKl+qwFF6dOPSdwFUr1NIxbHe7ma8hin1NsLs1e5z9JbMmvtv aGdMtszwY0gn6c8z2/aoxql74AcpuQQOetkh2wztT8K14i9Mzry0PRADffI6dyhcvKaVsCLi7Yv7 QUtrGIWIkqni0NX7lCyVQ1UpAY/xSNInrLQi7VjR7YVp42s5AlDXXK20wCwyIbRumdnAjw0nm0Wy Hkdx1WXDyTReDn01JsYH+iBtXLqiTnpeRiI6wq6o7vkaGSpqBw2Ltl99JEoNNIa1ouxu0sspOQyr LnnI7fe2KwWMPMtcbh8mzk4rZzCkYgQ4swmNi75OdgS73hLD2izJopYGYgw07O+dyt8jXBCNdcLo ZD6mVb8rqdanC0mzMPVbiiloP+I0D7OF12trrUNVVe29Tgkl+LhrnM5jP50v1O0QsEVv0oA+GpLl 7Q76OwpO8Au0pJOL6wWx28Y6/tfjcEkfmpdvIfD4MgLGPfjkvu+7UJ2nHjJG+syFIbt5HGLTLcGH yP7g2Lx0wB4VlbFj6jT8Z0Nr49l1ppewcNQ5p0w+IAF2jtwhITrI+GJ1JgFpGg3qE2h48dKkyros bzBhT8NDbF5r1b9RBPPRzwmhpu03IePOazN3m1bwBS2wJz3ZQzAtlrSfp4cL2hvlJ8GZRhglIPvf KZiLpbKmjj2TCmoCsL3NsNChdwFA8XW6rbDrutbdYVNazYaS+GujYkg9szRsWtAaFt7Io5tyku7n rB5/68INet1D2xqgQsmTCN5mWWgeGPdb8WsBEi1qy5aSf4YhNGZTvso2zwmKIeTNa3HYsOurgmaK jajx+dpMn+YmeCPeArO8JKAgy9AVijNtJ2WqeFTE8gJGYu6Ddr2TIIiMKMPC7y8O63Ga6Sz/bZ5W s9gb7POOEpRtSsiaKMfkEsA8a/okpJ6KZVmHWbq9z3dLVfEZGwhHHAiEDM+cGJzPW/tCYBSM5lPw UeQC/z0qiKG/MPuAH8AjOOQ0h7kNDlpbWudY5SEi6CjEEXQALyb15Jf1agjh3m1QQTwcJv/4ejTr VmTJe2k11+zWqD0UzLJGRdM1OL1BGsU4Resspx6KEIjZZ4HglK+Bb+fYHOeMbeRkxtBb2k8RLqsQ AsGdL+AC7ufw/9A8+0PThWBSOr5S+OGBHu4hY84Ql5FWLhUOuJq1R0Oj2ttU0mrKYDCGiOAV7/CU tpWz+K+7z46Y9FJbG2zTx3KvlxzxTVb3iR4CRZovub7rVqag42/yN3FdIvQrkqZrzhnsmJJ8jjXi ocLDX/x9l4exNXnJvGO+sWlosc2A82D1BsfWSOz7MNuh5hGVMxUYoM9x3NSuYyBqZfPcYQaSzLMi 9tP0EcZKacZOIuS2NHexBugLCZ0OAu7dq78lsrxF39S6uJKt14CBpu7Cp/8uCGHy44aSVOSIunxe hq0zp5TfZfp+eIsbnBxX/vMuTriqt1RGtxyRAjq0aJCBTGVm3/5ArfaDt0GvKHAOQ3GfwSyxA1n7 TKf9+ls0vZ6L2AjGJS6IyMuCpUSQAN1fGQx46HnonagQpVnMINRkiUhwUlsmrSmJ6peSLRWVOCUn QDa6by/df6vhyBrC5YTg+kFGZOPdrHPgXh3cF4gFDxt6OvciRfpD1TO9TgKzkErhTgSXat2mnD58 ZlJoRwEluiGmxk04ZHs3iSJPa9WK1sDxPMLCswaDLBBR/kZ3y2Hd2hxNG0uPAygTWIKedocCkSFH QRm5OnHrGIQXo/xI87dguqNYC5GoeRLjBO9n9hVP8GwS6i8M+DOocGFdZHKDbRl0LFczXLCzwklg jCpTK/CcoKRKk7WQESntzeDoHqzDj6TdFHd7aX6+OrbcZPn6OgiwlA2xfdBzWUXivdEn2Wn/Hyf8 TnXfRbos34hwodYNaSKi14jyuOMKlUJWQxVT886sqZiUqNzqOUNKd5fsE02oS4WMju+HOne6VKKA 8NxJctOqAaTJRAytihZhKsCFvzI2n7eg8UqtdZHlTUZ4AX+XhokfAb51i0H+v/uxuGkFhUouJj+p 2JVIpp+jSAlEsigDpY8fAWgalqdJLFNjAzo52SGvKWfhspRhccAIX0NeuGGP6G+OEAu1siikz/n9 LHOOmeCY9wHS7WEvvCkME78CxBH4fn9T08DJm0CvtZLCd5XYwMAB3htT/U/LvDY2dROds/HKWHRo Qwe65ynUWEov0FYHN+yttuqT2etDudHmmcw75TuqDFV43CSKUCG+/k4+4LuANjYkg6DN4PAwqkgA g/qjQR8ToSbZDDwY0CHborvbJS9LNHhDttY3OImXNGHYG2rs9uSFsPsk1NOWCT1w4Gz0nf2Fed43 AsYJTr19lWnccVttMdJp86Fefu6VZXSpdhD6kDdbWAVJ89TXDhV9DBhx4mcDo6uV36IDunALgz5D Qqilj1n2Z4imZV3lRiDE4nP43CTVGKWGX0rLCUr4x/BHddinrNg0inLyzVG6iL+vYfuaXTqY+Mw7 lnVWjz9AtmU1jxMONlW3mpY1/6eFhWFVdPPNlS30U+j6fqMVx8gfLbXaIv5AsEXxt1+pBYq8JwXW RyeO9yYDcXm7A7o2jzEu1GjH8kHty8b5wkKHRZjYDvdH9/iHM6Mw1Ycx/c+oJVop9n3khVnPklu4 QYOEmJqzH99tMub75hYofFwrlPCPgtWOsDDfxBuQB+spvzt+7HjUiQ6+jVIYmfjDPomsfp8qSIAi 0ZxrAzmxLV25c22w/dfxFQPySt1xnNzzFEHMnX3LU9gkSTJkujybI5AJYS/saTmrCYyxqIbqzU5K Wlms1UAAnFIpm0ViVXdtkFJtfFFp2fiHkECw5wYOBzFBkx9Dgo3ZzN9LP9yezU0ff30t7b72PTnI jvVUlzmDuTshdT9GPhTLYP7UZrA8na+j3ENk30EOcvNb/XwovBCptFq9YdWDwv8AyqPTbK4EsMea jAWRroP2XXhKcE2baI3WlREfoVDuo/20wS4DcqPFPx6meKZqhi3BzZ2g+DLlS0Z1K6/bTFmt/vyB CWIly0kWv3sxlDjpVed2NiZj9LvX1e1DS8v3/UhdEWmEZFCGSh+z6iXcM19+vSrVu/yxiR6FlgpD SIkEsV4jc4j8C+xbsBwwt9jS/fjqjX1PhnvBPW3inaBX4ACsyM/7RntIHwsIyNSmMc+SK/Dz5nMo PnR5VfxBssz7fcw/LPFiyRX06+YCN3MloyHcjCCvRI60ZS9riErKY2iIz92fO6cOznxZXa4FbmVi DXxqQhoKrf2kh6UqbbUdB8tmF1NfbcyXZX9sfNcHGBw+/Faeo9FyknbhzMJjFGaOIK3bOT7YGyfq dV5C79cKnWUXvirl/NglL2H+E/PFbzSY8KM+0BqwLaszpggvSkJax73Dhc7jaLYfU0iMUJUgEqqf wpi+4LrUaA+oHgATpamGXuoVO3kRGw3fgN4mSyvoTuudxR8mGme06xCQCypS0YxHmXxMceKzc/Lk fYK10FZOSPJqLdEgvbpPfB2tV6KFgy1d8GBIlvTS2CFjxiFBCcpy9Gddx2SD8YORvfAuaNQA5luu CxTtcuooQGlilf8SQcdWG/K0YnVN8CBHKe90TzMsDF41UIP0u+HhuB1G+smKEYi5cKFbDabXRrUH w705kqTtB0VDysQl3bSoKR6UXEcSo4A2IGAilqKUlGzzMoHk/rXkb19GgIx+ooFM/T2GuhRbOty8 Usj47DWvP5ldkCvmPQKb0PdXZEcTVurS3oo3lEeXBMabqpLSaZgj3sWTPTjnoY2VdeNwC4GH5FTd utjdnjl2YYTunmU2vSakGBnnBQrCtxPx16H717dcJHKTDqtK/DK0zisPhHAoYxFraWaT8V55me/Z SrnJqEs1sPq5D43/mbuUxWsU6j+G7ZEMuox5DxWOV4Rw6+tB/jEqFSABSHqt1mFrUagErJe19Q8d L76iO6ZRKVXbBrCQMqB6YXz0hbAKfwSdZ9KyXAuoj6I98uykPZFF/k5Bg8KSXEFhrzMGFIBzqCr/ 8rWsoOZHb/15TjkM+TJQx6sjLPIaVDlb7EscvU7XJqtb3Tc3jpYlEl8FylMjs5X16difAAeQCuNg uS5CmdabmQsvA5kqUlnH4Y15ACr+JdGvSONHeY58aHepoZ+xY4HKkWjV0TJqt32U7vG0KLBU+0ZZ cAUdM7ftDOQdJqMb7A+DMQMVDj4kIu9JzsyLb/HaFI/E9aHcqwuwyC0215VHuBS/3kj8XBi3dH92 1jQ0DVPwnS4QCD/02ZeRgia5rrLBAQuzE6izwMrKV9XvaxgRu4iZi9n9gDoErEeIIZMuzELtU6nm zv6MDFpD+wSTvV3acyiMduEJYbDi3D4O0Iq8Kpg8puGBxfy7FcXkw/DyNUJrDf95jdhCStKCllFD euFjwAkGQIE2VjALkojLYLkuCmDzY8N4b4NVTeq1iHKhD6f5dBO3VRsR6xDdQgQ2CbdZgUY5xXAD Dmv5fc250goVD6LGaGCmC7oORB0Gthgi7eLflhIR1V0KrnryqflCkUrPcIXGHDzmWGh9iEQxHWxn u+A76He5WVo1aAolLZKoXEkeRxkeJ82SmZqlpGG8/1HROLl+U1nAPQ1eXcPT0PQkefyHxUhg4150 P8pH9djPMK0Snhdca14mxg8yVaIhRWGA14ZT0yaAlnPhaXQszaWLF5oRlkIOCGV8FKIOKkYb8Gzp XawPJTXZPsX1zbQL5PasL4co+CAFGA8qicTXqycnaqehHqNuuHVSpi1BO0NwqRfuw0YGOpp3s2EE SyfN0D00TtJb1HUt11P3AShu01jj2eA7ZBo9JnCJuCxuhhrO0vGWsNmua/Vh8QbOz3LnNpj0LW5c kw+JAatn2ktu+i+l8DaWPEXeyDmXpNeHAvO9gxiNfCU5ZYdYCx6Cn2TWvTGV1qJEdek+Hh3OnIFR aR4Ie/xnMNG6BGh7EzIPLNEpxYN5gqGBjSyxzFif9D25gSfdwr5E7Nn//3000luKSqA8Yzq38szo 0YBP/Up7z9NdDbjqPLSQwOUvjh+q8hJ3XcTw/dJRZKmxxSbGHuTlu61auqMlox0AHA4o6vN+m4cQ Pdu0TnqS0H9JZelKeAJDRKPLt7kEIPj/9c1eRcC53umOT45zleDw4zXSk7RYhls3kK1/YWB5CbI4 kOqGFV0wGkOxgq24cdC71oZSrhTiXgYHS+ONtoPtAoDasf5Ab9V1URlSqUWxrdqKUxlJADlF/21I YfGH/mfLNWnk2MwF8bdM3/2vSUma/8Ym5OOErz/04kKJFteaW4v69NtIf31f6iyne3eLnqGCl7Hn lO2iHDwc3LEyq4YVVsDgxTogmit1ONBqBNI4QNMJyGBPLGY5uBPv2DeeSOGVtE9P09AK3YwK6/uP 5g9vO8z78ad0jUmVY8EIJ05LBZa/flqRbsiJtYeZ6zgHBw30nxSb5N7PM2hha2QCRUmytjOidbaI ZrMcndXaiM3TKJKApr0SWxQ60pbZR6bWNqq8o10QxwTwS5pCm1B6Db/vLU/1NH7bYyCmUko0uGxh CWE7caAS/B+dNQwnXXsG7CQF4YBTP/AX1pzKR/FIj0gfGVNH79tO52J1xD2ClyC1/7tABkeYYXUi ZbDf9vMabQAldDOZvN6DnZfYAG95xrLjhn7ryForYFdXfDRmUnnBKHfL8OQeFyWTeM8u/wZui+nJ blB4FXVBq63Q2ImEBjE1a8XnVTC5meoRwXTibxhX3aejsjuM1ZFSiT4bXNL+l5pu+FJrMB8xSIbF Vr6/haWriO3EVjdBZ/0Pr/czNXuHDLvrejX1u1589cH8iL9VAa46BmyOy0bbXzoabu8Eb5qxdV7W P0XQnLVJwejZneD0ZszWQggjHIEJHZKFBPieJ0jLI3Cr1vGV32H/hkiDEypyRHoa8IwUNkna4vku SomxH12i3coaRWHQ2lfeKOey3oLmcMDox6xM8upl441OdvB7FXkB6H3isAzZfF9YvEFZ60L4KC1T racfdqpsESUZqn+/pv7CvuBPDUEL0He0z+XFhJugwQ+SyAnpyThUpxqwwyisuZTUlg1wxFefzy/T gL9sXqzrE+6iy0M+Xqu96wFr0PTsurOQN/qq40oxJlvZRsF7Cv2l7LR5sPOZVv+ulJYuS8e5+UBt xhI+olq1JmnNnhYeSQ7dxTkYLHhb8TBsuYEau5Bc7oh+fJN3hKhn0peZCT7Da0iiOGz0be95mlLI pwH5FpwIxfSaHr8N6LVbOo0Z01NJd3TPj+GKeQZ9e0wqySUShiEtmhaueVQRORBKQS8VUMehiy0s 86ATQcuuLOeXAlNPmq2UXMU4r0QPCBw9PPuGKQ56B1YiUV0oT/PnRhiikkWKg15fq7krryS8cJKb 0rRGL1Kozq9bAHMvqN8RgnVasVfvnNix7qyZL7asP8DlrkuHu5O9dZaJLPKw2CSjflKVctnZ/2ex AfoerD3/eaJMlj+DLm0pgC1fAn0hHt/SwHZR3oi0BCcJ/9ku4KSfNGzRbYMfWQaWxDGYrhJ6Afjv Josx9ihlyLw3wBi1rvlNc6v2ESvyv+fkgrgfDA4gjlUfVVbN7dKTroLDC36JXjn8RzSCAidbMhRy b0ZyH3DA5mUwWgs664EE/GTwVL6WT3WsKuMuEVm8nMTcBqmZLOorgNJYIX9tS25okDB6xZaAhTpg uAZRzpl2Ni0LUA3VYSjZuloMqO8uWLQQ7baY1umsYi1jCx5gQwqe1RbIrTAyk2IxtyDc3ScbdpfR c9rd852lYYPLT/yV+OoPnxlnwFiQl664u8dT8+njj00LZ2+EL8N1/r+/JzgrajA8leVNU+rjxlhv e3eYdVVO2Fht8NRZhzvnQCySPQkmQRduTVzgy/tvMrKgWrbnArTNERdXB37pK08ruKMDA57F04+Q ouUqcKdC8uPWlaBxeEGB1RLV8bvgDmH3S4KjJUrlN7E3I04kan/h1Cx0RSOxn05L20dvfsmeJu13 Q/taaWjLrXrmtbp5yZvQKHyjPx9Ity/4kIUQO+5L0p6R1PbDOgB375oCW1dis4tXog4hQq1LCsWW mrCwkRWWxAmId8t/fpuBmROXYm4m5bCIx/n81xmQbSrWH4QnJhhKYGhGQixued813kD8MtjM4uLK 16Ad7LaizlbVekURL12858Tpg04sZgyx4pPFCA1ySkRkYahZVepi/nTtvAwmShIbRry4EA50ZBxB 6FHSSqnsGo71ptiQw8cIxCtcF93w4JR4DYXOnYVFYbRcIRJhZGfJCoiS3BYf7h6R8iA/FZLO/FZ3 x8PG7jmJqLdYDyeIovDh5TrgE1SLSuLR9PN2A5zewdct6nF4/Iu3/JKfjuurKSlkkzdgQSgvEz1d viYVOFt5KpmcS/NXzzfVgUfMWfH/8Bm0Ba3AUex8DcrYNuVH4QHnwJk/2HAqDgUvykG/qc/5tU0M eHtbTZ6/fF7+5o0+hjsbbUpZ97B33bsVZsfv8jbmQuTiBMqaGVlMy1ZnzWkld0yqA0kNvYYuoDO+ GS7Ayfz6kN/I7bvecmTI1pXsGvgU7S2SKgfmunqYxCv737DY0gN+qBFtIFTU/hpuTmiQZdhjCNPI QjDIzIvGlqMY/9oTWzEQW2bMIth9rJ4eSxNEmzBy4iyrknF9MC22gBj1HZ7cQQXzEnNqosWn7M6E q3rD2JZ2v9imoIqCJVv/6/0HlFSdO4P8J4GShZBYuhGuwcPramLbMPCdvTjWORNOgHz630zkEG3R sat2w3gZsPZeoNSiNfaYpkFWgqqT7gWpglJDFiG82n4ulaexhUIAPs5P5lCHU/JQY+sIXp47G+Pf 2AuIcgPTMk5tiVkkyuHNj8wXIoBRwzQoyWtu2nPF5IjzyeA9uB6w/7rfJ070HMKcIk/9RmzH0tn4 o3IDM0OzywLFAyoFd4pZlVnBJY4P8Fy9nCE8keXJjC0CkcsPQVkgGLGM/pLnOntQcoWRKftZ673e s9H0lzxa5B3pe8+lH9xmZHBDNN2gHnggp1kiCjrsI9l19hK+pFHMlJepmxTmzRK7fWOzm21CtiwC yUVSS7hi24jYcpxjrjAnxfCBf7w+5ZlcCdzxJ18DJ2OuXuAkXFV+Pb29J06DhAzLZg/NRQQEBCf8 7ZqztfXpetXgns7CfPmDWz7W2RexVPzMatg29+NolGjAvwfoyETZVR8QWDh/dpMVkVJFoTMDpDWa EdPzaGD7RflK/k+Ok3XvOC4wtSIsxxB3jSNVjBW4gWNA3jt/PMOuX95L/7wjqmwxbTv9+uZqJUa1 a6x0SflgDgbme+r+cOMakDW/Dm0KfKOqc/JwueNYrSNC+r1YCVBxp0hYjHpmTorkvsZUcDpXn7+t oKYxxMSm+jeLVBcBoib9tx919lEYBEbD06mCY8XO+wCAzwxhsgcU/Rnss52ULwS/3QskdNu1w3ek diWvLAgyRvX55Zvq+Okz51tXYd2sq/6NGlrWm0K5Vsj6+yZQpZnOzssi1OV8vHEoKtDdD7Zbvdea q5kBtpXk1dGD6mJq7ZqVkOVqhejjUdJ0bpW9B+vmnlfIHjEPzxnw5vpam4KqTH4gpJsrqspFSvVZ ggwrAVGEU2RWsFurlqYioddUFiaNEAG+Uoniym6OaTuCZ75Zk5ZLSkOzqYtGWQpzSV/a742s4oTt wuOHP3JU2E+Ww+Jqsvrm+PPvtRNEjMPBCvWHHNByXyPtVmnaOybjWKk9i94Wtlv93dUqm999P1hp IH+5jGxFu2FcS7njkEMS/rTqhlENiowAoQLbsqC//Kt9EOPW3hOpY+eSgzQ5MRJVNS3lkLAWXBZS j/TW8YTUoqt8YV0SPYN/Vx7JfrcHJmJInq3cCvtQsS7eW2fdF4opGj5F15Oko18t3GlOck1zRFk4 l9lmhDlUTLWtE7km8zzFpKTyFljUXAPoty6mrb1y+t2MrbDniJHyKtDOg1U8VgModW8uX1oVc26N bJk+gJSfl++exerkp6QOqgyENrt6Ud5XuXs1x5ZOqGbbZYuLUe35E/uEqQo93wF1yjAtWWVj48E2 amGfUchFJtO+Y+GVLUGH2YjhAqDlERsl98jvLKugArfT7/GpqhCtPp+KIUgSqFi1oUO3VSYsMt7k V+uhAtRu1TMNkya96RJJqym7ELj7WNyMaZHvks9vriYt2kqA4m/P8HZ7ONK7vIC3gC8xAVP/II+E Yb59wHhmnjNi2rLTflNOFLXZRnsnS/I04udGdnx0zB2ZBQ5Jg2EcSaDJ0uxR6mr1zlZYhTrjIRBW HhOFCwq8r3U7HWiTvtZNwaFNTWu/gwX+R1aJvoyAH1wvIk+c6fx2ZM/43JG0E5Uke4RlsD7hW3at lYYMEVCTZRSkajTM59YaBehzAMilep7PdGBHSRbzIA8MxUz1GT90xcaV/79ZHHFLox4KEnsD7DYT 63fJcsaMvEemXmvdG5kQH1H2bD5yJwTUe9xPz9NXEB3czgnDzmSJX9IcgO9NRFTazl7YjxLKZzom ss2fjlCs7jbudNIFj5ZCLJ50Pw1mRwqKA1ekXSPmkXMjvTzn7BmiNYOSiEC0YAk0CCz4xWNLW1yW x2txTFoj2Vz3Jn0k+I9gTOnovb7aaro1QhT1EbVkE+QiHyB/ymIPsUEeE0b67Kh98Idi6ncwVTyz wgfkmcLstUtDSOKYFWCtz58mKZzsPtEpWPPNdM/XRe9DbKfKTVspo91rWVCQkcMmEFArldgpYq7N j83cwbG0HwlS+1SuvARKAsE8OSEodztwJGcuFQnAsyHinOHb9ko4RbU/RBW8SR2dWr9sYyZFFKIk z99cekhCE9egG64PjhDPUMOPgCy4MuYJjBt4YpIs5Ecg9ryAWXYgXBBA0HujkYdBFH0URoYA9i6j uOwxfCjanyvyYQ8M+nE7uyaYlUXIJWNok/J79ZJCsuFQv9qoP1fkY9N9PB1p4ruACy78DklCZOiX E+RwIrUiuPLpmG8VJMLfjJ+QMIG15e/E4uSv6j9uNuuAItKc1LtSfwDsDLaLOEwc2iWR20jIvoDm RVHHxKlDKun4J2aL5vQy0Z1uiGD6YrHrY4ntZn299L9kVAsmvaxJ88fRKsWas3P4USElpJtJK2gO +M71xoGmMobjc9qgEsbys9kUtDnHa9U10ti3nY59SVh2d2BasrvZDfL6fNXV+P/UKoL99PS9KDyg 4wJc1OmQDCtcTjLyi/gOcuH+zEmilNHtaZli9+GljFOCK+lOjjbT4/D5Ql3yPDUaxya714MhIfvD GHnc2H9D61EIZFUABI8dNqFEEjFxMgBRU/OFlapk7+xYJSII/uUG/irFtbD08DV4MvCKersI+cpd psBsBOVz9+VCmtc2rlPKhtS/wudvRxAdR9qHPYse/DTClN/NDTxT+/ngmQQSfSR+2gGvw6TwellQ 1cPZBCxGAwQ592z3xxaTSj8c3UMfdS7C8XX16WyXVJpO3H6EiiDhLRMH3GJfEWL0oWCb5KUEM6rz Z40h8fm+ygGqQwdctRQuN5VnWDKy1WumYoMpzdn5htEMwk1yByWtczuIyNFaWWh5mLG+Qcv2Ux/5 ITvBFb74bCsIsFTVP/Vd5juNJVfc9ynzsZ3+81y5eddBsMEjCLvtTxccdEqyYLIZULFLOLpxa9Qz FtXYyRUOWgaGLHjKLtRJqk4uYCuP7lkVaynolUkI87QXfGervsi4E+KR9SNb3h5OZsniDcuh9V6F 2EgOUa6WaTmJVmtOc+ElDDqmZnAEsK9kP8TW1kQIMmzVthpAfVxhfkTaZKhYLh5w2/YZaJ8aGoIt EgyJHheoGKBswPQ9qsyIKbIA2N2m/h36Z1C6T2XSA2TXCMIlSv8LPSFMrpUs8nFiLRgZ/P4CYAsT wl05ygH9Yu8olmWNT1lmhngJyu6ssoW17HK1x/uKsbtv7id90Q31gFmF5Zpfeocc+8fxhBQ/lm/C sLxJVA7Q29spK0DPyioRiXchZ9AwrC0ru5Du9UYGMe5TNThPvYHseN0SymJZ7g6BCkTV+P++E7vo TQ0QYza3uWpVbNe0mYkfnHij4SOLy9eKEVCH0A8Z9Iu7tRc/X2ktQ1m6l4v8s9YCtdN8b+wleL4U Ea08i0XHyWHEsRlRCnaUQPgQCvfjqMSodtLXw+a6H7u5Cry/hOtvHzKlj4t9Q1hhGc8qtf1nTbmp FTTZHr0iwTSa59SmqJUsX+5BERCCZXUKzvzAYUKw/ZCrZjdqknL8WQY2cSu2nkwg7cal+5WOQKpp fAqUjdmBKgOh3DAGvy2bRf7wLAdtaA21Krze/7ps2xcVP7dCHkKyN7N+YpY2LvRdUhfZHTCgSWks 7Kz9ZhedBhHU4rQY9bz/Ru/vmnN9OKDxcTnz2kl+UJ10nIQVAt4P8nZbMEVEX2JKxgVsk9YeXFU5 qSJj7Y0uGanZc5+Ypdw3PTFlFp2587sOIO8mOZF1IZfAIig3M/66qkoByp5eITIqfNdprKt4m59s f5Xz9qBXQt7CCKQXPVGOlG5vFP0N9VZtOTZ6v3Lpyq/qswZ+EvhK9Qr7rRk7pevpedH2owje7QLv v5MD/1Jg9BTItnsppUiQFHHVLwcNgmQKokbVktWm1RZpjhQJJp81yMUXtOEURTDefrU3W7rJEWvE 26bqbzFwVGvJB/bp9lJ02Sj4D/AB+9cR0rS70FaNjwg36wLufkUfsAI7Xp0vmarhA1Kk6casBluv +gOFSiGJTZeeMppgxOFOUty9d1xJ+sBexhOzd75fCulqqvtdk8Th2EqWLf3l6pbIMdxtUhDrg56/ 6+RS1hPFVqYEeXqbD+Umm9ytKUwonw6POlnyMzRcnd1txAaY0Bxd4qbsuamAUBKjynWU5EpGU6TP RQiFIJZRl0iFuifbHOcuJUARlP0HCQvHsTmeHFp+8wOjDblhs2OibqXwgxz4KYPb+8/I9vz0c8y2 vAFP5YTb4DUsNEEduntFBfORUYfwmwHaf7SUERrY3setRs2yJ3mSqEbKvasGOXvOIAMxKHP7h+uz OKPqPfgJKowbLZc+fRlnJZE2lA7sqFtPqjO7gC3YikEUAEU4O7Kx4mojFtWLTOnfvtxeCoD6/Lmw UWsCrPVUtm1pnh3PG1OCzcagqnuOmwMsZG3tXGusM5MKQ+ZmpxtyqTUjsSh+QEjqAV30Tki84DgQ eZWjcWi7VKryMAnIiP4DyhjnRtuK9znC++Z4c7iHTlQ8qnMyD/7T7nnvlFhkITXT5vK7MXtVXx+F scAIXkv+dzq9PIUohkJ6hKVzcTbTY8urf+evxu6aCOfA3wVDMtJrljEcRxMfZvpFg5hUFPLMj/tC C4OI99JM+J1JZOpCdRVrZKR4ipLLq1Pp6We6MuAhzZD/lEDHyTfZP2gTXi0DVkMvMfBcJ6vz4D3d zly6USnKiHGccKO4pDT6QU6r18beEf/oSLK1f56uceJijg59HiB7D/6pqA1qLHmvMuYHQkqF/JGz OHXBD1KGEno61HFH4O/gcn91CxmIFCp3wB6QLtDHPIqYF23XKhfgERmWEELeVADFiDglbwnC/Dqg 5VEHaeBy3/VlMmHzYOMWwRDVFQ54fTyauFy2qz8wPi0SrwQ+1clWE8XtumI3swb0qs09y+y+Jnxu 84Iqc7FV6TOaN9HlE0r+d8R2tWyAazpEUgGmALoQj3OWBjGtsjUSFZkYuwtgwFFahfhlTj6J2hVI 4z5dUuMe4YNy8616GiiuQPyGAxMPVdCzRX8+4riyD3sLjs5/mTDnrHzFUHo1FCi5mmP5nmHkIej5 f17SUl958bVTyq8NMJpgrfFc/DQ3qXM9CAo+POtyuo65Fjuttzuh7CT0/AQOTSvxZNYjH+WoYft/ Z/9ECQQ7cnWcP0mnalOI858rzr/yYjCDrl3y8B2nDOfqCukZPweW36bRKLJ5Xn/x8XDtqdiYLEZl XxW0wdObVh7sSKQEcuCG/2SfOKuaW0bEZ4PihMC823ryxtL8LLYwJpDcUAeHCjvnFza6XsXNUlhI YA10fa4qbH7tf09zU8mRFLSTvnALLKnT9O7aNZvBihzuX0NT1/0iwHCxXuy+bK8loQM40KwXDwJ9 2s6OSjY0GYTJcDH8nAQGMQ4SyRo8rzhEoAje8buzyKAScWAcFBZY9kDk1xHiolKB+VGqjGNPjkN5 PLxxjbgP7SllNXaJw9W/sdDbImdKrTD8iHq26FzZXnsAFZHMpQ9rWuSVIsi+y6cjqrZ/NVGb6LOh N+5evnr+Cixtt51DknFA2OEJlC8m1OrRlBsc6/nWlvqj+KSX3+FPBYA97QxCWMJ9CdCgQVS7co5+ V0jwfXQbOv94n1J82IB3NImo3YNJavf2FoOFpMC0l1HGZQLSBVGK8ko2vjxvHXGxU9ogT7FT7vNS eqGVteA8S7fEGwv4ZIyknqyKZsCZEvpjVWA5hV3OEvDDP+KuBdiipKPL/esBFG+Zhyxn9d6o3XgZ 1XR5C948Fc2mwtnl4CfHFy8bq0bpWBwZF6OqtSmuRq0s5Cy1d0QswXIdufpOIYTyppfTrDLTCOdG 8sSyiFxhABenlPkn/9ZkW61g5431wnpEjWHJsGe5tR6rqS1tikwyCaO7rsjoCw0LTeqbSMqGnU4s BzG0UHe1iAShAoJpGKYGCuhjQliSax8+62F2Pd0mYIoB0m2KW+EawUf61jJao2oDhRglNCIJpdEe aS682tEUcpMVHL+sOAbf4pCgOy2YyywAZBPG5XDBYeAG8HI9sBPU4cARRV07RHtKKg2QXsfWJaJS tLJh7iT4QKSX/qqBO2KgszqrQhS/BjDHCV0H4d7/Enbq6e3oWAzykvH3IxtO1GRVkEatbHcQnLBW 7KJqJi92LROnPKRaUITBqBIIpHpF8aLsMkRw7RLbOTEOmOwGYAQWCZmZGN/ZLCoBYOfStK35iBaU NrjFRax/D5QlT6pVOy3un70EZpVS6fEPcnBce6B8rkem/G/DK+oaEXlhTScgkSnq/ufwpTaCLn0Z gCM4AGDr5es+P/KtyoIU5v9piWGosoLiEnPee3UgfU/AESyVJ+cm+w7MgyUSd2eb57hnxsKT5VkM Qe5DVywejPpfP1VQkDhYlXYshwAB7eCNTZSU+g6r8KvlY3EZ+mHV0c3Vtg5azc5FcT/4eWUGxF60 wN5yWyBtGwcHN7+pBNn61YzdSbxE75EwauO13C62cB/A3zEO9L4x/FGBElq/pLzhnAk0l+8QDHEt MNerJ6PGCTLNmxrYDLXqtV5ypqF/RChU3gD/fDbAbyrewmY9xdbujC6sCxh2BLr3WA2Nn4RAZMvY axNqyedtasYP8AkgASzrgfYYIT9Zi455U/YTG/av/easJEHosfQrpdq5ZjmVwxSh8t1+XqrRfYEb sAuj7cDh/FVyN2eY7CFgRiifMTsFD4qMbuNylXJVatfykfj4TC/5h++Y0fE1MT4NbGsJcJbH8Ztd 3RhT6CWq+kKFcrPzilGK62LXeN3D/0XEmdN4Rqg0/PfxHnuta9Gtw32m3T3pXPUVA+bjJAy1sEi9 8v8xo0H+H/E4jHwpC2B06JqXbKIinRdY4lAThv9MffKzKT57HPhRgXmzx68cmWelK0Qal2+KUbbn okWQJWJkMl0BH9/+4WHs5KeLEGQTqgTw222B5SEoyKoBpay71r7hUGxfcnLA7Q8uc0ZUtsELppJD J6OIVtOyBzUXLO11LAKQWMzntNReZJ5Lc7TNjWxgMrg9Xk2ZMvfym1pktGTLx9YQIOFyXu1YK0UK IWpnzNR4yxz3cOEPwCWfJs/Udh/CTIg4jNNsmcNoGpyCQQvRBVLCqUCpZtCtxJ83vBzKVRH5S1HF YUzF1K8CwbSzbzbBSVYjT8S5Quxm27oi4qdp9Qt7Ft9s++uMp8oRWVHKUt4PZTNzxGolZt9PW6cZ bzzu3rLQw5bbrZWIsdX03vzE6/l6wW6JFtKOigWTTlN41Hu412vGVWRcW1fqV+p+jG35RMBs189n R4OwPVv3VX0D046QitfnuxsM `protect end_protected
gpl-2.0
5bf8030d599bbe85db2227e100d512e9
0.951146
1.8191
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/clk_193MHz/clk_193MHz_funcsim.vhdl
4
7,447
-- Copyright 1986-1999, 2001-2013 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2013.4 (lin64) Build 353583 Mon Dec 9 17:26:26 MST 2013 -- Date : Mon Mar 24 13:58:19 2014 -- Host : macbook running 64-bit Arch Linux -- Command : write_vhdl -force -mode funcsim -- /home/keith/Documents/VHDL-lib/top/lab_3/part_1/ip/clk_193MHz/clk_193MHz_funcsim.vhdl -- Design : clk_193MHz -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity clk_193MHzclk_193MHz_clk_wiz is port ( locked : out STD_LOGIC; clk_193MHz : out STD_LOGIC; clk_100MHz : in STD_LOGIC ); end clk_193MHzclk_193MHz_clk_wiz; architecture STRUCTURE of clk_193MHzclk_193MHz_clk_wiz is signal \<const0>\ : STD_LOGIC; signal \<const1>\ : STD_LOGIC; signal clk_100MHz_clk_193MHz : STD_LOGIC; signal clk_193MHz_clk_193MHz : STD_LOGIC; signal clkfbout_buf_clk_193MHz : STD_LOGIC; signal clkfbout_clk_193MHz : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_DRDY_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_PSDONE_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_DO_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 ); attribute box_type : string; attribute box_type of clkf_buf : label is "PRIMITIVE"; attribute box_type of clkin1_bufg : label is "PRIMITIVE"; attribute box_type of clkout1_buf : label is "PRIMITIVE"; attribute box_type of mmcm_adv_inst : label is "PRIMITIVE"; begin GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); VCC: unisim.vcomponents.VCC port map ( P => \<const1>\ ); clkf_buf: unisim.vcomponents.BUFG port map ( I => clkfbout_clk_193MHz, O => clkfbout_buf_clk_193MHz ); clkin1_bufg: unisim.vcomponents.BUFG port map ( I => clk_100MHz, O => clk_100MHz_clk_193MHz ); clkout1_buf: unisim.vcomponents.BUFG port map ( I => clk_193MHz_clk_193MHz, O => clk_193MHz ); mmcm_adv_inst: unisim.vcomponents.MMCME2_ADV generic map( BANDWIDTH => "OPTIMIZED", CLKFBOUT_MULT_F => 45.875000, CLKFBOUT_PHASE => 0.000000, CLKFBOUT_USE_FINE_PS => false, CLKIN1_PERIOD => 10.000000, CLKIN2_PERIOD => 0.000000, CLKOUT0_DIVIDE_F => 4.750000, CLKOUT0_DUTY_CYCLE => 0.500000, CLKOUT0_PHASE => 0.000000, CLKOUT0_USE_FINE_PS => false, CLKOUT1_DIVIDE => 1, CLKOUT1_DUTY_CYCLE => 0.500000, CLKOUT1_PHASE => 0.000000, CLKOUT1_USE_FINE_PS => false, CLKOUT2_DIVIDE => 1, CLKOUT2_DUTY_CYCLE => 0.500000, CLKOUT2_PHASE => 0.000000, CLKOUT2_USE_FINE_PS => false, CLKOUT3_DIVIDE => 1, CLKOUT3_DUTY_CYCLE => 0.500000, CLKOUT3_PHASE => 0.000000, CLKOUT3_USE_FINE_PS => false, CLKOUT4_CASCADE => false, CLKOUT4_DIVIDE => 1, CLKOUT4_DUTY_CYCLE => 0.500000, CLKOUT4_PHASE => 0.000000, CLKOUT4_USE_FINE_PS => false, CLKOUT5_DIVIDE => 1, CLKOUT5_DUTY_CYCLE => 0.500000, CLKOUT5_PHASE => 0.000000, CLKOUT5_USE_FINE_PS => false, CLKOUT6_DIVIDE => 1, CLKOUT6_DUTY_CYCLE => 0.500000, CLKOUT6_PHASE => 0.000000, CLKOUT6_USE_FINE_PS => false, COMPENSATION => "BUF_IN", DIVCLK_DIVIDE => 5, IS_CLKINSEL_INVERTED => '0', IS_PSEN_INVERTED => '0', IS_PSINCDEC_INVERTED => '0', IS_PWRDWN_INVERTED => '0', IS_RST_INVERTED => '0', REF_JITTER1 => 0.010000, REF_JITTER2 => 0.000000, SS_EN => "FALSE", SS_MODE => "CENTER_HIGH", SS_MOD_PERIOD => 10000, STARTUP_WAIT => false ) port map ( CLKFBIN => clkfbout_buf_clk_193MHz, CLKFBOUT => clkfbout_clk_193MHz, CLKFBOUTB => NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED, CLKFBSTOPPED => NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED, CLKIN1 => clk_100MHz_clk_193MHz, CLKIN2 => \<const0>\, CLKINSEL => \<const1>\, CLKINSTOPPED => NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED, CLKOUT0 => clk_193MHz_clk_193MHz, CLKOUT0B => NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED, CLKOUT1 => NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED, CLKOUT1B => NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED, CLKOUT2 => NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED, CLKOUT2B => NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED, CLKOUT3 => NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED, CLKOUT3B => NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED, CLKOUT4 => NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED, CLKOUT5 => NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED, CLKOUT6 => NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED, DADDR(6) => \<const0>\, DADDR(5) => \<const0>\, DADDR(4) => \<const0>\, DADDR(3) => \<const0>\, DADDR(2) => \<const0>\, DADDR(1) => \<const0>\, DADDR(0) => \<const0>\, DCLK => \<const0>\, DEN => \<const0>\, DI(15) => \<const0>\, DI(14) => \<const0>\, DI(13) => \<const0>\, DI(12) => \<const0>\, DI(11) => \<const0>\, DI(10) => \<const0>\, DI(9) => \<const0>\, DI(8) => \<const0>\, DI(7) => \<const0>\, DI(6) => \<const0>\, DI(5) => \<const0>\, DI(4) => \<const0>\, DI(3) => \<const0>\, DI(2) => \<const0>\, DI(1) => \<const0>\, DI(0) => \<const0>\, DO(15 downto 0) => NLW_mmcm_adv_inst_DO_UNCONNECTED(15 downto 0), DRDY => NLW_mmcm_adv_inst_DRDY_UNCONNECTED, DWE => \<const0>\, LOCKED => locked, PSCLK => \<const0>\, PSDONE => NLW_mmcm_adv_inst_PSDONE_UNCONNECTED, PSEN => \<const0>\, PSINCDEC => \<const0>\, PWRDWN => \<const0>\, RST => \<const0>\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity clk_193MHz is port ( clk_100MHz : in STD_LOGIC; clk_193MHz : out STD_LOGIC; locked : out STD_LOGIC ); end clk_193MHz; architecture STRUCTURE of clk_193MHz is attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of STRUCTURE : architecture is true; begin U0: entity work.clk_193MHzclk_193MHz_clk_wiz port map ( clk_100MHz => clk_100MHz, clk_193MHz => clk_193MHz, locked => locked ); end STRUCTURE;
gpl-2.0
2a4392e2e19f2c085c7fb1ab82709ac5
0.612596
3.302439
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/shared/special_detect.vhd
3
15,251
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Sf1ThCD8z2+Dq1G6bhKHmak8S9KmcIJ9S8gB8uyAZc0LqqEf7yuxmjX6OsrFVCI1cxweGQZk5h2n mu+S3cvQfg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Ihk/2tUjEvwy0M7EBVleDMF/GYThA24UBwGIG4in5hd78G2hPvrIWZ+lYKy5PpH2Yt1EJzaIex+G LHVwJsFhHkphpUKROohii8HZZoV5K5uOT8hmA/2aYcCSKn/c4fDHi5Z+2vBfz6hNNE4MpTbFukir beCqwzqzFu2ao/IIiEI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block BdenzFjTaDFS3cwqYMLyhq6YOb6a0oeyY/TGj8PyQ9oyTbC5RyjQ4cLOirkjWHEjPJGGCLKqzqGj 0Wh42I4f9ZiURyfjwTXjyNyh5PVH3IICUkYHJsoAM8OGuBlaiD9VVD3vp2rbwDvjr/69mT4iZCXK W9pyoI3++d/2uhXJn31plZzZ+rq1JmrK3nXnTeDJGlxQ9iiYVlCbkPLpVDg6F7JxA/L3XJUkuxD1 0XjKZrcORr+cUNBZ9kHRLBrincQxhVqPZ4CeZpD08HHlbgCvaCn0cCJ5CDpW3hXvqrMEDPLXntow nhn/kqtfRZvVZT+eSLSOcT6yRlQWz8+ZGSvOjA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Qs3PhtCwZp6aFqovMFMQa5RoU3A8kJ9RAFf3rivewGxcV7gkcGKhSxSsDBdw7JuLrFG8R/js/4Cj kYXXmLfg/qMhkW3GgIiyEm+sdaxJi9r2ONhpfma+BDrlblQQIZ3ZqqqIYTjVSDbmRWqu+qljZCRI RKpCf1qzjP8CVLi80jA= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block GEI5La8uqlsZbc5GGA/PP15bCxrSJPULIcCchvcntqIlqLIect5P2SEsrqz4RbxpcTFIcRLbTtT4 rwIHK+DKLFFXu2CgabwGklYZXjFBe+tE+Eag7Clz4H9NY3lZOLwghgH3wZqO0DccHRYPfQkZAsHy +hfiY3m5TSs7Z5hFoVTFSf4ZVxx2jUhXlnNHdGY7i34pXOHtY+7RVE9iIdbC2hbBOf4PxLmAFZq4 O/63ppSLUqAS2tpeOSmn8pzCIaiz389tiECSPvQ1dVxaJX4YhClsxRQ7EH0VMgMCtPumtNBPGR4+ hqs6r5DR7QBZcMkOMQbdiB6Un0HsbJSYHyOVgw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9552) `protect data_block Fs5rcD/SYcHZq9lWyxbiV5Eak7fCJoM9zD7xhAtHi7I4iZt6sChXsNeIqRqdP/d/E0uyM3AZuart 1OXGWXtEmw3G2r+tB01H0wUQkfYKhvYwS/tfuXWiFZGiZRcwZtiWbowe4+ZVLXk0uxhTg9qUhmrP lGkeEmPNfNUGLWcL/foT0++mM8ViEo7IeWTt45KmElgKvHeyth9+LOE57LyyG/KEaqvdjXFmic6Y 9bModmqFLjB3jgVUgOFTFwkn4nXHvfispxf1OnQo7lNmqLzvGT2o+2N3Kln+XYe8Bq8hj6L7cP7o kNHcDH/GJ7nW1EbXa+Uhj6j4c62FRYla+Xk+3wSOCVXh/zpUta+2TLIywrNxkCsqJDiM4GFsqEmF rpZOAfSd1RpbRx302LRABGP49ahaBkvah0rcrR27uerhYyrnmAO25TLRo3TF/VKSDBktwNWCRQqC Uuc/CLf6OWGyxcuRvUU4d08XmY8gjwjNkS3t5R8G4pRRnGqnbXd+Gi7ovU0Sey93Z2j1wHSB858D naRTsAljNspetj2sZvCqlI86HX/LnVNehbOtXKaVr4WwtxxozwhOyVOJCV4shKCok+HffjMvpiNj YrgeCWPcHJYKQ1vFpPTIogBAPDYXpQrWPnedgNzu3S+BOvcM4gkmEgm+WJkiaLuVseBmC/VVD5v7 VfURlRy8pvhApmmgFNNab5TE7Uy2HKKzXwXXrdvlLMgSVT2QkAM59ZZZi+i6+1SnBdQJNVMOj5MD evwzWXxaGqC0gZGL6R8NS2yCHe/7f/zmqfJib8dooCYkNSnmxnshwwxVfoYA9GiiQNLjv9ryOC4K GafDMo1cVvAyKNeuQkRJI3LX/9gQidcctdWm8gYqKtcIjoYo3HaV7gygi5iNY2e/zrbH5jrtPHt0 EftkMGeylHNyd9S+q79dcSK6j/KIAs8XziaRTxVQoCXdfEt09Iwl0cqHuzLVfWD+TqRru2hHafIh xeCtrYnfaEzznac2G0cc1VKEHrJEQ2QYjdrjZI0Bjpd9heRlkSf4LV26BTSg+ck+17m1C3rf+itx T85+aR7aQzLtnkhGmx48EgsteFLymjW8KXsNw/n/mZHGaokXlR+Y3xdxWJgpYHC+SDuN03r1km0K 9KWviZ/ibu82iaDDiQFUs6n19OuY1eOSxfFRxEE+93Qx7SLXBOqUL8aTqDXvy+Nkj8k9ZUUZuKLt CF2YcJYfe4+SenHj00ci7WQckuTV9qlVcN9EkIyNMjUVZ7rYHAkuHwhS4qJuLozBJ5VM3nTuOF+6 3jUnqMypxsylY7rjI2UyoVL6OPuN97CfVC0laNEDR5+cPW/V9SsIKIWkZPkZaEm1p1I9kbv5HTnq l+nftbNZxV/5TJy1dkU53J1lmBBEY+qv6LTaS8KdG/YUOCdlpaL4KxTQRm98hTC2cBnGp867f/Zt B7Stpq0X5dOgzqqVsBXJV+E9ol1WQBcsr2y9ZoMMLAYtEqjoIPIE49RlsKAnVnm7OBDCB9zvyGcH GT6ZclMwmowyXZ6zo5tTObcFSOLBVjmdLKa15wUeVgPEwJ7+Ac0vtR40VL2l0TXTo5KIHEWiD36w JXbUWP7cU+MYB009gFJbtayfmMoa7JfDU0ZcejYcJvgUMWzRNsth1qVy72B+XNAGRmZuKynUMV6v gdUoA9kHfbx7T9fbQ1D4/qzSndDDiQtPfT0iUboPgKozHt9JUbe04V8I8jpi35azMoyGZkct+yqs AIoGSzVbFizGQjDhZ69PVtAvzR6rG0wPOXg1fmrSKNL53nv52KGGEv7HWjau06ILmVK27EPYrsR7 LsMFxvFtcAhGwQ9l/gZ+KkJ6xl++ZTijIiGzGYWD3j53kKahaFp+WE+LUkPod42bu77txfrBxnTw Gj7vNpXJlk8qeqNjZMt2r9rH6GiTAfgBHKcZIIo+L1xdjNI2euGx0WMu3YtEn6XkU+GmPFNnQt/p BgvRhmQ2opa7/jE9T9CDdZndxh2cFEbmKGmsgh9WxoDFfp+07cVdFUghF89HIy9WO163HKGRTLP2 VEtjUwmRbMiFecwfYao+Eu+ro/4anle71RidxFh7ppZcsuWg238GMKfU9lREH9R7mH2ywvjvFhX7 RlemdYTSHoefd725SdVImD6Luu95/98MAq1Vu4YnqPBHzs782CuV/d3IgnprgDKmBwBy7Lb1z09R I6bJFRe6FxQXIa/oeI5FR7ecW3sRG1PRplE59aidrRvG6y0hb/+UtleX501g3cHc3WZbMzT5uABj V5xXWMUagu2XW54qp1ZFooB44bmzK6W5kRHC6xSp+Db0f9//avtYrWgS/oH1lKuKCEUqS4hfj0P4 7aPoVUJ1VGeF7S2wxhk9SxZ0f1gEoekHkc+uJGo4a097zJFVAEwWE1FxmLoCzYCeSi2RNlToUYgr clbvf396LjQnfE9gmj24DSzuNMND5SOyaLozcgXk9x0kBLM3UfsiiSrMdcifnaypWFvKxUEw0jOe g4QrUcNXqYSu3nU642a0wbppbxyyB85n7XQpTI6S+ka2OvT8iwyyyD8vKw4F8ks02HhtkWXZWxFb s1PNUkUbgejMTxOaYfMj8Ziz4bLjO6Uiwh+v3PFrjHc2d4JidlzhB9TMM9imG8vwF3eyiXJOjt2N lnn5KUINvYSA5e1VtkM5OoIi9rQaMVsQJIVx9xDlbc5mMBEFGPy1fGztcv6oxShm/aXLQQwJKWV+ FP5rFAdQRbvY2khK0ZgOfJwj9boKU/au+ZqkERbzlPb3oiknc8Up5Y5koVFTPWF/MVTB9RjUcm1W dzxxeCQBNo98YSa6tWXiwTf56SVT8W46wKgqdu7fevLCpMqC6HC/79tY4GnelaIS9i4RH6OZ8KGy D6x+4Tay5gspG0szc/uw+a6rY922HRlN5ub3QfoE7oxaAGy3/yTrz69/cICJRdDzRi4ueXxWK3OP 23G05ojdjiakp2h3wtNd30u7hhqheZUTPpCDMRCvJf/B+JgFjqLJq77NqNxFikhh0qEOwzF74+Sk nvThyBXON3u+6HIn49wz+B5oxTxkc70D02+a5yFlYVT8qjtCvX8ujEO57DUtz48cGqF8R5uTuuP+ 5G1yBE7y1pWX/LK/lXquf+LFaxqzfLX5eTm9rPwV2VCzV8CF9AEh01cPqBsV4hbhJLvf8XeiXQ1B TlYcx8vIsK191TU2CUTICDlmSJlRMiHAUqUzRTiXupbQtWUTF3ohwfOXOBYxvKkUiDs+Gb7+aVdS qw6qU5xAA4gzIuLR8r3PeEmlYTwcFLQOEx8gPyBtmvEECnImqnXnA/usBm1MGCYsgv8HUuYHgdjn XFBmJGk1nG9GvKeyaF3eBiqAoKmEdOarilUlo9Jd3giSyL18oPbeG54Uu+Sgr3cEgddjJL2Ex3xK eN5nBN8ygP+aC/BCMFJLl+l4N6bV4s9C/wpKcA2uImdF8vYe8kGCk90o/QmVQ41Qq0C4u9l0spvo pLKA5nKLx625R09XF08b3alK5TJxTBLYKJQMrvROtab85VKbDE+iOCRKkQUvMsBHgnnzYifyxUKi YfuJD+xkFB9kVeGVNO6wHLeZLOedJmgKg8NUjN1WXy6zX/+L3tVYq1Owtlan0BhkCLLlLiQX/4sq gId/bUPql/6VuI52etmYgpZWrf71nBx3Haw79HhIhrfefHZndQVBabq+O44euuwqQC8bacYSSsKi Tb99ffLvyhFtDlF96rCOVJnNDngv8i5FdS/oEXclL0zuDq29Sm7xqGRNk7cbJwH8KIG5cQJaGg4D AibHt9QDSqQRcMrSM4UWcQVFSjr0OWN1D2IOOl7Myx6lZsSpJAdF34xMJueZh3LSSGk7iw9firpz N3WdtyzBv/qvBMcDjwkAWmgQe/Q+XACLqfNPPKfjDIxBsiw0YWtFALBKcjpaktyvtJ3WfBpHGqE3 +8Junm0PMRBdLjxgfG8YkJm+H5JS6BWp/QCWD/jDvxDc0qA6U9eKINS3IObuIoBox4CaXihjwgKu fncJFvfuD80nYbh3sVhsSdtNWqnShECtR4nFTpYl4Nw94qH8hyA8EVOBGMpawDSBpZEDxKEKGlFL yjWPbNz/kVnxIjScm+v2mG5hNq7evqZUfoR//MNDNDQSDL+dTQRAQBIk0FiTlc5baxnhZdh+9D7j FSLNbQITGpGVueOC+PLXBc3YjtgS/u1PVWmiZY9vGScbcUhrHzRtfJ3tqSYmujLmx0UF3QQwzdnI U6kXfL81UPmFHcchYOyoOyRjGywz9e1jtD/cTWgnaZ4lqt2JJGpPZT1mnw9cwC8h+n4CCzTjOyd2 B/s3JabmrQHghrelF3Q4DyvEnUxyVplSBu64uvKPE8OuKhbQ1cNfG+eHMAjIQyAkiYp5TtSRkrBU yBo/5x1bGjn8A/8cujbkWAHh99GGfmI50nyPM9uNyItamHOQxyad96U8XyvKqPvwSPSJdQqYev6D c4Z6unZ69x4ylg6vRQ1kWwxotHzYHomLVs4o9PGnKJSaPS0rJH8r/5PKvzb3JocuXMT/Fnt0O8vj Ehobg1od29f/iTqfYP2a4X48MktoUYa9e9xKsF2Sy5w4jc2+XrF8j/ouBNrQGqvNTAvqglZb10II Or7l2G1JCHnzPzBoJe5thBalPnGK2xFt4BZEQKVofezxFI7aHpEQ1QCla1niXkkOuhpUqnNSu/lE XKR9BYQyVQaY3DG53FxEmEmxXJCatluxWK2Z2Mw3jQv8Y3yAbVpZQ8kXY4auiC7uyLHInw1WA0fP XNGASI6QE3yBRxq3ceSN7zF/6b0U42ViHOJlb7FschO6YY/+j7I/sD+OBVeH2fXJ6GMH9TDc19Ke iHablRvM5d9pddNzvnaWDRWL2kM3RJGivwNrssSP3Mnx+becWK4A7OluOwu/ZrZWjv8ULw36vC4H zBPrqALdLkZYmJ8m9nht6O6/5gEd4oyPKsEpFEMaiFb6jDBV/Ywu3aOhw8Pw6344xfDWUtsl7trd vmivb9VHA5clSQG3G4xbnr9aPfj2H6pzdgWj7rop14XqooRzIodnFpL2ONA4S6X4lg9+TuSiande hxjO9vjysGJ3tF2g+wv6KiX53oYJzSt2BQ593HVCxe9lWFfcf8UnDEBHkbyxBEtI1zj3RvDGnx9m Sdp13McauOx3CxpuyvZLOw/kMDQX1z6izBRU/JfKk9nxXHMgyYJeAfgMBwaCGk6BMTU1sy8tS8mJ +t86UMQ9V1YYZ+We+yMoQigFs+8LUQMlIQywCaubJLUSZjH7bogc1+8X5Ced9mGy9rjeXUYNENH0 LgmXl+PZtmviIJZHcCv0sgeProcmwFBZwmYte8v3fNj2NLjuHEP/gwAlQj2+MQD0rB/L4rt3AmoU BG0uD3Y1/YmqiScoGFO9p8YHZylzdzbRj+CxW4JqVqIWRxon1S195hTXK0EYkFl6wAr/Tjd60PvW bwZH/Lfj+gnkvAmcqcK/hIrNVOkWJzjeL7/oAGcFZDjUtD/LlPLVTAnIQqIG3FTUDqS/97NLVno0 /7xmU1VcbL9HS2Ofa9/C8F7giRYtNONvnaDcNsJN4aDzDoCo+XFiBztquKwhxchI7pXWsE7cducM Tkax19ungrbt7dVXBuEvLy+IyJdqmWhXKI+EVzlUn2pX/jFu4uY84jVJ4knWnPEwJ7f2D599n0Tn Iju969039REu+Xu0hhENSn53Plw6pxK8FokFNq6TtuiNMlYw21pRUCSnnuFctYO59S2Pst105/xE GofggVUlOoSS7SVSBP1KLCw3ACvL+WikIqfM+h/sLGKJvRyKzyt29oY/a+Gshi4OWUDESO6v98ck x9PNPkzXOM8JkllMFIsaLBLXmoboqJeDkggTgBhpsn+vKRevkmhRpdmQFRxnz0KopmcNgcTtM4XE iRLQPrkP1T871Nn/WTLlNx4l3h2u9B4U7tFACKXimGOKpJS34lLpKVMBMcCgZEKOC2wQ+r7JB/bR j0qRa1Kt9AQ2QB1L5JHWlrx78RWSDTGb4ZmPmCyNIezKJtCBCUTCHZHjWgwhNE16g4nPlDo2JHdf TN3/iviSlflxL6FiIefp14+lLxigiKKX04JlKoU2Wq00CgdALUSLIiA2Esm+m2Pm1at2V2JIiF3V T6WS2NDkr/ZDwbHKCZg6+sgyQV9qtJM5EQja5BnaSfT5rQE/ZMNthcTFngsksxUwhpPU2D3ph4Rd DbLvZmR7081t0HlUrIiE+hrqUN6idc7cQ4YRH9tuAzqu+j4q7bHlb3Jtavf3CUvdYrncWSxAcZ0c +S3/bkudKcZ30uBfcUvP5eHsJvcrP8Lwi+KEAdp3KLec02HqCa8G2vj2YkYrFEGzNjoQJ7DiddPl ipon5g1wIEth9pP98cC4k4EtDQMb0vtjVVuaGvNlsNcdLKFMolXoprz+z5MIIWHMjs3mvaW0XrBM V6Gwv60fMlWzW5v44r9cd7PjQBzGG0oX/EyfzleDJIXaba9dfjZoxb1VWdmI9yDFi0nEEyiiRBF0 y2yHYy4FNHLcKqGQM3ai9aaJI2DL3KR+1BowTr1+nvscpDHKSsiNE3CKeTj20XQO1YDliHkS/UKt aI75qVAjoiSWSF5LMM5BSsZ0CnXVUHBNHQJkH832NqOrvOHbr50ulsZQaFg37rooEfjATXLYsAyj 8sc4AMYZYGM77PyW4LPw4bFpoK03BuG60S0tbLg/AmdwtAMf0WZNkGnJSRq1FOTP89OIIrypxoGA cjjon98JrWu8fRtLYwR/BgZpjzBD8ZMVMo4nKESg9/CVoAWJfLtgQxm5r5YckKU4UrFlLhKfoZko H2YRKJ6JnMfv+TNsSZeIK3+XdQpmG6v8CoRIqIpG01JYuzTjGBBzoI2GF5bApzqyQ7h0fEO7L/4W 14AAGHNRXTj/G+ueCrs5p7wOyzoXGHOzlGFdXt+b2UZOUGMrIAXatHAmgTlSmIrSjJgCNgRg+8+S LHdTFu+ZXMknPqTN5+bnfRW/c9VDQ1pmhsL0xc0lOzau6Nt4pCJGu7ssBvyOe207l3grYjjrVrgf 4aeJOgtudQr0ZxNe+lV5ShsW/QjLNTGnubomPTJmL2l/LA5/b+O8T8KxhJA7lvv6yDv6W7qYvr7K O/Xbh7UQZxW7Noz1OW1yjGW9zAjV8/v3maZOiMTkfnOFsi/3el0tfU0i5RiCeMKkMoU/980efs3s jji8Xx9EdKphCoqYvHEJae5d3DL6P4khTqg5Yk2mrGVUTP4vczCdSHJqA2I2Q8Kq2eZllSsuGJWd msOEG5FM1G4T0kgY55wFVU41BccJUDFMuJlw11Sy8nP4BQC9vBta4a4m5truvpTF7HjWAgLpyMKB 8hOjTBISAHJQewzPU17c9BQJGagt/LrpYhYmPuSEbqqCASdcsQfiQZyYlDBxp+sa4QRW5bTYH5gq IPQK8j8VCqEmXydADU0YJit7CdVMo24mKduGBnDBgKy9R0L4ZfqTQfjQ9fkZfx1WQLO6wBhyKmGx WVsG+vXx38WFu1zVIkPtX0oflPybk0t9a3Bj7f4U7gUIbWil0i86p4sIa4j0XCLFlVwrVUvwWYws zTAFtGMysstMFJRlXQfK4gviRXuGMjbWwjoSLb9RBu4rEEGSLK2gUMunx3LycZMPmjTP+SRe38Rn Gs0SSuXoElTJfzWM3ldyMfp5DFNLYAeX036yHwweywHoyfiz2mr84oPamzSAD2sd0jPTybe1werl B4t2C5ljuLKfyM/uLg8Z+YGO2EPo22Ji+cylchDOqPauN+FK+ABFWjGnIlCQbbXsDJJpddHqlEXb LmnN3MjmHffH7V+eqJSZCJGNosfn+l4oF4+Aa9puFQ5YgXV9LEgWILM/rprcnEFlUa3NN1TXcrHJ rqEI5zxYmiDL2ZmgmMFoAGahYJgV6CwtvX8uGYOO6osOPYArZgDctw8gqsfc4fNQ8LNe+SLOFtCr hvFwN3wns5lFeccheYza5a+eGI8dJnBoA9KCTz2vfh0KlOPx30J0gqZPuq5+jnAHh2jHQ6BLn71f cveqjNSBuaSfqxqo4Uc+VQmpg2UkuSXzwTzJc9pDTlDz3d0BoZORU+Hnht7UvHt3WYGUhK/XJoMO 6FOGZyXRtEfUt1ngRObQ6vVzBZmQD1E84fD6BPK1G3yHD/49THAVU9Hfj7gCDXX/QJuhbbofjshM JMBcQgrp7GypFUnfa3cWYaweUHZVB/9KumIcJesi2aGKO/goNTPNJoo+ipcIF1K2Mn4WIOLNqhOv +OvSsfm21bGAmR3Lnv4BG5lXB54JfgN6DG4tBowifAhmnd1mov9Pjic0x3h5cjtEnnfjpQH9CJSo XDHpao4Dtv7qeVLNkXWgIQwfK0JlpM7lurvRk5OTmBbzB2wqdH4OYAnpLm3uBRt8eqUjYi8x0xoG dFSrrfV553X8rKbY/CWVTSdmpjXpa6vvl0v9DYo07sDXPb2GfLKjOl858fgemFCyOxqa8KDOHlQB B+eBWShq1y0cDXiGCASrdhbydbrnJVbSptBFHsckE53LLAkw012Ir7WQSeDxtc9z27gFJkGgHwxQ rIvlERRj2E4i311Z7dIMRGPGa3zEEDClHtw0mdo5wRbRWsImgapai976iTEDForNlD2bC4MBVgMZ dU3SSJV59kXM2Q6X58GYrcad2DWEkC/hWP+HwVByARVpviYk5MEOWCZVo/Cu4baX6yKThNOgWSs+ 8YpEA7PnAlxpUuvVumrYcXPFCLDiEkkz2VQ0uIJU2uKazhgPyRQTIetfKgxi5iQrFR0cHhSU48Tq rc5IeuniEuKq4v2MYYJgKfpk2WBK9NvQ5WKcvEO3shgY8YaPCdMYdc6ehYkpWJ7CZDsWR39ZwYaR AvSZre9WkmKcn2SmSzTTYzgok7kR77MwY7r7GNGpOzPevM601jbvWSc3Sk1tOlvgXUhD0GVvDOz4 nNHPT0tH/N3P8lJCUIqhyXMbOrrT83YXXt5R5OvV90GfIV8peWUfMjYEmQg8CoDOmnf4Sn+BsJ7m lmjq2prySaYWWcz3QFRwjaeoN8694QRAVYdY2q8f2TzDp7my7Ca1JLQjx1VVLMrd7zG2TPdjvBhQ 6cZvxzRVstRUjSGVlZJIp8qevahNnr4HsoOCXtXdfvzxbnNBXLb6Ygqk8mIpf14Cu3mwsv/oWxUE Mt/tHFnfaZcHDkocyI/Wy9Yov5rwZh75KQdGSqTkJ3jPGuuzFPPtT4VnZgXKO0wHBGu2X1qkoZiz DmiFUgEKfPGbE0rYImRsF9mbzppbyQ3qiZt07L27jekONl5tlTNp8cNR/CTdO5BhzfdFsi6dSTOL HmSzjvmOwnLEHBZ+H09pi0IZiO8meCCWmTDopxJT9mDw3UK9csa7nTgNQ1gp2bUx3QAxGd8eFVXz zxY8uPNuJCQXrl6tSIYnFAF1cwFquPglkq4cuLX8iXn018rlXj/8xsNIN3Fxv+x7Cf++bXqITx91 DpizXvpgYvu9CIflnW6DI6QdpdrBZg3/hVEfj/kkQoxpi6k5IMREKuqkAVXr1UPDoy128XFQb5Df Pcs/w4AkvChU8ychFiQjVkcmZSBW4iDd7VDAUSBv79nyjGLmG/GMzqiJLxEyfcCSiqYdPXRJlTkZ SPdKo/CzXH421KoVsWbZN8CV87AOmoQJPwIbKRSo6I3Obsd5oilGPXeh4k2+5M3pVL4i4cjrQv41 P/QLylCI9rNPii9L/QvbQhkI6oaQTMhSg649XmWg9cVDOpbN9Eu+Pju3ni5jez4UUzLEY+5w32I+ jK254sscOfptjjbQX1wkwtoOHg5xhBvUQ2NNbJB4C+ddTFMgzr78AFikXzhNTpYPm5Zk0Ul9OiNi PI0bkSDESI18qVsMXHrothAfIIF/MyD5VFrQnyNLN4OAZV3vJd4h6uBfiy5sd+Q49rAXmV/WhT9r 3W+o9GPX2YhkxcYcWyhcot679+1O3ZfZbIg6t34pwQcX/L+/u2zqDEHRrQsivb9FUqtXYw+IbOUs deWfYShwr8h+IwFjyVn7pwBHRJUIHylOPKzym38eXYdyz9E3BFhCf0YvkpSxv9JgBCMbd9u3gVb8 QH7rLrEjPQkml+rEQjTxFGWaiszR/cZ9ZRI7tuVMCrBVU4xz9krGNMrVsGtGI4DgU2KH9Eynn6JW rYv0hUXlHmk5Xf7QQ3Ni6zg4JJh4w8RW5lcJZZRWCBLHH/XQXgeOR6Xppc1crI1fyJsovfQCHbaX rU+rpvQV/2uoHYM7GoxmJOupBf/xFVJEqZea+4PV4OeSbHIO022+4ymnfImHcipnkyK/7EeIsDHh c43qvDHK52MsUm8w0iO8FWJKaZX6+Z1BfIMoBP6jTejYLvGYVbAPA87zJfPb4JtGgnZ5IkW6SN66 e8sr70fD/rFB3cWQgIpK2MLZ6h7ljjdbJOtQnRgGH4GJtimvELTUXKmQELgfVei4G2YKF1EXL5V0 4+pOUr1s7bneJT5E5NYR49kPSrXRkkFX92TbB2cqGaVHOLRgxaG0Ep4yBqkQhMNMTHexPTkOBvNc BZap/dJZ99dbkUqlgwQ4DVRhe/49a1PS+ywmOcfNbH6Np3VY8mO9kuz9OkVd/ZVcwv2DiIrJkvh6 qbvEsRixAVxuLaA9D36POlqrTGKgv/3kh1jJBfgWTv+f1/ekkV5IaTA0cRGSgrX+rr85bOYbtumD SBs1r8FnWVY3ADhrOp4vBItyHcrAF7RXQSfgf61VFZ5YOpoC5aKH/KrzAVBqcN18DhlcsZLVnhT9 ITwv8dJRb9Mr9YOBNFhM7Q8JlBVABx8Z2pW2PcQnRQaDIROe7sZAC2J5TqboWgDPdx6TBOKqMTWh mjo15+elbScEaDfFVlhAPKkKswb8ziz58vX4hyFpBKDh7R5yYFiT27JLIWZJM2+unUTLPOaO16Ir 5fXJnhufz5XrmY8uMD41LFBUTMN2xQ+HgFBlKg8v1258NzlRpBtR/yHyCqPVuBodalnc8WS2ZXma O07DYNdUVmUzwv4ywaz4JQzHVJAL6udDUOloOSxy8kE0Fs7olGyJYSOd5ufhFADrFVuOog9zSpuE OxwiKVldoaQTMIlwFAfmk2NRXLvwLunI+bGASiXWRGa4JwuNbuI5cqnS7MavGbdgj/aBtD37Ycu3 nuKvtmJizL8RV983vCoIWapVwhT+zmlRn/M+CrBhu1b5CGDQgWH3s3K69TBF5fDmT5fE84vyU2tY ktjHp3sfVWsC6ndYuMU5v04EDQCGa30ppXkYQqkRzk/mHj0MJwTMCxa0yRBudiebTHfngVgSPNKJ GdsFAAo7UKg4gVVyyABfyBEX5Xmqeg8VAXJA1S4/KuW/wqUaIKEP61U8FlKkvbAfB/JkyBqjInmz fueO55Q38sAcDAQTZtJGQPIDI8mPMDXlhP0HJHrRY/NgbpbEIVZnfyf1dRWdpVwFp4ecOYuiBY6R GinRr/OukFQboy8nDDY7GupMcq8g8qHxpBp82z9iiSbvpc8TwzjfH4MShbdg2Ph0SZGM91xTKqxt Q7ziE17UOCKrbYxlBHnOuOk1uP4d/FA3IZ9X3gk0wN7s1py6EagBdg4Q9ruGkQ10jM5+HMpxpQhD edEUrvsh4QzD82Ro+ueMoVg0JLr9md93sYt5wp/b3pkjYmuFExTPqwTZ77qoovIfEtyZ7jkaowWj 4UIhV8SWSeA2qvgugDyXwv7AZlDIX31B+/m5q0Af5IxUsVwdE5b5++8xfjNAdj+oPQGU/gl68kOQ ZlVcfpzLG4fxcK+yrvQHRV++XF4Pb8//5e/wgQuuUSXCDf40H4I3+btnc6cyaqBtOdqti7byNEE1 +htdL3K/EHpHiAkq5vM2F+QLW3Bu022ZjV2CYIi0xKVYe9lb4KRKVffqo9H15E9kiNrCjqHql99P 1vXTpYmPMnLNECBe8x7M/yaejuW/njx2f/gN/cbWrHzxWMebmGK2AHc/rpi1Y/M9SYRuiI8N2q+s sSv4NsP1pDf18w3xkOUBSnkVhncZFo+KqTeDzjsQTuiDpC4Sf+OF0W72iWJWW96CPOCumPQDs3Yz PB7woywiiZqVlNrxclVfaQhJDNT843FaXcf+rrWltu00N3gafY6/S27pdxXUagvFLJWd/wpVN1YN T6q7SAPF6ooI/CPBguN4ZXP4EUC6QdfS5Xymbyg97/aQ9ynTeTNuBcYEvVRgsrfRAauSP00DceUd +dhYbYhntlfQWFOG9InkPQ+nkCP9TejXVYqhytRYUkP9wW/A1IQy0B3qVQQiAv51FuSSbeAcv/WL gGgut7KQ8IgEbOllHh2ojrmtMRRg3uX9Y3kFTCqJVDqHAdVVqV0oC2R00HArkO4WH5lmH5l9QvME vtMwUkv4Z3QLLvLQblOKVnbVieIUfVuEwI+8iWA9xTyOTenmvnuPG0Gg9xdqy4tyb0zRC4oqebm2 dfXYUuAJ2Fa0KabDUf0nqtqbG7I7L11PdvgaaDpSAQRVGypKR6x0N07K4r9FXzqFkZ+zJ+yNFQDd j3NJzBjF0klnNKN1GsYO6kEQ5sf73DL5OAB/bSvbnSOt+wcJqSL+W60PDKUk2rQVX5AHzzH9caTj bN2NvmwXr3OX0jHKU0tzwL6nJ1mLozz62Jw3JhHow6fETVdILeNdqCPbHJbLYMblzEJy+8WKXPZA 11P2gSMcaWUvrOcUGjHe3Gtui4v9VqF4OCBLGEXN7iK8 `protect end_protected
gpl-2.0
c27cc5fa55a89795ca4bfc76512bb403
0.936332
1.886099
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab1/VGA_Debug_Unit/shift_unit.vhd
2
2,053
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 19:57:23 03/28/2016 -- Design Name: -- Module Name: shift_unit - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity shift_unit is Port ( RA : in STD_LOGIC_VECTOR (15 downto 0); SHIFT : in STD_LOGIC_VECTOR (7 downto 0); OP : in STD_LOGIC; SHIFT_OUT : out STD_LOGIC_VECTOR (15 downto 0); SREG_OUT : out STD_LOGIC_VECTOR (3 downto 0)); end shift_unit; architecture Combinational of shift_unit is signal shift_left, shift_right, shift_result, sreg : std_logic_vector (23 downto 0) := (OTHERS => '0'); signal a : std_logic_vector (23 downto 0); begin a <= X"00" & RA; shift_left <= to_stdlogicvector(to_bitvector(a) sll conv_integer(SHIFT)); shift_right <= to_stdlogicvector(to_bitvector(a) srl conv_integer(SHIFT)); shift_result <= shift_left when OP='0' else shift_right; SHIFT_OUT <= shift_result (15 downto 0); --SREG(3) <= RESULT(7); -- Negative with signed logic sreg(2) <= '1' when shift_result(15 downto 0) = x"00000000" else '1'; -- Zero --SREG(1) <= RESULT(8) xor RESULT(7); -- Overflow with signed logic sreg(0) <= shift_result(16) or shift_result(17) or shift_result(18) or shift_result(19) or shift_result(20) or shift_result(21) or shift_result(22) or shift_result(23); -- Carry end Combinational;
gpl-3.0
94be009a2e75854bbccaae9a773bfa84
0.617146
3.45042
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/r2_tw_addr.vhd
2
45,947
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block T4LS+m0HJ2X331wMmpJdL866eYQCzLD4iHws8NwshmZd7vykJ+ezH7QeM6xtnwG/5jRP2cWtdHdM UgmHMkhz1Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block mrTNS4xYDjMvEyPOw4uHor5A510pi6hhXS8IQjhluiGrr7wXN7wSO7IIeDgpPcvdMvCk/C+pFNOV XAzGklmBA54k8arWumLY015pn1IVdTdLsWkaakbfzBoYCcVa/dQMjwRkzOVXgZLmpDzwESdtL2Ka qgsP2bN/5IHRyd7iQGs= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block UNNRA7jJmj1DaZsqjX6iu+f7TCGbeETrhYkXEpYvrp24OVoCVfW5Ji+clir8sphRUjIeN89EoyDP dpFW8R+TDZ1+nsVODoJ0xN78RklFyxYaHglErKgzfLCEVrLY3ll6FGZAVWsWliJM7qqbTf3qf/ko d2CXyFCGM3AYh6AqCMG/L3zCrp9I0Hiz2n9nDaQEzK/ddB0Ak/FtwwAYLLC+NjRdzFDj00Pp+mHP yiClnpUVHMDZRc44+jcBOtLm25YPN/JGAdzlsv4pk0A6SJqvbTYMOFsFKf2cXZm0rXXSsXns9kYr q7Z8chptjaHdYZThStY893LQ89IpYbrwOCtJyA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 2xmvC8U17k2a6h1/WZ9QQQFtnugQsZ+w0C/BjX4t7Hnafeh9w4v2Pis3ZI+NLClRgPvUgXV0zqor ZxE53F3kNnRrLpJNYfzeuC8SkcCTRwmOSKOvOQmxBvyMlSIilR+Vlln4il8qZ7xHEbYHsuQBzbvq KOZoFFwsuROZwCI4q+o= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block rvXAF1vAYxPf6TTdNyV99PobWI+ud2gEX88bSR8Bbk5ewPv4l2cqcnJnVGuvr+YLimimCYnR7FDo vvDXG6HPzECq02FwUyYwVKWkGJmAcwvrN7atyttrtGg1OXdm/Y/8tw85SbQ6DzHpTGvffLbNrw3Z IuuFqfW41N4n1Igm5MlpDtdSipB08120ZaJ+hpTkTIQBiEUG4JIk07CsfwundnNpBo740NIavOip 7MoZsMPY0xNsyWkDcgPtnQx7Qf+1qyY1rYrq1ZWmFyFZiQ7+xPpkRogdfJ92S9X1cfPM/Da2ivRQ qCvDzFsiYmG19+ynVxRBBaMQj0viXoNh0saw7Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 32272) `protect data_block 5lEjjK616UKMEKeSe/UK4rAZlmq8DYkB7SsS3gd/9OxGGZN1d8mjOYuWzTKQlUg0ZK2KHIGnDcFT By3g8RrvIXlDiBuuCKgy2ut0EYV2ia2UHapzBIX+MGms1cegyZT0BakKwDmPwCTlcEC0Y+taJSFx 4PyEfq5QaA32QGxVZciLjipDYBC9RoeKNzoPBIWGouk9auJEI5TSUZPE6aEOZDs7i9NsWFty7S/s PrpjJNaXUeY3gLC5phRJyigYne+zdb6ex/IDTQOoPlWRro+u/q1AxxGuhVYyBaLJ9ustMFIGYiGZ fu6coTcioGffR09ADkxrLZHfWdt/OGi0JphpLVLU4yiHQ31FmLQwKFD7o759d+PDTb+aDtD/PePO +Q4pmKMvpw6uYU85QFPSiSMFhdGMjkgx4T+V2mauinaFB86RXCXmiWnTQgNSPIwoabfPxzq3xMEC nY/FlUXzOd1WJk0ZJs0hpmcV/BQq5ZqSKhEuWvP4Hno7Nr7o5am7XEKEAcwjyD68UXM+2zFYYED4 kAC8K4FSF/IAj74ey/PbaZBDUMOQFIUO4FseFGTYTNweNQ6NGIbH/Kft+Pgonj5EOfzR2gxxCQGR /vsMj4p04zt0PxhcdVyfmSWYR3Fn2z/gWBQmnp9kD+XPMns0zfFofhmDgY7Qy93O5TMUUfof+gYn zjESrFef0sS4ZuAY1AWYKWEVgualZ+CYaSHyVrzlfoIpRpj2KtOPiXxl+hNNYbmUiPdnoooCaWFl b8td8tt3kDxTw5twin28hJe6zWdwqK9BG80JQbBBawo5FOWDf4rYcf+6ahGom7RAR7r4Mtfi9Yy3 2N4laWP8yVcS9hl0kuCCVrTIGBHCYCRntzWuHOoc4b90pSpwHxZC97oIR+B3G15d94hyk7SmOB8C jdcv3bBJOr3i2n3fTLkOiHINkxLr0IdujngO3J8XoGdsx0bSKcVeqRjFmBigk3x8hV/JNcXoqswR az0gAUx0gM0VGKb6RMTnwrWkVrr6RuBNVL/phmEnKVUMp6YkGs2bf7ppTwNJbJ9vANr9B960PeL4 YafciLRrzxrX8eoDWv7bd8TPVI9zNc0BX9qU6v9shQVMWRpbc36QSspOrS+C0EC3+3v2+/KNET2Q U6z78Z/wWMjrJ9l6+rcXUU5FD0+a/3iFrGN4XG7NTt+UUjjPoKfPsOOcDKmEsl9xIkCdeaUNxftJ dT079mcFG+oniWoPmmM/xl+vSxrek3pk7qLuchwLbBgDFg5Rcazibi+AeIHyUfjh+CC6I4SZ45Zr rQlhtPQGYQVbeZMGwFo90hc+mAIIII6Gxx0txCblwqwrkjdyTE6JjGdSFGZQai1GVaYCbI4UeWrf o7c2YTI83Lj/JDm5fAoDo1ac29Uoo4LN49Qt+VXoLusX0qk1e6YxxM7yQhuhyT/x6fHL89G2RKrN 9tPeVeeSHJyYq4X4j5MhUc9E058N7l/ZRB6GODMQRp3o8SkhCHJDVVzLxmC58pTo4KjGOVJYSSGZ MHGm2QBHjYNKWF6awfyTRgSgOSzc9PxY/oGrT5RqHMDA6wdU3IH5T487RxOjvyxdErwrxv9mn6S2 YX2l72VWXKy335FWjd4FvJFAJOlGPJL0iGmZXyUMVvkfPQ/exxuUoTr+XMp9ruE7RrFY0pkG7/kL 2DlJo2JjEiRSDPSma+7PH4IsrAO4OFvAkgpsC0jBYqcW46GXJpU26HAK+xRI+6VfKt5TogEE2TC6 TNJq036TBlzKXw8CImzbPlCY2U+ktWV5887pshnx/cA6PrFi5Angii9sUdrp5c583Z05F7+rBbd4 gP68H6GXS+YyInNnvmWXVGfG8WsQhw71OxGhRx9VwsVhZz1cyGYQt8+Y5IvOs8FdljZ/6aDCvJM7 5UV1mjkm/xc/8TPWNYsVPYlPv3Koaqr5raTdiC7YmBNXiqO6Pwn6WXCVHkl/aI8Zuay6YZBO3IMr 3/kT9VOB/1XTD6pFEkwTKq0hBCzCLeKBED5egJ8Neo7PCV6QaJcRb8wTk+lBEmn5BkUZIzLNRhWu KoBj7iQ8H0pHhxoVf1wgLYHbhqQp1TFEO4HrNBpUULhQYzaEkik9Bpi2+OlC/2WR9ZK+92R8B2XD 9mvRAmWMi3PEhGhZpJTDcjsKwJlJ34HcVdlLoHNfExs+yd7MrgOa58NYqVPh951FWohT4ijf8Orh aVRItxLkSmlMLKOTAEqHrXjhMLQjurTLzXr+Ha7MbCu/KXFT0e+WbE8GmNuLIPCcskvL3zc/3rKF CiatGI5t4dmrjsOiHJMJ0UVqooGVEiqCwaETu6gffWy/SBXGmAFLhULBQ6qpAHLz389AlGThX6jo HnyzE6+NxgCzvdE0rVbc01fXj6dzlrk8MlXTYI32osTd7+ETxHFU1eQJAXU6fl/i3PaXDlSJcChj fG5r3r1Xw4O9VK2C/YKRupgVTIL0UwCUtKQ5g1HS07uIx59fTq4HwkgwGTDX6o+87pNfdoRwuE++ JDnjf1hnuXCADgNT6V6diA/FAY0mjF0+vYOYAqywOHrM+hHz3C0lH/3ulRMASGvHOzG9I4UHmtTk 3hPaNQiC1MHEbZCYOp0sqhcQJR4Us0ym4x4WlNYIGCDKXlJn2eYucmTYPV15eyt4ltCxIC9cXNb8 CdgEIjkm6O4XqPSIk6xQZ06JWoxpgHbtS7RWzR5JyIkwNV13/nQg1uBKXV8HuHLCZgjMLBW8PbXI X72jXkb3vXznVXx8WCHb/jTJMMuYKhvk/vKNbs0E3XIrjONPgBbS6ZA3ERijbcB/eYkTZAIgedfs NhcNbvqVj1awNTO3e8hL3o+MtYTwACXqz2TZP9+QdfU6e1hcRqbWNaETbf69/y7foc8HIt7fKZ9Q UtUxZPdClWo3rlRNr5jhWuSXj2uqUKKSDs6ay+u3cZ5XipburW46mY3H1WwezEDMTiBxoD9nJG/y rh7HU7q7IdIgpvPGK3lhQ8yP9bSmosdwVbWI7doYFB0SxaJo7DN2I1SScxQ++tZT58/dQonFmX8/ x75i2dTofLJ7Jnv767GHAaLeTkurlXKZPwaQKWRjMxD73GxLnxZW4+xlS0IIutGzG7Ps5Te/uzTy hqJ6cn9K7AJCU5sFznk8PhRRPFvbgrOWLmCTkOtL0ZhZ5c2q8aW7w6+oOfhKuafpTSQ1gBuQNUqr nAtaBu1obKeL3pm3AZ0s0K7hcUjcsmo4uzEQH4/nzCTAm7v91dy6SjUEWxfbDfgqC/8VO4LMkZJ8 JXRmKBSmjJgPiaQ6mbha8KTKQpZpC7aQWE5q0+Pxku98EwfN+Td4nQGmSU9+toMIE2e+MvRAgE9m 5Romt2DeOqSfc1lr8m0ogIvsDP8tt7QxnIsz7+qhez0B5Zqn0r0+Qp3JdvbZV8B+5MC6sgzkAuHE Tios23A9yYFHtxovcYKSYYw16ck/9ae46VCvIe0fijShFTbDUpARHJrRcg5SF7+Y9tyQ82mVgoSZ ABL+RVmk2FVPjbv+DvwbDguZHsZqS5EHbQS/TAKnWMUR0vC5mPo0eksglpTKuW4vuKG0MDigdl4c 7ESOXyH1/SB87XSSuigsxaUK16ADcrGHsHDXsYxZp6sSob8ADxJsChFzq1ro9C2KDD7bGJnXonMT ujO8vVqpmxGp9jfxJvGgl2dWGk9rfLE402p4ycGV+0bzWa1WzZpM/n/DtaZBB1lljLKqc+VdhfKP 4dXAIv/F/kKnOXud7jEt6K8M6tYVhWtMVFridXRumCKFa/Z7oQmG08QLBlyiNpeuCqFhUbKV9Fs5 1m3EeAZv3iklS07Y+qRL9ZY0chYtA6fN96rqndE8gIVxaJSrxCFqFZQzwJ9c2qeBSivWYaxUR2Be u/K0EdH/GOhKnRYFi+kbFOx1WX06+zZA6LtEzHGIeSmiMA+/MytNp3fRo2BYWfyVgNJZPhyQdWNV bKOeCAAC0k8Wt4LZP9k47ab8zpTgKSHHmNh/PQU6wCbX0Y0BGUlJlZLoamB39mGTwfGwA2xJVzs1 HqPPX/TyqfsSgpHoh91u6bMJ+90NZNM1ihmZrO74NNKOKZxDNWPmesn31EsYyqJkpx5lwQQqJduW 5cQ1VDYr3dnawJ6s55pmFUXhJGuZGuGrJCaPnLwzd8fVAYIpq4lpLTFz3CIqyzdWnBJ3L0h6KOlD K/yFN9nERNDmsYp1MuEjepCEQr28nvEL51PU2IwW1Rte2r2rKIPVhroDgq9E3ABeimvoT8QPt63t Tgh2ndrZSM8iy4y4poQkPD+YrDEgvpHSLMzwpMtQDEGuvHpJeHXI/dVM/jYHki9RRioikOvP7v3E OTqDVIpC4S9kDVm04yYrxxWjrZVJuc3HlRF+3h6HiL2LMScKvcqxNj/t54S/sA+wKY9BG2J2RnDU 42K/KBeDSmgA0mcx285u/uCQIhgdvZKRQpepsEax07Beak6EOSE0gezjOjQXHUCRsyjBrSAQRLEK ywQ2m9NwKlg/79ljvayrrlNa20Osg6dPDOkSJVUQgmr1nZUy645enLDpu75kHKvX+BnUMv3zE84J xEVMeyqkNVMPV4AIxlNRXvTy+CEuBB5RKmTiXxlFiYoBaNshCDIXlWGmD0r38Sv+JCCIJyUjfLvb KiCYNrpNpwKnCFXFR+OEKM+1fJX3ZA/JtnnNEUbpABoP5h7as/GlN+Jb7bB0mf9/AiY+2U09j2LL za4Gu/cQl5PjbpoBVlFM0CATQEN2v6GILrAL/cfe7H9z1qZ+VAravCH8DOiGnTVzs57WFy28E7D8 VfqqfhDOepDOVhZXS32MJ6LLH8JPSXNju5vkDqcswRCoAPqw2HvHNICIGBgk6684/dNYIQPILEDQ o7joNoNJXCjD+s5YE683aLK7v+rKKCoB1AKUPCUHMndsTZa8stHhzmIHzznBTYznj2sa9W6zGgBu fEoteQmj9vQ426PAHRZrMn6+OlK6K4Jk1CrFf0i6/c939vrk4OBOcdeEl9oI0aR0zquMQE5PYiTy Q/w56SAthWvICGEAAUwcCHFhmue7VHiy4a6CgzaLtZrZwFR/HTWtx030Rzl5yj+OyY8R5Qgi2V5F IOS5KG1fOTdknfOPZ14uZ1ZBCBYwgDhLWGDrEDv8+7W8C1LZQ75Z/TqVAgwy25sciniePxtIf9cp yVYjgHAI49eNuuFRLB/Yb0tqJVhX+wPjSbINBB8h4JOcod5ikJiqYBSoeGHBk48rsduSIqR/DYkO ZbuGDQ6OUk7cULV1ZzQHg3OH9wJSz+Djcrx2x9/463oVJD+iRNMiSoLa/Y8sHx6lUdQtipNUSxqC hzfpJSBLL5TD36UGfePFmaeAhRRabH0sH+XsKBzwvGGR7q6ANnHDzexN2kYv39iRu5FaPKTNz+0l NCLO7e/xZPn8o+lkRUHgVeJZju+NqkjEmsAWQqMZ8TzKhw5u0w39GNDy3OO1AQlgWvm98+TrT9DV urYRwSaFRyLc0vnijHaxbOhx5P93eh/kBNYo3ynFgnF1sq+IH8FEqXDiPCtDbReinzLr9V9Lv73o +3iPz8G5bECbbniUp0P98Vy0Ub1d0h6r7zSYmLKy0PVya9EiDv6ginL+sa3IaZxhEowwI5k1tujg rknJ5VmchKH3JzxPXCjNjb6c63AQbhp6h5eynFgtJHgRuDOjiewbjbMJIQnpU9GRi9zi8TbtzXBQ BRbHrVo6Jh9NgG5j0l02syV7Yzd6bWcE2ubR3syk73yuXRFzO3rde9fdKPt/hNoshnTAXbkdlrau hymVUyiMOMj9i6XYYSQNcClkSHnR7oowKEW5k7Qv4aPYG2KxtekWtLkuwfBKaWIkVH6qscMeqdxJ EFzLGkUNyJVYSt++YIubbYOjUfLlY4s3FGByDlPA1ERdMMDPNAL38uDUTwl3osxBWepHzLaWowVk XfQ+y4On9b5buJUzviBCzAvmz1SIj3bNZhaDRmuAEoa4NfT5X2sITT8U79ml2FQPpu4IoHe8ax4e f6vMyKUUZsby5oy6NR4OqHQc8A1z/0817jJCEMSxYUMvCoMFu9gI4tf1/1+/9e8SYAbf35BQ52Od 4pEpCGCVCzjOll3L+Lq+3N0w3cOzBvs7bP3nTQpYbLD5pmsT1CU8MZ9uTGEy+iZP2PWMlfUg6wAF dogsfits5BhJFgbxR1hbLryG+OsKWAFmZd4QYzjjMOER9Cvznr8pGXIqKDxBErRESERM2oJQIo6D oA1jkXpq5ETBws6VooIC8IQEag4KFf6IDZsPx8PpPisU9Pz3uasc3UOiSOgDAP38lX0lxzjLILs3 +jw6wgFKKc9EoTN34zP86kbYQzJMDMpYjqJsYyE49Me3A96ua+qZHIwNi7WAFGeBvlUKuup0qF5t +pv2MjyFsaIoiF3sSvvHCVeW4aPdUqJ9WwvpD4hy6i1UORcBo7vIPEvidoqFJCtFZq9T/NVRoMOY e+vDang7DnRngSIDo7929/Z+4alJGzi64tD+8F1e3xIFo45R/E+Hwh5Q9An2ZKG+Ew+BL9jbGMfv f9gOoCJAaGtq3S/ApTes/SRkWLLun7+KUL0ssSOj5Ql+IZKgi5Iie6u2aKCF33aXdSIJww4nV2DJ 0JV39+nZnQjvJZIWSoYnYLg39S0rhSm9T1YwbOd6vXQ0dSlO1Fys4uzreRsOIfcpw+3z2V3SRW0J vFKlYbVqXQRznFHk3eSdaOER6eTqeiFdojY4SCdWDV3N6HRVhzoDoILRxuxA339VGmgFLVjG4sr6 h90DNoyZ24Zk40srJjtGVj8ns+rEaOw1JY0mqgusgeufhpTpUl9qfQ5gMu0mzqHXEEmlhGdfyF19 ZYcCbvsGrTSllTmA1hyzuvu5oupZRmWMcWM3rBs8z6/YuSqBIdyQhzyC4F4E89EMyasAYuIUuWxG xVY5VRo8GBvyq8nxbcyvdeFR9KTzXdtOWdQxZvYrmwU0lXwfHXOhNE2xEtVkOR+Y2UwsTeYM4FFu QZPLDUMkY3gOpp9yI6g5tF5IDrhoGucU9nEkgNAS1wTGthBdFFSLDoreYtgIAnyH6RaN6ztOEYF5 BCVsKy82I6rbHjTnduUVaJ23G7mn+NGQDesh4raBfOgIfOXOo9Az5n/NvSgqnSRwn3OSpSRuuNvD B2K6RI8ifig3ebfdLFxjUmhkVBp6yVLkv/rfS0T4y2e26IwB/tTIYUXCcGmfc76RrAAGUVJLIXaC gYHPTjiMje+yCAarGYOIuWUT5Ojx4e5s52cse2CPYBngq2zbRRu2E1FcvvigwoAtYcwwboCUKHjh +Jn2yIV6RFJ1oSp1IRM843h18lIa9QHFitxIdbT240vB6PMAWBIm1dCH5ohzcgbY4OAIaeIY6lWu DEt8yBCAxs9DJVNQwhfePvcBoW3yLTMG8X9Ja/I+qT+La7jj93PS0ZOTHUM6raP1oN1q1glB7VvH VQ7LtxjQq3eqHqDKZ6IaA3OUIaBXoCuhX5pmLxdbY/EIPxg7586KZn4a9ddhxn2PO8MEb6DEuxwz u1i8THZTdzGcekCImllB3xaCtLwC+spd0h/cp04cBdAfm0KlzIjBVsdtaZwL3wEvLnHHM/x+rpkC jCMfWhlObqr2W2FMcVQG255tVJqybt7EwZy+8fCvMpn2Twk+oqMyi+CHEacyNW05kXUq/jv71O4J ZRwiwU+Agm+QbGw+ddNWGEDBTdCQV8+uCUrluXGI6HEy48Rmz6pXETlTSJwicJwPso5QKhF6v7Ut LNsGVXpEAGfHuJgs3H/zRqZqWsFmyk/xS77nYryPr3qqwCzBQQh0PpKz1Pm+2/O0QoZQD3MMyC1V KsqmtPXThuwNE0bWTLCIOqX8eybZlWLlP6zgnfdvaENEyIJ/lVqm4eUG5gXxCSHECkiNgJGBsJ+z 4Uhh/om++zT5c5aahz0WVZFECqEEN+7ZUfgttlhujCpI+9Wt5J1vSK/r875OBK6UdxRhnipOA9mp FKKa1pgvj00ZfK42PFKKyE/Pzje1H23/Gk95QcxU0DMGCNPERD/y6yzr9mBdQrFgqYAb4rqE0y1a v2MxWathn8/Gn5ntOGAwUn2kdp7bt00UzFBfKVRngHUG3CQeZju1ZCc38qGAbVx7pOc56ismBdah wA03cESx76u6jro8xErTR9IrgmFdDUdu/+nUw1iOg/ov+yeqZshRXFoVLRPcQPe1UzukGyvFZSwu 8K5G+bzxs2WLg5ru1/2XmNkOXhElbLqRRiFz2VEc2fzkmarlVsvNgdtl7j81bi7tFSwbh/Tq+it7 x1JpQQGfDtu4PRsAFhCdgVuCCTAuhtpXnatnW0DeNf5GO+t84zDlNYGfF2ndnR6yRFboZV3TRjga 7BXmip2VdepMpbXceQDKrc72mdty1Wsr3OaMs0ipDAsW1Wh5EU4lUn1TwCADMNOlt4SkZwI5uQu6 8ZnKZDoJuptJZUOqWurbhyVf4W366Eb2I5FdOt5slJLDy0d0a/mGcVQ43CWSWnJr7o8UvNgxeWYO JjHxkoT0HKKCI8SFT5jiGEbRMPwS/Q2WA4ObpVhcBhKFIe/aWDE/Kpxzr7SrDWVdbPshRZ4f3W0u fQxrmrmgxd+QIH1So5w8dj0NEo6Om6+c4fHkuGUtYRhkIYZ7KVdtHjoE+7zQVhMzZMTlqJxfnhnJ 78QXoa3wbrQct/j10D8Uve7XrX9rLv1GWSK0AxS/Dt9UU6YFiggYOsFL+mz0mxVC7O8pN4/WVj8h owtX04yCwne0zxi8H1+OqPKZk0BULfvqmv3R4rs5CBf4OVYFNRe4y79XbNYqyYJTQtI3Hx2kC+0W zESrDSwajVnQQLYZwg7JW/deQWXwjSOobOaE6r4VmYLSj1R67aPd5Jmu7/5R2X8hc8jaRPQZNfhV fGd+vFcqKF+XBrD2k67v/zs105Ja/PYZiTa8BC9rTFYdHpUURQh/Ww3xy5OLEgizCU+sx2/Zkr02 lZWzrz2EnLW4TEohK8fm+7vFa7wbKRcdRUlmSYVbTPoqcOt2bZvw2BqAyet0ZYiup+53ynOJErTy JVZj7kZInc2OE2KzMYviEkR9OHJ2e7y/t5Prd32C/Se5Ujf35wcmwoDom9AOOHvB4U8cC6+vrtl9 1bx1SYZnpgb+ZnLAJu4YcV6ioVe2KpvuhAI8YvrZq+iB7O0RWRoRiqBsaoHDw2i/lmVpUcaBEdTY vlJ90QEfwdo06wnOFCRUuZjUTCMOD5nrto3KBn39aeTj07WVLNdly+4Vug2/01pzSNM1nJ83/fME iF20qLFNda99iQjxEseh6YikVgwhdoyTMgtV6XXV8lqdURjfbsGtRBCmrMqP/xX16dcd9f5AqImO t9Vi595GCTMfNJw2kQH6Gywz1qbh7zmM8MIG+ELA5+NALPElYjJYM1qeaQgh0fxBa9NbyAKuclhZ DoMesnWI9EjuLFJHJiaMUqFOFoSNZbsiFjubFHtC8hi2/63KoGTVBIna0wZ6IQBfKB3xuo0eR01b BdKuV+2uYLw0aMJJctdUDJ7OvZX+G8ZsnSrg5/ZdsUdj11x1OqLF4Vh0fQjKbBVyem3NclZ6vxA+ nW1fM/owRPH9OOLqNHSqdVl8mxK+R3eustTL9qv0sSf/R5LtI7QduG6TEyapNKkAPFt0okSJGIgD x+0eh2AdsxOGi2IWSUBU/O7uLHmT4+OedZ+vzHkW9S24npEMABBzGbGUT1ZJTUQmOAiF2SrjgFep n+NwCPc8JhbMlFNyD9EJlKqDQj/DM+xFLP5v3iZPwpw41EmcBf1NxhXQlX1XAM8pxpLSVFbM9keK HtLpmhewMZwfoxTuKukQFvnFfHkEUGYQ8tFSigtr6zrWWmjMJDovaCgCIR1UVSKoztfw16s31/DH jEPJIXkXu8BcQKQrK8LFE/w+QyhbprNGe7EhM48L/YImuFAFmzTaQtf501nCQ68w1zaFMeRxEi9e UwAf8ypjQPgvIvG9FDgRBlB/bUSD6Kp5wPsBtLv5V+hneNgZW0D/Po9/UVZFd8/dmXnHyVS6kW2/ VQSClnV28Kq5o8/W25JlNiTkI5BDR1iYXRX23oeq1LcqvAioiHix+h4H1/ykkUu5OuIw7tFgdt5i cQDG4JQ5754dVYVrXmz5Ic9uBRVqjwopr65+7KnGw48Z5MaI7BvHcBKE+D41OVBf01dAFnAjE7MV K6kq/A5i1FvwVKLka/NwlKh+9tDrUrNQNzADtU38/eXJ5QDfHfUBlilh66HTowB60Q9838PNMHyM ntnQXu9+utaqUJn9ijsLaJs1zjR7Z5M0P58ULTjOTMlwGc1/rE+PyLkPinv4Prd1uco0aDcFVBLi dSKyDRibKAvuGnZKOhb8atGe/N7TTZCJqmzi4Qya9wU5DlBzwkz0oCXmC9Thaqs4MhJy8eBqYa6t To10tkDIH3ruMo+VKOnTsK+A620On5XxKoNFQQmJAw2dwKzUbj03z/qHgtrGr6J1V856vqOOMUFR BtwXRqLikET0g6CW8OJkgkXHeITUC684I3G1ltU2IDswCuyNfM521Dq+hyEmgelkakVlhVw1LV9F bGg09ZwerBSeJSty31P1XGOHgVWHSjrunR/MfRjKFB0WpA4rKEvsQMOPgKFt7DtOen+m14XoT63V dA1tkd77P9752b860tXyEZaw+vS41cUl6nWl8GBj8rdfABMkkQEjX9pHf55vZmLCj79lv4jFuJn2 E4yIyO1uWqBwrEDvWkEO3jL01frwXfgn4Ev2Lnb0QQXyYu8DxN7N6SOQS6Md0sNZeIgDVOL0bG4i 63aJr4ha1UIvun3C1dxAAikDzXf7iF6/S0Uz6q6r0oLl4jTatOvnBnSOLEnKIhlkEV/HJbxD9lhz AMMpGZip1DcJJan9XCZOWyMKSB3CKDxEOceVDxToo65s/QXOKJP8QrAWy12apo63jmK5h+vuW9ZI h5hpgwIiI7GqZ0M3d30VLwJ8WDf6ZqhmnJUQoy8g5/cFshKUcPiq6XYXeITwcQnqUZspuXnbWlXi 7Slk4MaI+uuL+TdNSJluNI8VU89NF4DPqFfYJ0z4I/oKq3VsaT3u3kY2mCanltwh4AXzkojw7aWQ vgLE6d47zqMb9M+WLzXzCGvJt0ly8fdflCdLQxTAUKgnzVSLPyMpp2VT3sWwnv4SdN/0gQu7Vp4/ agLDzgqXmU1bblwr+1JUCtdwuF/rnCqirRo8n+2iPfWFbKdZ50BF1rki0IBuCmjghKQQo/O0ssyq PAg9FvecJHiElN5foIbMXef3u7cqx1oZv7VVqcOnc1UEHrpNcbKHlIWfNcP6HLNkRBtng2hlKk7c RXD/OuDkYH1MyZNlJw/i00YQKF/fTajD83vdVs6pzZ5OTPtsDIyJiLec0zG27mYVnHXyGUJgT8mn 0mSVdM+uBVM2qq4vInMAL5tT928BmoHGaH/q2QhjfpYj1TwjNu1+JejldFNaCXQJq4xe9GoDd2IJ OQodfOTg1/b6ARND7JtBy5S3wTCi2/ILFMEyNlbnl2Yd3GA9u/QOI7LGk4eoGK2Z4r9/KjZmCcbn mCkFqbtaXIhD3RNYy/p13SZNFxiIcmCiZ8L1GHK6a1keKsjIB+ztwXlFXl0m43yWH2E85Tsh5k0F e1wbTd+BE2NsBKBBPEqcJF+KyGORmh6qp0WzFQaaihtZCfvkPFmC3pUzX0FNTu3dfICfJuzQju1i PMChB1iyLTJtV2n9hPOA9yFu27PpWJPerl6/vQTzZit1yBjaGi+YE+wyihKhyHGMI4idfKLuEi5/ P15ygTFZVwQs+VuqcL2Reo1D1s/ktIe2lVvBXb8PcPffd5kdZn80QWq2tD2z0WzW9+NdtjGHQAsf NXSU43RuCip1VorxfXwZKpoe6sToZbeYj7OEypmO0FG5HjZexZ+8c0cWR6Gq+Vd0tMcAeIr0wmfe 8zZt5iXOYhbjrYE0rZkQavMz9dB3rZvnOXp04jhM+VxiOdQWsy9Qa+z0RAQ6O3wB3fHgs6THo5GM ELNsYKMVy0J9G/egaTPCh0xWhXFvrSPUsHC0afGpCQ9n4iusl4Jk69n+PPFcbKHg24v5XDuZJT93 mq6g2SAebKsBZzJU9582XfjYKW6+SCHmkS+2jiZRe1a6uAtC8B0FA8GysCjBGo3qqC31ZQYX4GPG JgLyQQOYJFdYjW0L9p6fREfHE6ucFfugosOEWnNLJUxlVe8YrrbMvT56xwS4FwiB5qD4v62xDsUu QR62BKu6Hie+k0PdSdgx2CNVi4tNl+FH76IfoPAdhNMgELIXNda2XCdh4TOsxJqJ2Lv20l6FZMsA glyOsjzCkXXmQTrZQ4Bh1G9H0S37EnSfsKvteKq1MUTKmMvgpbuf5mqEqJUs/dyg51b3j9Ktrd2b lBGo72h8vFfZZNqhFcwUJSKtRnYVPg4vf1V5p0Jw1g8C8vRrwwdk41FNgEt9hCn5aZVrFd3o3SNy AKvbt4+6RmrWDIT/xauFN1Cx2n2Zlnnn8IdZefg+VHuvhA0lOvInNL1KwG3xkvW14qM9zg1CTQNG rontIbeKYHX0SRi30lRYjkVMvX/rDj1HTDR1wvue+dOTF0JNdhCYeAMnZFWyEjCza3zch4DuQ8PR xcpPGfVqIHvlDV1MxHOZJrnmt2nPbEHJ+IYhMVkGcIRi0Z4HO5zVLc/K9dJvGMvObpjUvQH5geRr dWz5DM/7iit1mGOmFp/Eg55MrlLM0wSB/4hvkRGmdZ2iKxg3Tfsqs6Yz7HFSkVtpTgEH3nIvLyBJ aOVenDuEAryP5eLRlbtmQWVcpy3z83xa33c4EMiN3lQSu2AzrC0F4gt3Bj8vZJrFSco2ulzgQnCk EFXNhPLO/Cpvv0GnFmQzNhSTB46OJC/qzlltJKnpI1/Uk6VEBTXJm85d0t84CfCvcAjH51lr30cF tLcEXwCdByW0SkIZ9BnCqMQqO5+H2xAns3HuIOpQkiLnDHCv+xyz5Re77xWxoqaRslWHwFfeS2Lt jdBfi1ddobV283UiGHlPHMoDrGrsA5mCVfMQOHaUnJgdiPgegFudb4HVnlPRcgGHcOgt47FTEt70 swMpO093HL+qWX7RQriA0nc2ph1BqFs8uIPrZEZ+IIxUXlxvfjqf03HhQgkl0LFU00EkSLXjH3az Hw/O2dKvCdKWAcip0wxtrDdUuruMqX6r6Cr8BP4J6qovYVGyBk7H2hXT26mHX7Rl8ovxts8PXQGD W3l5PBfr8TTXW5ocTW2eAju8YzkihG5VQs3YcnPrXDIhHHmhNGOLpYBaB8gNSySzE8ucBvFNuj3U BXJag6midt9DeilbuPvK5En6bo+hZ+Vx1pPYcX+3JcevBB9NkZtZMcT5LDA2YG9qcHVb8meDl7fL GyeP4kbOkMUYZn80TsShdbuOJ1KiAgx9tc9AXs0+KWCbjOFS+FIj0JNCRherqdW2KAvLIhPc8+ND 64ehJYCypDAF/n9I4lSW/iZjNs/qk3WxSjyyEhtTFlXDskKcrAVwUMftADd/5pMNrwJa9KXocP0/ H5lSgO9Qxn8GlYWMatSiULdfHUsC2lzG3o6FiwALFSNEBtytO/fxjE0UfsA3CdlOAQd1dqPOCZu9 mOB6OVWYw3paTDwj4uPiogsP9jN79uF/mjlJeFLxPdDjCr7Tg7FaaAbWvvPofK0nbe/4wpz0B8Rq Z93mb0r2E1yJd8Bny4mmJ2grqjgtOmRLCJIG5NEcN8JM3rLt8hlZTKN0SDkDX1nLZpfhECupvqQW 61TdaQBtMvXuz1LZ6DT5foeqgFihRX+xvYNrFsrJymuZBMgcskCwdI6OJLkfIjG+8eT6pkMtbZCW SYLCXUJSz0+ow6FVCziE2OwAYI48pLcWEdl3kHei7QAuLOWM4U/8XolGNVgem8TyXXOtPYXzEjkm ZwYAHksnH06FzbX62iYIwXWxQp0exQF+CQPhwhLJKQl8McLkBFjedv32aV9Uuuptbp49cqJt/BJY 2KzSolorkB80u3D05635rwpkeEspqbX/gqPHOXTrRmiR+CqCau0V5/zZPLQzPB8qOgXmiDhzwz0E W8qDzku7NSzWawHh0w6AeA1w6IdnjbCQW36iA0YTyz7mJZf8Z1rV0q3AYpsoBk2Xd7T6H7AQskWh eBCi85hHCw+O9v3E7TX8GK36kZOZE9I8sz9O3JcKZXzTnvywZfJERvo75u135oaWcmLV1w3udpTg pSEvn/QAS4SxO8x0nVXhJzzoMnIbFk/6cL2HdXjc551gH4qCRQ0If6ApAwUaGMtXI90QJuI0tYGy 7NPHZYddih4/JxUpXjH/CLoLkO8ZltXkFSqyIMNaiFf0QFsIL1CPOG8jnebvOxS57ipabPbu0trh jgiZZLKivWlcdHvwjNrYJgQxdYT35vAm53kabrxezd+U9s49BhwUEhtKoLrJkez9y/K0Lbrcb24l Ap2v4Pv6orGTrJKuOb2OZefSoBtRTgSnDfvpV+bjEaAdRaqyz65nPLjy84tGolFsQ4UbXZNUO1C7 vX30UZUfS2JquIEN0dBr08dZ0cDjK5pvi3gD0u0p/r/6sa37bGPa2W/WPC88Snnodi81HpxQIknR 8iYe+VUpDkhNDIJJyl1/8dNDaDJooljNqkyHRSTaPZQmMNibdzPB/jZwci/pT1g0d+PdO0CgS85O jNdO6m4LgYpNWySh61bEepfPVUGBz5a/lIeY2nfGd543m7QlqdBHjRz5wSsOyqxerbWXvQik/sVl FmJn7MyvF5D2zzpNkctGg7qtwzEJvE2U6kB1b5tSbsfsWoMuoiKECorKTPqlMKXl4MdcgW8dBzKd P61t2NtOw6U17+wzQdOsNm+HOAvIDMgTKmf2n0CfGOVUPldT7oH3GaAf6cRsByJ4AkwT2WOa9CS3 dC+Zd5JsyqRTRpwqz1ClGjri9bbYTv/pqc06Xp/DHlLY+bZZrDuAQIwxcDORRtWsM2KuWAEGJuI8 jJjhYoeI8qD5JTaT66MVDfRP/efbOsz09idPRFVfH+8n1Ibo7gZcGqke+m3COQKvItFB7WRWMa9d LX6hMwI/f/H909sYyTGty9RMHT89U9pjTECmoCM/wWn/2Y/9obpq9XcdfvWYl6eUK+dH/dWUSjJV piGLNQIMRk/fRIzHDDVvM88QwGkU0N3XunSfoi3nPBNO6Bl/MCu7bpaoIOD+SUJOUvVOaxBr8I0C sPCQtv4rQ4rWmxKDq69URiEJiMpQoS3zyIS1TEOyR2pxz8uyeKlN5UEN4XELIgCcCapvDwrIjtkb 3y/DWazNtU2rJb8+Y+SswW7uj/CPmmDVmKUU0iWpxq6H5nRg7IrSyPrlr7Rt8Xg5Gqht4K8xP/o3 ONKEbZi+GhVdKRgPvKZUffjAVTOaOqCjtHaVc9F3/RgEQ72LGddEajgrLB5XlCGMPonf4tSuQcz4 Kpfb7nAsO5YmuPNodpDJqe9UHf2nWu98qDjf83+lo0FkJf7IaHP2lB0Jh6R8G4twOwDr4eFtALbt 6x25r9rqlj9nTQb/JzL/sR3P67RZgxOAeYJCtIK1VJTmtUjrEluLocEAzP4zy+W1gUUTTFQRzWE8 N3EVdQEOF4n1YTOTJ5on3oo7HIR7N2jlZvoAKhHdedV1g1sNqapJ+edC1GnUn99JPNl693bD6gto FVKieesNDrT3kqIQkWFzInXQOyAtmESOMnVXh4lI+lbsE628HnZ/gipyIkIUp51PiBqvNTJ4wQcY 4feR+57EibY3ZjLxTCr+2y8rKVpe6xhPWCZvvYSzhyDoUjOTIcDrfe7d3XHTlcMdYkI9zg7qBii9 VZYfzAcIQrEio8XIIm0ddlPR4Hj+AcxiUvIeBlnkHxr+JC4/L90+adisZzsBr3POpEsH8woi6Dlc /ghc4k+uLjjCwWqRIUNiQnd6wE+YoQtShbPHiisEDDcavjizjc0+pBKpAdIk8yrQZEkPfH7r1pBo Ldw99ud+vLxKIuCe6YqkU5Wfv8Ii0j7rlEB2oi3I3gJ1vd/uj1auBmTF63JtDOicTcww9DGyIRcu M9Ivq/jT+Ugwe4NsagVGBUKpcLnKrhxArjmjtLr/KPbqPlgMafWh7Gd0Fl1NAZGCp+AWft/Rf2E9 MuwT6+JipXKTd7tKAtRFQe9AlqjU1lPePMK2/na4NKdE9n2QWACJ3SW1GuTfLHk6XAxwKzajcXlq ASD1vQFLNKCmGmerAbbeoQGHahfLs+mu1vGo1ipGAuOoFlEFVwkXN94nB3N1CpjRWOZi0I9cp13Y A+6KimHhX5vkLggfD+M1MFC4stMguAqOdBG9QnMNPsHcOr2Gu0n6YKbejtpKooA35d/+lujO2y9e Sfowdi6IMD/iTRc8Yx13BPR1+bm50siZo3MYcXH6M8nynQBsN151379ZettUyVtCU+MQJ9Q2J1U8 FV1wFqHE6J8z7cO5oMpWIyRD+sevwwwKuYP24XRcm5jg0DE5rt03y2AOEM+N6E4JEP8Yps23CXhJ XUZYNqq1nr7yHscHcuZZEVqctoqY2hOs7CwuqifCmcGVde1RHKcCyyVeLGD8IS2HHHR4RASD+H7g xSpY21fwbCBQBAXhdtdH+V6kUOJgRNKsNQsau9Is1/ZR8GmSRULIlWMJszZVUvLstG7kmI02AZMa s+SWJhDz8/9mkPB7oeS+iG8hx+Xax09Kn82RkgCEKDvkMW8vcQc5CC/y5vcvkgoIi8t8mrSWsIXF MVfreFMuzi3Ac59yvcRKiRVeAugFQdPTgm0vzAj4X2rXS+Xt8VTPHvBkEFB+UbnLAPoIkJ++6Gfj Wd87IGIulAV1q2vURx22IPVIPyTV9iGSkDiKLnC4yu5W3ZoMjnhXoQga1MvsG/F565gP7DSmNCtc diknBBnw+tCptdwi3L6mvaS0aZuF2IZUuW1MoP71zM3U/Mma7Qm1aC55xx9v0XtAruwJb/7rQQxA OupulzPV426md4kmvIFJ381sFmcsL6/H38LSvGg6UtmTKeXecEBLqdS2PZcxj7bb7xYe6mv8QsCE VpEhQbxpbU8/h9J9s9AEk9SxHRwX49VbH67NOtZAQHPp6hEFNXvfbg2s3zmz9PrEiigCSJe5tjMO fnSnW44dQX38GXOQemltBFwfgIeU8J309rSnsopZzE0KVrz72ZL8wRIthHnxj56tlZVCtj/cB2tv hcDIKKg4l/98gAPACP7NJE2Spn66lBBFhEnPv2f9nYbWZuTh2599xi8+k5Ur2s6vKWbNBHbzH9wk t+q2QOIrZOEaissk6zHiroo8JTJQPd12a8wSfepRxoaUasJoD5rQ76kQcV+RYZ+8gEozCQGVlq6c 9k9qemwIXFcIFDP8jLLdcM2YHcKh0Xx7BooIlexBxCAXuTe9AOHsvfiV0N6RD1yZm0Am/2L5hvq1 fuGpaf/XU6dnikcAuQKfltwRxgo1mj6AC+PDcDnuFKvYy8RRb017l671wEvTFN/9UiFBJWhnMus4 S6iht8nhPPdfJrAE1s1WtamSSNp4sH4daCiZjIGN+NWpV+H/PuW5nsYIavsDUN1C0KEigNEjOlX3 eVE64n1f14h59/govVxspq7JK5Y6jX66Ub312Ez//RyIS82NGvP/ytvxTzNSml5JGmRH0rTPinqD bhpHvVg1ZmvDV4gyeqc5vWMjovtLsjxzeC9kaQzSJO4MRne91dtb2a6aFP7GTip7hn+9QEZ1053A UCCwJm5r0z4o+JBkiMJ0QdYu7ifOTHEpfZFcXPFAjZcwCjIJnnPfsrbRJg9MJEkZEbgmzbLflzEU jYeu5OFvt9+gGt5ouMUka0cOH9Bed3m2UNlaVSWNvBLT3tPCMMbGVY8maHJiooWfBXkY0KRdEve8 9DKVN9e/DsJ7wquvldhCbP+wzzSg9kUfqBPhuqHgyFwEBZEM0IAdQipTsQGjpLenKy+YB/G5FmMe Gb9ahe8+KgDlE5l915nYPOa0QRFIERR1L5JZmjS5Yi0mLGnjjN+4KNEQZLAKPYuTB80d5Pc3KIG2 n6RbWiOrbBUzVkzChgu9RWq4mFpW/zv7E0HCyYgo4KPmfHFoj7g9OL9HMjgcsCdK9JrdWBpUHjsk TB/1f1FZWUSdwVAaO18yxoVUthjf8HQ0fBDwQDHsJXIq2CM8PqzPxT0/k+i3je7ai3p53dEr/2Q0 A9ppQwphw7v4neY6pjMu/aR2j4zPky8BYnX5gALcMU/8e8sG3fGNdIe8AgzJqDxnJZ6fl6yrQXjo 5mTRkUiBZsNMmMED8kx1NfUA97SIrjzCGKPZsTBY86L1mLHBX2lHJ/TKs5M8Jukyd6Xk96bBpcX1 ovE262ya2OOGcUObqaRT1iEzpRQ0PMdosF32bkTzJRNXi5QwuS/YrhaGhKnuDz0/t8EIZuCZle0I mH24FWI5EJlEOmyPteLpKBfErI9VZBlytyW7jQcnpd2o5m3x9trELaeCAW/XBy6VXqY/DA51y5BD lNRHWR1WgOcqoBWbdmxYEE4s8gaD5zybUTn0ETbQbhy7Ajgkm7VYjoDOoS1rJ+2KsOoMM/fEJEJa HF4KygOiSw+ibUYPbx3Qg5RWT9g4VeS/ERnY45QOav06zFAqJALdPNdOwvt6BPoj24grAVkeWAWO zzq3GGvhCkcprwUUTyzqcGioK8S3a1YJsDDYhkYf+ecBiMPM8If7y1AYlH6/L/QzAeYlfCvDx6oE IZNyN9sw1qbWOoNnLUhiCybjZgKNd5j+5UzSMukWZjnOgVz5F7jTYoMVb2v8MllMqGIlsKIKVt0h BW6C7d0T2EwSMqopliE2/+/KK9LwuNU5QQ1a+6kYmrEXSrnOoR1HiQaGs89lvVATsSASGdBK3QVL VVVzwWG/63nhd59wA/0xUNoODGdkQnf8AKtkxYf8uAxWivdbTNxSMDKUF61suC1z3iJ3NW8jwxOG GdWXhzft21DWixdxBR3TFQxH3HzsSYlDInikFoZIkPywnGj4baqARQkmTLZuosX1TWkweLpUx1u+ sNUGGHArFOwj+S12b+g3WCin4xAy0TsI/VZk013lDChUICpXVNFwpJekruyHr0xggNKZy2NFiD8n LwSJ0vJaH82wlV+MCB5rw/oqc27QyhWMXfgEheSGa4lt+ahIJoZTxXz4KvVN8jYG0AD7JfBMG0Zx JVxC6qesxZPlxecwsks+cSEp86hXDuo7kNKoa8pLBsT0vEW3Cvf02H6PXAht3YAIUPt0lVHZvaj+ 6qtqPIvMk/67t++3JuObI+4NT4joYMCsnpzuKAcLUEwNK1HtPlwwMmn7LUQr1VNnufn/aRgFxVWA P/FMeVCbnplX2AVmeeZc1WX1eiiGWV06bu/2WguQNFfCm2150nvNg7Q4ZsYhan+NgbVGDpsdptXp HKNcRRr1u4Nn38pdC1LhcHl80xHFtIVtcJGdes9g9YYuTjKkUOTwEd4UACpFPykYyJBqe2q5DHfY KlOV4WfwF0UgQtnUnSLHdt8q4efuNcUo4PChcnDrCvCtLhgiKPlw4Oq7B91YheZV1tlBcmjVrBZ2 wyZAquD3xzb/DAnpfLqnFPXzJ9emrtMLRmA4DuhXjjvwBiU0Kl8cdlETZBMzCsH0YE1F5uwduAHD vSsd6BFTa6eSd+6nFT/blpk1tUfqN+BjXFv4xbxsA+jSj1NtlvL28PvDZBmOkucj2SZcrLI8KRwR YsWjU9d0HKZCByX/0oaGuQfXpTCYg/SpV0q+v9Imy+jYdgDaFtE55IGWwavavBKDcTfPCrQfW3nH bUhmttnfImia+SNd96gROwq/yLveSv3t0kMFgHB/FQQMoBN9Kio+iqJoJ30GWegjUttEsTO4yFpW h04ixTVIGe2X3kREE/eDB+tbBSszMVKUQQxjyGBDeLgwEx450kF6z6yVNuh2YiHVfxobJvFzekf2 FHrpPQ/Rgf42HTddFv6ktTyf4FfhDCnP4SY9lWKbweG3WWDY7Y9yxSk7vgrGodOgNPYJNpuKbNUL IPSApWbFE/E2v7P5gyI1oXfbOV9G2moTzkIX272NKXang5ME/RcO906meRd2UDCNvbMQRjELr2/x 0dFLz4Rcwopw8TgEAY9tAC3QJXkXd07PBdCu40Sg/Gq3xnXOLYsHjKKOeOcp4RxMmLJbOdiNctYG eJ/G4ykHcgiDVpHQkPgygSpeQmeQCfvveiswKycrFcpIPdFmue1llwlDWV8GnFekYH3ev1GsfNnP +ecdIKV4fRgaiOJHSz1TqXwHk7ukJHMR4P5HAxYCdgLY+YXD+ptpEM7q/g7/s2lgF16kulB268E0 yz5JlGSzKBhX8st5kHBYkLeTUcdxeZvSHj83a5/TUO7/XhgUMRoW0ly/eA5sPU6AEch8/v16sHPP DRPp/bCTFU/EuRlULCTHxR7tzX2m0EFohPkg1mrkN7eXNEJthgGbULm3wtQcTX3mza/66AhMJBDv 90QYD0p/I+u7bQF3CTi7rA5gkuVbJAVv6KV0bxEAhxH88U3tV+Ki/I1WcCkdanSR6yIhjoYwZvYC bi6U4BiRreT/GOccJvrNwj8nGHekLTS7oAJrN4LfYvxYxYXS8C2pju5B1eX81mj3aQ3XNSWOHZGT ndAjQojZ/IYqra9cpTd8toVBg4y93LXMPeSoQYxkTrRdTXF5LCXjD8fXYlYugEJa5+cT/cT900XZ w7+IQa2bmMu6XnLZDId13QXMBUyTm3o993ICmKGTwiMgr675n4jbSgtxKE26ahipX8gLy75dZxAU Arf8IfQr+LsDCC2W8XqvoEzcSetGN4FWOvfkeYMUKhb6bTVqqWW3nqPIZNSsie6yqDA0SOQud9tB htWytTrMRC23jd3PYJP72AtCVaQfwo8vQxgdcfrjNupSykXrly15sKMkuQa4VSgaRVUQDhfVo2TA HkhI231tlO7Zjl0JAv5lyxFIEDPoRNVN2Z7hDBHxUMtswMt2zUoIiEBqLdmLTnNaj0O80rbu92mb CSiRy9ENI5MiFeo2W5kfgk67rgzu8+em+1EojBCW3aHXQOwP2NXmS+/3PfqvHvB4bE0jj5v0Mxui N99MARPasJ8azFwtZj8GHP772jOCgzWfoACWT9UEcJG6jK51jW7lGE9qKgzwvWPgw0QTr4coDrrV Xh/AoEq+66wATg1OD5yu8RTj5DzTKcwMh8+a60UdBYg/nu1jl2Qk2TTtQqd/h560/s+0ssjLRs0K 13If1iAdYS0J4iKvLWIE+XbBnZYMCPOyjOILA+jtJdaRhE2kyBqauq3E8HDMb2fmBIOBh/HVelT7 KSwVhNi3jL/ITLZoz5RxcrYPHuzqYn2pv+YWBufERi0tQxKoWebU2fSESSdY9o8x2idlay3dY3bO N01BG6r+SdLVmhDohxR1O6PBD0Owa6cZhmfXn8VoB5d2AXIanwrGwiNo0E7VK2oWyLdex5Ck2fSv RiqAlJJVIcvGYS3PEtTOVtDNsVvHElFKVLFmxuTneaeuR0KepQAMrHYwxatLeuEekt6qICHuwTaE Sc4zV1MEVmnckpHCYSPpqzfhKIhqusm5agQZtGQic9u6CLYtInYuR8Z9mFcfPTpfdvtnRJ4Ap7yz r/r8PAekgTi8WSJvWbUhtLk1jPSpZgI+klcoIa5vYeXW2//bWQzsRoasu/5fOdn2Jk3GrWgTI5Vu 8vgRQiRc/WuF1o86kWB0X5h4gJ50wvSUpakTBsnMVMcXViiEp1zdrFMp0BsruOVcb+325+ShFawn FIKbnWe3fOTVZWi0VQ8+LsVbIdQVgdXz3v1zr9AUffZHDWYwmPw2J4S1FqDEcp9etfMovBSE9n8T OwvvWwZxtVV6IeNbVteP9eQv6dVwpSmJEeFXM1GJFqHH31G5H1nVdjZA7Ft93ioR20oLa6K8RAuW JFIY8J3PQ2z3Mj4rd8Y5EMAv6BCUUX+p0qAFX/pulL27NYECfLFWRAhcDrMh/jVvL8n4zvpC/qzY lZ4QxFrh41LkfkxJ7Gpw+6CGKCp6+zAxR44YB2UrPSuc7KHQQorSbGL2AKQ5Eojw1a7aBcHgLLUx ZeTj6AdqHTElyEnRddzVKxybwl83xAGyn1se574UiApkP1UzoICTOVNtY5/PuqtapF6HV3LvO+ko N+VZuZHkRmJGqSod6wZs5RFPAJqIplQ7yFGSN4WpDw1aO/aHd7yFlj7f9s/04uszYW+7Oq6vtjOy LFCBhZ8PDMO4upb1On3DJUVUSAKq0QXyle3Ro+TuLgWWRIImE5W/vb6V2dRw5kmERAAgRrTrZNii ANoUoAN2MRb6/1Cl9UHGPVT94Yv0CY2EvEaQ64vFJP0Ip5SYYNwxN5QyCBD6PNsp5aI8fNinbJdf BRJ79jOGqZenTdZoHW/XW8ZYJnFj5wer9o4R3Y06PklrRVtPmKkaSKNuc98mtjM1nd1+aIn4ahzX tbWqDueRFhENDXzO2isdi0P3kPDZ0/iOAIqesuTBCzoHDYo2+joNk0iSEgaIkElC/w6AollU/h51 G9cwlRewG2g9zzTm0yG2e2gtZvUXg9QozJUpfyapUZ0h1nSzXPhfx2+8osXnEXvT32THCtiJ+gTL 7Yoio8NmKO93+YWppxhCfBTv5lOx/KGlLVlby1EOMhimxZ9pgGidmodlDr+8y+18h4etQlCvNxNh W+YiDH8F0/pslt2vYGm+ySQ8ItPfj+qIRqcAdeEKqvWDDIieQU5Zzi+rSXym3ytsR7F/4VxEaVJ8 XILpsY8FWZJBjuYvXIP3RrOfcBLjXIYKIl00JVPc75sKiBx8Gs4c96MYp55Y5D3z1kFeduJYlWb/ BY2oBF5/+4WRr4WoCrOKIxoUXrUvsxa3P51U+ZewGkGHeABeGQLTzhuvMVzwazdhrpzRJ48hxv0h T+xJ7TW3GZ/L7B+ilaBJTR5t0+rMvuYo8iRVjjrBk9GsFKf2Nw6frxcjAfRgsNlIgoM9s1BdRjW+ SEziGMg5jUTRHcqNvRHGfMDG9OUieFKl0AtdDI9/Kx7PFETh1fjvULl/Z3T/AIr9B8W5RhntXwOG gZAHSjUPxniV+xK70+9MBF2vTqDCJBPQAiWw0GSIhWhxJR9uLXuYQu5nqswN5IlGfMUt3jFLuUOe aImcIpPstTKFwqSGFRQqJ0UZ0fKHpb75EHXWObXmwtee20bhm4azys9RaTQQRklcaYDJtMGPcuMd 29JbSTlWhXhhvuYgERC7ZSR5GWTZP0e5sYO9GkTg376HWZEB9bXpVvcw6RB8UtJvPuylEVnxyaT2 xO8oq4OWHDdmcQVDMomzhp0rQKshAQCE94wlhxWPwGw0l9Nr4BW7NLsIWZXbB9z3MC5Sqiyh3rm6 eiu7z/gx711QH9vu2iGcsfKz6pMtc859TxfFcObL/lHOCIMqAAb3ylQ1Lwsj6HtkoBatuHmOZN/A jjXOPUcZtIlgrG7H+itkkZkvTD1pZutxdW+Qk1ifCZIfUYj10lPYh1Gt7AHurw34hkfbqkl+pEsa eib8O5y1Vhdy3N7gGjD/cca2kwdWPNm7qNQVKn2vxiHfT4iyxOuC4GFTVXOHVk1Keb8zZE/JX6I5 EUriPD07XNdZ6FX/ZcoM2PALJ/ZsCM3EU5XldsdgdVRJkTvKiYVywQSNie3aZ2bYRHaisRHRklx5 hzqKOp23E3zn5ZbY0UDT0oQIY31sP6h9o2HeVoPU4+xpq8EHTKMRxjYRS+sDO/4RWvc2et3EejsN QPLq8jExaoUBtkgswTs8xCLu10cKAxnxH72J3MsD+7EtygLXQUtiucudHCTmV5Eaac0M1XDy2B0j xYCN0NVGbWjrqcBDasGGq0bEXX4JUTbtmdz5btXn6k78mYP9CYZetA0mVMRhkmRoFYE5aiHymA+M anM6eyqtv4yHHB8zvED7q/pB3JvkmR1Lo2Wz1NGe5P0g7B/8DcFhWPwPkUEv9aks/aW5YTl51pZu cxbeHn0Sm+kZxLMhPZ4h4k8f+QLusyq3VmnAHEb2y3iQCen3A+pcQdQLZ0GW/5Noc85bY6Hg1dyd HF2+ecOTvUyt6l9OHUVRaWkWohrVrAJM1VQWQBkH1O/CopoEqSjDZBQAKoIL87WRYk92PF+RqLUM Ihm7yC0rThWqznZdQzIPXcWNHyrfnJRxk0KjWzOy+zcX+IAqKXVdRys02736dfkvQFe7I66t52mY H3tg4ZQw1ibCydkwUqrjOlJFxSShP3JQfhWofPig7qnlbnbTotGrEqKxufBPa3gi7JQS6EGuDI0X lxvgICPR+eRhY/iqoheCCwL2+BlSkJ8BHAdt4RpoNeBb4tJPzaqzrN9dgwLm01Ght8cp3uA7X7vF qgAcskX4zzyE90LaLIRDWIh8TQmgUjw2k9oWVZMzpdhq4OqWLO+KvPaY1IAGOoBMrnFnYdAabBcI I+kdGXJ0n3QjAnPQYsfEnvhITA7ah2GoVsk8j0kQcCqXxC1SJbBgtV7mxUKQOcaFBjY1DzDJJuYp ubRK0Oev0KnhFvo+uoW6bDo2DuPzqxBk63lsD3okpLB3EvHMeTWT0AMbkk0Zn6Eyw63E+OGmtrRU YBqtV28EBgkvPRJm6kDYk1PxDSqJ9QW569NhX2X5bdJCvuul9ptObCYlKCjfqKly453jQkeNTx6L /Zks3TTHbFkvTMuVJm9TD4nGhGF/i+1W7UXbs505ZlKj5vFDSKVqO3gsKbt7K6Mum/OosUZLyNL+ 76sQUZ5pXsUQgOv8onZsYQ1ADzJ+f49nHPtvq1CtdN9zi/Vip1F9yHw2fDJ1lHiBqo6nTDJGg3Cq P5gouIgal1a5scOR0SbLto2ucZSv/11dksxdJgk1prnS0GH7xPtuIYob2VKiRdSSidPT9g2Pz4pN 5hm5MUyE9+EnfWFGjayKN//EiKAF+ET6Cm6SwgRpQcyVzAwGHbMNOBEg4Ij+Na6Ag6Nq/e9o1BY2 u04pKci0XqVttpG24jkYOu7yjMfkIK7b5fpAg1quN/mRTe49h4+L9Qg8mUMtkNdS3vlv8TY0WjvT K8OMm6kzlqPbYedDBU9jvzL2GLNtub5upZEFrAn9gbA/cgIwENeNDqMY6mZ5aXUHJ5dS10OPh/3i tzPLfSvZ49v4SaLwnvk9GnaAN5++F0TRzfkcotyAP8CmPiwkrc7T4sTZja0Dw+QCncN0xaLjtWqg bMGTj6UKuceB5E5HwaoYgXhV3+wHi+if/d+JvSizvdZENBBoX8GjooTO85jN+suDXSwMUD1NPx8+ TKdWvMUCfI7C4WqacbSJNCQ9XlUhD7GtStrChWjteU6KtTpHFHZcFzaibpxEeb80r4QOH2phKd5M LewBizC0HQctzeXoe0Ccg/XE17rdNc7cqCQJGJLRaoq9JbRAo+LYpWEvh6v7aMcr7uNhMCdAl4DB dX9+nIAkrkVVt42nSP5kf5oBEkak7hNsH/uL5I7cvLd0wAkgstjbS1zoidqlvy7myhwdEagAT+fg WTQKaZIXjLnAP+DNgZ44J9hzs3b6wPSslnNAmZSF949g+2hGY07qsbJUiLWNdPQiBCAvkCUy5vat Nuu6PjQaZqJlLksQ79bHUnByuziA4lyAFEp6sY33yC+d3ulL2yWJj/90s9vdAYTeXGZH4qMUVJM5 31zMFhoRxY++AbINHJy8wy6iBquNkMnS2fjLRaXpL15bAzteeuXMUE3jQJh6YoNR4ow3pv6BznYI CgvE2+2p4rJxqJp0UUKl/ARdmonL4nOn82d8KT7DUMHAMOgnoYUE08XmprJwJ4dRylkHMoJg53Ny NrTAdOse/8Pau7N2lQ6py5VttUYYogzjxgGuzn+QJd6Nc47MQDLQ6ySukDwgw7tKVHjbLNXv9kiT VGfSE3V1evoYunHwRMS1ETNjkSB+YYmn8qsdLx5AJjyuBpE2/HlTlhKxZoqX+B4m76HuEu4UK4F8 p2FeXMsHChpB/9SLHV2unK1FHBYkhqC7NDI4n8R3AaDufkEgrNb4PqS1tfg4oKyuk9gRElTCjxo2 g9OS5C+PgbTqSFxokqIVcc+4WDAr0SbZtQUyqfvDRnsSvJeRnJCRco0QhNZFadhiLsNppwetrLmD q1EFgTHX3yTbt0hROUMWGJYxUuziI4dVQS57nkIaoscfI3sdc/ucAFPF7nszMdWltgHCn5K6wypO BTPwaHqXFY2tMTnzbyHUz2nCsCTbJOMlRCw105pp2PLddDwrswfSVNxaIqJHQsHp+Dabg+y9JPrY n6e0pM/Taj7y4higxtNm87ElCdVgQxMfVuOfS/lvIqmHeNcY2DtmOeJKECvmwBmfxYLmwGg9F7yM B3LhocuQuQgrmJJYGcLVpfxFuJt3wcYqrZ6EBKVWKu97bFUxRuFBHdX1Eq+Cpcsq7Otjp9LUGDhJ VtFmrQVKoSejMFWhPS+IZMMy+urGXnF2ND7lsGDfxzk0FW7cfvTuPkz+HMtijkwK1UYh+SkZgxdE ulBsT/Op+8xAwpIniMylpids8uUjKhT4uwzKRgwg+0RzXRLIgpQX2aDDPY00cErVwp5wOH6oAcYH gNkay5YvzppToWZKsYjtpZsvp7j4glkpxZCTyo2VQQNvwrpT21HnLXPeKKZpvL1hErqY30xXm7Le dyIr/aBlM1AAlwQd2DgCA8Uscqtx/t+5/nLCXbk/JZmmd6xf6MBq/IOlnz52kWLd9nu1LQVcMUHg L9fwjV4pHTC2qW579b+L4aPcPEvnyratWXz2upiYnuRdkm7oTYJK5t3KdfX3TgxEGNoGhrPekYUF V7sDe+eHUJlZ/IreNvqCOjxWSNdEoYpoYatIUdckixYtRibUn91hl5nteC8eVFXlz0XcCZpdloVJ RB8avxpuCPtMqwRieybcQD6UU/+B5ElpQEA1N3++kBIpK5PBASLrAww2hW8sBofIHU8sMEBIvZvm mAzQ5nUevX2NAUTjXg0ln3nMHSX46UBGW65PLkXzFnhorZedo1Raxtm+T+2ft6WCGta96G3LboQ2 fRN/6JwubOPaYglBORfpxTVUwdQOJqijZe0kRFSAsfyx6jt+tbJzXA0Udn7LqZ+PUvv2dehWve8y gFanlxGMFQYl4wkHJlLgZqEaF47PCu6+mC39WJ98UjvBdoAU18UrnwOd/GEBINnIR+r29lWSwMz2 2KwFskOXdhfMc5dK7MsADhMOYipgO7wwAZIsMpf0oy/0ZYuFojfKbJFlxNtKnUyM9/fbRjiCJuYF i1GBJd6+Sck+NNM5KJmo+9EcDrfcl8MR4zXgmoLaHtq7K7JRkmiBDn4Fgcj/XshGPiav18Zn/+Sl k4O3dn3uBI/VEqN6Va4HnIXtuvAo3wY/qDGChkk7Ek/gz0S3VMZGOqQYXNwBpRVtIHh6b2QxvpET mGJvgnR2iLbwShWuSwyd4CeMp/B2lgZM3O4gxejpgU52Q2fjdiiqcRpS4Yp9wDwWvLlFXKAgwOqy kaLrZ3jOLeXIxws2Oj+8nruGEBOXWvOwLUMqc7yRLscbDROl9SExZzwfj6rr3w/e7exBpdQMo38f 5AZQdCRsWnrpO3S7lE6/uDERH8YhZCF9X5vdYGt0WkJ4NhJ+qpYdgICNOMSlrKks6QfmoRGahD6v iR8iGhCU7B+oqidDOPHfCbDE4eDSFRzErtdYPtgT1WjnSECr9++LMroPNxdx6RohwLQL86I/wzMW 9/ZFhiAhp2cOGPXZ0NvcaPUHG2s0FhjaGdu6ow8V60LThWND3+beqRCHkKYXftC1R8MalB5ssCBM JNNKJk1zmbsAYaYHCZR/12jTWcfHkOy2XCqDtVp5AtXgT/jphQ8OOTHr0YUvsbp+51bZIaLvG2zq e3dRNMWk1JYYed7lO9yuHxipp/QTjC08uwE/PAEvml+IbIXQRysCiNZlRuYGlZXeUy/smDNybrph 81ne383VN1mMnFOJUW4JHvOaYvAACSKIGkY7zSyy7v++06R58I1PRhF9n2JwX7Mz6dLuqZX99TzA lcb0RtnL7jgmc0CQXe5eQq6qOf59S7Ue/y29TQL4UXKz0+ZryDiPtrdPVMGzGzRylJTrz5rM2ZuT +41zcXuFpOiMg8dygQFAJ6xiZvWWG2qub3OO5NrzJf2pJ8B0ovXRbfi56PRn8a3nDvCf8b01vzyt 2fgXz9wvF2DP0rQVzGs3uGHVBaDWrje999cdCxyhrn+iPCcbShi5O/fQ35Qg3D/6jlE3tI3lXQAK 9b4WjjpZxJWAxPKV++HB4e7Qkj+7kZSNMJqndof/UrH1iZ2H1FymNVKbtfRQycm6gexzVu1yK5zf NMx9OBMjVrAuArFnAo2bAn1jBc+yJMxBEGNLgxxV1Paq65IK6qRvDkjzWqtFplyBJ4M2Ee6IQ3qC MTPeYhIiythmkTaYAHXYj1djEybxIHXs2cyElSoWuxy+PdHIoaND1O4gdifWsUOFt2gj7QZbBQuO j3r0Vj9NyaKAljC1/bqTKG8TEW/Aoce3JlH0s8DqVM2TaqDG3yw7S6VIVK7I4FAVyG2V8vhCUJ6j fmnbjPw4RKztQyCvnTW61UZZ7GTy1kT3z07hZiI0S1otkdVQ6zy4HVBXo50x23Q381M5c4NsnyOh BiMdB7+bn3lVDkFo/94vzgUDp48fRxlbArwdC+zRMUqCDtcXCQ79kg9E/q8C/Io3u8nWcwJhRpA4 vB3j0QI9NvJgNaxkkGEDbn9/GvUDrdjqQ1KbpBgyoFdf/AO32p3jm/7+uml7/15xF80VQwofG7BG hlMQFSMhZKuOikpzaRBqBJZNDtSZQhZOOA5yqNxKkViVugONBHP8Fna7hfjJsHoXYZKb/F/P8p4h OwlByqn1yaIxzOdcQgz9cF8ngUvMY4G1WoBY3DGYNG27RtebNUuaDiNHU1KOoMMQr3WF6fpvjinX KcGOxpE86+mfIYvrqlwF9xysgDSumlHzi9VcZcCibqMFdfAY3xqjqTnFErn8/Z5fQTIJuxb95joQ M3aIfWXWYBhnACvQnRYBDAAhv831x6+LDuywA0frVdqk66+PFFmu+v4VZ2I81UJIrvqhaIRx1xz6 krLqtVbHDoNFMWhUtJN6ZoS3M/vRiOxZxZxWP4kVNf22tse7CI69IT5vCtpRQJ/GjGvtjKMHYQmy g1PguOf1+Vjr7y1UoON4lBBz3wxV/2NpztBoQsVrJ9oOrugE1Y0GHyvrElwTdEYUCTOWi3cma3RX 8md2mCSgCoywb51iY+z581W0pCg22V1vg8/cYqN4Gh2f1NsizbsRlzsn+VxlRToheIrzFKkG4kBW B2Lay+CFaWEDq7R678X3pbaW5RVWURVrJdOoz3coHdyOmIQJfH49Q6ZOcF9r6FwT+LSRlqIK3nOa P018XZlA+/Yl9kgPRy0m2EeW/clKADaOcmyVX/dyrce6nt91mvjnQaOqwKaj+yczn3nc9B/9slUO VIVcnsdoNNA/H/gn9+tbwRqy3RrGaqGrYBi2qmdTJs5lLKdjBz39jWRZ0+6JSMIAFO5T5I86RUUu i3/pzefAkajYw+uYZXPSNlSHz/Wnw07aNwMTg+EsmAFztmr2g47gyQ6taDC2TU4eY+nM5wX3aB31 2B+fucA/Blzwqcul1O5e31/UkxLRaGzcAcSGcn1bjqcCYFGZLfSOCou8fv6jVSyFxNGZEcjVIIzk yJvCM//hCOcTdkHlXbwpG4gTGf0vOq1w2LbZgYVtVkZzI94FEs4hbsvfnBugMEW9tbrPRsDf3T3z UrkpDL0br5dx7eOSHIdM3kj4dC4rTXuuHmCJQlq0zLY6tZEEbPzLS0wM5jV/80A+wydREnwMOWHW EsZZG6uKc5enbZo31J0pVNaofqz84HTmEY/Tg++6lql0zXqjktr1NEdk9zOQYZUfWLD7Yk2NxutL i8ASxfVi9sTDcHY/JZ3w3d95mdVdXn+AUSlRl8QFMl3o+wMuLNMfP2IXytRF7X3E7zbGjlKSM5wY Qc0TNchb2/lZaJ4811fTUR1goY2LP6jb1355YtBmEiN7RwmSpL33hKmxscfni62Kep5DsHqtg5sk LSVyjhMVFMmyRPqDoVBCefns/LnLTyYjT9JxrnzuPg/2EaCKXPD0bYI+Oequ2chIw1o5On0wEynZ ydg9vzdaPGjNvnZzX0vxqHvHcGvXHxZf6XjIpDrJbNBMCVhDpLoeE2gWLI409H7FRWvW6Yl+nAH+ tLsWhpNgJXXo60ygB8+0jK7DsWPW/Swbs6j6G5Aqjm9OR63gj/4+giv1a4DAcv7FVCIEWe4Xp2CX U/658GvCyuFNoFDSK95hydEvsVdM2982+L37Xi9lMHhrillp1ohWRh7ZDfuFnxzIa7DEOpkIaly7 XPcbh4voudkraA4jhknQuFXsn5BBzklbOqY4e7pKCBNpExryarNs6YxI51Ny3dXKzPMGlWdREpL0 jHTHoTheY25VwZjogRH9vkDfIYC3lGBZta9Q7sBjAwVK1EB28sNazfRLNb1SDFK680mxQG1QHRqp tDUfBJs2CmN59G/IIJiIUfp8mPnXLmRXEodeWoi3zXE6Y/XQOcOX7eB7w14XCXC/5cVyu+69hKqn rV6jGo5ks1tlyb7ZJYxvvH3/MMCoyl9gGX1tI/hgMPAhdnkbFg3q8867TTMRnOCag9GAZqiOXVUl RuUSQiytJht8Q0VZdiZV0A83UPEW+w5lSOYlHTmfZGKQS4X0s19Og8v4cRlGnWDjgkTqry+3JciF s1aob0W5YfDSeZiNOLZ6+0x3TgI9R0VLMaQ1B8NsaPHc9EKeC7L6KlNEVMWP3Iq18oVJy1BAeRLO 5mBZoU4qwUke6qPrBIICJej2VW9L2bIose/viTG9bjtK8XmMGMy7jiPOpmUftG86Ei389nLJz+DE xUb2ItSRyGy6+RWLdfF+VYDan2vAon2CAoVVkTr2oZO0no/VG9Y/eiSjr2NAXKypd5evksYT7cdL K4pUwDkkFpq6zNpoMwAEfKB1IeVo6y6InbLZdzA3tjTTDtacKWU8syRnG3u29p7KKpfCyWSUpb8N fIm5KFBlvHszPcZHbJ4nEKB++oc4tnqVDsvJn2p7fTuGHA56DhQSAkxVrwR+E+JGGOAQ3g7mFXwp 5+k1xxaf0PGqNOXHnItNgRp9kOAwcuVj+JaaMtjloarfuUfheiUThLVcXfUnNR6FG+9IYVZjCZTc y5NIvmBprFZL0TQl7owXx5s19Ob7PemEUiDXtUICrli1GurTFHNkuJhLUuLsqtg2co1reBfoYBrc PhF1B5kN3WunZOIvngOaWrSM/TvrjqeefgHQe96/QNHNff0T/MXJ+p0GOT0flPy8KdSD8kotjvYe APabP/xrcGFUuf1LLemoMXtq0sVzfrypEdgs6KeVGUuxhGmdVXKVXdFu+mpWpZCMI04zdO0JVO21 ODVRzOkmIUY4mkbk5E1gIHNc/CCFZowZmC26guFaMt5vvEVC3ppemL1jn/vcEeq2WXvWekS+EN8d 83lAbobb5iGy7DlGnq7iM28khrEh+EvHRrRPgCz5loS0pXSlcAFb1QfIOdo5BAxyEyCuGfAOjjSu ZuuTMEkNS4RGsUa4ypoxGv6i/UdVT7CUxKWq/Vc7sFzzR1qFxRMP6IcD44VYRbCVNa9kiKeF56VE tpnwZWeKYplZ64eitDBeeyaL8pQY+ReJt3RxDxqxHppa29tCcaNAJ9lI2zt2GJESz22yu/oVqZwF BqJHOyUKLQgBSWcWgeOHPC8ItrJsWNRoINj+NgQLaOtdqTFgaSfTIti6LbILwE9Rd7xEq5SGDa/x xGaK0oRKveoSAngRuAcSWh9CKBThC9xlgZnGn4LIfwXVIJb14uI5/cB9TGU/LBfDrTEE00f25PaF O4oxox07w5k0OCVYjNVG8CA7zlnFDB3hFM+yLBhDrSQNk79ZacJ1n6CtZNeHCPnFLfxfGD4yOvjU 6KpX/YsZQbUvz03Wyv0abDXg/9UcGAIyXU2jor7Z5zw88fh3fdWwERAdIwDA9prn8ZE8w+CjBi1h iy9vbGjbc5phFeRy71lSIeXxDZ2tu2YqUA1oBHP9a+o21VG9jfQq21N0PAX+shRS07VtoYlxGmeq yxqgKpx8m1KU4nhiz5+ZZzYVJyt3Lt0coSX9qH/gUQaWhB8njpNt3Ijx5SD/YRn0K7gpI3PLePH5 CLaeRNtmeOC6ex8M6y9AWSHHeYt8MtLTLceDZvX+SqYLAz3jIIA8WEsAY1kpFGeF4nx0Vl2DEUeH A6G8fFCcBRSCIrF82TULk/r0KtObomd1RwkFNAC6Mhn1FfYaGk47AtTzWwTauHgM76S3ii1kZxlL xbI9qbJZ+FaKtileX46lg29tBNT4+sfk277RvtgnjH+Cx7tyTsowLVoJzDY/SOvbaL6YYOW25k+f 4hVUD4o23x8NCw06oBKFxjHvxO1XFz/tGzoJ+kuj7L5oZpKaN5KJXkepvnoiox0YJ6Swf446OIKE CQKwMqBS0WRj4Pbs+xm0OREBYAQw9i9dd5mww1KfgyoDS3liQyWBIfxjipDnELv8JiL20xs240S+ Bzwatdg2mlNZ9aGz57n66mOhFns3Ppk4T0/JUqOdX8lTtPJ/TKcjGjN/hi3pqaGDqI0J0T81QJpT 7KKsim02/+pjtguPoel3+ZknBqaA8ffJa0xs1XCU73PEL7WqVW0KquOZmkZT2goMSaZsX3vkpmSz arPSFtHITCtVO4XVt07iUSCrwaxYM7Cqmk0Nb/qG46P6OMAlKWxNwUtowW1o/tId6XTFYt23Ap6V A0Qus+9a7BGuAPEf8H0tiM+fe2bGjJylOqzBo1lKCRxf5xYvTp3Vqt0O4eChTpY0kTIZrMK1qopv P7NjfYz1dsOwMLAAla+kM6fwgZAyqIvkqy5EsTe+kaJu7RhphJ7JYQd9aTbV3htQqV4GGxuNIHie yabyrVchHfJawGvYV/kuJPo3EAKeCotOAFv+WHe1NZi0QM5fS5fmqL7LY2GE1b2VJ+q3t7QnRkcG vW9TnPe6rBJdNBgd57ZG0yn3q7MlI7AZA+So0fgjWJv0t5KyP/qcx1zNd91SomgllI2R9AkIHsKk MUbHw2YXSr+O0qxWlUAWQ6ADs8ojEJGG42qzPUgYMuJRjMW8FUgPkWKEiBokHocJY0/1ystdRRyK lkEx9JD9L6/NiEL6JYM6O0TivuPmXZPwX9wA8yc0tRmpzVB6a9K/stUXeC2ve8p5DFcKXlscVNpU LO/Alg8VR+Q+etJ/B0QMYT+6r6jn6HamFlghuVBlq+fRQmk+UK0TJR/lpB2qqEcLK24IZ7oh7lAO 1O85TNN9o/Ip43Pf1XMFzWcAP8jlzxDKN7ymMxFppbpcfRTKGXXJEDbuxHwUQfcGW9sy/etCQIjI iGMJcgctHpohYdwDkKai3nCWkQMtwyhmSE7W6mdQXCt4M/ndatJknc8abI6syt3hr444gI4PYrBa 03d5qS72eUhzYPuSkPS0RLo4VAMuUScXawj7dPiya9CJzKQBKbivFDRyqyNnVmg1qJEuO65lpuyl G/UFqP0jMpFl+m2r8Jtia6DvJLz3Wxu3z13fVuUGdQEg0n8h+Y/mjIM/9qtLkfvl+JvxE/26kCI1 uiaavs/HSRixx55Lq1+rcHwPl66DvEi7Ot8DVNiU2R2Ob6ylgjpo6o4TAGx433N58WhEtXNtmuMr bv470w6ldpj2JujszV9UwE5QoSV8Llapvi9S9FpNBJbRgll1k+ass2xwDGPlVZOPO+xha/3TIL1x DjKD6UPnDGNW4R+FUTlpZPDd7ZhNZEJ5fcd3ODQA7Mvf10DyYjMKGgQdZ9ghOnHE+5fz5JEeiPeg P+JnCMxbz+AN1+X08JxDSIL3U7TCRl6K7pC2U/2o69LRiwVE1qH/ifT9zF1UvIq8aBhKZM1nIVcZ EwwPnDeyT85sk+DOXYq2Tf4dovPo3Corv6v1eG/pTC5qWs3I4h7Et8/mzc7bYxUleIkUVuVpneVz 7Sn6yGcYHzLlYps91OqB/3IVXOtc/AciyScp7osFXP4uBIFKrKfpau9c1JmFzdxPtkh6Xq7Vhfn6 o8nm+2rXoTl491I/bECJOQgmWha9zjkVKJRGqOIubysAuLXXQy35HdselKMu7LiETemn5DHjrHm0 OTbba1BMArqwAJvRELWRKt2/XHtWm/WFlgohhkVn5xxfkDURn+1RSn3ibVo1WaoNl+5BbfRCdxgM Oh58Lvf5nEy3DiYrrKlf+E7yIpZPRlGN021UJTfBA0TGivizhAEb7+JI7CXDdXN5wIxbae6F2KRn ZaYCkvv6R1mxVCK8COSDa3pdl1hTW/aPsqnI5VmaMPLR0+IuTGX6ihZwK/G+Wjap763zemV9yl2O gMBGWXbUXHK3P/EWtN8GOHc/es2UYIaoA/cL314ttYnLTypY5wswecAqBr0IQc8c7E6uB6v7WPlu veDP3dHBcXV+CSDsI4AjcO8cLdtS+cMVBjpI5TYKUc0ZS+nxsZwNsmHdOM9t2DXdPkKBOa9azxNy GZuWgheOPcFKw1hLyy6YOE6+sDOhB/mWtR5Hyb3mXjRJeqchLepblp3y0Z65AY+cOexCXwQ3Wi3k 2F3iPGstwqDAlF+su/pbWT3bvP+FWfA+lXqKEPtNSmUAaGpyFhIsaRDGfRXKzPMehuM3v2kntlql GdZnLyu8yCrXV0K/IGZgbc7gmfN6QkeVlnsetyLG66985pqmT2zi3nqgu/A3I7wnKkVIptsAgDqE hhwUwhJA/3H6/VOoyCZYJoeJ6P3TVKAiiB5klDinKJ5DgMzp+rdiftOaqmU4ESVeEfYYAzrNDOC3 kOsIb6jiJV5TmGiPXFlcrThMtmBBTIDLuNyKObF5PigVLfrETJjPA+KeRRM4SiMbK11kQSkIkeXk jjk1vcGW29fCS6JyGedtbHq69buicRLH1WiNYEX9GXdEPdftfETiKDprRbaynZT73np8iGnNNiAk LfcRF+vbhbbg4PS9idpROHqPkickM5GgBBZXXmH/YjaDD6oj368pECNPFKpVR0MUb87xo6S8tNbs LBHTxnSD6i1oACAHtyjNK17/Z4X1ldTgD87SXUw4rFvCP16PS42u9cY55wdL3xXoZNTVJfeK/hmM kWiFJ9FEyopWl4gjLoio2rFKZ58LIwnnZ8hvThMNKIqV8xOfcgHaGTK2LwBDaqxUDyRFTDkp16BD /DJeweVnpRtoNye4gG3qtmbFLr284MRiwHIYUJfkI9jkcoletyaqJlkCJFvUH2anIEsa9W9TpQjm YjJagE2bhF5XUpePk+TsV5A71Lx3cKeU2Zfb58YVNQHFXV1mnZO90RX5RGAzPmrvdi6HFXAPFDhF 8KFTKXyeAtlLkmg92aObkZ7K5Lmsg9dstOrzBJ5kUZjklMranWuIgb8JLPybm5opC2lKf3G4i+3G bpS0HL6ewEDcoW2FOuy1pf/b8ZBw7VZ7TFsXFIkYGuFz5F/sAOl9j48lixJ6DXVjiZUTn8F9MsGI uA39MqlC3cdrxHriSwgFold9x5kK3NUXvtWHEPKHYRnSeNfZikUDCHsoP/iW1hDV7ZNntOoT1YNZ 5M0sK8d/0Ksdj7CNI0KwKd2KolfOL7UVdCXqcTRAZ/1Rk3+TvN2fh9Rzq8kWs77RUUJ4j26/TGpU W5o94Mk1Jo0fR5XOX1C7uaVNVMqBnSIkO8rLpwa/XgSZyP1zirK4OZOyieq7e+jaJqGdrthCR+Wp cBHG1KVlll5J9SnxmQNUei0VwVbITKbkEUZmTWPMyueYcqgDFL7HiYg0z3UpBOCEQps/Q7eJQC0m 9hx5Ak4kWPow+KzIGytKsw6m8Pjq/WKdysgjdJIqVuS6u0YIo3eblgoKdA621s2seIHNVJ9C82oX 3YXFi3ZDg8Cc+o4RBUs2XpaTFtstSMBzf3ybOcdvWr5Gpa7rOCwYhsjIEoR4WNW1WWBFh/+x+/A8 xa6Zr91mM4bDcbsGOW1JwAV5+GiyMZWhthLhguDN2ITVy7zy0t7JXLcpFnlcGJZ2VN1lv2kqTgTh HHpS6lHSL04eepEgxGD0D1lr6xqFyzE7pFxCD3xaqEwu4vShxUY6KEU86jI6i6KKu9avfw/VrNhb lYic6lWDxe+Tgl0QB5O8Wxbt99yp76tSQ6BGzzH0rUSdsuSaAJWCRPzLgzq7hBu0hCOTfHS68sGA d55M2oY2gCaJU3TdY15w4mXNOPrgGb3L+N0gZf6gbXmiUe+IUJlcP4QMTmzQInse0NWn/NiEbgjU SV3F74VcmAU6iSePiB8fGXK/m5RMHSxtlf83tQz35UxQ7thjde8yJgBNhKIk3YYErJYwp0lOHOa/ 3giFPF614iAiBt/Gzan1GTDpo5wouwu3vR2ZO65RXXb9Yp0i3Aiz/hfWLR16pC+ey8+jYdQO9nmh TmTgkZn287muhWfEJvdNZdbh8i7MVYbafvy0bzWr/D+DAYFS+cCq7kQerY1SjD48zI0Ae2f2B7Sy ZPIKYVOfXho/AnnGbS1/mfHquqm7tmW4McuRQQWQ03XvL+NoCDxVHfybI8jV9JlOQC4zHPb3D6Tn YO/i5bri6oW/x2px9kHzsr493ilIgzWUv367OU86ftrZWM4PI7E3iV/Rr3cyE/SOqwY/iDM3/hZb DC6iUfePh4GQVFc+vWoAHNshr5r7fgdxQUWRaKb4FoYRDxSdRQkgMqWdUesdzNfDFEgIpmYjIhX9 2X916/g+hVohirMnMFeQA7/nkBUL/KQOH9YDMge3iSUlUf2AoOlV3Xt1atzrm+ynAKQHXNv/Nxnm j+9y9B1DIV8JwBYFSjOlxbaoc+7eA8kkQ3xgHWFpktCS1qlyaI7nrYA4fUGPs7Lh0GYxk2JyXZfT ZuGHLIFAEMa5xc1U3G3y5TOX8prkZC7NDBck7d6ojZccVl8q4lae+NYVXrDfpY5eEjHQI975b1mB QWGkgTd3LRM7Zdb3tyo7a763z7hWOxaWrjPjZT8y5LWMS3W41uhaeI6GcpJxx8iHhkft2yj8jNXD EupiPIv9yTrgYJuxk8wrFUIvzXyUIt2vkMfnyplzI7zCkXx15VBF7IWqZYvmOdU/Rzw9BJop0oSd CfWBLcyb+5fAEOa6P1qP60+m6u/83ksGtgpovN8CP7p+bJ9Gwrs0e8lmBSWa5zamlwvd+WZBxa1f kJB3CNLgKpEkAoCCGj8nVfY8gZSTe7dprHRBTRatn1v9Y6Y+eD450jdYf0gi33gd88MA67cOC9QZ 7hcJXBT9RoE2JbXadt8NLeH5f1qYj15rXc3qjtIZ4JvLq1731kwPlNIhZu4IKvAZC28B/PL+MRfQ +gs4mFyx1OnrclBDSxPCj5fDcztfDYUyjQZZpnSSrNepZxxnlZ6ACL5Rr88UcSubTRC22BghDTYB XbqBK+ZlXSDNaEsz5POE6eWcHIgvt++7I7oDdz7ZQ5dXGDJnsZiGxnJwAwLbb4YC1c90qxLAtCzw b7k1EhoIYqtO349zs5ccBKhl4loRWZxBz1Dvk2XX/o1a8NaevCdLeF5ZyO8y28IrNA6yA4xXqi4e n0JJO/1dtDIEZenuXIR0QKPh9ponCmuhXDWkw/8Ub5jpk563PqAljQ+zhw9HJxouzl5H3hCv+vPi teQ+oWKPezRZEyBR92h3CHaqmg/y208RKZwDa3m+Ed9qr19jOdJAHkgTLKrxeo1s1Dv1zmQVvxfj Chc06aTNuHPMOZacfBcJ2Yb68fKZaqhSOuQFyNiN3nwVASIGK3zIh9ON8qb9ojTFyG/sr0MzfsB+ rnWHlt+3bb+NFwRSLL6m6YhoMVhwv7BIBsU9ADeaEiZSTCWuG7R0qBcDiyPFMvC7SFzLolhFjeCV h1Xn3rUp9zWyhmi/y7C08JhJrz9RqU5nFmS3cg5sOZ60nYbEjdQLNlyMfAuO1KF5NZsOZikrPWh1 bsoqZnEytzrZ9py9pyNVZMfWrUUThOO93p1LzjjRwWuY/9jTNalqk67dGiKnmOo1DfMTE9TSUbYR dW7H/iKgsabrj69IzWj41rC3XZuj10qM4eigTXr4EFkvvIp9kPjH0fcKmkV+as5NJLEvMIVhtIZg Xe/a5Gr37Dd+Vga2ld3ph0Qws5NPs7y+ExfVx3B9LXIefU4szOQ9/GKf1Lr/Jlkh4IXdFxTIG3wp P8rMkx2y67jcAFnjyNUxhvIbwct3sfMnonBBpaXjqFzGV0VgqQOJiFz1kGNFXl3deNx0Ixgak7t6 5LnV++dZfrMHsZD96iqZprJDIU9DBQrlLBwJ2VbGB2yGl/RgxMHNwK0XqRSVPoj4qmYNFljcsIDv 5Vg1tEGdUcjno6i1CWa1rABunJ/ZZfdPNUH2zuTA2aKgCZFFN5vPKQaX8UNdaplH6cxtJVr1OUHg Y+Menl4w4MDPeCmIqkmFUoViYxWi5YGMPlXrNyqn6HbrIncqgPF6R1ozPcetmraVKFB7GKU6koPc /aLUpV+5rQHMUDZc0faxA7yTNuHo3odydswWXpZKKBoobdl5+EloUKjmV/qgkhwo+dCM4HIIfFCq k4TVv2fw03bjW0AO8TiHr1uuq6S4DTP/W7EvzhK9Ld6C1HIa5K7aVvhp+MTKT1iVL1G7TLriTn4S wyaW2kUr1dWrbSNZH0fYBY5sRt+W/Jai62j9LVSl/IxeHuQYLJxH/LfG1D7jkMfxnJrUe2K4YlAG gtzcX2umf8eiSX5Q/VgeyNm/VZxI20Tu/G4854tq0HHAUa9JaW5gpmzOq9R6Up8tIJMOUHfumrmj 1P79cSxyaY7RW1J/XFkzLbpWU6nWWBxuAcK+/l9eJcfoGKWvlb9mgbWV0asGG9HfCOyhO1WDCc8Z ukI3BsoAMoE49BRifssieFi1+XW6i9xKCjXUwoADMHiBM0KuMygme/PWRGjwIS2CZgQwSPU6oevl 0kzeZyghXIYUKV7BE74J75L9f8ZGEBMbOj9rPimJUDjfAM9Rjy0y8wHN5ihO22O7sbljjx9z7QWe UZfotUhTTbmjP5feBvKQ9QS4LhUw8z1brNO1OKLOC+f/I4jxaYOP4sGcI0KRBWxB6DoBkFGwjTqh 0/bthb3JWnwfCacV6PTLHEHaB2D4tZfakg4iQzgUIwxZJXggmGMH3H6/e0CEfHlTy76DekELH9Rb cvyvm8EI8ZbAwkd51UHMWbVYz97yQ0cmSpFsNJVa2ZrDRUiX67O1eMC6RltAJfdmsbjqNztqkDGO H9iVqz1yWBLUxH1QmJDWJkDKkLdO/Jl2d8H1MagryKwfvfN/YIxu+EcB1I7eGl8rHQ28mTYqx19+ wmFq72hcFajohgYLdAcsT8pLp8Adgkm3haRm8wVw8F9o1fpQbpnhXPye2GRZ64FVhogardSuMZRK KO4YVaWh1QRBvu1ZXs8ItKbSZnZqV4XocAJ1oBWItYXsquyK6HZ4Gkby96d0UlCVMAuqaloQwec8 Pr1JhqwWv33N3zlu1/9BL+Zjd2+SN7FhIYOY/9rMunOV86PlOUBxM0TEqt4qEcQkfyjFzq57HSJL CPZWP6A9BgC8N6/lS2W7Kl/Ek4uWNqQm+npAl540nebr7hfij6hwFG8aqWKUXaN4AvzrYIF+W3A7 EWaNRrtfHuRfK0n3/ciHjLe1NY7sFqJrRWa2s2W0DiK2zQHN2smEmlLcfztB+Ls9HbJf7oQYLZFd +nvK6JCdY++GPG6yRQXg2ki2v8/QfL7k4tt+6nEH6A0RmZny7lLJwlr45FKxP4SDNj/N4iNB17Lx yLXtLMpAqJsv8TpzV0EKOLC1pZwz6CFfzEZcZE2fx6LKj9ikCOD6Ez9yxkdxP1TxXd+ugr8YpKMd 2Gj6slGP/vnr9DLOpNfVR4Y1BkxUegbUiVuk4PMc9YkZJcH5qs2vcn/Fd7xwzD+1SVPboiAgIyqM 3yLENHAeIhynR3umz++nE5ivigSM/KHcw9eNUdkfN60pyegSluWDAqzKg4/qRi8s/9qF8kIUAoUN FkcNVhNiFu6zH7BDHBJui+fwDS5SA+q+wWXk7C1vyJ1l92xY/olP/8U1iVi47h4tF0/LlZgwWEoD ipZl+I9EtAaaunXnVbBMmuRMbtFxIcWA0fbmK5AVnUh/xm0604ORrQH10gkk0WLMwIqmtwRYRrkn iyyZ8/JMhedNnjHP1UWKrDBT60pur2QIFpcw8ml4C5+iGxENb4cKu7j3Ox/pNQsbP6KY3Sn2KU9h tFacUu/2xmxWqjUYCwXWQ55GJhf17Zxl6/OxI8RaZSyNYSee9lSVTcWNn1lhYDzCXbxASw9PVHjB NYDwAynKj9WS4B+/HbqmVjIJQIlFsiplqaa5meQGMbHkQ8fP3g8xJW/4SWQG0Qj4ykD7Cf5Amca0 QvyiOGYKqbwGTMbWySdOIh4b0uz5LDoV5cEV5t8PzfsWegqbSKFm3Ydki8HeqxEUcieFeH2rEfQ7 erQGL80D3FJjMJRevG6dq4LvLK8V3ELmxPrk0psoTQdyRa4dBDngAdksPrKSSbwaCG1/goGUEQbe q5lqcFuY2+qCsRbVSJusRzupOm/iHOJ9z8UPNxpZKfsOtV109NBxMOxIV9cscGTs7mxmisHs/+q/ TgDTjrS+lTQ3miDQ6JAYCsfPIRCKTEqKhqfGWOTxbXqJse20rnYKHFY4K7VuRMN7DeD2m1TsZ1JK tZ3xnW3GFIk7bK+tDwVxvBYG07VvckvmIPhFVX5NRYmzoDBY1/QjorSBqBIwKuHFWRC0YUblFuvH DJ6HzIUKB3PaKd8YsZCcJlEW3Ido68418wy1kQcIF0q/PyqRXgNQApbbhRRLbO5vpq1/bNAcqQPf yPzdbwGdSCWUejfW+Y3O4FYNhKIvdJLVJOVOaSDH+ooS2lviQS7nXeoReDBEpXyaSSkZGvJZvUNJ EhI6lPhcq4p+bk1sghTHlh2k5LkMUUxBvCOWewwr/3qzufO8EUJEZnU8nD7UKqI5hwArTJlgztTa tTjAFHNgZXYY4wl9ACAgkQt2zA75rBrnfPatqJc7hVVLLBDp7PxA9ILlkRYIAfZVIOyy5DODMAJh EN9A2qeeNrYJf2lbVH1EsPWpXfQH5vsVyD273ItDU6soaN2g2bSUOVQZT9gnf4G1RTcZPkWSLXU3 3cM5k9UJdR+BSippA++81dcMGdag28rNjm+b/lh/NMaapqIqP3jjFegFu/9IW27tYZAoTAvP1Qrq MOVdcep44hB0sfUqRRtx+z3xmx4U/5D0/Xk9Z0i8O9ybhG+fPr6L1hkKhh5f2WRVAAqxcGZpz8BJ uEbEpnATaGFW/MAEYlZblKkxOEKNFkSg7ElF2bcrR1I/8mMYP+L2atpQB7S9Ln3dllZsqZnDlV7A e5HiEbCS2cYmdGH60WA2dSMg0H0fVLcBO+kvFdv8EKJYreSd1chWLLcBcR9j/QSjw7b0NBbtEPNs kHA6QIIvf3m998rKpponMcfjPUMCMg2fX+/coWDxL4ZfWMTAvo7+TJBBVenlvT5X4buLFXp2K0kI ZzF358x7WqVEQdygPUZbjxg68c42qEC+4Zo1aqZ0706YmtH86N/qZkmZptcpNg4NgLcbYAfLBz9i spcwBPdZor+qoegOPai0Fx3IOKssQ/LKrvtFpzAK7nSmc+L9fpClpfzfJYTygN6dzVHgCbg9eFKv 6d4hMk+Pgqkus3GMWTapU8RxzQv8c1jQ6hbLuqTekAY5LhwX2ujhNIUsJPsm6v3xgWuVSwXXJ0TT qjJMRgdB/95fHbSg7aPRCRYjEdeos++aVexnSpMFVApa5EeuXyBud3S4gl6cfogin3vOx9DcUTAU bNjR3U3c6YZbA/OKb9D6dMvO+DnyNiQOeOML6WiXjUxVCpEbT+LSqxKKVVVueeoXvP/y8JaxdURl giQWDGyGYl0mO75IoFXXsndzxkmJJiTXfKxzErkPeeUt4N+EGAFElX7NKUR4s/Eg5aH6UohkYRs+ nraKDX01WpV7lfteghigCrOjU++HvXrCLiZ8+7ob2fykC8nNzDdOcJ9gY5Z3hhs5XLZRxJ5RZEhI 0c5MAGyvIIatfDQa0vavYZuywdCfCOFuL03rEu0a4A4SMSE/nw1WnA+Zy1U4apLqyih/x9eZPRt6 CWf3vaR/sV3Hg9YIPVlU5zhe0ymuUd9F2gX0MZk/yQFEz5s5lkBQWj4ny1lT3MiCjB0gsMVnvHlp lpyIeHtyplIpVbwg16rlqfBgsnnPqvACOiroHQY6bP4t9fuW8iF5BK7Rdiy4jdEdLq3o+R54tN9Q msXY7tLPPgxs/FWDD9/+X8KtimPJFGOVbQHCzN03VpDZ6rqIPSJcRqHePq9RlkaqkzrTDKlHzzqN H8wU9Yt75l6QczDEdlIAZhL+2fqy51RJ2qFOP72Bf8JaFDb5Jf6vMlcGu+CisI5p9AJ9CcsinkZm KluNTBHpSRCF91YV4Lnk6OvDdE9nHn/zIWEklkOQ9vUjSd7hbDOYqRRSHX54ZTqPyd6xzDTbnoG+ j4Qc5mwAzQBsYDmqUqk+NsG3V1Whs/2BO6ZbcME6mMydX4Te4UjGyjDV160prCzPozkKh/QUcTS2 KZ0VqqfUALTr8SvcJz8WQ4XN+l/GL2stmK8FUwsSOD/nH1PzMueZsN1fu/Oo4lCoNj1Ll7FHM2cV hi+HIthKSmbfHNeEuMXNv5ywlyurxAVMKU8gy1SvsYJdbYBcnLSXW3Rzii+g+SumjnA7Xo2cJ5F/ 08YXWXgcv+lx9Eh05H2YkuFHUjUemov70eorjrPMk6Q5hyuG5RsxBv9C6cW6QVF/ZxZ+c3iKzXYe soBXmkHpHaTkjAGAhQnakP/K52cNmivtA1XfSbzWzs/dJ72qqvEsiG0jvTqKmBKN5iUz+GNfT6Hp +tAy+lmTe0LGkOxEZilnPL17lXmcdN8K/YvsnrK6lsGZTDPwIf7YwCiq8JehUqPcl1D7Sfju5TZr QWop5erFNGUbcCKjQjtf9Dm075+Obi1L2rB9GeCX6xYd5PX0oGG4Fm0fFiiQKsvzdUJoJnRURExZ PBewUyqlxlaTeQ== `protect end_protected
gpl-2.0
e7b4f1b69ba18c1b17d3636e319cc663
0.949224
1.823945
false
false
false
false
UVVM/uvvm_vvc_framework
uvvm_vvc_framework/src/ti_generic_queue_pkg.vhd
1
49,559
--======================================================================================================================== -- Copyright (c) 2017 by Bitvis AS. All rights reserved. -- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not, -- contact Bitvis AS <[email protected]>. -- -- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE -- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS -- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR -- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM. --======================================================================================================================== ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library uvvm_util; context uvvm_util.uvvm_util_context; package ti_generic_queue_pkg is generic (type t_generic_element; scope : string := C_SCOPE; GC_QUEUE_COUNT_MAX : natural := 1000; GC_QUEUE_COUNT_THRESHOLD : natural := 950); -- When find_* doesn't find a match, they return C_NO_MATCH. constant C_NO_MATCH : integer := -1; -- A generic queue for verification type t_generic_queue is protected procedure add( constant instance : in integer; constant element : in t_generic_element); procedure add( constant element : in t_generic_element); procedure put( constant instance : in integer; constant element : in t_generic_element); procedure put( constant element : in t_generic_element); impure function get( constant instance : in integer) return t_generic_element; impure function get( constant dummy : in t_void) return t_generic_element; impure function is_empty( constant instance : in integer) return boolean; impure function is_empty( constant dummy : in t_void) return boolean; procedure set_scope( constant instance : in integer; constant scope : in string); procedure set_scope( constant scope : in string); procedure set_name( constant name : in string); impure function get_scope( constant instance : in integer) return string; impure function get_scope( constant dummy : in t_void) return string; impure function get_count( constant instance : in integer) return natural; impure function get_count( constant dummy : in t_void) return natural; procedure set_queue_count_threshold( constant instance : in integer; constant queue_count_alert_level : in natural); procedure set_queue_count_threshold( constant queue_count_alert_level : in natural); impure function get_queue_count_threshold( constant instance : in integer) return natural; impure function get_queue_count_threshold( constant dummy : in t_void) return natural; impure function get_queue_count_threshold_severity( constant dummy : in t_void) return t_alert_level; procedure set_queue_count_threshold_severity( constant alert_level : in t_alert_level); impure function get_queue_count_max( constant instance : in integer) return natural; impure function get_queue_count_max( constant dummy : in t_void) return natural; procedure set_queue_count_max( constant instance : in integer; constant queue_count_max : in natural); procedure set_queue_count_max( constant queue_count_max : in natural); procedure flush( constant instance : in integer); procedure flush( constant dummy : in t_void); procedure reset( constant instance : in integer); procedure reset( constant dummy : in t_void); procedure insert( constant instance : in integer; constant identifier_option : in t_identifier_option; constant identifier : in positive; constant element : in t_generic_element); procedure insert( constant identifier_option : in t_identifier_option; constant identifier : in positive; constant element : in t_generic_element); procedure delete( constant instance : in integer; constant identifier_option : in t_identifier_option; constant identifier_min : in positive; constant identifier_max : in positive); procedure delete( constant identifier_option : in t_identifier_option; constant identifier_min : in positive; constant identifier_max : in positive); procedure delete( constant instance : in integer; constant element : in t_generic_element ); procedure delete( constant element : in t_generic_element ); procedure delete( constant instance : in integer; constant identifier_option : in t_identifier_option; constant identifier : in positive; constant range_option : in t_range_option ); procedure delete( constant identifier_option : in t_identifier_option; constant identifier : in positive; constant range_option : in t_range_option ); impure function peek( constant instance : in integer; constant identifier_option : in t_identifier_option; constant identifier : in positive ) return t_generic_element; impure function peek( constant identifier_option : in t_identifier_option; constant identifier : in positive ) return t_generic_element; impure function peek( constant instance : in integer ) return t_generic_element; impure function peek( constant dummy : in t_void ) return t_generic_element; impure function fetch( constant instance : in integer; constant identifier_option : in t_identifier_option; constant identifier : in positive ) return t_generic_element; impure function fetch( constant identifier_option : in t_identifier_option; constant identifier : in positive ) return t_generic_element; impure function fetch( constant instance : in integer ) return t_generic_element; impure function fetch( constant dummy : in t_void ) return t_generic_element; impure function find_position( constant element : in t_generic_element) return integer; impure function find_position( constant instance : in integer; constant element : in t_generic_element) return integer; impure function find_entry_num( constant element : in t_generic_element) return integer; impure function find_entry_num( constant instance : in integer; constant element : in t_generic_element) return integer; impure function exists( constant instance : in integer; constant element : in t_generic_element ) return boolean; impure function exists( constant element : in t_generic_element ) return boolean; impure function get_entry_num( constant instance : in integer; constant position_val : in positive) return integer; impure function get_entry_num( constant position_val : in positive) return integer; procedure print_queue( constant instance : in integer); procedure print_queue( constant dummy : in t_void); end protected; end package ti_generic_queue_pkg; package body ti_generic_queue_pkg is type t_generic_queue is protected body -- Types and control variables for the linked list implementation type t_element; type t_element_ptr is access t_element; type t_element is record entry_num : natural; next_element : t_element_ptr; element_data : t_generic_element; end record; type t_element_ptr_array is array(integer range 1 to C_MAX_QUEUE_INSTANCE_NUM) of t_element_ptr; type t_string_array is array(integer range 1 to C_MAX_QUEUE_INSTANCE_NUM) of string(1 to C_LOG_SCOPE_WIDTH); variable vr_last_element : t_element_ptr_array := (others => null); -- Back entry variable vr_first_element : t_element_ptr_array := (others => null); -- Front entry variable vr_num_elements_in_queue : integer_vector(1 to C_MAX_QUEUE_INSTANCE_NUM) := (others => 0); -- Scope variables variable vr_scope : t_string_array := (others => (others => NUL)); variable vr_scope_is_defined : boolean_vector(1 to C_MAX_QUEUE_INSTANCE_NUM) := (others => false); -- Name variables variable vr_name : string(1 to C_LOG_SCOPE_WIDTH) := (others => NUL); variable vr_name_is_defined : boolean := false; variable vr_queue_count_max : integer_vector(1 to C_MAX_QUEUE_INSTANCE_NUM) := (others => GC_QUEUE_COUNT_MAX); variable vr_queue_count_threshold : integer_vector(1 to C_MAX_QUEUE_INSTANCE_NUM) := (others => GC_QUEUE_COUNT_THRESHOLD); variable vr_queue_count_threshold_severity : t_alert_level := TB_WARNING; variable vr_entry_num : integer_vector(1 to C_MAX_QUEUE_INSTANCE_NUM) := (others => 0); -- Incremented before first insert -- Fill level alert type t_queue_count_threshold_alert_frequency is (ALWAYS, FIRST_TIME_ONLY); constant C_ALERT_FREQUENCY : t_queue_count_threshold_alert_frequency := FIRST_TIME_ONLY; variable vr_queue_count_threshold_triggered : boolean_vector(1 to C_MAX_QUEUE_INSTANCE_NUM) := (others => false); ------------------------------------------------------------------------------------------------------ -- -- Helper methods (not visible from outside) -- ------------------------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------------------------ -- Helper method: Check if an Alert shall be triggered (to be called before adding another entry) ------------------------------------------------------------------------------------------------------ procedure perform_pre_add_checks ( constant instance : in integer ) is begin if((vr_queue_count_threshold(instance) /= 0) and (vr_num_elements_in_queue(instance) >= vr_queue_count_threshold(instance))) then if((C_ALERT_FREQUENCY = ALWAYS) or (C_ALERT_FREQUENCY = FIRST_TIME_ONLY and not vr_queue_count_threshold_triggered(instance))) then alert(vr_queue_count_threshold_severity, "Queue is now at " & to_string(vr_queue_count_threshold(instance)) & " of " & to_string(vr_queue_count_max(instance)) & " elements.", vr_scope(instance)); vr_queue_count_threshold_triggered(instance) := true; end if; end if; end procedure; ------------------------------------------------------------------------------------------------------ -- Helper method: Iterate through all entries, and match the one with element_data = element -- This also works if the element is a record or array, whereas all entries/indexes must match ------------------------------------------------------------------------------------------------------ procedure match_element_data ( instance : in integer; -- Queue instance element : in t_generic_element; -- Element to search for found_match : out boolean; -- True if a match was found. matched_position : out integer; -- valid if found_match=true matched_element_ptr : out t_element_ptr -- valid if found_match=true ) is variable v_position_ctr : integer := 1; -- Keep track of POSITION when traversing the linked list variable v_element_ptr : t_element_ptr; -- Entry currently being checked for match begin -- Default found_match := false; matched_position := C_NO_MATCH; matched_element_ptr := null; if vr_num_elements_in_queue(instance) > 0 then -- Search from front to back element v_element_ptr := vr_first_element(instance); loop if v_element_ptr.element_data = element then -- Element matched entry found_match := true; matched_position := v_position_ctr; matched_element_ptr := v_element_ptr; exit; else -- No match. if v_element_ptr.next_element = null then exit; -- Last entry. All queue entries have been searched through. end if; v_element_ptr := v_element_ptr.next_element; -- next queue entry v_position_ctr := v_position_ctr + 1; end if; end loop; end if; end procedure; -- Find and return entry that matches the identifier procedure match_identifier ( instance : in integer; -- Queue instance identifier_option : in t_identifier_option; -- Determines what 'identifier' means identifier : in positive; -- Identifier value to search for found_match : out boolean; -- True if a match was found. matched_position : out integer; -- valid if found_match=true matched_element_ptr : out t_element_ptr; -- valid if found_match=true preceding_element_ptr : out t_element_ptr -- valid if found_match=true. Element at position-1, pointing to elemnt_ptr ) is -- Search from front to back element. Init pointers/counters to the first entry: variable v_element_ptr : t_element_ptr := vr_first_element(instance); -- Entry currently being checked for match variable v_position_ctr : integer := 1; -- Keep track of POSITION when traversing the linked list begin -- Default found_match := false; matched_position := C_NO_MATCH; matched_element_ptr := null; preceding_element_ptr := null; -- If queue is not empty and indentifier in valid range if (vr_num_elements_in_queue(instance) > 0) and ((identifier_option = POSITION and identifier <= vr_num_elements_in_queue(instance)) or (identifier_option = ENTRY_NUM and identifier <= vr_entry_num(instance))) then loop -- For each element in queue: -- Check if POSITION or ENTRY_NUM matches v_element_ptr if (identifier_option = POSITION) and (v_position_ctr = identifier) then found_match := true; end if; if (identifier_option = ENTRY_NUM) and (v_element_ptr.entry_num = identifier) then found_match := true; end if; if found_match then -- This element matched. Done searching. matched_position := v_position_ctr; matched_element_ptr := v_element_ptr; exit; else -- No match. if v_element_ptr.next_element = null then -- report "last v_position_ctr = " & to_string(v_position_ctr); exit; -- Last entry. All queue entries have been searched through. end if; preceding_element_ptr := v_element_ptr; -- the entry at the postition before element_ptr v_element_ptr := v_element_ptr.next_element; -- next queue entry v_position_ctr := v_position_ctr + 1; end if; end loop; -- for each element in queue end if; -- Not empty end procedure; ------------------------------------------------------------------------------------------------------ -- -- Public methods, visible from outside -- ------------------------------------------------------------------------------------------------------ -- add : Insert element in the back of queue, i.e. at the highest position procedure add( constant instance : in integer; constant element : in t_generic_element ) is constant proc_name : string := "add"; variable v_previous_ptr : t_element_ptr; begin check_value(vr_scope_is_defined(instance), TB_WARNING, proc_name & ": Scope name must be defined for this generic queue", vr_scope(instance), ID_NEVER); perform_pre_add_checks(instance); check_value(vr_num_elements_in_queue(instance) < vr_queue_count_max(instance), TB_ERROR, proc_name & "() into generic queue (of size " & to_string(vr_queue_count_max(instance)) & ") when full", vr_scope(instance), ID_NEVER); -- Increment vr_entry_num vr_entry_num(instance) := vr_entry_num(instance)+1; -- Set read and write pointers when appending element to existing list if vr_num_elements_in_queue(instance) > 0 then v_previous_ptr := vr_last_element(instance); vr_last_element(instance) := new t_element'(entry_num => vr_entry_num(instance), next_element => null, element_data => element); v_previous_ptr.next_element := vr_last_element(instance); -- Insert the new element into the linked list else -- List is empty vr_last_element(instance) := new t_element'(entry_num => vr_entry_num(instance), next_element => null, element_data => element); vr_first_element(instance) := vr_last_element(instance); -- Update read pointer, since this is the first and only element in the list. end if; -- Increment number of elements vr_num_elements_in_queue(instance) := vr_num_elements_in_queue(instance) + 1; end procedure; procedure add( constant element : in t_generic_element ) is begin add(1, element); end procedure; procedure put( constant instance : in integer; constant element : in t_generic_element ) is begin add(instance, element); end procedure; procedure put( constant element : in t_generic_element ) is begin put(1, element); end procedure; impure function get( constant instance : in integer ) return t_generic_element is begin return fetch(instance); end function; impure function get( constant dummy : in t_void ) return t_generic_element is begin return get(1); end function; procedure flush( constant instance : in integer ) is variable v_to_be_deallocated_ptr : t_element_ptr; begin check_value(vr_scope_is_defined(instance), TB_WARNING, "Scope name must be defined for this generic queue", "???", ID_NEVER); -- Deallocate all entries in the list -- Setting the last element to null and iterating over the queue until finding the null element vr_last_element(instance) := null; while vr_first_element(instance) /= null loop v_to_be_deallocated_ptr := vr_first_element(instance); vr_first_element(instance) := vr_first_element(instance).next_element; DEALLOCATE(v_to_be_deallocated_ptr); end loop; -- Reset the queue counter vr_num_elements_in_queue(instance) := 0; vr_queue_count_threshold_triggered(instance) := false; end procedure; procedure flush( constant dummy : in t_void ) is begin flush(1); end procedure; procedure reset( constant instance : in integer) is begin flush(instance); vr_entry_num(instance) := 0; -- Incremented before first insert end procedure; procedure reset( constant dummy : in t_void) is begin reset(1); end procedure; impure function is_empty( constant instance : in integer ) return boolean is begin if vr_num_elements_in_queue(instance) = 0 then return true; else return false; end if; end function; impure function is_empty( constant dummy : in t_void ) return boolean is begin return is_empty(1); end function; procedure set_scope( constant instance : in integer; constant scope : in string) is begin if instance = ALL_INSTANCES then if scope'length > C_LOG_SCOPE_WIDTH then vr_scope := (others => scope(1 to C_LOG_SCOPE_WIDTH)); else vr_scope(instance) := (others => NUL); vr_scope(instance)(1 to scope'length) := scope; end if; vr_scope_is_defined := (others => true); else if scope'length > C_LOG_SCOPE_WIDTH then vr_scope(instance) := scope(1 to C_LOG_SCOPE_WIDTH); else vr_scope(instance) := (others => NUL); vr_scope(instance)(1 to scope'length) := scope; end if; vr_scope_is_defined(instance) := true; end if; end procedure; procedure set_scope( constant scope : in string) is begin set_scope(1, scope); end procedure; procedure set_name( constant name : in string) is begin vr_name(1 to name'length) := name; vr_name_is_defined := true; end procedure; impure function get_scope( constant instance : in integer ) return string is begin return to_string(vr_scope(instance)); end function; impure function get_scope( constant dummy : in t_void ) return string is begin return get_scope(1); end function; impure function get_count( constant instance : in integer ) return natural is begin return vr_num_elements_in_queue(instance); end function; impure function get_count( constant dummy : in t_void ) return natural is begin return get_count(1); end function; impure function get_queue_count_max( constant instance : in integer ) return natural is begin return vr_queue_count_max(instance); end function; impure function get_queue_count_max( constant dummy : in t_void ) return natural is begin return get_queue_count_max(1); end function; procedure set_queue_count_max( constant instance : in integer; constant queue_count_max : in natural ) is begin vr_queue_count_max(instance) := queue_count_max; check_value(vr_num_elements_in_queue(instance) < vr_queue_count_max(instance), TB_ERROR, "set_queue_count_max() new queue max count (" & to_string(vr_queue_count_max(instance)) & ") is less than current queue count(" & to_string(vr_num_elements_in_queue(instance)) & ").", vr_scope(instance), ID_NEVER); end procedure; procedure set_queue_count_max( constant queue_count_max : in natural ) is begin set_queue_count_max(1, queue_count_max); end procedure; procedure set_queue_count_threshold( constant instance : in integer; constant queue_count_alert_level : in natural ) is begin vr_queue_count_threshold(instance) := queue_count_alert_level; end procedure; procedure set_queue_count_threshold( constant queue_count_alert_level : in natural ) is begin set_queue_count_threshold(1, queue_count_alert_level); end procedure; impure function get_queue_count_threshold( constant instance : in integer ) return natural is begin return vr_queue_count_threshold(instance); end function; impure function get_queue_count_threshold( constant dummy : in t_void ) return natural is begin return get_queue_count_threshold(1); end function; impure function get_queue_count_threshold_severity( constant dummy : in t_void ) return t_alert_level is begin return vr_queue_count_threshold_severity; end function; procedure set_queue_count_threshold_severity( constant alert_level : in t_alert_level) is begin vr_queue_count_threshold_severity := alert_level; end procedure; ---------------------------------------------------- -- Insert: ---------------------------------------------------- -- Inserts element into the queue after the matching entry with specified identifier: -- -- When identifier_option = POSITION: -- identifier = position in queue, counting from 1 -- -- When identifier_option = ENTRY_NUM: -- identifier = entry number, counting from 1 procedure insert( constant instance : in integer; constant identifier_option : in t_identifier_option; constant identifier : in positive; constant element : in t_generic_element) is constant proc_name : string := "insert"; variable v_element_ptr : t_element_ptr; -- The element currently being processed variable v_new_element_ptr : t_element_ptr; -- Used when creating a new element variable v_preceding_element_ptr : t_element_ptr; -- Used when creating a new element variable v_found_match : boolean; variable v_matched_position : integer; begin -- pre insert checks check_value(vr_scope_is_defined(instance), TB_WARNING, proc_name & ": Scope name must be defined for this generic queue", vr_scope(instance), ID_NEVER); perform_pre_add_checks(instance); check_value(vr_num_elements_in_queue(instance) < vr_queue_count_max(instance), TB_ERROR, proc_name & "() into generic queue (of size " & to_string(vr_queue_count_max(instance)) & ") when full", vr_scope(instance), ID_NEVER); check_value(vr_num_elements_in_queue(instance) > 0, TB_ERROR, proc_name & "() into empty queue isn't supported. Use add() instead", vr_scope(instance), ID_NEVER); if identifier_option = POSITION then check_value(vr_num_elements_in_queue(instance) >= identifier, TB_ERROR, proc_name & "() into position larger than number of elements in queue. Use add() instead when inserting at the back of the queue", vr_scope(instance), ID_NEVER); end if; -- Search from front to back element. match_identifier( instance => instance , identifier_option => identifier_option , identifier => identifier , found_match => v_found_match , matched_position => v_matched_position , matched_element_ptr => v_element_ptr , preceding_element_ptr => v_preceding_element_ptr ); if v_found_match then -- Make new element vr_entry_num(instance) := vr_entry_num(instance)+1; -- Increment vr_entry_num -- POSITION: insert at matched position if identifier_option = POSITION then v_new_element_ptr := new t_element'(entry_num => vr_entry_num(instance), next_element => v_element_ptr, element_data => element); -- if match is first element if v_preceding_element_ptr = null then vr_first_element(instance) := v_new_element_ptr; -- Insert the new element into the front of the linked list else v_preceding_element_ptr.next_element := v_new_element_ptr; -- Insert the new element into the linked list end if; --ENTRY_NUM: insert at position after match else v_new_element_ptr := new t_element'(entry_num => vr_entry_num(instance), next_element => v_element_ptr.next_element, element_data => element); v_element_ptr.next_element := v_new_element_ptr; -- Insert the new element into the linked list end if; vr_num_elements_in_queue(instance) := vr_num_elements_in_queue(instance) + 1; -- Increment number of elements elsif identifier_option = ENTRY_NUM then if (vr_num_elements_in_queue(instance) > 0) then -- if not already reported tb_error due to empty tb_error(proc_name & "() did not match an element in queue. It was called with the following parameters: " & "instance=" & to_string(instance) & ", identifier_option=" & t_identifier_option'image(identifier_option) & ", identifier=" & to_string(identifier) & ", element...", scope); end if; end if; end procedure; procedure insert( constant identifier_option : in t_identifier_option; constant identifier : in positive; constant element : in t_generic_element) is begin insert(1, identifier_option, identifier, element); end procedure; ---------------------------------------------------- -- delete: ---------------------------------------------------- -- Read and remove the entry matching the identifier -- -- When identifier_option = POSITION: -- identifier = position in queue, counting from 1 -- -- When identifier_option = ENTRY_NUM: -- identifier = entry number, counting from 1 procedure delete( constant instance : in integer; constant identifier_option : in t_identifier_option; constant identifier_min : in positive; constant identifier_max : in positive ) is constant proc_name : string := "delete"; variable v_matched_element_ptr : t_element_ptr; -- The element being deleted variable v_element_to_delete_ptr : t_element_ptr; -- The element being deleted variable v_matched_element_data : t_generic_element; -- Return value variable v_preceding_element_ptr : t_element_ptr; variable v_matched_position : integer; variable v_found_match : boolean; variable v_deletes_remaining : integer; begin check_value(vr_scope_is_defined(instance), TB_WARNING, proc_name & ": Scope name must be defined for this generic queue", vr_scope(instance), ID_NEVER); if(vr_num_elements_in_queue(instance) < vr_queue_count_threshold(instance)) then -- reset alert trigger if set vr_queue_count_threshold_triggered(instance) := false; end if; -- delete based on POSITION : -- Note that when deleting the first position, all above positions are decremented by one. -- Find the identifier_min, delete it, and following next_element until we reach number of positions to delete if (identifier_option = POSITION) then check_value(vr_num_elements_in_queue(instance) >= identifier_max, TB_ERROR, proc_name & " where identifier_max > generic queue size", vr_scope(instance), ID_NEVER); check_value(identifier_max >= identifier_min, TB_ERROR, "Check that identifier_max >= identifier_min", vr_scope(instance), ID_NEVER); v_deletes_remaining := 1 + identifier_max - identifier_min; -- Find min position match_identifier( instance => instance , identifier_option => identifier_option , identifier => identifier_min, found_match => v_found_match , matched_position => v_matched_position , matched_element_ptr => v_matched_element_ptr , preceding_element_ptr => v_preceding_element_ptr ); if v_found_match then v_element_to_delete_ptr := v_matched_element_ptr; -- Delete element at identifier_min first while v_deletes_remaining > 0 loop -- Update pointer to the element about to be removed. if (v_preceding_element_ptr = null) then -- Removing the first entry, vr_first_element(instance) := vr_first_element(instance).next_element; else -- Removing an intermediate or last entry v_preceding_element_ptr.next_element := v_element_to_delete_ptr.next_element; end if; -- Decrement number of elements vr_num_elements_in_queue(instance) := vr_num_elements_in_queue(instance) - 1; -- Memory management DEALLOCATE(v_element_to_delete_ptr); v_deletes_remaining := v_deletes_remaining - 1; -- Prepare next iteration: -- Next element to delete: if v_deletes_remaining > 0 then if (v_preceding_element_ptr = null) then -- We just removed the first entry, so there's no pointer from a preceding entry. Next to delete is the first entry. v_element_to_delete_ptr := vr_first_element(instance); else -- Removed an intermediate or last entry. Next to delete is the pointer from the preceding element v_element_to_delete_ptr := v_preceding_element_ptr.next_element; end if; end if; end loop; else -- v_found_match if (vr_num_elements_in_queue(instance) > 0) then -- if not already reported tb_error due to empty tb_error(proc_name & "() did not match an element in queue. It was called with the following parameters: " & "instance=" & to_string(instance) & ", identifier_option=" & t_identifier_option'image(identifier_option) & ", identifier_min=" & to_string(identifier_min) & ", identifier_max=" & to_string(identifier_max) & ", non-matching identifier=" & to_string(identifier_min), scope); end if; end if; -- v_found_match -- delete based on ENTRY_NUM : -- Unlike position, an entry's Entry_num is stable when deleting other entries -- Entry_num is not necessarily increasing as we follow next_element pointers. -- This means that we must do a complete search for each entry we want to delete elsif (identifier_option = ENTRY_NUM) then check_value(vr_entry_num(instance) >= identifier_max, TB_ERROR, proc_name & " where identifier_max > highest entry number", vr_scope(instance), ID_NEVER); check_value(identifier_max >= identifier_min, TB_ERROR, "Check that identifier_max >= identifier_min", vr_scope(instance), ID_NEVER); v_deletes_remaining := 1 + identifier_max - identifier_min; -- For each entry to delete, find it based on entry_num , then delete it for identifier in identifier_min to identifier_max loop match_identifier( instance => instance , identifier_option => identifier_option , identifier => identifier, found_match => v_found_match , matched_position => v_matched_position , matched_element_ptr => v_matched_element_ptr , preceding_element_ptr => v_preceding_element_ptr ); if v_found_match then v_element_to_delete_ptr := v_matched_element_ptr; -- Update pointer to the element about to be removed. if (v_preceding_element_ptr = null) then -- Removing the first entry, vr_first_element(instance) := vr_first_element(instance).next_element; else -- Removing an intermediate or last entry v_preceding_element_ptr.next_element := v_element_to_delete_ptr.next_element; end if; -- Decrement number of elements vr_num_elements_in_queue(instance) := vr_num_elements_in_queue(instance) - 1; -- Memory management DEALLOCATE(v_element_to_delete_ptr); else -- v_found_match if (vr_num_elements_in_queue(instance) > 0) then -- if not already reported tb_error due to empty tb_error(proc_name & "() did not match an element in queue. It was called with the following parameters: " & "instance=" & to_string(instance) & ", identifier_option=" & t_identifier_option'image(identifier_option) & ", identifier_min=" & to_string(identifier_min) & ", identifier_max=" & to_string(identifier_max) & ", non-matching identifier=" & to_string(identifier), scope); end if; end if; -- v_found_match end loop; end if; -- identifier_option end procedure; procedure delete( constant identifier_option : in t_identifier_option; constant identifier_min : in positive; constant identifier_max : in positive ) is begin delete(1, identifier_option, identifier_min, identifier_max); end procedure; procedure delete( constant instance : in integer; constant element : in t_generic_element ) is variable v_entry_num : integer:= find_entry_num(element); begin delete(instance, POSITION, v_entry_num, v_entry_num); end procedure; procedure delete( constant element : in t_generic_element ) is begin delete(1, element); end procedure; procedure delete( constant instance : in integer; constant identifier_option : in t_identifier_option; constant identifier : in positive; constant range_option : in t_range_option ) is begin case range_option is when SINGLE => delete(instance, identifier_option, identifier, identifier); when AND_LOWER => delete(instance, identifier_option, 1, identifier); when AND_HIGHER => if identifier_option = POSITION then delete(instance, identifier_option, identifier, vr_num_elements_in_queue(instance)); elsif identifier_option = ENTRY_NUM then delete(instance, identifier_option, identifier, vr_entry_num(instance)); end if; end case; end procedure; procedure delete( constant identifier_option : in t_identifier_option; constant identifier : in positive; constant range_option : in t_range_option ) is begin delete(1, identifier_option, identifier, range_option); end procedure; ---------------------------------------------------- -- peek: ---------------------------------------------------- -- Read the entry matching the identifier, but don't remove it. -- -- When identifier_option = POSITION: -- identifier = position in queue, counting from 1 -- -- When identifier_option = ENTRY_NUM: -- identifier = entry number, counting from 1 impure function peek( constant instance : in integer; constant identifier_option : in t_identifier_option; constant identifier : in positive ) return t_generic_element is constant proc_name : string := "peek"; variable v_matched_element_data : t_generic_element; -- Return value variable v_matched_element_ptr : t_element_ptr; -- The element currently being processed variable v_preceding_element_ptr : t_element_ptr; variable v_matched_position : integer; -- Keep track of POSITION when traversing the linked list variable v_found_match : boolean := false; begin check_value(vr_scope_is_defined(instance), TB_WARNING, proc_name & ": Scope name must be defined for this generic queue", vr_scope(instance), ID_NEVER); check_value(vr_num_elements_in_queue(instance) > 0, TB_ERROR, proc_name & "() from generic queue when empty", vr_scope(instance), ID_NEVER); match_identifier( instance => instance , identifier_option => identifier_option , identifier => identifier , found_match => v_found_match , matched_position => v_matched_position , matched_element_ptr => v_matched_element_ptr , preceding_element_ptr => v_preceding_element_ptr ); if v_found_match then v_matched_element_data := v_matched_element_ptr.element_data; else if (vr_num_elements_in_queue(instance) > 0) then -- if not already reported tb_error due to empty tb_error(proc_name & "() did not match an element in queue. It was called with the following parameters: " & "instance=" & to_string(instance) & ", identifier_option=" & t_identifier_option'image(identifier_option) & ", identifier=" & to_string(identifier), scope); end if; end if; return v_matched_element_data; end function; impure function peek( constant identifier_option : in t_identifier_option; constant identifier : in positive ) return t_generic_element is begin return peek(1, identifier_option, identifier); end function; -- If no identifier is specified, return the oldest entry (first position) impure function peek( constant instance : in integer ) return t_generic_element is begin return peek(instance, POSITION, 1); end function; impure function peek( constant dummy : in t_void ) return t_generic_element is begin return peek(1); end function; ---------------------------------------------------- -- Fetch: ---------------------------------------------------- -- Read and remove the entry matching the identifier -- -- When identifier_option = POSITION: -- identifier = position in queue, counting from 1 -- -- When identifier_option = ENTRY_NUM: -- identifier = entry number, counting from 1 impure function fetch( constant instance : in integer; constant identifier_option : in t_identifier_option; constant identifier : in positive ) return t_generic_element is constant proc_name : string := "fetch"; variable v_matched_element_ptr : t_element_ptr; -- The element being fetched variable v_matched_element_data : t_generic_element; -- Return value variable v_preceding_element_ptr : t_element_ptr; variable v_matched_position : integer; variable v_found_match : boolean; begin check_value(vr_scope_is_defined(instance), TB_WARNING, proc_name & ": Scope name must be defined for this generic queue", vr_scope(instance), ID_NEVER); check_value(vr_num_elements_in_queue(instance) > 0, TB_ERROR, proc_name & "() from generic queue when empty", vr_scope(instance), ID_NEVER); if(vr_num_elements_in_queue(instance) < vr_queue_count_threshold(instance)) then -- reset alert trigger if set vr_queue_count_threshold_triggered(instance) := false; end if; match_identifier( instance => instance , identifier_option => identifier_option , identifier => identifier , found_match => v_found_match , matched_position => v_matched_position , matched_element_ptr => v_matched_element_ptr , preceding_element_ptr => v_preceding_element_ptr ); if v_found_match then -- Keep info about element before removing it from queue v_matched_element_data := v_matched_element_ptr.element_data; -- Update pointer to the element about to be removed. if (v_preceding_element_ptr = null) then -- Removing the first entry, vr_first_element(instance) := vr_first_element(instance).next_element; else -- Removing an intermediate or last entry v_preceding_element_ptr.next_element := v_matched_element_ptr.next_element; end if; -- Decrement number of elements vr_num_elements_in_queue(instance) := vr_num_elements_in_queue(instance) - 1; -- Memory management DEALLOCATE(v_matched_element_ptr); else if (vr_num_elements_in_queue(instance) > 0) then -- if not already reported tb_error due to empty tb_error(proc_name & "() did not match an element in queue. It was called with the following parameters: " & "instance=" & to_string(instance) & ", identifier_option=" & t_identifier_option'image(identifier_option) & ", identifier=" & to_string(identifier), scope); end if; end if; return v_matched_element_data; end function; impure function fetch( constant identifier_option : in t_identifier_option; constant identifier : in positive ) return t_generic_element is begin return fetch(1, identifier_option, identifier); end function; -- If no identifier is specified, return the oldest entry (first position) impure function fetch( constant instance : in integer ) return t_generic_element is begin return fetch(instance, POSITION, 1); end function; impure function fetch( constant dummy : in t_void ) return t_generic_element is begin return fetch(1); end function; -- Returns position of entry if found, else C_NO_MATCH. impure function find_position( constant instance : in integer; constant element : in t_generic_element -- ) return integer is variable v_element_ptr : t_element_ptr; variable v_matched_position : integer; variable v_found_match : boolean; begin check_value(vr_scope_is_defined(instance), TB_WARNING, "find_position: Scope name must be defined for this generic queue", vr_scope(instance), ID_NEVER); -- Don't include this check, because we may want to use exists() on an empty queue. -- check_value(vr_num_elements_in_queue(instance) > 0, TB_ERROR, "find_position() from generic queue when empty", vr_scope(instance), ID_NEVER); match_element_data( instance => instance, element => element, found_match => v_found_match, matched_position => v_matched_position, matched_element_ptr => v_element_ptr ); if v_found_match then return v_matched_position; else return C_NO_MATCH; end if; end function; impure function find_position( constant element : in t_generic_element ) return integer is begin return find_position(1, element); end function; impure function exists( constant instance : in integer; constant element : in t_generic_element ) return boolean is begin return (find_position(instance, element) /= C_NO_MATCH); end function; impure function exists( constant element : in t_generic_element ) return boolean is begin return exists(1, element); end function; -- Returns entry number or position to entry if found, else C_NO_MATCH. impure function find_entry_num( constant instance : in integer; constant element : in t_generic_element ) return integer is variable v_element_ptr : t_element_ptr; variable v_matched_position : integer; variable v_found_match : boolean; begin check_value(vr_scope_is_defined(instance), TB_WARNING, "find_entry_num(): Scope name must be defined for this generic queue", vr_scope(instance), ID_NEVER); check_value(vr_num_elements_in_queue(instance) > 0, TB_ERROR, "find_entry_num() from generic queue when empty", vr_scope(instance), ID_NEVER); match_element_data( instance => instance, element => element, found_match => v_found_match, matched_position => v_matched_position, matched_element_ptr => v_element_ptr ); if v_found_match then return v_element_ptr.entry_num; else return C_NO_MATCH; end if; end function; impure function find_entry_num( constant element : in t_generic_element ) return integer is begin return find_entry_num(1, element); end function; impure function get_entry_num( constant instance : in integer; constant position_val : in positive ) return integer is variable v_found_match : boolean; variable v_matched_position : integer; variable v_matched_element_ptr : t_element_ptr; variable v_preceding_element_ptr : t_element_ptr; begin check_value(vr_scope_is_defined(instance), TB_WARNING, "get_entry_num(): Scope name must be defined for this generic queue", vr_scope(instance), ID_NEVER); check_value(vr_num_elements_in_queue(instance) > 0, TB_ERROR, "get_entry_num() from generic queue when empty", vr_scope(instance), ID_NEVER); match_identifier( instance => instance , identifier_option => POSITION , identifier => position_val, found_match => v_found_match , matched_position => v_matched_position , matched_element_ptr => v_matched_element_ptr , preceding_element_ptr => v_preceding_element_ptr ); if v_found_match then return v_matched_element_ptr.entry_num; else return -1; end if; end function get_entry_num; impure function get_entry_num( constant position_val : in positive ) return integer is begin return get_entry_num(1, position_val); end function get_entry_num; -- for debugging: -- print each entry's position and entry_num procedure print_queue( constant instance : in integer ) is variable v_element_ptr : t_element_ptr; -- The element currently being processed variable v_new_element_ptr : t_element_ptr; -- Used when creating a new element variable v_position_ctr : natural := 1; -- Keep track of POSITION when traversing the linked list variable v_found_match : boolean := false; begin -- Search from front to back element. Initalise pointers/counters to the first entry: v_element_ptr := vr_first_element(instance); loop log(ID_UVVM_DATA_QUEUE, "Pos=" & to_string(v_position_ctr) & ", entry_num=" & to_string(v_element_ptr.entry_num) , scope); if v_element_ptr.next_element = null then exit; -- Last entry. All queue entries have been searched through. end if; v_element_ptr := v_element_ptr.next_element; -- next queue entry v_position_ctr := v_position_ctr + 1; end loop; end procedure; procedure print_queue( constant dummy : in t_void) is begin print_queue(1); end procedure; end protected body; end package body ti_generic_queue_pkg;
mit
af67df2f5a63978aa83efb899d7f5e6f
0.623136
4.190682
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_add/flt_add_lat.vhd
3
62,003
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Eou86GUXWtbRj139m81t9o7OYCwIUOafPwiDFPseV2SWyHCwy2QMPO/5ob0cfKT6+nKyfNp5Q7a8 PMnNXdWc2g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ayRqf2Et1HKtBG6geN4t/RTe8qW7g6iy7Yv9XWtwQ9apZfuVq8ATleUEGpM+OXPAz2W7KQPynxgh L5aBD1Samq8jkJHAzegeU14ZEQNtuMqiz33O1NJmKkLaWVuUO0tCwTyeXjrxlAkSqUAl0pwo85i+ 0M9bYfjSnD/J9eLzlc4= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block sJrGGETmIumIvC6XKwehwLR5wB4urMRpUQgQhoSqpKYMzSvepFtsCd8p5NOCom2howe6ESo+Y8u9 gmL87A2IMVWVysBX/H9rSXY8X9CG3QqLgLT44vJdUbQWKHLx6vHSZgwDu5206/nNgLrSRdhhJhGL 4aUpuLDvF3CwcyV5J2MDJeuwX5dnfmh7OLGm37kVpPkpv61nFw93bt17zVSTFuZuCVNkOPb0ZnAu DxYulJlxPV4LPMiEApMy8w90DKRyC6F1/BNAtladY1xLIbMFHCqvUEKyGWdTv8iyOm7P0ZvU1oHu 6AEpR6uiRU1AiCkJz5FvmRJe0LMdf1GWBi/lwQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block KtCaFpH5yy1KPpLSXtSgEd/ZCg2WEuwAZn64DZ1J67HVYqoV6OIlJJM01jkjnwaHUGeab1JONk7Y NzlFT1iBF5RNfbcWR3rREbOFpK0VUEMgUD3Kt2AvUaGqxk099xRfO2ocqHd1kErelx/mkoOwYrig XLFQYPKkZv3u5DSudIE= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Uqcf9ZpT00pvGSXmb50t2BJlzmezql8UqLPKkcUfVYLlsrHCfRYHirDhT6pVRS5pndGoCXn8JQyA 0s+8vPEmMS28l9BJj483pFu/4SBMTUwJyMYZTSRfseEgmQEGvSsDj1ttvK4RwoTYOjNocR4yaidC n5TBqU9snDqb0Sef1EMg3ThePUD40fi1AAuVc3arCgr3THYPFLqyPcPKpBaUgJXBtnCwsct1lahp Vs+IJTu7DrMkOq2uyrZkteh5pjGFY5GiYYPEAnjDAqekRu9Xs2d7ZDtu5UXibyWHsVZ5TBoPUP4D 8PrqSf55CFEigInAWl3hLuasBvbFgqGG6UfNFA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 44160) `protect data_block 6CNd5ydDcy6WZGLsnh4WrLgPNcPb4ztx+Kr+wrmIiVSdEJOYRqKd/OTH1Jswi2BZKuqdcFtmzbcd +a3U8M07Z7giV7NJFy0UWvKn8hmlTIfGRj4J/hWsy4T7iW3JzQDeEwRGCP7/6ykFw7wyURKfokxL lVmpmunxqLmODrUcSJawZTX6+CPPMK3ig43aW/sDoN0CaOEaaZrhT/NW6SwaUw7mwVXQKTFOu5pM ZA2shLyGfWzsDxV+TnH8PVjsFUlisupNwSil35KTjDT4dLRB5rP3Js1NZxZ+IvzEjZeZ2Pp8IxND 0SfT1ga78IIzVdLDnuPxcYKOlp+pedjlJ2aOt549GOljRGjOhGlI23Z2gBoAYZw1NfTrbXWFtY5X AiPQeYFvUgx6cgFa9u9FdlNV4XKCg1AyYCVl9l9siKve4aC1k2e6BdlAlSq0DjRVDmJmNEtjIgCs XGht98IKUFuwIEeqiu6OSnqdFItKQRvMPLU3ykJZvU6agglx17H5Tk4FavWQgWRcNr0tLW5HYZRx fEj59pAIufi30kJMSLxfqpX/NcetFDFJdQUitWGHUvNGhqUgVqAJ6ARHX/0uqyS7VG3GrnRvI+mE MuNAz5gLQab84HSTrbiMC3aePZQrb58K98WuYFz8/g4uc8lHOJUcH9b62k+mFhUPEPHZxT2hUD4d yVd40wlfGJj+d+la5HyCiSpwpbvblM0Q51Dxq3kcMvSBLEr6BHDDz86ijJHAUzCxHRzE1R8hS9Lf mclr0/vWOtxCvwI7NLCYXtP47Q0AMw+2Ok253HwPKliTfGDZa3dvYZXCBqXayVi7D+x7EFlnRpD5 aq6aw1t64eR33llvGdnXQuT/SADOZUl2gsZlOTrmvpFFK0a6v/so6/G2Qp5F96koq0ckKcfE/dQg YHmrejqP6BQT/isl2n8Iyap+WERvxCBB4Jvxe27RH19q834pyDl5TAjzm/vQn9sYRQ+RrgGqFaKT KXomHTB2VcUo70W1WVyNMR9+C75XEdd4MIwiZvw6T9/0zRaYXU+dFkAcRi8B3mV9o26trmwwmZe1 uwstE7SZuZqMnn6IF7Dgax0+TkG58sjtoHX7FYyzC07syYdcaLK/MOtI0pz/LhiGQwPldqG++i3h HjJST7pzM1y3nwUlk42RVdJOFsXKSM8dR0ZFT3EUbgyQxg0do1LHZzNuB8xLBfp6Mr5xkodIUqI+ hBB1mYMmfIZ4TLpW28QOg5ErRXcvx3kngoh22JDRna0xy7wXDAdZAzV5uJFfG6MAQMRD1H6T5Ti8 vleKPHugLJkSn7oeqSiM2Gv8OEHsrhKEd5mEiPH4pVZSJE6CqUd07PnEARoIYPwJ3iBc8OBjA56G s93YUUeHs6vnDxIgGni/jvN3UpKEABi+zd1KvxSVrbx28GeP0lvD8KBxb+X1D+6uDa2+T2i4Bqpw Dw1bcSknoXjZSIECosf43VfRtwA9EOpL/FwI3Se3ukk8otlpNIso+jCQLjpzOHKSBPb9S7uhhgkR hNPcZdGoW2InZ6Nz7Drw3hCurLntoaI+Cu9d3UrVgSMyVyn/GoLfKj+tFrL+Fs/5lI4mW5SATDug +Zg8Ns5WIx8bgDR/YfmdMgB1rMT5tueKq0ebocWVQeIqNYzx2sYe5v9VTMyKjYcfC4oHUsia/+P9 qoB3psJ+iojLWc0C6IB0kTGAlMbtyRORJ4TlMcXGZOHGKpKDKXcla9zwnEg8AZlxjH8hpMtLVopZ nhQAfxWA0HLjPV+xXa2Bm9TOm3hE33WlLAkaT4LQecexp/vSW7sXwKJnMGzH6Cp2KGcmi3qkf52u b84Z8C7stMRBzRsSVXriwoaj9UF/TKWKzqAcbD2SZThrAKKt3olVkiqQ/jMBzWtZPzvd6IGW2y7y bI7/uBscwMIsDgWtZ2ofrODHfRiPb6uPJfi9oby8c+kcAKp7WlwljtZgec8aqWWaNhoPbkTSh6k6 rNdJszHqnZ74Tin1XuzcvLysx9advMtHlLngQjolln9qy0wl/3GC4E4iM6dxiVPrUYlhag0lL/ZG i8I5yPth4oBcWiToJJMs1eDOOLCuGgI22bwSWQ9nWawfo1ENfqD/x+xuENh+IQmZX3MfEJAI1054 vEbYKoggpnzkowYb0Q5VLa+DjAEBiqcy1T1rQk2SIIGuN6iNCNdVhlLhgv0RnoqHfKFvtIIvHEh1 3era4d7ybijpJGdvkVZiFgDWvBslGjMgElRpGWGG89gPGa7e819qKGG5laSGln0NxaBKbW917KAP sqxCB1crIFRrfRSpbbj9gBSbT1hzLHbd7R7ETQh2fc4Y85Y4P0tJR7JmXozHZHNLD8L1/hupxKSY cz/Afz6YiW9IBOUwUYvWcaZ+skqJk/CzJ7OhzIbX41dznSXDmKXc1VfNmASMaocn5CcTcYAGfmRF AlRS0BGxCDq6JXK8MYqe0PsSQQ17Q0HdSef3fSpaUUQolQ00kgLgV+Vlad3nmvBgTsu0zZnh4cnz iwG/dcB17+icCoZCdY+vd+8q/qDYZsDhm7FZ8fgHP/1yvsss4Gh6RlYikek2EDghUpON2ZeU/spS nSC4d67h9zZCTduyfBl4l5EHEM/+xV2tgvC0jZYt+IOqy5GMa6IVxFnsln7oH3qA0L6CLImNkUSF bbXhR8AvgqQRrXrGg9Hf2prsZNJXX1iv/vgLW03A9ZNkTKFCJnWDji+A0ChuNFZJIvMPvjoO8BGE URXfeobfvmBZWaVF0k6Pvs6x7bFA1g0pzmwae8Qzl7CwakYJTb+wcuvVdHdakqQTb1Kp3o3d0SAh 2CxUwCj4KeNdIexkVJTOeUIZAy0yf28Ht6Zt5/+DviqW+XrEeZSSSdoe9/1JHVC4czaqeySjsyAS zYERZVKjFWpBq0IeC35e/NTWZWrNUJtzZFaMBsmthxCn1H9KOXwIefn1pmXqbnPoLUupc4uGTB+J BE/YGz/JpD65S93LQB4p9Hm+UW8IoFr21CuSHnn8+UUOVsZiZ5QRQ4spxmLEq+Vz/os4iB8fpMbS lz7vORwEWyXXA+b31FH48btrQL+5S8M6iwDyaTQTbsMzYHF+nci//oKlfJO9I+DdsfO81SoM4qiZ x38Au+RqYrTuKNw8C2I2objPX0zVN5HFYaBVgQ9AJyWWZACqMeNbEoIZXhwzTO4av58h0abKsOks KHsRvzYugQdtTn63m47oV0/0BcjlyyPaoKaNTWaty8vlhvuctlcjEBUkY5JiCQZtI/ihejTV48lY 9d3r18ZD8LDuMDdPRdRmVBkSgFucAmm4pxawpmt/bsGcfOTPvOBnZ/9Ru4J9UQ1rW9jgrabC+lrX ncPpZ0C8Hp5ffVVXLV5feh6jwf5VtH52gThApODQPaClJyYSvibDXSMs0NPRr+CRbIRChs0TzeCd 96t8pB1gvQKGoLCLBiZUTZ0kCPW5ysBkZloncYT4Or7qz75HiU9MQ7W7rL0JgY4e6yeVP8wZnSbr ruSHk9DLPhGZg/B/xcldFGX1mOyfFfZSK8k6A58KQxVhp3YjXrZD7KANWoL/MQxlwrSMzuvgaf0Q K7cj7CGQtFX3knoIoCnyZzY60TmQHsrl7eTRSgl2W+2FqDu7S5E9yA3vREurQYYvCIgAX+Zj2k1v 4I5BrSfUfVdUGnd934e9Lksf7Ou8u9kNz5NoS5F3XgJe0C4Jhd/iVE7wmY58pni3l/CIB0lornad 0lpRdfn5zsoybQL1RSnleoighHhtSeAmWNwQXKkD3jiB8cbXJ2wMjO4gkLJX1pmjuHieo8Up9wxG ZxkNaw37/6EKQ/MRS75sS1n47GvVxWixoEtZPYK/QFlT9NctlKpI+Khz0vSnt5mx27Voej+sJ0IU kLfEaJCjt3mRVdC10qsMwRMGGfpjPxDl7OQ2UfCBUYH6zZBthsSc+8cpYDdyKIfKPxpZ8yaweykk +sPWnxGDxFgzW1k3zOHMvSgz3W+p4IbHHl5NSwHZjlBnzibOMII6d1jhyiHc4IFvjph9uTEmPBkN k742UvieRAlFqwm7h5PIlZoln0wEjyvteGTg0Qcr9b/m89qPieJA/dUnr4i0Dm7JGyXpP0isVMZ7 EeNz2lzCDRgCrksmzOJS1ggiGwrV4aQwyHXsmW1pfQdZJ1RY5ZBNZQLgJMF49b88rpOXF23JkLR+ JSCA24S6pSbrQ2Kvwv1HAKwE20vS2+ONhtvkgoZ9jKk6ezcQYOr1yPgRAZqbg/98R8126QFyf+bW j6dkV5NaJpBNa9wMvSwIBAypDlfGjyQscSD7GH9tiWrtejSCoQPbC345rVrHGR016hkD8AXuSDZj ppHCsQjGY0o4T1qJ1YFm7BViA2deEoy9i0tWnaU8Gr37JnIctOUSsiIt/vsQd1yDtJ8E1FEzV2U/ ooOEuQHzeuETO96+9A3ElNSktTLZ/345HIF9iHaPgxO14CLDhsi/dN3flMIG0PWz5SM24dpP6/3v pB+jgZ+EDoARCrANIOPqLNW3+3qcTKrRdIJkGbU1UPLyaIy23J/QENPrHZTpXYu0rmJZC0bS6rrn UyW43SfEuEV9A3rHBTPDVjmf/dI9255vTIWKtjN/tCmNfm7AX80k5/nA4TN2J8GajrRFZwGbsAd5 iCFivHEGDGauO3VthxztlS9rtddCOVBBNwpx41HZSVrAwAW7oJGlI76z6kmIghSrQCBeptbFb6c+ LOTPWF7hjsh3Y1/MrdDqVIHzEcVl0Z/yT2vCEo7Q+7B6KRr4kbgTVtLjFAxDzEWOt2vc0XU6kGGY 0xFM709R+HUpbncCfe7+fyoX4waVWhNhHQ16np4XTIURl5kzvdi5hE8KtnrFQPF8Jzo73epRMITd k3pzn5UsFkIcuVauMzHtDmvoBPRihlaCAfrb99bjNuc0XVc2RMwQ9/5ayL51TGvp+wXj1AFtMO6o ULYgtJFKtiCg+RQfjJr2QReW1BSYkTm2myp0a6MrhxXaAk/sdpnwJ64xfRT7hejuMlA4ainsLvhX WrDKD3K8yGN7zhCKLo9A1GLqJjBSqFh3EZNnsbypEYkjnz2bGk/LW1WSEAfIsDu6Vqk2rYwsSbjZ srR6mFqWI2cLEApIQ1ZZbtbRZyouuQcbDK2dRtxD/+G2MwLzQKQ/6oLg8EaNd3Y8A9YTbqBHB3NH u9YJ1w026llXplWJek/iCyelgoVvjaYKSrO4ZIJpfIKT6VIJQa8mluoGisuvogNkCyZ4Syhqyki+ K5DtuAaw3BE4APsPb+NTqla9AS4fY7ixfqqoY352wigVsFNHn8kV3I4rfmvrxej+M+HzTFeNtALg dF00qmg3V49B1hGhn3IHPJ6mVNr5ITNELYbQfbFT7warsplirNB+i0JyvzWOSvkTfzWktim2gQrJ 9BLCB3tSUyrbcePyNC+d6BuJ96Ix3W52ARumoDKoIDBUHdV7s6B8pli20LQ6JA2aYjwZpNez0vbG wOlZ4g7NM4A+pBMuv21CR1n9dj3QySFg0Uhn18f9z7Vl8M1NHKHTypfw+PyshjaVW8qRdXP3sFsI PUqWjbo1+fGhRqUJ0ER+9dPOk0sw9aU0e9ZoonUX0EG9wqlYsYFZC9rF/dMhLI3Qgv1SM10p3Rxm Bct0ob1oxPUioWUxuM9uIuK/+bC7z0C+Xc0pHEWnsroY5kafI8PkDsunN4tK3knRd3M9NtlteHg/ 64clR5pk2EpX9pSxlBVqIff9jyFfxNdxcdH2U4cbKNQzic1s5g9d2SJGRXqEw3HGQIkSYuFRjNah gQEXiLGCPBSg/lmpGFcYg+K/yfgQfxZLy7GGL1ytW3xHp0Du/0qoEBWslLBJIjZyhupHnG1o71ER ej8CCGXEYup+VAztZv6tlOEPEz9wrUMlTH2VXY557jYNCDzEDaFDW1fB90AV2yAIhaSG6tg3GVqj TGRALD776FSGYClnZN4Humd7AlBTQbybXUq2wC3zwxzZDw9sA9aSQZ+0oKx5Mp4oMQGnEzF29Puv 4ZMM1mqbDinlBvGOE3KQk7kQ9xKDn0at3rNlNlKRha3IoC5jfGau3W3ZWkWNn4hl0XQvCQhC9oLG o6wVdc6CKM466qtWV5NBaKHxDoQQ51W3So/PP1lvOX42/TQWc00fH7PWmn30jUDcgVD1YgK/aUVc 8sKC07eB8NiHPUEoi07f0lnA2hxt7PJh0EotvfnfiOW6dWP6qEuN/BUTucZm/07qZw3S/Q0fWWyC k7EV2IIBQoR4gTn5jC4aPzZEFTCB3UdRc/Alo6WUWk9uEfqwP9KscWBQAEK6aX5PxTEwd8qwvnom ead7zXCIDBiVZOS/bLMG6L04iOLP1A+pNWIJ4S0xWjjISbM1XMdr+374VBViADRtVKhtDU1T1Gmj UpbOzz0/Tb8LhAWUtUCXz2UcOn2at6HsFzuFfoSVRoVMdW1sJ0O0cpQ4bioOVApjfXFMgQ8m6BU8 8/7vaowAoPYT0kDPanimWI1JX1xqovZHXr/HBz0quObFh8ms8Wf8hPNr9ycjPyZyl6SWLD4sB2jL YRfZMHGzjN2f+eUoOFmJNAiuJi0Zx2pZX8c1VqAS88Jh1lSWOVSZXUBRNlH2ND33I4OiLbHRFSa9 vsQ23bW0c4oBJLNiynY0iElZwt9Px7ox5ndWsubuxDVk4oOzEUon7DCLAid8n4Y7OzY9igQvGgQB WXuPNTRJ89b5sDnHYmh01QeZ0RqiT2YkfUdeCd6YUwG839uBG1C9W1EyQT24+i7hEg5QADTKOVat Gj0MYbuB9aMaS0kta0xeGBZvtmhxEXAklQqTX9zF7qURmBYq9j/ItukkKAfEwkwFw/rwXJXClHpx RQmSLgoMvqvuES0Wqw/ABlYP2m6FOcFKpPkFMOzJ5hfNhGbuAmcvla96tmgKFwmwvIM7V8kqizU6 gDvHzfiqPP5YIAHEuvFPtPDrODCwqZSgSRsEwdhvlj1UNelEfqaFH7deItHlL6e1omyDDsKTHVlo eGzoHVHKNcAxaKEn7Wca5l8vDYevoLR0nJRsv9mQjhthtuXrfKL/Pqn+h2fUE6b8dJCdfE+Onl0f aP+yXVi1o+OXY+k2JLIhFNO8+KpfutmVz1EEixiQ/XNdxsJirhCIUKd9PCRQQNIUJBYg0l5ysrWu ruilpJydOpW9OzclVCPTfQMW88t4fHNiAufzAYu/75hW6ByBNOzSPus2b3oHukeZjYaqN4v5VIfT s2C9liCRTxaofs5QiHZC1VExU6J1QfcF5q1JggNZE9O75c9pNiG8YHknUd4tC4y85jU/C07uQfts Nva0eO6vJHrVfwHLtE+wrlz2yOcXm/WVrsEz8WrHLD5rob0ncdZshYnYM/Xt9EV+rx5rqCVDoSCt bL5kwI0j7chv+beUTAnu3625z64Fg27LoyY1rfDNNvw/8UNCbstVxosEfIZ3RV+iTx+XaP3KE28D 53gdyxbc/IYsBqaxKNuhJj6kVhjl2rq+bc28vX3vuRpIVw47f7EzH3zuMvD0onOcHIFR6H0kZ9zt kzP7tteibwQSS6ZWxZau7eHGWBXOciHl1XznxLx3rj5ZrqE81zGFapM01zvou2qiWvHMgvsjfZqo 1C2gzAQ2rsbKglSIhEzKbgYuygMwpy2CneXVNzteokMqeE7xF6m7VOKVLCbIdZ+dvLW4XMfuApBX iEocQG0hT6imclnOzB0LIdnr2rsdHjaL1StGb0/ERvEWW9fwZJZMCmw4ME4e/V4GwG8vbl/keZjj JRJmUk8giuwDlWlEVDSxlRBpCQWtV0ZvB0O/wcmClX7tss07A7BmSbYuWHT8+kxgHFaG1vjn2jsY mFueZFi5rgDitsgLaURZZNGjfFtPgTtnQvc8tO9GFWbmVYzb4H4P5ZQvJX8T2ESQzLPW8csiZATZ Jbv+2ormOAvoKJaLTYNZu2V9FxXfcYg3tHV567ECbSF/6IpLcjsZtNSEjbffBH0s3YvgSKt5/ICZ YV07tV5GWAOz4fbsNsi8dZ83hOt7BlK5lwhAvvo7zIea+r1Gd593beupkgw2d9eKz2cqhLS79oev b6uJmSPow6JcAQIsVIWyNrrAdERghgHDizsElWaQxhNYgOaCSiQi2aGuqZi6gKGCSSkYOr3AB513 yvX4IKlsL1kYSxg9iBkElrryhUzSdqagKdS1PY2G5twzwgTGz/t32JZVM8GdBCABJ9UoecK2YbuL h6oGJUz0J+6z+Fp7O5c/f/vb7BlayBTxUYK33aMs4aUYFeAEegf2GWKU/i86J7V2JVuHY5bKDOsf PcN6hyItg6R+B6TsUE99y8UsLoCpaR/Y0FBlVy8hB40sUB8B0+tpBIfsRHs5NFh8cCANvV6mU5Oc JDl4X6ffAJ7rI7RwtphmBG4StnCM8erWI/Dj6GUENZ5kJK0lqbosOAxlmMGLTLTVnoAyX9ZTIBVI +IRQs0dcgib10b/Ard8ALeZvGrqLPmZnXQNiX0lN8L76cE3mU/qV9G+7r9e42HcfE2Sdc/eueOjW RBX9uNuujZBSMPLjW9BJreKnvNRyT2+5Xt8Pdr0tthW9VlBdHVqR/9sghnbN2qDqJ9vq9UB96U/l sWlDSuZuZ7pMgHKsUml+fAxEzlJA8h3mNV8zBbzJsVTYuaeZHlmK8upBPQEdn68k0Kr4H9+WEew0 SB7xE7SWqVCQga00nrQZtyKVDrFSjuVoTGgwVjIv7Y+FzyVamVeeWaqPiDALri6009jxYNypmbSq kcSJRoS+nnqilREFGoaQJYW/P9kbd0pV7NYf8gOn3RwZ+unQdcu5XI95rMuP4MeUGdfX0p0yT8JC ZtUo26kf1MDCqnqME7XK/VLvg3gcXMdztwW1/Kcf8jjck1BGw2EjFmu/8mm1OOdxMmpIOYyHQztq KyX6ShAv1jh/bs6NTbPvbT7KqC86zsI3IRisv4H+IeTL7emAw//TYWihebkxsDOTw1Q/XMKyNWTB mU1JIsbov1xZEuhP4V2/pHpThH1QJIP+sBWxab/ERXLP79hx+oS7aoHiFd/8xMmk5bAxyh680YI+ Owgn4hWqAv0YiHVcKXWqUgIC0fHNJXYEDceCJEw5lFow1GqRRYKHBcblyUF3KtUCB37cQfUq4Dno Lf1dbO1JVzMfee9oFzgzJ6osFWGchAEuaPtiUSmurhDNxi876I3ERx9n4RzwuoKN/hVvGVSL6OSV d1FT4JdSomQPFOdPfpRngmAbC+kvZbICMkojrTAoSzI5/vanWqi5mblvb4+nxn++LOWw+a5aFE5K Zg+MGpH9wZ3jTK8Q4VGuhwf5rEx09PsSlKojRdNBUQH3gGPdeSRnEqtV1CEVRSwD4t4TdNI7fxTM X8cdzaEvFHcaY0YYdWco2JVaPm38fWA0bZgS1hWbv02Lj++JBia9W0Rp3O/Mc+zpTZXTe8w58kj+ lexIlp5/2LA0JfwCIy/VnU8fhF4KzxXwbd2q4nTNhR/ORnFQWFcM5pXkY7AMBdMSLRlYBfLHalck HC+PUAew648mMMg7Aisa5mGBtMlYOaLeQRPNtQ6G4T9TtY4tjxT4PdLpRInS0F9nNvJdJ6yvuUK6 PaI3cjPi4KOuRvcbHyZSewHWTPoHCmuZ01db7DUonfr0aQgxNxmhdvMQ7zZyeI29vvLSnF6xcEQT iLMU7tzdKS4IPoUG/ilYwWQWw7BIHY9aPzrUCrZwwvtb+vVSyf3wZBS2bM6SAnU2JmIlkdgk1VTK IP28nszSkHz/oWGzdR7R1Zq+q69Orp2XAh5EB6Y9AXCq4KXocFd9F8Pdih9du4GMh6EbuN5E+KXn pX/IzcLQdzsSNNtZjb9NjXMXCEnVhOJwpuppzjZNpmiAgN3Bc7dNV7XtN8EAZLuO7B0abuxZGjEJ QaNbBgBtwTJUcju2pHV6Zsn4cajZxmoOb1C1rmLRpgHnA1sEJ+XiNasim/63+US+YvZr/mgy/ltt 7GHqbMWHXaka8pJfQ03vHfkVcNYozDEDSgbCeU1Y6dB0yF1dLxIYejjybK+z1Cw3cAvCT8Zsc7gF dA4i6edmeMXDtFyvvnJf+e8LS5m7hYOKISkWZwo6UF1DmsoWA+hNGmdB7OsQLvySoJna6AitnucS tLvPI4zHCaNMTclfh19hXe0yE/xJjCLPxI5A/4HZG0bOBltpw4RVIPs61KK720QloPSDoNu4z45c s220k6SanbhncY71rnecGNC5ug28ii5LnfNdxdE85Y1Ellbh6Bf7LM3iDY/GbhcJkMpliAb0lYG/ IH3k71Q4qvU3Wi499Qzsp/qwiWN+eQvQ/xWgvbAzeBk4DJGUAh1KM5nYPvRaURTE+0PH7Mg174IW tuifDpCKpRHxrL+Smg+ge5ybRJDbJT6jPULAF2m5PHAnuDRiDdy/MotTa9FcZx02V3yy4CxdzC0Y CW4PD47G+PRnmPH1CXHte2KHx3zmoer3XnxMY3rjFD4CjRD/b9hd3bLxSWnrK92MXz0tsqj8E/JR xg0s/JEZoxlb2mJReeJwYxxBGaFi2oqJNlA6Nz7JYGYDEnC/JDwfewbLDruB6OHZBUx2jbvXIW4/ McrTencs+Isg1GnCoxlgEmfGp/PZNh1ibjHBlp+2Fcx5bALqGpMa2aQsk+kejITeVoryVlOBV6+l GVMBjC0bt1YE5c6uuCh0OoBzRnSzwxC8WcOLfMcWI3izRmyLf1rW4HFKWOYdwR0HM2LKAd8wEK9K ZPy79BSvA7dkdgypmyBYF3kAzDEPObma/rmNyWfFc1OGfgBnWH1xOAso9gkh5kGkOR4r/GdfGbn/ OiEgeeuTU4HP2p0WZxjGLaHk/fGBKFQE8Sl36hRaJpu+mlnewiYBg4M7GakuKvBkHhGszlzw56JJ IQw7kbsM0AcArHqm7uYXZr1pbRBD20ikhhu6qK+OL60BIpv+GQqB+ty7Te4ZJbn3lJWL6CV2gshV vNhDx5YFiFU5Zk6BUQB0fX2PvY17Iq7WxZ54m3xzXOdFsaPmlOfxxtlPTHWkF+23YHRqArM85ryH fVnwymY1fSFCyJxcyJPdJ1uLBw46vtd6RNWKqZ7qJkm30PNCfnLUEbcCzeRGlMJqz06w7I0KEkb0 l38MUCVfImsxejg9WdWoDx5WRR/iMBhmieFkO6dpsIjw7oNMwEC8GXqcmF5FNKO7IcSaCicRjBBc UdoHtgw/pQGC52KfgxiwMIXfu4+JMJJcCtI7eJ4a7iNJZCm70gRZxDtAym/ZS+zukMFgxasatFJj V/RN43iZFEURgYB4UDA27jpa8RGh/E0wBLan9h5hsYCYz51hBUQsgIj/o5jDTTZGuIgTjZ+/ZWQH CH0iCj+Nw/MBgJQ8A2mV5+j+Iw8aVmduz4j4KFAqBqlyH7NOqfYx3GJBUSVQbqFlJGDZ3cYhDpOM UiFgMmsp2aulCVo3mPnYlwF80Xf3P9yZhtupE6VuVfz877UWW5gDP5u05woRwqpa3lao+ldyXflo UBC3mnyn+I3IzMBLK+S3ap9dMEKZbgkNNXo2BybzJMrycbxKQA3PN27245odi3evlb1jIa0kXJw+ ZrBFbNyp+8NVIHEJfo14pDvijOAdNZ6PRXYDlx+rPnBucjWqOxvC3Dcu76Ii1H3KRyfk59YHiU8Z wGg8U/i+Ge9x+4s+sRTJgLOhrnfmRczKm7aO/GoiGXN8OHozbcdg7OKc4q9Q9YUOjhfHaZE2D+Zi oVSNVMeBB+q1y4JNgSeifDMkRq6QlSr9iAqGnxAcfoTaZIc7ekqXetgIhvnEAFUXsmpYG0vpmiC+ mrXWrW+LmdbQsTm1cLugRcfVEsR2KDQA8pNgBN9A8/4VrXC/HOHbywBHV1gb1Q1O+HHGqlCw8rzC /jMl5hsRDeAlbKsItCe68GtYGlaSFt1hpTf50LhIVLNv+YTEfKozxXw9oMeZ+UQcKTXWAZhSKHB/ CD+grlML1FBtrPZGdAtGWJaLAccpzlRcWX80Zy/XCH6oh+eLP9OdR4MiRtGXsVlYSeFh/hvYT+ck 1GgiSZnLLqkxsskQsDNP7clkI1tX4rB2wSYOWlZyQkQ6KtZJ5oG02xWLdH9uMo6DopCKwChDPNFw mdHzpmlN+axjKGYpzUjv2IU6JrwZTWP747GuIG/XK1VGx+VlOxVIgEXBk6ekjUbDxQCbBIB2vbtz ryVt53GrVD/eSpWkAjJythPLCarMdcW9hjnCC6E57DyUnbHdknM9lSB1ACHG00qRb03/25ShZ3Oj 7crLJKRhoAJ5XbRuuCkaNs5M7jw+kx3Ucmhg4fDu1IZqh/0Lj/rpdTxmit0XJD/oWcrV6wmeU6yT Kf923tvb4bWiKqd3oYWE+ZFNO0Sc6VHenelHh5UuPboqa5cO1YtXDOgsAv2Q0bP3ILJQt6Qb1tEn +5X1EqxnQSYCyZP5ND+qmT7ZReonzxVRleh+9IY9DNCxfxI/cDZOg2BSH0AyZjSPEwraR6gEEteA RKoXbbm14W6qyHYuIuMyHXIF532MTrU1fVz1oozvpsi4VrWg01nhBfHmuM9vlLZz8R6bOPmxnI0j V7aGeGKXa3OusK+0mzygyNnxBAoGlzx4BGMwbWDamgyaaPLHEfuPZxtgcFsCOddG+rBrwXfcv3vh /7WvCQc0gxm3FspslRbw/21764QhSpKNSQnnLSRSRqbFWC9KG6DKXNRdoDofbdsNJFMpNhc4I+uT mgPFZm6DO0NPA0lrS0bl1utlI1KZNJjEO0CEtSPmD8dbcz3U4EGJrhvJ4i25FLjZBGEh6KsMllnt kIiUKCFKMIFUZOqbWocu2XlJNvqgWlHhxfMeJ6NV6w6lXesYu+oG54v9NczKatbowuFEGWK0XUwG 56LZZDGc+ptUIOHwUKR9kbdDjzq0l/55Qrh0oZs3gSgUDviQNDcGKPNTK9a6qq9O6xZvGkDqolWn isQ9uFFMMtORhITJ0u5sH8X5e2LQsHdE0ctdGA62MuNzhNWPxfyjU6qYMwCvV7Wi55Fr6psZ/6JB J8am7lEI1uoG/6zBu3pzsXHrGaLIM9yWrv0nv+hDrXz463ksilSkAmpdh57ZpAQXSnAVast4k9mg Z7nzNZp9YI2u5r8hBJ8aB1Q9NiAkd2peZw6NYi8jAsDy/XMXd+diGncG17ZefbwuBfslJZeiM7oZ L/D7tVCS9OqvsNsEz1gLL7kkv8eTwbfjPmTnPbDDF2CL9H/7iMRYJkBa6QWs7ECpVGRaGJdt/hbL 7z42j5YuUDWZY3J/aHLm+i6u9mJKVlKec36rHppsj+Ag4GXQAOhWvE2PhctKmG91EdtkFGNaW5IE T9rktJkyOR2h2lFUp4ZozeSoIqgUX+eLvMu0VbLbB3eiC0wwiuoWmHX4rNvGTXjntVX1V1Xx2GhE fVYGY/Bp+nKKCOSWLq8ZYtrCRzW8VfYSwNn/UJ2swa8/stBNJlHswPJaz/+E2D6mt4TX38EWUD7t kf4fs5nAljfoOfMSE5ZDZaPoVXdz27Bkg1Wmn08tkZfRgmqMhTtAURh7dcpL25DtiFPAZU/Te0/f zDUhUHU0GTQbLdWCOEj0kA7ukFFRnws937j+YSgwJQbahR2N73edU8djhhOsxLLsVY7TwEkGTZ60 Pv/b8ED/bsnO6KmOFHzMmpEk6TUClrXgi7UIvRAI5s3e/VMX4bJu/g6CAtzqlzFdsn1fLeE5Enj5 gg0v+e/FGKPl7MGPcVtaGN4xS6qcsHqf1AoDsKI1WPP6PDxBuLOC0EmNpcua2F2qglOqs7W4bGai Rx8rxi7TOxQloUAYoi9NYuiY29kJvtWmw0Qeu8XL9KwybXeqmLFT+/8Xy9dKnrAEE5dY7q09uiy+ UzRt6zgvKoGDmrLbJ8fX5q8JX9Pi2by9dPj9/YD8qNuDgt124Y0TQS0p+D2TLyEXjTPrcbbc8Pe+ V++aWItAtQ6MOXu/FwsYIQTb2yZhmPlaqegfuwMhMUZ9W6UEEhpwEZqDF1G7J5dX4SQsu8vBwh3i Mlqc3DJmYNJEHaTzYCaY8yC6KjtSLci/6Vnjyx6Zwa4zH/c4gT6W87nVZstBCP9Ph6N4S7p/oYBg 0NHqF/+/wIyd16p9vrbVWMMX882oV9UyITP/FnQAlRBJldLVmXM63SKyV9SgzYWLtmUKhUlxSP8B tFDmT33o2w3epnQ3P3WOuwDgtxsVbG0fzTmsPzN/mdspym3ntjlobxl47FnO/GwvKoLOXZejDLS6 xgirYhvF78iBY5r7tQ1PpL59QKO1bj9SrB31NNVzu+NAC9x8IUQI2LtNs54QtEtRixkAZ+wW4sfv tkRoIByYGGyBOYLlbNTMwitu+F+zGAld1SEXrVjetAOSDIQIpQfNIBxNu/yluKdATDYGnpVOb697 viAq1Ni+E4w0DBwUb5rT2aV7VD2Gh/3jHr/Kv9EtlymWRdO39TZTqWpwoTzQH0xNJ0MXzbZk8QfA yDTEtDpvrQwJ4yb8dsn/r0MdW/L7XBSHNOLGskxn/BRia6Z4Le3Ys+gwjSx4a09btUrLKxs2VLyb nGBmF94S4h3bO7oKZcU3BLPLR0s1o8AL0NQxqFdFIF5Eyggl30Mq4i/b47sXUa5PiDCc56xRnoKh 2VkKCG+oyg/0/PxvYw5+zHgF/az7fQvSS3v7eBVj/LH/FEdnsHZQ88EArrjbiL47gulcOkiTY4QN lv13INJRkB39884hqBCkXtQrmltL4iONMdHHd0l+d0dTiDSHmYnX4vS3c2QZElI0F2OrbDjS/9g4 scBmSaOaQAXqHMbF0eyPlrfZKmFf53+ckt05yiVpTWJELV7M10PagoBn7CARaPb6jl8FDrFTZMog 2RqNIVOo1wqs/+ADaboa3ceU8TmxPHF7avJ0oGRkiSKchYjnb35qdoOmr8V9tus0iehJIj6F2SB+ /OHjPc3Ki9Q1HxKCzA+srSp5enVwFlKbD9lqOTyHKuH1uvYPIR8gR01QTBpM3EhaOlFHDyKTIVYC HrmMJ/r7mRI1gKgXqgPPb87/Yd0FQTkvDbFtyOLDEVSX1/ktVt70AstbQEB8iv7EYQFElaR2eZ5c 16wnsX0akgcgau/a+ZOajdT+uueAOdcn/QtrXs7PQnLaIb/xn2bWee39ImK8gN7JMfUf6QCrWW0p 3w2VyM020jCACivhj9hMwBgCOK/M5h8LvLKOch9U/WC5OIsro2NAuXyhPeTTj01Q8z5oTRqUjFcL 9FQ9E3oHmXs3AiNb9xfCWVMwpc/P0BeqKQllqaKNQ5mfgFYR/ZV8BXbwZm7fBuB5spiY78qUhE9a d3bojx23D2oRhqM8w6xfLxur8mADQMDFYHkZXd4n9PZfn+vGontLNLZ94N1xw1qNhIgjaa6FPTsY 0h/HlwULDksTpoZKTN7noKvxdUSjpy8V4mdMI1YZbc2RN6wU2p3RIDQrzUpQMUHkuRQl1MgUXV+Z PEc0EI99TOVPFKaZE/0CaL6P0k4tmTP68/6xn6yxtzxDN6od607L8EtN680VKqx0bc0SyBhN9pPE TDOzC3h0SdYbzGO2ZTTX3Qa/8P4pjRjlEvcz1XLYnvRpFIUyeR1MqfSje2rHsJk6es/SGPlIetjO U/J2IAHnSx6UT7heK3km3v2lYAbLuCJbGYd/TuLcJOPEsHCLV82+Ccc0UOjacH3KhmorY6IiFFUU BeWvVUP4bMRjIx3qiI4sFdsHmoD6Ui2br1JVagFWq/NKhba+/nBBIbDcTpPxHcO5y0CTtGAZfLCA u15Dr6HGeEnq4pr5y2rJDv5pFlbMoPVWsJ2yZfBNqC3BAWVFiv4twWyKf6vwA2KTC4cgL5RQJmyz JbOgoUPbcy+P/rFjbWaFphIgfBdYyHKdeyMjeBeRqYD1NDwNZ39iQ6frR6HQP67mE3vUO9d0G6o+ 1SOoLz3NOVG13GFm4gQsIf9f1AGpC6abCvYtUzEFbpDN4MLBgUbpb7fvwc4welBVD0KUIjfapT3E nCSHcep9bytIDWOp5gvlmyIiTj+5s46eNih3F9UaUfMO3I9k/awk2b6y5RMPpVO62T0j6TT0jrdQ gfsHFdUnQfGFzHE86rZ9THPM2d/GJE8V52Gcd4GiBPB1x9CgnxCeGfY33odDd7ES7wCfeIHPd9+7 8rEa5RJi96QOnyB0qrX7ssxV824NC4B2AEAr81jqRvqxIEyzf70UXfJjvI9KIZUcuyUhNcklPwXx unqaPuXX1fjQuz04Jj9VHoZVRlHsp+JYDrl/eWjitrg1xN8t87ASuPeGA8d+XHLB+sUfY/nUbhY7 3iFA+yU6a4r0hoGcJQKVtyYme9dKgMRR225NfGgWTLzMhKoy0LVdkwBwrzT4bprhRVbnQUtNBiFq gyb/hgjWxV0EQL2wYBRVRK0//95MohAzrvzWW9IukBszE1fcysmFn3A0q+3AO45pc54avhyVdljL DbwlX0gsB5WGvB3xoxM/9yxt7Du1flNFfchgAC6QxBTn/cyCRyKiXbZwALdP/5rVZTJ+kz2Vj1lv 3KXTRDBZgbP2VLJCEMfeuphGdjsnenLewbAajs/Ucc7/LkyGWI4xjv6HUjqNxrm/10Mtn3kIejnO 46e9S3hff++UwtyWzITyQt/rJfemX6IJjR1EpZI/g5LKxH/pbvsmGZHFxgFB6OCR+HIwVQQ6+AIN MYtLwkXwFo9Xq7jtdny1xEhcI/oK/JHjT/A3l+WFJhe4oKaNOEng314iCAi6l9OgQHzyty6SvUcT huSvdxLHcmOEXApMNasI1fPuMneJVvIPVPqgGm6QFSzKX1CW0w4Aap/vn1Qk1Es2ADJV3vL5a8tP VCh3BNbTixWSwoSzpBGRkyDvYTeeDDT8SOFjBrLQ2fYMZVndpmQGueuZx5JNwRdZlnnw6zpEbG75 TEsfFddHRyqKB7RuvNYeB20npHEqnig1cBHWquWD4iWIBwtilBQIi76bQg4baKOogmV8zVQnstJT RDr5uwYcnIM4jRw9hVkfa2r+sSSwPwUqjRJhKzHUDrSEfGpvZcF82k3qtZK/R96tPAOMNJfD06l+ TVugNx0LDZ2JsWRWx/DqMZRrHZYS2lUxgznrWhBvmEPm6vtKMCZpolRVDdE+9uJ+MCDwwUI3uFu4 fYCfz+f1pNWGuvav0sjyk27tQ7O18W+JkVRep/GA+FdvJNMGyxjEbRpN05omnUo1p+3dqq/FZb9E +9DlKY/poFLV4k/KkpHRGMy1Q8Hb2IX9wEMR0kfj7TsM2SgBa3CP3w6aUWFt5cXUmk+L4NcOOmB1 hCbua8jKqiCGE5wUywa3+u7PlGKDsLekI/NREjU+Z332F7yjzVUxz2Xv++YEiBKRAMz5HixslPg1 KigBNh7fKXtyH0zn+9fdPEFYm7bUdQVAdoZeNNN0COqW62n+uUZlwKmz64+T0QGGa0WXZ0Jsl+AA UStsVhL4p4e/CfhVJTQLFiNDPjCRqTJ5mn4tjzorp9S9acv1cG6BkZA8ZqFmGhW2Fnjb94Hp3yFq VStThi4vvXps272zpSKRMR4Eu86CuNU8TXFBOxGGZkPWtStO81AITVqE6aIW4PSZBIDINIUFFeGI BYeTEmhaHAaeZHJXteqNocKMslpwcjRnw+Sqz2MV4QIv57TiYfXJ6LxWPcFEsPq42+ciRnXsxsfi P7uPHtJgTGjc1QAW8q2yTGa0+1QWxjj1AXaKKq7AqBGTfyGaCXDKD3pRIIs7i7S9ZTAjAXwEkPYF dyXu+J9U8gn1M7jgubq3OQDuq0pVTSWFt923cYMvGIkLAeUs3tOD8hCx3P2N1A8e8F4/QdG6U7HR Ih67T/LOsYlOQrebC0xarEsxBhMm5+mA53a5lAoLQuNrUno4tnZYTEOY3weDUmnqd3AhiIYVNFy0 yBD18VzYvMe7FWJgHv7no+PBXF16cKQEv+uFrBgsPOEMaqLPVv5OM59qkMI87iiPdNSVKa+HGoPy 4rvbLEYKvTDpLOVm+T52cv8dYZ1BHxNLg92kL3p6Rwqt2WuuSJmp/KCrOi1dD7rG5/6hyp6nIeSU smWrP/RssqkB0lLAkQAQeBjg1sBu5U6NDIxBZc6VYoyU6AMex+c2VBJvYGHGfG7xMAo9kFdyUrIc ReeAW3WkCL6lLZHQVg3tsiltZw7Y/x9TT0v2rW76lmk2nytW1WVDPGRT78bMC7GJklgAJStIFOfv +mlO3sZdbJ1fW/3y3PPuRWwnxq8kBBeEUpfWgwYlmV1e25StKN/n6gIKcqZC2xUf+5yzkFs7Hykm f4l2o2ZbZh03IPmO886RpO/SOfbyESpXE0rN4pqcfQPOhghK35g0276I7v/VNfU6YTPAJTx1zt4W lhQHGJobmgmPIx5jdbLZfmwNVi179SzqXJqyUu/PwOXb33N5DMaZWVh3hSVcJV4AAOK33Q/xw+1x wdjlfrUZP7ybCIZT7F2m71fi9TSCRUy0uTfXK0iK8Adu304JZaSIqgKZZL153vd/hZbpMgmxX7d0 vVZD7xzuTFz8MhQ3p/d79kpNsP5/+w3puFT508Io8kGGHJHKMFV4V8QloZLGtdjV6aIUfw02e4wO GC1pcGSPG84UZBRbO+qHOuym1cUyb59Ja1ybrQNFWgo2U0HZClbg95YtIB1vr+wes0d1ih+jpgN5 jWIGohs6m4SpRQAUSnm5yxpVfc24NRJYYeE3soYTJ8pmMztOi2u/TlGcio6I3nEVgh1VnJ6a8bWz p0eE2nbo9UgdTY4gAV1W/nwXclfDnrMwZsJHa4K6WD8NHNNUREntNxGnSNwbIMU3vjkVWnkaCZxn rx0F/qNGysfR1G/YkShsh3hVZOEfINY46lVyVnwCjAusgyw/xXvB5uoMCQcmGoCCsCWLi3PT4jTn Hrj3Ml6wDyhF6SJmiQWwXPA882AlcXgaGwLJN4vol4YeaW8kxpoUHi4dYz5iYdAw89uvvTZnJUMF 9iW9z/On4400L/4nKIJxYt2ISdHMHh8ZpqY4TsGkwWKFxINq/sGp/zrfFns++QO2PJwbq0STv0VO 9kMmQNHpkRyNpkHDRabADgomuu8QmKIRy1FoRXaNqY4KnUo+U0dj3CmG5+C1N4TBF8WZZTaw8YyZ 1U6vH+h1WElXunXVmDCkmRChHUDOTEyrAegsugSqqfV4Dw/el/FugCaQyhNaM7DY6DHSILtrOKiF pZ3KaX/oT1P+H2FAIzy0GAcS/VeTe8WG1s974btc1wpHZRXq0M85LranSf5AZraHNKvW8uBx+Q/3 n1qLDsXVU1HcAh4Dub34jTxri3gaBQjLNJ0cgDgyFlPQIsNiN3dLyl8JUhf52e/vhN7O/MGLxKoo Z01yPGPzNf9yY0CZsf/rRbbkcX0of+NCXwlR2C+CU2Bf7CIJKGp8l9i/qsyuCR6kVTsrtrVJ/NI5 NN6ctZ+iJRBH/0u0h8ca6Ahshjh2Rz3Dppyt1lmINAECSAMMh7p0Hk7dN3TcrLmFypfPLby/DPFc lTog0tgIRdZaT0W0+bvHlvQg15Yqaxaa3eeYtAHn7dFpyfjqo+OuAJeDTEOZR4WHdmGS+PVNYI4C 88EyYR839bU+bTJvRISaolgbL1gf0X8GPiBbyVZSm+r/gyj5Xjj9vjAnb55OUERWhsucvzTiNEND WjSnegIuzCQvUgbelLfE7jVNzD0dfurqhHghgm4HmEEF+jxNUjL0BY0Z1oqfbuSdaH0wzZV9rj79 2RDvuJ7h4PMG2NTSbgzNCv2l14cLi1jz1vdDKsmCa3w309ENckyLgTB6VNtrv+Y5ICQgYYHf/h1U NSsGjpSIFBY0sri5fRxO0/ewCEBkdoakJpd/5B6I8i16H6+9K0IoU1dhzwGtAj2zFgMIRxP33MLf LoEmmsJUplo91k6hhPbSq/IyYjlDqihlJUf9KScfmiVm98hT34i0sRc7HGGFzpugNjIKsoENzoNJ TPmg9ayEQeHoN6SuyL2KUP2MXglq444l4A1Jjfaz0xQCjQYouw3mURngrV/ubk4eQwHkD3vwaOHL UALwEy0t+KUUw0nLVWvUuKfGispndrH+61nQpdLtLIKJkwBiv5qEdJ5yWYtJ9eiAcz1Waw+JFzkJ YSROqfc7+9JUJE7kQct5/Tn1046eKf2lLHOr9YzuoxTON1a5FiEoF0U+ziTht6mOjpnOrBDWyNd1 BVJ5gC1U0tVuPHbTkyrBZwiTZ0mNSCIibMBwU2RAynUc/Ho85n5he9MiG0hgWVqn5e3gvLIqi9sP GHWJzKeaGh7XYpNvc9J1zKVmvDvaHELtPsxE3vh5XdImJhbuXGZ4D7KJLfKnIIOqriaT2Ry5o5Pd E9UdVkAK0NH6OLR2m/EoC/MMyfMQlj0byvmh6AE1O3qnV5+S9JLiy/wE8B3qt8BQul8N9vL8DJSC qc07RVoo/moNYhFepbF3FdsV/t1wegeIjlCTRI9QwlD/82Ag7hB3oCrmk6cORIM16vo7XM8SR+iy Ud1cSgjRkgBXpGXh+0PX+R8RDh7rvp/FYYyzjLTRMImfGYGe6fBM+54RcEhb27fkxyAHG+LUl6cz 5PYXONYlbx4kZT/76sc8RPbOl1KCnNZxlTL5MrmXyLoQjZDrVCq8E1EjpfcwiTm/fqTjOig4qvPU yd2XmeL4qXvOobryhniQbLIIFCdx7zQvIWTG5LtN8kNLOV2rN9tKAw1VMURljJLISVipGnWpLCxo vXpHxZA0RZSuqlkiEEekxn0AXVhkEFmZuYhOhkk8qG31N7zo2EiJwpx3jeEbLTXO7jPSllBO+Rw6 pvXw3l3zxOQSQZ4syzgfndgUtmr/keJrdrv9RbvIgLVgTImYHsyUwIsiYjiELsThQBGLdK4wpRw0 czvSA+u4LmDXKAsa8v07R1I4CFkL+7MjxbX2XUUDxapjHeESqEjhXNu2N+HpZerptNielvcRgN+n CS7GEpIKPSsD5W3f41NRo4NGi6b+Xl/oL9msOpl2KmCXuXhkeINZiMbA6dnnJHf3lX493amDnJc8 siW2rQpX/fAyoaqD3EY+LDfoOd1MRjUmmiCo6u6BgCF9m5nJcmcmBdMQSBQyinX/sDSw6Tgcryu/ I2CLcow545gUpVTvmyqTiMABmiofdkG65mUCv+UqTbjPGzQ/jPs/h2QIqw/FUKcpRJa1VvkCv3PA Q1OzXMGsukdBFAdhYj3OnhQ/L7C8HZiqBi2ZmCJWsR6wrZFLcdhbCrdfkeyeSfXWLHg2RFMbmQPs RtLEfLZyrYnmtdvdzdA4o3MN3LHtshIh5zz+U8Ko5Z1lIF19GYSxLhw6CTBpvLkvdj5ANcFbeHMy 1S/fJ9uYQm2yqk7jiABbcws/nDR2LpvC/LkBTLTPNEd6ZnEfOJ/snhe2oUdet35nvwMN5KT2pWaN onmbnroEXncg5VpfhUYr2/bCw0jiMtRnf4M2p3mtzSODz2LW7F26fD3G6c0oUenMn8eiWMNIYqYn S/kDOMi+VW5xbW4jewrfmcMiNb6phdUReSAzCPPK0KeobK4IgOKML8AJnwSK5MenYutbwxBiL4Ch mzIvXb8AgV1sIhogRRvLQyVc7pxoeTzNSXTp5/UFcxR8HViEu0kjcQvuwMp8/qJvWQH5wPcKdI+z Oaj8eDX2ZBk7R6oYawkpcwCiIB7jWew+BrX7wxcTdv7DFaMP5RgcprqazJ8MV1PqZjsXtfUik0O6 AcxSWqBgBpdIKtcm0yh1seEhy9WYUCy8hVaUniN6tUThytoX75UMhWE9RWlTfHsYySlXBDfA4g9c 0UtQncroo1UsnZNl5em7p7u+Utix5168UhvDmq/+rexLYLBERYWyz2olCRsYG5ecT23Fe3fo3koT PdGJ8DFqrSTtaq3FfrhkUyD1fUNMAuNgo7HjZnRAZgvKPEssEOGnHVqqcdnlNSGI6WFgYyV1iJcc Vl/0WQHD/Iwu22QhXYBMtqxzpfWCXE67jwc+yjv8+NZFRjow8X+uTg2jpLNoP8zAAhoaOOYtKp1L a1fh4qh7dRrkfYX92h642ki/h207L8Wa5OxtLkk84UiG9jAsC6SwFaYm/0sjfBXtewQXlKtlXqFv MHgF5gvtFG/VuRxnxjINIhcVpZCah4KTwKfi/s6Fgk0ko1Z8Uy9i8WhTM8b7W/82XXzp3fyhnAZj QxJFPsiknw1wBrK3LMKmezNHBp7GVSH2NsHpt1ucYqwHieXOo2SfosSJDDYX39cubjspOVKTvS9b Q2M9Yj0mkRYbZ/k2ogt/v8n1ApIcpEOM9ht3YY4NYXbQRtqkVRvFto9KbvEP0EEoydsxeVNI8yzc ZJbMLBR1izSAV0QPsmW5NlEBA85QGHzCDNMs7yX+a28B8T1nKkX+wLpZVOxxDr7RlDzTXvoPye13 /xtyegG1l2R6UJCQqX4VVHK160Zl7XE+cRgxusJN/T92I44Fn+hjvhdUIBCfZ7atHifgItGN7AIY SrUKbycC3uOZy4UVPmlO80ZsjIS5hYsji4l/RxwFQJS5eA89Mgzf8oQOTR/++dLKiPVqFCfXwxfJ Rt2Ggw/vILwbca7f7x4uHbjdHBZKizwBmT6w71vAtBd81m3a9hnlT+RK6Xz6s+ZCpRRkrCDnGEUs Wcb6lqIaQMLhi9BptDHtOpZW4uqkHirjvzR/3mtHBIl7GHeh3FksRv/ii04sgOYeCwI3mkiTaNHT tnR/H+3nU+/lI/eRMfBUhuPRS0ckLbBEOefgLBjJHH76z7xum+6wy10BwUymJNNPdPIlji8+Go1+ Mf4RRiV2otO03M8y9Spxc+iunkB0R7rSBfhxG5bma5jhNkK8/DcT2ZVYkp2y1D9UHsjejCuzRKdu niFDb14alQNlS/v7TTkkLP527dgnL8WFfS6pjS1FiJErZ21qdpTicro+zyNBIEhDjfeUpHpWfxbh McUq+tfdk4WBn0yKLjBRhEfSQp8lTcg7nnJM768SJArFRraeDrQLn92BIA8OG465nPRhvWQ6Gblf t9pcSrYzINGqI7j4Mv4eyRhv0uMCrXsC/N1KeLBzc/lGFN9XGA6yhbwc838tTFt0EWNgAzG5LtEX wLX+8y72b/oMJypOj9umLhAlkcAwI3vgVsRVrIMqU/1/WcgI31o/9En69Q0+lo3vuNhrVYY80bFV w2dBGF/C0hR4BLIAt+hGsJEzyVCydX31pZyiznZ5rvsSk5bP/sQQu49qkGyKFVzUGr4vjW6Pp5ex 7AzZ6rON3kDCxJAjT0vcwjv0OQw17zcJVwkkdDdjykuilTNzDKatiiNLNVNuUby6Jd+DNZYHnbcR zuCheibQnmikuvli9RbbRqWFd0mEqU/effuLutnjD7TIzLUPSy1GQlwIaoLDisPd6oLhxfNduI8Q AR7eh8Kh3tnpQvX8I24ZAQ91vTe2qAD8M+8YGTCkP588EvGiITFZrtgovmxtZqTlkVO/xDLwSArY drIuorXgRUbAdTE55NeoPZ4ComIpzgdA9lt0ni+FjPeo1DdkfqczE9iP9q70c6BOVU5Kv/XrpP3L Z+ZvrvNYw5lFW9JNEPKsZ7HqPDXUAYcojhqflzUEUIYrnNGiOyTPz+EvkPmyUPf3P8MipEN9mpEO cgtGpsqObC9RqPJAmZNhaNOlSmCmk4nAQwqfsN8hz8bvmxrFhv91g88VRDSVTKMjDQLSMa1+gUPe Ysevnk88Pu26Mtk7rqxYg5npxiw+J5V3/YySG165awIVMIv1TX3/CmXKe5Tyiv4kME+E+fcMEsAg y6L7acIcQLNCNE6I3qbzpdCVmxKLIS5BxXp+nxwZ382BugjpACgW+5zymfnwe95DMHIphoCUABjv rXutUtpb3+Oy+zFn4ARMaKAsczjkrILz/MlsgWPuJsdVdbl8svBUGoLhsOwl1QwZrlfItUfEUCJM QTIGErmeVza9NL69NUTEJdSx6xMZ3njVWrph51um6H0efE2S0NtNJXniMNL96TTBTQCj577n5FJ5 WHeac+XNV9GDh3Dr/gBFpZiU/c1dTXVheNOWR/c+U+nSnh1aZl1b5g4kNW5ML/cwCanp1FrgvahR EOgOETUtQpDA5ASzgXM/JwFFvgQ3fiRSSStWbr4g0rL1W1i7whqmlCAyrV3qXrhmVqW3mqP3gPPa o51lXmjEOWlLkhE/88+7/xIe0nkjY5qXGF1wZj62W1hCPJejuLGBwv7VVyb0xATslJyq2LYD7IuC RT+PbjiL2DQF1DT4dKiVOvisKwLuEGp53HP/QBMT8yA7sIaRJ3KasUk0RIVqvzzK4SBX3K/yWioI 0GPaxd5HSSUvNtvvKBhMFZIvnL0eeVNn++OPFCYSWa5FW/vCROYICIbFzgM97Kduq7QpMpvJB+uw AmO18LgzS+FQvn/QG3rQNH+1TASk35tKUmMKZFIytCGeO7PkUyFNDKib2fjyNXKeyFLJoZeHfqMW K5a0RM/NVKqIntmPitVCQMQXE8zOKNSwwg7/cXRUloHVu9jlGmKmYLPf6WoR57jUW2/ReYseoDVk m1O3fIiIzWKazz6anAQKnGxIjmvKXbccWTRGUfqK2gJ2eCj0FkrnOl/7lj/dguGZg+DFCUU8zZrE +q3aAK9MiAt9tB9ORv2/MSizNZ8ECCTrk81hXkJ+YC17gLqfej4KUqPRcM/8yb5MwtIlP0tYbtoc S34Le3aBdKL9INtzHMAZPZare6BylaVVZc0DbF2YE+gTdMEkXkMEJHxu8nCly3JH1dApQqgOgwci sPROchNsPU9eTWbaCxmWVfc0EoKC//C/b0mwl/YK6knxUGQLPua4D9qgbVGVxAjF38lRkbp72a5E PQ+mGKjrze8ZhvXxZVVSdtLirutK6ssjidt+AvEMr7PMK2+jc2ecq4+3QotcjLdx+EHjqIuSeWpZ xO7/ewKcfdVqhB6JWiCP9OEQzvxae6vDgybV7n2Kuf3OclmphQPgAAqAS+ledgs93m9pnXLRtfls rnWAEXHMoODqmEhWlXr1Yw/ZUUCIWyaqMCZttjQlUJRZYkqO7Elb0xqhmelLa82IRsJH++F0mDKu bLK08WkoGI2yeUUCrixOopNRt7i0X1LosjaHF637apn7eIXvjboEz+vhaBJUkC3wYm9Yz8jhyGmu zVVQKT9FdLPE12ebJ8FCrm8AuqcpND7zWsdETAsyBmofQ8Nnh63WksxcwKjls9XN3IQSRDN8NLaU Y5BwpgECs0ucwlKaTnO9P53b9IrhfUttQ3QNKbLvZWEc5EOQIryf5rFOla8SCBPV0kUNFEnNuMHc BSuCNTxLZJL/EITtPBmB7daKSEdGCN+YvcUqeS10sEtuzGkLomqiPJYyvZ/5fp8eLDZ+nkk+E7xT 2V/9WjLh+Bs+KDws0Y4a3d3IXGO5vr9TGbbkRpPAVofxEZXwgx0sqJhBNiCUO7WTjHuLkIgCzgI0 aEcpdv7+PHqiyptWkEtfLUJ7xlaYvdauoPzHP1t8uWb70AIFWI98OQZXNQNmm1n+kF4B/PaGr5im lJfgLCpZWc18b99FYhDpRRC/mM9glQynQppyDRg+KR1LWkXCQBDz06Id7IqJ04FQSqHmVK6GWani y+ih+Wh82GB4nKm3rL/z/kQw+m3FuRmeNsxiJahBZ1v0Ge+runLEWmHUCs84ypg7+yZA5spGOe85 aoLaJBgPG196jMhReuBuvL39G+lQQua3Io1BEuNAytfce4kh5EvYstbpWYqDUjWuXzMK7nB0vnG6 YbHNzx/PYgioO2McRiQ+nuChoKQiP8WRUO1MH2QCPUMex+iIjTi7WlYEEIuqH13y0YVS4dxGajEo 4UtFY1Ytbt4RKiGqvLTjXO9uyysfvkpXAfB60Zzs9xtzSCfLxL2GV7NICE4zPE3vQHBRBHAq9q95 vqHF7afx6hlssuCnUt/MOHfgfqcmlSivFwAvM5EyN0tHu9cC0p14Gis7qb3Qd5R4dpkwwN5TY9gV GdJssn5lNu9MKT9Rwr9nEsci2yxxppkRY6WCLXlDN1EJ3fZVICXPxTwCY6AQSeNR5VrXlymXYWFg PysBf1WYDb6pLgeiLuS85XwU1IJq+VGI+z9f4xXkOE3wTr5t27hCIMLFMgXqeLDgCDTcpVw/JwMd 44D1wgf6033tcrFzBmhyzJVimGwKSCEZxJa14eQWuBbSBYb5Gz/vzcQpAffD2HWNh1gy5B1KF1P9 00Ci/uqXCEXksmH/IUY5/1szs+/rs6KLDf+86BgBiPE8lWWSXwJEJFS58t4TJHuVa/l3hUykH5NW V1U2Y/6UaSb0I1BoPGsvjhVcFmJFgstrODNfv9HnFK5ze+WclG98Y29rOwLumZzUZh9rLD/Gvqk2 x52brR4N8xvUMZr8B2KmP3ZdgrzXAJ0/Y/i1WQX8Dd054hqk1OPqSh9//gL8aPYLCWTPTkpUI9Yz u/R5FSod2dQfjXdUmQSBtpbPjfZ2o7gr18W9ZQqLYbl7AorLjYkWedLneFpi03FTwBugJRLXwAO5 r6tjlGLohEEDKNfzRcfvG9KM8hq8Rpp789tY5yfwciF3UCVOIZ6o+x+soC806Qyn4g3pOA8rgusM GjIEjrozDet2cZE59qEhEaSaQjqyG7JrN31HYQMn8Tq59KzxJfz1Q16/nNMqwcTphwqzjSoC5m+2 J8yxfDF4GiqKPsC5cJa081IPSiVfK1+TlH7HNbG1TOvmIuxOHmjqa52ZfvPCg0uPhWAU5e1owdTX D8zs1hDP1OdLwZ+u235IFo8Lx99EL9OOD4XXv202FRriiMIqWaM9fqc10qCLI/x7e5+caQCEpF0B JuaT800clCp5pzkpIBd9sG7JrI1qLCjIlwKItnJ/KxKrzisEbekcFvl1HD+boTUrRQXgpds1Wm0+ UaNGvhXAXEktKT5lvjJ6/206cC83CJpPRXjZyKXzTOwozQfVnS/Iyx71zVBsYEiSFT+X0sf6v1lb MoY3gJySXPXuBgy9HAeypOkCLOU6uJ/0ivPT8nL5lic8+CN3wGKEcYA1jUcItv1VLoy9DzZik9HS gAovnZ78B5gUddKJOsiPlmIIcnB6WGMTwBOpJI8wVB9V/7I9425C2jQ2JB/UOcSObUZID/SJkB+T Q+pH8FXJ+KKL44x+Y9FSa+UwEcgTpT3xOt/AgBRjEXFq18Qha3Vp5vfHInYwYuQv2b/14Libv18W IgfcAc7PU+X9p0gzaYBrlQcxqUBgXwIjMAYNLgqyVR1YZFxrGTASBe6H30H4NruJzDSWtu8bhkgF vnb2/cltCjfXST7CMjz9hx7nM3sLxsdxzBFFXVzR96vKp3yvrv3TTdsk8lm3GIzod6RT+YtRqWvw PWDQMpzIiGTQ8emjyj/ZwfIjcsTgoTmg9xYs5gGVeYigj6tlb8qhHSihyQnozm9yaSk20O+XW7Fn BU4jC8qWaMf0B2V0NcxPFnFDkvYBqUzdSf0s9k0LmvrU4/upqvzBX+xEDzAABNxA9G0sZUXEERQM WkfU86qpFVNpKRuAZRINjdHLwFHHuLC8yNMTUW9nQjF6yintc4lV7OQylURD7kwuxCGQ2Y7/fQyk nfIf5e2w+jDpjs1HcnheDeTmBI/RhnpdKtl5ildKckMpHTPnLY5HKtkCgunM/XtGEEo62TCTKU1W 8xDPho5puo8SdlpMhIEh6+IbxpsjnEBHj70DBq8rG9dMlywUdRLynUyDKwfoo121UErGZeKSWQhO hT5lDDZTn5ZTC1W6yhrdjthlPoVXx5XI8bN14fFBXh5ZH8k8w8TcAn+Pk5kBK312/V/MZMTPclON 2SwlOxcykDJk22AiXq2HyzAatdYRgu5Jh/36q+Slie5kojmWZeXteGd5osU1Es8DkRSc0bIiapUT LKZCidxxhxZIhneGKxjBYJeiOw32ZI0Do1c5gdaI7G6o4p6EQzLQRwbrDcl7q6a6qFnPiTWonRb6 P47QLo2upQ7Ib8+pGTztvne7RYpgrPVhVeLmFIOo7hcXLkgZekpYaHJfEUwwVSSKoBjYflEmQEEZ QyTyNiCqqzRhnDePGpJClGfJMjHmDR5JxdBLLQpv2MVESrgHWDvHblIMU9X/kTceDWc9rG4p11M6 NiD4Jgg1CUGP1XzT1srV4XbpZ1DplkITQHX5jX4VpRdPz6XJO1lhahkGWn0Zbmsj26W1hzvaQX/0 FI0OC4mcAsA7KzJ45IHdThKazm0tpX1GhMjImLI69erAkV8XrCLieGPLewCxpKm/RwThqoClYKw7 vtddb2eiUbLhAb7+hjf1dkaNx7I0kpm2onp/dpkX2VoVqaA8AIDfVz6+OBS2zvQzfs8guz9ATMaQ cLYHpBxDP5teFQsMtBTkfbbJ9KqA3n+xiEvSLWrQu4YBCxqQktAA9+0qNcS6sBwtulUgZI3u8eMV GAT2MyrwUnxMJCyQkWOP+tmK7IzX8yTHLLk/1fkeUnbBZeW8Mlk0DuPkFmcQePnQTeyq5MDikZA0 hkMRyF3QGA8s7c2MEgoeejDEx07TV290wfhEqfI/kYDKNRZ2k25ut3FJgrOtn58DGXNQmfopo2Vd YgyFWXcr/x7EA3gib5LfelJtbh/iicOoJ4NhvslIhVBF6IkenMHzpoViDRSTvMs0fgdK88XG7Jr3 WsSXEptVBfDPC8fo3vAz3M1cwbpDmRsK/L0GN5aKLi+55xg7/KLNT6Cl+fd34DADctwFeDrCeD1f p8oDka1qg2QfuAawC59MsPHBp7OwxS4TBlgKgoSSY4kfl7I+X77dk2yr12UXpYAELGymV/qzjWcy w0lbD3D1uRKKb6qSix7jpfFjNEXCBC8twaSbtbTioF7H6PwdfqZgtps+YAmAZdTNOu4R9UNpqJM1 8yeptM/lML1rnnIQnIfLkfiTBOYo4v2Hd/dL5JUiGw3oCtXOIGiVlgIGZB8XomQeA1ht5DuG7AV1 Lqu3mYqRWCNRCtfW5Gynh6JPoSPlJqB2iD9t8rQBu2jhIDMnhw2vxL9Up4TdV2UFRyXhlguBVUhr jwM1DGXPBgs0ZMxbKk6ZsiDmIo2bET/e7M5XSqdVKePRvjgCsupML3fw1liE6bOnyigKX9pNTc69 7zkWwGojrQYVN+cd7sMYQLQ3low/9t+PipHIpzwrZg909XjIpnaT5RhWdO22Oi+fpAjTiLcYvyzG h4gkitGWUBA+iCtnZ4bAnC5NaTCdZyihEZJsGNMiyIfjI+nP8ga3sptlxp3Z04EWNaL8LV9iVNLt 5AQsw1utcTWUbM0ETlbQnLni2O5mHZDLYXHCPwG7CUf19CAE3HjtESbWM2AlGcrR7fhh1nnIVg7x 2JL6HN6FazuD+gvCt5nxucq3Xuo38MNshxayf/XBv40wR1mtJkCbnBbPqGZiQseBkOJEgVYGgcSa UpwIYzSE757Ss1wmixwPI7AUGo4ifkQ0C4kTlH7vpCUUeeLnteZtwWic1KoQ7bdyOiQUX9fzRg04 hLnUxuz4LVCMSEjy+bmlQYhLP8mQqs0z3w+5pP9VFMB6vTCHCCXUkpsSFjcGIVyxeKbfn89/T2dX +jr/35aIRt8aNs1rJJMgxJiQIPOGoGVP1jqBV6eHQeM3L/FrU6ifPvih9OrrZa92xaolgARLvdal T5/h4Wh729GanI3740bEFnktglVy3vv3qTqrrISb4NE102bygti7xQ/k/vSeUxcnkODzb48z9lAx zFvpPfQ4bDCleLiri0tPW6kCb7iZvzQIP5vp1856z1Q5NY+ilXS/caNdZJ8QEnGjHVi8Cvnc3e6M PpY9ov3eDWh42xm3rBCq1OXiQhrkj2ML5elCBjGxS7yRPgdWoucn5V7EZ9FUkxCzH4alwWq0PMNa A5z5w+L0FjpdNPoqLtio1ONrZ4EgizJ/uqaCSYDcc5kVAkM17xhGIapqs9kB7TCxr6XLK9qBZ6vU alaFBHK/8gKgT7hSANFVZHO6Ec93BWxj5xRIvaDfJeqBrcualEARjpjdZwUcjTx4dMxvWdug9z6u bylOba74frTLzm7BG5gh72R1L2bLqlV1E8QV2Ny6K9ZCvgGRtngEYb2PA5NHuJ3ndrU+n9L4cbgo ufNpq2tAVFNeaQ2Bj+Q1vkAjBItQAYJ4V5Tr9T+a3H/rgrY5zGGamRghH1e2psZLvoUFTavEpslW TpZKLXMryzUWYav3KDL9YgblLHCoa6nSHJIgyJXrr3JsWyH7OW4F0u0Ri1fGFcJZyKyITKq4CvtN oB+jBaiBMI4Omsie9Hv1KPAAKjLTDwgW3011+duuq8XGCsCz9REi34zCFYbBkahex7eNTUYY6A1J BHX2Z+roEHVDbyOpEy209sS227To+qa1qVDVGmERSB60eKoys3TUb1Huz+gTkbs5sRC5K/hDzorf e+NuECHllyrpzzq0TzXeRvoC82Fy/gYHzeJxUUiAEW9w4mYz+sdqrlNaFo460CZvUy3mJSMwI/v1 vq7b8p3rtF1KnnvTJTeoz/yTE8vi6vP4FrsWoYG6mRLdmGg5KtqbSCPEXWR5+vuPBqMazU3q5ha0 SiuIMP4dHfLLOEEn6TgCLRTa9Bu6s/sVFxurCwxZ/S4aFUvC9M4xRfWku9S7Abvz6LfcbY4/5vAg K0VBqi0pJyn9E2udZtKcQrqPqEZrHyHUzt8vpKxIlzOlzD+XElOL7rQWBYkKo5aZ3mC/J/nuAgq0 opL54kfzaOIwpWSCL5FZ2tDERd2bmPEkstGDO961Qamgfem9CSYH0wDqbl5lsNIU8BF9HeYRkySH Q2/QkkLoO3MRO/LGmaDAAkGix8eHegsO5T0fyXWcuma3bRBlnmshi7ev49rmIkOCKdm6x8AEeJVW wMEwlFkY9uJjDe4aclSUt9cfkBsLY2qwM0kRdVqpDoPveV09AeusO6HCT67wDYdeLJIjVCIo/Oia tPNpPpQy0TDbz8vt3lTfKn3XYUkKmSSeOLXotrIC/xafwbR5gkTelZAaphVI/CbLwyl1amX6J5gq 1YQ4E3FOynrMGxryGpe0VrdkJvqodiVVr7kXbF1MZA4ilkuzdLh1gU2zg521x5SlpyhBPTne4976 b0VikPOuYGJy0cZ8BC0S2tfJPMFN5Qfx/P9fW035Gw/c7hmHStPNI4MhhiOPGQG4cpTm0RFf/4Vh TT+WfddJ9uMeU/o0x3OxVEYvmdCu/XD3ckrzrbWxIcsMZAht2TPnezvqex4atOYmbq/qwuDecASm Fq0JZHJlg1UP6SfBBlD96GkybXQXm6xnmHwiHSNOIn2i7Vqb79fiSYw7BSl8VhAVdf38FkKU2YZh 3vhQ1Zmde5rSm1mH66Cw06wkULsRynCKac6atYUg3MMItmZSd3pCmbkvTTft1zAk+3Ry7bJ+FZTX Qw+tZTx2fCH8t08eauQkWdBAHuxU/pPTYc6jKvfCul///O9hpRpzTy45+n03Z3UWZpip6j0xHBdI M0HAosyNaFN6oUbS5NXagKyX8JQF9aFtvtGJj9m2+yHWJdlP6nkH+pfzpHXz3UmGlgoQ80MFkFlZ xeA+a5vK5rvu3bauDG6Yj2hcxFxNnlf5uuml4UXGgcCz/TJjY0ykLj/nO+8QmO1rXTqXgjXrp8li +M2jSu428FrxCpQ4o2VQjIjqOPh/0ovVrsVUTtWtnuqLpmHde6jXdabkDgN0M2lo+T/OOXZ1L4JR 1KyWE5cs/IvVJLec/vjHqqzoubXOSFyZjnzmzrveskvJpls9IF71u5791qU0e2lSYFnANRCG1Cfb VgKaAMuMYmpXHscwug0KBUl/V/p9vxOR7kUN8JPgKsTbqKK6POMckEqIbsyv3FTatHPPEsHMN4U+ g2fH2XcMTwTUMbvSSS+n4E+xCICjikFBe1IyRoP8k9fV3fryQIahUzFLk8LhWIP6EvvoYNw8Qiwc PXNP1MJl85iOJlJEUqc1/MbUraSA5GGOOcN6m2w97JgHXle5WZ43m39kxOxBShHR/vJ6baPgGihI Avw3f6irNSytN6ulv5CA0ScBZQ9RPlI+qwrsKG1MOPzTfjFTWfY19uc6WT5fUk0GeAvq/Pz5NHnc v7EG6kAEOCH6DWSMFM0dShxicZpoWnyDKHYm/7io4xDsZhbE3nqucqgOPUFfHgTNxwaRuDzIUV7N wahOKy1Y82kLvygqck4fN1hl8ByNLPQNr+ORCaM9Jyz+ggJxEtBwu/M64w4Pt5GQeY2z9OCto+Ov FdKcpkC7zgVl2Tnf43sp5kLZkpiGpMwZq9iJzNAQuJXKdmIob7uyiUyGlAALLMZYThj276w8W4ns oLtedrh8kYVEirqUVlJfKQYru/wWMX1VV+034JzzzQP6BTz1Y4YP0rGOHCpt/n7ZwUZCMdI4WwEk bsnlyN8ZHjRK9g61uJup35cW1J4yrIvom+bd3kOCtB1iW41h75dq/Z/LqOYvTvD3BZVD1zmc4ABD cO/ruLhgkRejTnXhAXPkuXnCFa3l79NFuo6mk/q0I1XF1JKkqFRqnbQ/dNd6IuDL/kXbYncRsqX9 w17Gkwr4Cy+QXzsIChilZA2jhcV2X/KtFJSj/BEAgMW/HzlNRTxUMjzlkvK3nAy3e3989z3tW3BO Jse9zFqmk0RkBJgODtmIb+2+ZZNFFOnx6x+UfLwhIy8BgAkPZFXlLrIzTjzzlYaCzJMRgzrjxvvn M2HeUN/qrrYYZd/LUo9XMdJKk4w1j9b6H7kd/ZIveZCiWGygR3qB5CEBKgFhOoDI1LD16K1twEoF 26Fysr/hsev+X1UBP0Ax5xB2u9kwH4QcL9PO3RYj6yzUSreWKGpECv4s0eZo5qA3b3we2Zxw4awj Q29sWAOIcFbTyQP1Pah80CQxQe5RXalDxbkgcg5U4s4F/S6zCEgLL1MsmMlM1yWe8D4uTPgNJQZv SdVxD0Qjc7FpgHfu9hm1XD3tGiNNCdOfLKsYGCu3KMvA84wki12f47CRPcmMBxUjVQL3jKp1rovW 13MPRUWjl8sFlm1dD2YcJCXbFZJpoHrXlasB0qf/9yWTIL7qovJna5BG4UEBBwVxzngWRwWhX5Ws JWxZ+onvnoIAw8LqVdDVJjVNaKESG0VfSjZVpWIKzqyIsONm80GaWK09KDs4IBmsDXjnjgi+r2Xl lGAERF1tQOUT4blqQmsdKNxs6/Xeq4srpSPwIaBGsns5L2OUNOsEfCwwrDtA4DvOLNZ5YP2v+qvl ZLAXY/A5hKeQGYEwe1GZDinpNcCkGXuBjqj44pLHSydsONKwGToDHf86GrVe358G9KOU8pPNdrfi /WvX3At4E4tGml/WfwMot8SByNNtCpKnLWjaAqGCmgQCXOPuYEl0uCMK3ZtpaHpc1QOr98tjToWG 4PfKU6f89P2KAeWknvdAeVVJw/tx/Qd9iDRwjpb39H78qE39+WcvE0mEX4Hp0madjmXvQxA1x+hw /Ar9tHuY8OPd6oKpR12yCrZz5+czFgoAjFJRRLQUVHbEtFMOSbVM8YBHEydkBa4NSEwZ1YFuh/8h 1/uHyLzASPnE46fZdVS3pQl8u/AONCDYKjSlxkBhWQjZZglWYsfc6dj5QDpG+vYEe9WTBESuHYlK 72yJs0y9l6H79W/QdcRRkcSro8KsW32F7dLdFxDlk/DhEJvuD3VgEcakF43o8hiJCwBOuUQvp5sK 6FaWylrym+X7DIp04ABUSnUsFzDjxotLVmA5GqHyQJz2eRFP/sq/SLifsjWFgOfScpMzINX0UEto UJOu8es2dMWqYrRwIuQYpBuF1BYNo8FHKW9iVDm1S4g2p+0bh6wh64hkf6IAEf4CWzxsBu7vKaRi VpMsy0lpbqkN7P3ZB5SpnhSbWFdr1kY5+gxbZt2SArsNX84tt95vXR2iHDE5jog2/jjHVU5/YTzq zgtyXSeWYJQ/MIpDanC6gXmMJ1GAuV3zDaaBwWUTHwjCguctKYd19ccskNS3b/2PCk+avZ/ztyM2 6PH0AEEiyrDRIV/sqFWRr05uoOgIvczPr+92QmGNatDMEgJdX7xMk8uArh/zohFRrMedo9oMtlAB lJWkL38lpouD4uq8A5R7jhpDP7MHJC7pxn+RrSjn3k8yylzXeDR3Pb3L+zn82f0J021hdkaO8EW3 G2uCblZYHyxJ/wAHt5OcXFWgmttmPuIRaPSe4lzz99/iwdm4PTCyVFxTdsCuofjWWBcuILFbbpic rlvuqoHZK/VOLnrVkmtLs51eybQwB3nnpE9wSZdkCZsvurOAYwRbKfZGDn1W39Mbw5D0GGDeOSiv zvN06k29P4hJoeeCBUDldREMhzvZcdP/g1jljS97rXhPo20hxUSAg4FrB3WW9DTptxLxo+qBC/WM WbH/oQJvVpu4Pfj5ZhKBMNDDrH0W78dab5bnXJ4992rxY0i+VK6iGHapXDu/tABnvYW3nSzEO7hq 77HmDJtWjd1JEl2KPpduTiyecvW1N1gAMZHDpSdE+iPVBOHcprz/bDOvE5hW1ABWYUnj8K4sFviD 8GLV044sChOZ9mTP7Nk8HSpM/j95IWmw4LaSVl6B8qIFL5eexsLxHxTeVErzK4Ko98j3BmQD+Lz2 +ktCUqItOfLjzeqybmoxgaWYPFzmQ4sX8x4KjcpBgCGlKvEQMDk9lvjGQ9coRoqc0pWr3Ixl2QQk HCawbhFPhcyTlEC87AHReyGauDF8q5CPSsDk0kqPtNh3+1YW2Qi6el3jculW9JC1OX8YQ+aZrErp 5nhaC297uThSzaBmhuLezyK2xMBcgBNxnBS4CyWJY/Kky9KHFF4AhL8ip6UxzoMfdYohsrNCxntV qL2td4UolT+RdgMbzYiAHAjwU2bM8URkbs4CO2LEjROG9thRoJoDER3KqI0cFx7lJMq65lvSzjZf HkRuTZrNwEgZtsWZ0MTl4uxPvG3l2ZWtZKCjHtMEnVLtmG3dQk0roT56991pP8oPkmY0DPHu2/Bz r8SlNvXZm40IoO/Zn1KyE3MAGIVLFbP0ppTyF5LWE1ue5hHwp1MY3nv+cyC2bKm3tMiMIqU/HFni CHMcSuYdQ3oBZXg348r6HcM8eO3zRQYHx5/zixzSmHw7wJyUIS6aXSe0wuTxEI29t/jZrEv6NECF rhWqEraaIreeKyEya2bZmDhqJ18obLBQvrrt6luUVy6NG3d8ffKNE/bqJpn9khO6naZJ1MA/UHsj /tIaUfDcYjPfRYFxWQhxwEIS34JQIKv9g4rv+zItIN988jUNSHkb8OFXzmGO7zaOH/FPOLbeac7a wV7Z/ROSayJcKoOr0iY2PYR1EYdma5UvtwSWKoByHEa1dkm0bf4VP86FbQCLafYWA+EpwZ+2yHFB eJWCNyhQLiRlSsy9uhgG2ooa46fSeNdX6Iyl+obY18jJ52bPDBXl2eAOG5RFR8D2opE4CCoXQ38C j0Of5NVwU5DkxP0sxAE+3LDuNvCfqMKyuCcZgG/MiLnR1Yz0V5cuJigKkj42OxJt59GLrSH9cWvc 7JHe2AGu3kE/jDXAOaQgew9aGo2u25Sxc8biz7qkRBrUBTubasZ9gBX8o1Mkqoj18l76s/Xvefbo n+MFHufMhXXOwos2IaBQ/jpzEg14cpLosqb7KYniOcmK5/I92490xlICI4QtTcP7trW3UGvmdVYi +wiMmtX8vdf6A063q2jxtQTN/Ga/Fiu9vV4XWPY+w+Wf7Abc5gBez9KP0gmp2eGL45SsV56rd842 tiTY0sJath2Irw/WxMpKNAFrBYgDNbrlSuJGjcGw/aQR7vaczBYwB0SnXm8jG2jL3QDAMW/QG0aM RoPLoP/Q7eW7PR1QoyUsQazHZBgKmeATl4nFpmjZptrSOO402pErSBIZsW+MqnHE+poUaEMlwzUU mdXrCb34HYbew3B0vDiipawppmsSXT/2dcWFJQ/xr9a3ykKvJ+jQ89h1QPb7nUypDLIbhghLi2jK T5k9laX7PxQQ5strrRegkc2KPe6PHhvMACLHdaQZAwGy7N3KNDtEV356AamAyq7vqIzkxnnzjXs8 Lz1i4H8zP81cVYGs2PrvtHs9RMlccvM6Wak/Jpq+Nk21pFRInw85CTRNOFhglMiVV4HREDVtOH3K SQSlCK4nj+XcJtCGesQV0KVlAQGz4Qv7P4IyYhJ/2Qqtn96RvJaH2II5lQ6dWEAsUzQH+ZqeU798 AEtdeHZfYsSuef/7LRRQELXrDkd0KGMA1nSIxAXquuaWnHqr9xCxJdw32hUZSrXq8Hg51LVdxoxm j2oiv8vykcFvguGrdlq6ZEl8UtZRlHD7pobqTcsAEbepCKPQeqyowOq4H/xH5/cZ/liThJ27QwlD FKw+K+Pj4DrMNwKsW+mcXGHui3ziC3i4SB9nu4VtPx8CHEyYwWBASuhhkhCq/R5Ud+FPAKb0lYX5 3SIkN1ilwy2XQKX0BT2/9lYaiZ3k2YOkWf9Z4SiZuP+pDum7WvvuWLEjcOKlEGMmhb7EzIngUUCG O9f9c64QpPK7CX1PK+opEtkus6hq8YN4tyqLaBTb9LJMmDStoBVDOpGXx+fPcfh6+8S8DQNCend+ bZXThIxYMpyHlv+maVpjt9Q1ftC6Abj6RcfiWjcShe+v2zGaejAxvkLJYT7qSMv71KKFiM1EyIfa N9aoIb1lnSQcEuudxmaVJs6uSnmWy2KDYdAndaCUSX2+w7XZmanhDXr69Qd0lfolzMJVIWzR/n13 zggc5o0Iuc7o0UWNixwbBk48qCIZ2KZX1fQRCktl8Tw9mEB44VM86OhXX/aUB1EZv9BbSKmE9ypp kzL52sZscl/mBbq6DXgzgp75QcNU4uJwGRyTLLkYaVL1a1b2LqmIR08SOR2z683eW6IP3vnXm2fC PDAo7e3qLrMqx3Zvl92GaVTu/bY8rt54xZHgGewGLauupW2iON2HmVOaqbdJylouXNUQQeO9p18j JIfk5VbL+mzTepcyJNpHLcJEIs9Rlv8Z97saHqZEiOgxHjTiFMu4R4VPjTELJrThBP/lfUxn/v3z oSxR/c5bk5XzjJjPicaF4zQmuvW5Hz4ezurOBpo8CWuKRgi9b8oBnRlcftNxShrLegdZk3KxRH0D vYrPf1KqQLa/vmkeCPMQ6dM2TAvbWvXX7sikQ3N7emRrLAnlrY8b7Vi06ID4G9LeVIPhUldpelkb 8n/6Th8bPfwlHJbaVYCygiWLOvcaQ6eu6dzB4vr6468QnwOc+edndM3eYB0D+dv07LUzjkONVs+u cWhqd6Bf8Z4gJysAD1AAf11y2AQCOykomqwak4H1Dj1ETHdb/XrpYfV0WKo2IyYYs4AAnQZv3SJf vlAEpNN60iLNBy1bHWBzfsKQ2mhWoGomXq5jYIVWqaHxGVEOjrvKrYx0fkjjG7D97ieSG53fKyUG ntFAf1h2FZOE6RQGEfe7WEGPwbh3GFCN7SCGvxvSWXxav6muzTYNoLjLKowhzKVkNgkEVzBmUIOI g59S+aXjk7KhXNvI9lbVW5vRy9E7B3QSxMaCcAJrLsBTPhe53cjuSchllrQU4EbArPIIU00KTHlz Wc/0RNlN5r1ie+gZKxTX2RHsXCoFJ2JZvWHWEhpteV+IruCbmR3xvnZZ0JA8I4V06Q6/hOJRDSNo s+bov8xA1pQ0P1aF52ziRDgXF+Ov65R/m1ZAxJNtNos3H3ibPE5+h0x6NfgtcayJQJcqFcMx/+j9 8HRCVEZxE6KhToSBP6VHUryRdtdK2CKXkCbXcHNSPZ3ZnEvNBAOCZ7NtTjdIt1uTEYXGF2n1OqHa WlSCo5t/EqmZKhJaZrHK1o1RGOpdy1u9ePDDBEkKC2yHxDxsQCVoIVIHw1tuhnr84UjiS7f+0WnO fVIiMyXHhhUSGg0VLjQfzsho+DHEWhg8UNQNUNQCGVOBI4ZCcNOvMPzjPVVv7hsABsVmdkUa/613 VBSRpJyAL3VDaj+piwI04xQWNd8QK8tHIV2TzE5M67sDO1mZkeCuqI3vx8JWsbjce2/Yc8kfpSxA qPVoChOkV3/bWvsxKshpzMz4AdB5HJODPtcAU5xBpgVuTkhfZAjnuIfTb+evEGUe+xqgpuBKAW6I OlwIhZaI7U+HFFt8zM9FyY1SoyDOc9y1HC7VY1XB7v5pW0NFffQDj4ymBGnxo94AvHWSiEDSqWZa 6ys87AyYnCKjcLuyeNTTSEm1OAmE110gQ2rscKQ8wrXldkg4JPmUBNO6nlQRcuuoDHSSpz5+K0tV VU7oTx8LWs+e1KAywdSxN3uWqn8iafBl8NS75cqiRmGD5r/0J3ytaqcPTeporp/dMzk2xGFue4h7 cPjbuhZkatwnDsKNILi40Gqa4v+lnJ9WdXn2QFMu7YVjWYSo9VKPMIxQnx8BbgfF5vncNxmD34qt QJUAwi04RMp+P11YzGAUtOSankr+X+rMAjghgXgpJph6/Wx9LEhhpfnlGvff4HYtZRec5SlHcb+X L9GSKmqCNIQzgwJjlYAEaT8f940IMU8BurB0gKSncdPOToDI/WCZazNI0hNn/hwbKsWnAorupuFn hG9xMzMd+pucW0NRhY6LHPMZrcm7OXaB0SJG5RD7mraUwoVlVPWnw+vKLXFtIn91vzR6x1q8d4Bw tgs/Tw6/uY4ieb11+8oCh+OAHaL5i/wESIxG5qN4u9JWhnOclX1bUYChz7ikpCxvKuJG96dNdAAk B2qjqHhOVbv8iEhN+IwFR9au05cd2gtsK0UGPl3Y15f74Wrxtjq70TjMBu8/LG+ltQAGi1rOZWEe lV0THDvEUeH7qkG5gLr5luIwx6wI9e//Vzvd/KnrN9LwAOOpv81bKTfSmj/edHOfFQNcaDNtnR3v 2BXHJy5oPQro8TfwgScxqmwjOI8RW+T7Tc7rHLJ5aGvktIMFYiLnHllp7yLlW42W5m2lh84xGi/c Luv/bWqcc3yOY+1AceFEY5N+2RUWvTJyygfJ0ClGeepYg46+LoqyV3EhmDr8RMdfBTTGGsn7P1L4 WhwZ5mIh23SV7qkrBcW9YtaZA+Zv5ekvIHtpdshr9M5UmLbR7sMX/FP0IQBU4GRWoanIBuG78kDQ AJmy9r0X+pfldyLa6JzZeT1eCNFvLycWjbzJFZ/mG/j8hlr1YCXBjq1bnIrVi/jAjlfh94cXR8Pp c4lDzNHpxgueW9QkOeeIH0uwWc3V+EsU2AFA7YVjNs814eBQxw2DTT2lit7Vw1rZYv5heUsEkwoM 9hSA5UYwHS/iU1R707JTSPaS3YRXqb2Q+UfHJS23GfEgcItZUPpzMctshXdcj6UzNV6xXmI2QzLm +pM/rHt1oYaTJnmFfl8T/zL6IyHDiUB84rKMFQcDePRO+zagMEVS3DFgAZEQbrWAbkzlqiGIDcYD e6HTVw/4BfA1ob8OifyssWVK6HUkbZxgJZD0ZqBN+zVF7ez4CQbomI+PA8hr8NTHPZkwg63U011D Dc688uLsFIZzRhVwc35w0NDMAYbZuOmrg6zL7SR5QC2/TkdpZKJ3KHS8RSdODA3yPPqtD0jMEl+E oh+AqmRRuxOi6j5twpRd1Rm1nnlVUKajC/LxcPxQLD75H4Oz3sxPXaQ+WnapTHlWeERbXiSM/I+0 2Yt2zd6ZhvjOze5GcnmyvQ/I1u9VAnzXzBQjtI9dvYMpC6lkWj9JfCTlOWEqwwO7/3r8Fu9jmH6/ BukAr/ePACBKf6CtdeSczSCca00jqX2BA3IKQgmqXiSVyG9jgAekONpoCKPp7NJXhc0GN0gKD7sS Yg2eCbhGs1a685aVM4HHwJFAa48PLSoUVKjKY94jbOhnmp+GdPBPVu97z639XUkMlvLq/8qyIks+ i4UjMd/05jLCECwiXo/ayV5KTKcTV4IVqlW2hB1aLJRU4hzjvAUsvM4HDCLq7kQg4RXThwr3/vY/ 6c9umxoLsjfVAJOV7ndnCNzT+0b19blXL5tyF688pRvP+xS0GOKY8FdH2WEH9grK9zzvhn5MIw+f u44/ntL3Clrcx7TSDkXgdvG/RA25eoCVE3rIrBcNy7PkKD9UJZm4ESscB+bpoPhOSVRmrLHJngr6 wBsl9Bz4uQv/SJyWam9X3KJmxJYdiYZzqlch7tWwOZRqdmrj5Dw51Ggv/fNbwOv2G6np7A4O9Zc2 0UXkx9rDX0JcCA50xWI7k2+nVtvVeiz0DLNSFM0bb2E9JTwA259HfWtDMB9gWAIma7LnCWa2HVo9 BU9Ez0YgvG4Q4iO3Eo+ZV5mrvIyE4dYLiLrMuRhHRh0llhJ+YNwQjOtHH/kpeR9abchRIPyvmlIC 5D702s2dddSHa6PGA5QSwB02pC+siXEeRYkueSKoBoa6dMqTsnW4L2HTjPlT3zxG7hLIbIQhjDLv uCH39eSNWldryRqpLPsMT1D+zq9md1+vRPY2IwLLSh95GIfUkZXgVFnvnQVraG5gPv9zraZZZ6er XmSpDBgLOmUHLlvs8cB4EzidGFKS1WKNn+Vg91y6EbWjrgNkfIZ4qcWOfCtcLLxIxWd14TreZfAh H0zKYHQQs5StclUqPvUv36m+QuCy/Uvi3+JNus4yRJEB6SWcHu7J/UPQifVNIJTUyA4AJIcfXyqk x5bgOMoesVtr34hmVoxsT3ZA02WxHgD1hX5W2/ZfXFhQjzT/QMK0jF3QnLvQpJ3Rc34e+kcT6/31 +EFDYNrcUHI8+l9iOSIUjzi/S1XlIs6/gH+MjAlD4wmwPSp6u1129C8/ozeCKgFyG0cE52+kvtPU IKuoMqD93p0u0YQwQCVy15HhOJnvwzQ+39BXf17PMQAnzLrn7cmijkEfx66FMyfEhmR5IFj8jxW/ svOSc38hLjCyMZP3i/Gdq3TVMJbKf4bvIdIvXextdKRc4XHSHh4yx4wHa30Y2DtF/SoLJ1Y3Up/S x76ecEwkjAZ34LkmDJkk/gAkfUQT2yCaAfGB+NXbuf90h8OnR48Q/eIMPNTgOgkgXEkbS2gBNLqg eVB4hNgzrQCKN3YT3gC7v86afZbkaX+83uYDseNF9PlC79vgKP710YjELegZNaGjYfuuA/anMe4C qSPA+hsJ4B6XKNqokTWV+Eh127jjz0+FdGnEadHhXza6gKdf7DiRAu6yqs89HN/PfMFZ5hbv2R0H 12cMYTWQuYhtIT4DVG9AF4Ke9PqiQ0UEyIRdOe0yTXlRhBlfKjDQXaphrsuTHDPl3oyRI6bjBPx1 bqZXYsoPU2EfZBgAXR4KGWMzHUiUwwtU4nNXONlc9BLwCHhOSO0pzK2F5BtuTgHY8qB/BOHF4lTV a1MgMvecX6QXdAyZ9MSAJeL1YM3LM5oOMOxPlgVvCWLohUZLCUO6g9ts394oKSFv94/Xo+b9nQUE RCzRKsap+LxdYf+gq0KhnHp9WLg3THw3LsHPxfe+jkP92WYVRat4RhffpuEquslret4cuX+lPs1k Q+uCWe2sP9AV1jNg2HodAEYHE5V0RXYS91gd6f/qAVKmIbYCD9EV+A3vZDBBjyLFTOWApSyDtcbp DjKyjyXqak4IYdZLTPSMYy/CWBvf0CyDV4e1aXvcSmJj17BakEjF+a1Sr3yzwDf/XqKAjqXWOwdz 2xtgeRj8StDVEE2etP7yY8ePbUCQR/DHGUvaecr9+9KhY5rOFk+wyLIgbhXvF+VQ3rEB2+XpA0Dh QwNaRstDb53PrCjyENnmw8zYM0uOdQZC60xkGgE72C8PM3SL+OJCWreyaoNiXYNmlIQUVOQdTiz5 GNlfvs6snpvr5IA1UblLepy56/XYF8epi7UBWouyzZtDhlbyf+m5RkAQjB4buPP5tlfhW0p5H5cg HIzSwnvTz6QlzN+eKC/uKzsIoo/aqcnXzDmdMvz6WA1fWHpDsQIZfQdGuM6/+fZQEIH0rVYXukFB Axb9Kv2M+PdQ3VT2KNI1/tgloCIK/w6RiOQZivJnVwbUuSEiCiw+8tV2ZpFa3t94aH0OIAzspGpD GMdmxhmg4pSj6xvBCexG0GrebgO/UOOzHs/rt07M3f9T0ZzDbw+pird0EW/jdQBN1iVVCbtSXgOW VrfR4X+CHTbbvKeaZc+ygOADgGGwHLf0mrZ59lddI98ts2qq5JHD0ajfC1sYuiYVzIpdlj6mo1DL GRk9YU4seFs1Bt+EUzEpVnVNXgcqy2UB9KEt6/ynaT33WazU6k0QHzj7iqSt+8gcliXVJyAmqWUY /s4CORlYO7q235/XxJwh4thuChgGhcVH1Mi9wh13hfwGroVsPx6oiHXVBqs2X5nnty4QXO80rm/P JTKVGB5r148hXsWF6PYbizayxoVURpp2VYAD8NqRUnYcMxKkh8Ndd76J+W2mC4Ro33HCTB3MS47n hqM/iFoLWkZhvjZYf5ZU/S7Up19OT+qVt3yg9EyUdFwqXYlfAidsxbtYLQrK8jMbY8SKYwSQrfJm H81GgTDp3K8Z8axI+IFrZ7k4eCJcvD+WOu2N00W7lKuGUUpbq2X2KisWyRWoDXqCoE9PdEGj3mmK OR6154+DMkGhLy2eXiW+bHueEq2QupzgBw2o+K2H6W4kRlFy090faFOK3Dewm3FOusAb9lChhXtJ 0h8h0Xi1m8X5Gl2uuDC+16m+XYreB1oUlAJRlCUr99E7nkuR9gYWrHnMJO9dvqC0AN/WND5vgK15 9oUfgap6a1+iqYp86uVl8Jclaleabx48134iGPY2/ZOhMxPzPPn33VXcqPqMvNpA1prXCiJyJI5J JZvHtdTOIVvdWse3odz1xORIZ2dYJOyG8WL62c3Kk49NQ9503bTlUJyYIoAOcmR/vehO1U8WXyq8 Jj/3q8oa0upcIynjgFcsdKK2vL7sMVdhM2OZmHSlWfnBLQj2S+AtEuXmIoa9n3A+g51tOy0EHaGN 7BT6vikRA2mTebf8jThdQmHk4VZqLMczH+Zx9q7adGjgWnOgrVnkHXehwdg5rV5eboGdKrGclIYk sAPvdVqrlNYGMRc70F9t6MpWuI381/L6Uu6/qiJ0EBq2DBWqiPrULA9DWDRmluzUHQ7vUKa7elQu xf2D9Hnyd7cHHZChjskAySXzUup5mB+awrP5ZYr8CUR5JsuISW68WcDfLm4KI/3biSR1U2u6FOYn iQTb6o5UFZZ74j8K9E11/6tRyn8tO8ApVRav4bj2YlQNY35I0JBNonMAGcoRPJ0Qkj6U7VTcKcEA FUqjiKCAgWrcaXnL5BsdC+b2GG4+qe8G3wjf/ynnIBPF0Km/A2xIsGQ4tu6QvyoqwsOtP+ZPPLf/ tzhWsS2slnL3nYf0xIA+UL6zIcLWFw6j4MjpjJrSqZze+vSNigI1s8kT12LSEQfpcO4qrCC7iN1O 4qyDY58ne8CCaYM0FGJxfsn1EqI4nQW03ElNGam6/6zrM8FollD8mVq5HSyzkTIvQeTroNkBPHLa oq6G63hHi86MkryCZd5+lewcr3Sa2rYeIoLY8x5BTacGtHbFoXT68MgEisMzrbR+7dzT+ePPc64J E50vByzG123EWo+Z2S+rokUdnt/x3FwksoBQob50WzxCeWM95EUsUNOTHx50ILSVsHZSUqCT3AZE SdSh4LByuHu1d2kjIsckONBkPVJJuoi3uwjxdle/l+m2j7ZntV7QYgByD9+HJLo8tfc2QINPnBNQ q9lzXCuvdO/zziRtfgjpHpOyTVYwHqWbxY0xWgPi8JWvEgRNv6/xsCYNdIkFK+Uy5v8UKtxZGwHJ k8ig4PWkkOPWDQ7PtBr7gitDdAM+67iSCA8ZZp8gg85MSMLt9ArCTuqtidhU1PuxaXIEgu77bmxY yAvBi1xJs7+51RcsyO9wwEsrsRRJfelNdRkebEzCnW2SnP5MU2gm/ytkzfc6rAvK4kT21DnSX5aN y5o82H1Ux4WkVUUJ0m22mBfqTKv18MeZhlHRKngn2rybHfIW7PHOkhRZKE7bAaoN34fgqSVWzLt7 YkwxkM3fMc9uhU4+RbGBc11EmyoJQ6ca57E0ab8TLY8wovtPBD/46uC9R2bOTkTCVfvTJcNDht/J GEFZL3VHdbXbLmpWLsetc4XS8IBtnM24r6WGSGyR0f71RgKcRL3axUZdYEFCvsuUxX4Dif+PVE36 o+16R9LVy6HqpETqpx69uG+eIFL2isNahb40uBrFMvGTSYjIIsd+Fb0y/v/kGuxa5A+gn12LCeX+ VFjukwywHFCfiCrYrEhdqNLwPA+KeiyZCZmYBg79o0IGMB49jq2+uxlWRTlC6RzwqLU8auhVjR8W KYCGSlyARr8zkEhYaVZ0PXJAJloeXdrm0P0tSkMe58jiuczAC/PHom15fdRh2lUtSTJHHdaJ424F zIJGS3Hz5GAeSz3/zB9ugjN8WnOdHXNIZQZeQnyainGItw68RFArPzydlaudRpQnZFGdGe2UDb4P Gxsu6XuBcttT6dPIXTGMeyctvs+GOv5umcVzWrPS++csA9FwaJr92pW2W7UKw2wug2NMrsFFVDMy x/Se4mhCSeHcmNFmlZFw4Thxd4N5ddBu3We9S2ECABzc2UVCxh0qEr3pwpwfOQPZSdtNGqBwWurY FQRU+83xnkBqeWfhNAZ8I7uYbq6QPGmjFi/q/v9c6zm3f6agnK2DrA2kZA+XiOJKPp9YYmNU1PSE I1ADHCx3GJJaeGshDFpvTj6F4a3wpYHutEd/L+HjzuBw9sZ50TQOJWoMBuzPwmCRjO82ap6oFCl1 pR0cS3Jd0LlSa/mU8RtVWOzK5+sHmtk1eD1mXhQ2+R1IloBpbNH0RIg3kBJWNbIL22Z+azjSNf4u Cf6zcFy2Cj2dxOmepWsBVQPMJOv9d/GrAE5RWHpxCTMLSksqSH2gQvOFaneD6u/4rkoos1hpFPPc V1/gGnzhEl8atM2QxTe2E+4aEg4ANbJjodvqkCo5GWyTehfWjyPNZ7LVqH3OGogCeD/Q0krs7kGj 1ULud0FfdsK7SpOMATj8jVezZCBF7XDUNBkicjPAgdZgAWXUYc+Ks+RehHUtX4BZvyY4+rDpNua7 pdEVz6xcfo+Jyh/1xLlYAZi2zA8nyRDJt3hRloydw8yuMDc8mOURb5Icm/KAXKxTJjXMekP0uTAi iLzcFox7bLUHmCIRuijkmSjfj3t504LHhjqyDuf2ZmqTNGLD7GWyFhuPDZw0RyBVDF6FHUTIaCXN z2rHb8+KeDhGE61ZiGKvvXb1odSYUkQhcjGL9Vqs9Pq3OfXX0zqqwBXsSWps0OW+DdZZjpeQUCuM d1ayiAsk8QdJ+5FrgolV/xXL5IU7aHlQ6TdHh9wPtPYzOgUbaIR6+iPtLMi5E3WoR+Wi64z8OII3 6G0P8Ey2+eg8qmGd3s7bljgfNX7t0bmcnFbM8HvmVQpOI9QZ2nu47kD6cbbTdeAFzZqu0Zl8R4W4 xusTGpjE964e+CwEJIa0ahRWt6+UJ97lWJo0MpWTxSKMw41ohtkcyBwbgjwkv7LVsVLDq/5MVewZ gZFi0IC1uFqpB9ZJm6D6sIojoJtqLKHaqaH4qU2/HVgk6qK+kq8+1OhOWhEgBUEx0UeaMlGn2PfY DZ+dMhTAkSn/sDtb6znkLngOEcpwKPSDfiBTaplH66ET2Wx/1ZYhYWkkT1B3tVXAFKyiitOky2z+ ovJvxvLEI9nVXU/9v+FFJlnY52GY39CsewrE1uCNu9oCnq8cOrKbPQMn8kHtEBTGt/HaKHMFLa9N ffuT1RC3ImS5MDu4BzG4b48ZeCGVgCfFns6EPyu8fs2P9sqtwOz+n3YwgAqgzNFzwBCh7/xI3BlU TVW0g54JGb5Q85zsErhoEfuSYgNWqUkWFN9Fs/aso/9maO9M2J+NUOh7fXCOxuIT8eXv1ODREBxK bvtF5tVrqfGQxfbtP+kfzoq2YhVzJT+GldSb1DzYq4pzJi4q15B/pSczaYJMYgGV2pX/1/JMHoo+ LOFH7/dvgQLJGp2ORwLLWuwUVp1N9vGAl3G69eP66lXvymOpUidShnhGAV9N4UDyiT1ijzjrtsnH JW1AUSsfX4yhVcwlgWf6fC0fD9ADsXcxvan6IJ47NpmQESsJr2uVDku7UWqkmzbMzltJFQ7S/X5H PIR5k9QWgcVZ8MJ9PkOK6BF4sNbxDCsA1PQfZ24xBIOWduXaaLoMfvbnvP27zC7lJ9Q2qVkYWkPV 3khP39MBv6h9U4GGeGY4yvH6Ll3IzMn9T4/SXzzSPM15IxP4ZC2F8THnF0Rkhe0SfKPyGOcM3TKE t422CQoi1lf2pRVU9hO3SfI7FeViQNx8rjvBJ+pEoYtl6GJVQe0rCXEd2BBcl3+28MDIKV0s8U6w SUXyhTRyX2T63cSSIxqpRIfOXtHPHniyiSRQv5vK5pknHoNQY8zcgpkksYluOQxUsiG2Yu/mDT9v 0D5X9soCGrtDpDxRPp+bVXymm7xn8YxYMtpvROXqIN85vf4T+usEO3wlkwzJ9uublfkVYCIzXGAp PR6ShgEhW2YujAx+t7R86YAgkUi+T8UK3jDHTtIpN42FGPROkaBCjBi2r+zO4wrFfzw98HRaW9Fr wBxZmHd/PAVBAskjafeDbQqBNPFedCRxTXDtaEI7UHFMUjCvN+g8jYwbwDJNqudhSMaNrNWSSR4T PngTL49RvENR111q5vdHX0sM4n46X2U0X30NFNqIpaetCNlNv81iKr+bZlxtREtMKBR6oHLYmPay MCA93sBwIXaseSZy2+WzQdd2dspBE7aq9rDqqErnRUZanfDL6utMBhyvcsba/K9hXRGMH5z2LnJ3 fD1qRCDPYPVbiHiuB9O5FISn7WGZnnhAim8vIvyHRgt+9ucBRiYFZo8/vaaTYorOKz6/nlPNBqtk PwmPorn7rGUh8OtLBbYi1fU+ez88XVS3o3b8/tqQqBR4fsGHhbn+5T+uVWd0IfPfuX3UXOKciHNx s7TifcHP1GpeC8Cl2iPMCzZF599AHnePgiRx4hKiFgNzW3M7vY15QkmE5nJd6r0dBeXVTrfy4PPo u4ASLYgOn2vHsnlg+xejhO8ivqcXgH4fzqi31n3vjxaMiB+rAall6WoBWAG+QMzmUHTc6L+lqeQb jwGkm/pIU4fDBxALtAS6ZSA2NBWJSm6QcJrIvaDX6iRukVPo1W/qU4eTaWhkKJ1p+Yw4Tdfc7Lzj brINJh8lDa9Xu2yOhK7hFH9GXbSyPV67jSSE1vAIuiUwcHOFZvpKuBM2VuQ+Ky+3HLhkDHFKBLY7 H8F+qSHcyfA998JXbMAs4eVCqwjTS9c4I3udRBPcQ2Vh/44mt5fYQzElQfu+fEot20Jvi9vBmKOT iEqEtqoG/PHGWuROWaegVup8o+c9azwEeE4aogb7fGqh+Utczx0Q7M1a5+qulVPhdkCgxqxuQCkV qNkdGmIQ69YgaomduF+xw4xiZw2jRCSYf0MoxjmgLWQ1o3WsLlaKTdlJzavAYdu2H6X19nMFBZmP 7SFQ+QLPrRjxHxdbURl0zhStIdhoFlXUXIOY0Cqo8RyTXHSXU6wIbcu2iU3p1z8aq6jpf00IQPDC 7VOwiJH/DIIEHLBQDHwzOSvZviC2QaKgtyJpqIQNKEqd5lfIo72YVvqheSAT6bnjJCqjl3KU3U/8 OCGZ19u7rb3E6YBCLBwac8ql4qvvtwIV1TB6IIQSVSlV1q6MAz93s6lPDlbL/ia8c8rRIE65HBjz NKQJyRuh6GcjvITWRyK1tupI96jk5Lg3jE5lyYPeQhmLYloqgNlnlGIDOk5pXLwTiwG9b5Vn7z6j 0imb13hXP7TuMKW2ZHI7QefbIyC60ytR4gdSVURdMoJ+6LjahRBru7hEHVg51/TFjHscAfS6To9+ Ft2/4uB5Gcb/4VUUUo/I/pzWU2F2s9bxLItv8N9QVwdg+3AKThmMlOgBprDrVRvRoMm6HxUC0iUx X1apQM/iBxROkSk2J5X/XM66qU6OUAnIWcyLLr+CTpbMraG8xdCj0oOk7qoxANkJbR/ccJ867fFc kzzmGvNzWiSQFr3GEwSYi9wwJ9RXuHYEPt1ggdwtPfK/gxBcCTYcIzdMjIVfxERTUOBkxQi+7qkL qE7lphruqqwZgqRzCzjQIiWUbsDpfgZjGbpnK8NOvUv916M+IhPPq8uAUwjK2VAioPLnDMcE66mX KfxBPnEgpKb1JsTiIDDm1SvPih+NZfaAJJP0exVLd59VAjnmV8GgO8huuOhK4fFOEl801Z+AHSw9 WKJTfBHlNI+v2l2Xa1qfmqYLo12kIlI2sJyDZlXnlsp5qHrHo2UfuM0HhhJwny7p6DyEukSjhJW6 RCWycRlafEseT7mBmfNNAzqwdPO1LX54XfREeaMxraWBWWsKujijIHdTvFvUXxNThP57qzH5f4X/ ldvjcB+kGgPLAHzAxExX9tsAJZejjVpEmomhiGVlxgbCsaE6xn837otffCdSytR519E7ZrVucjBu ZbHuAN6j77lZPK8TtP7kULT9nbKZ3GMrGWBB4+Si5wIpQ2spoVx6sj0oc1sy2wrwwxOBhwfy1Kjq IApxOFw2Zvzt/imVxu5TD4cW9T1+5XvcPTsIooZ7EZhqrcOLLQrgzccPD+8GfdT5wp11UrrAcUE7 m8sorHuHrnVwe7aVHSHl0JcrIgLveJJFu6Koh8fdQO1/yyKqSjZ3qX2+ye1O/9M4RuzSKHEzcgMf qSr5XKhUohr2ihDFASiaJ1I308eH3dFetyMv4OdR6nmqoPEGxlt7OXUnzjhsz3GIaJatQDI5i57o fLKlxOAnLpQxd4JoW3aNPuNtyFJvIYF2Pi1kbdFbkJGehxCpSEzRXE3iTbTNpukAfP6W0bvxEMBj brYEW/acd/yrqMNGerUDLiVcQnkHtYuPSePFKiZ90tUY72k2XIfNSBK6x7IJ8+d8qmwiqrB+NT/C eMxGwwV9XhAvvwTbTots/vQddw/KYwBL0SGRRL1To+j8R/8OBDDnv1zjW5u6CcgA+zs34cuofe/7 sALK9w9sYNB9ljQOXU2CVLgfJGNi9AB2kz+c6eATWQoTJ7eDhE2ETufq7HfVkS0dCYf8BAemkEu+ a5EnN5ulx78amXUDRLIdJ6pqnpfyeQOewCGaHIThxZz8nD0PGxqf9wbXQ3iRS1QyLzXYMime7NSt LR3zZrGmVO/AQxDMcn1k0ytLRcd7W4d45x82cfx0ghul/AzPjRS4WpVLzcN9yBcskocNAHocrh/+ JutR2BSKeJx230AeGqJ/+fULU+RoIUlodlXOXBjw6GaBVoQorMclyuYfMqaNmkvw88rpndhO7F2t 3DS81syACGycgP5RrppcKmkJTCL22u0qtIlblNvOVG6SUIctAJONmp7dgADQ/hHP4TnWf5qdcVTk 5xsq+DOJv8V1pA7Rjhow6Vvavn0ujzhbPXSWW+qxceCiYuAY4Vwkkj/WA0lFv2FGcOGmBXIqZwcx 3gsRpWc0Bwd3y7hBYnffsEVIRcc3IyBmNlNQZqPg7/18Hz2beSUI30620806SkUAfHDVmO56cBiv 6Pwxv6wnqrxm6jlx8tAifSJdmUd3W4IHuNjusXie2z2pWOTyhc6SzhKwpVhIc7azeekmJ76Vqi/P SiDy43+mgJJwDH6ZeFsF1myU7ELeMNKeFyD49EsBomUyDO15/UEBcvB9GjQM1xHIPUsvvg6M7igB mSw9hO1mqpv/zbt5g9PuWqtlTWg7fQfFFvCnaxP7cDo8prEdIe1LTo/8rFEX3YlvHtwgxj7XONC3 YxIKDgbnLNJlJ+TM1MXu7BimVshMOTPur229fbpyfJWjo0U6aPIpSKEMFLE/3HIkXwWR8gc4wBDD XBpQhKnyjXMDkJHuKRxeRRlI/dj0vX77ixm5rcho4TxmrhqnQDm0GtNkzS9L8XTYEqinSSSdMdHB 74NOTWRwfxARaPrc/MlKIqgsZO3EnNppepE/JrQ7MiarK1uc/Fg1xjUouUxxJwU2P7iTVYbGA34o 1sQZpWi4z+V2Y5vHlONDMVzpLxxvFOwj98TB9EuNTt5w2HFxDN4KVQ2q+JTYxxslEBBZaTlU926s V4qq+zzitUy6vW14FTqZcAz490EFyWkACWz/4c0EUQZi59Y1oqGukvL8BWvGS00PDqy+jveqomKj b7HJ+J1dA/POM0P7/Cspk5axkFQdG6Er5Y+Hl4I9Vjo/WmTbh9g37tttjqMZFqBwbqMJ9VzoPyNP lEweQW8cfFRqorvfyBfEdrAnvBaqcnTTY6tRA/51XjAVaMM+gu/jc32osV/sWOKjlCm9HEJI9p4h mBH3BkyMDUb5oPpyoW/PVmnWEO22ACGig/eAnZbBurr8FXyDTKBEcPgqCu2vUOzzA4VTSWzSJLe4 ElQAbKfsZ7NBSXbzqriPrC1MDDvCR7RidNfyCrOl7jFrUYkqLGd4puqPJ8gLXUYMsD03X3Ig6j99 OCxuZj3sq+Cc3xI7lXNlfAskuVsiWgb86Bo9p6GkE9uUOFW1HpA+evEKn37+3ayISzEICVax5VGM eDAHx9XwVXgE8QHCjg/D+7VwGOQqyjG8irEWR1InYTFQN8PGqSoFx/g+M+06YByrOXRQLoZLK/lR wfujyLe3AtDQVuXgjNb+gzKQ3DgLNyLRg+BZ9+EiS1yyd5fV2zec8q2v78RRHrytCe5tS3r9zP+C iFY1XPi0Oka3dnN29m1hSPMWiyqFDzrFinTPhAiL9q5vV7brcLaVm/bdfWca9hXJbDv1zWBFsq2R XKgzLVL1xMw9voPRz5MaSA/F8SoRKNrieSSsD6ogJQfKlHuktCy5s/EdK2G881DNL/tgOuadgtra p7B6e0jYXWpQu4y7bVgsdxEiI9LwH8PMJq95+1/h9Le8FhEi12p7wml92uXtZGtEo8EgpZa8vy0H hUdLdRrQV4zFdu9CYhbIheVzUHlNZ3Ufq9Ox5SwZ4LzPnz1AFF8mi9qnzXkfWtvGgpLBsKjre5K3 b5M5ltnwnHZeh1JdPGM8tPK+51lJ34P2XxzQNrblicZlFDSLgS/sq5gS6eztO+5Rg4i0qsqXECiI g1VOK9tczoNOYmVLq7dHW+1KqYCkYVVNUtj+Wz48aBtbWpH5Sk+0Ybx0pM4BBTGEzrAmst+UDgYH S8Tho6b+gxZNRuUbXPF08udj902/DHGWafhkyL3FvX+ehT/9qBYKOIrONd1a8zdGhKQkO6nTNOD8 dG/aCDE9a//SaaE2bCnuepxaVJYx5CjcNA5IP7ATL6eIBkrI1mGYt98q7U+mrguHsc4mNDwqjm88 KJUfoLVYGP3XJuqKjxKpIj2zh/c6jVrvk8P8paP/lJGwMGnrsXf7a8tMpLHP7rwGpQ2PkywVh1B/ JNOjlcy5sUvuI3Qj80u3j6LqYoFD3oF/AOzdk1MeIN5LkeCZX+bDqEl6H04ZkXUFCTm4pvCyqgC0 Y/eUX5E2hZCY04U38sRaA/4RUNFOz00flLGu+NlCVuApGuNlwXvJFRvyd8qvOE5gv0NzIHG5vAf5 V+ivOw+KBoWTBp6FFmH8DxNhrhXqg/BeBB6E+Bs+diqbMgb4GUh8ew3O99QihxPA4Pau4Vbpvw9r GF6HEFjybH0AkAfI6CUvKMMVjcQ0Qhtkep1taCdQb/kdWDeTmZR4sh9ET40Shzt6wswiqbsgFVha d49HWCdLknwRXpzNJZCnxUXLV5F0HY878dIbTtgQe9f8GS/8q0UDO4h1N/TXhLIepZMSVrWuBvA0 ltbsYL+YPo3uvBrLjljKL7DirYVen/6a43J/Vq83NuzcEwIKyu3DpKZCxzuScSCBayCJTnFcGe6+ AI7iXPIOTsPKoCPE1xw/Bi11stnWxWWaaZI3e6jHT/zPqpGugPdzmK5o/8uJm2O36vtFbXZIlqGf dzaQ1zxOQjEfv8hqy3E3MxNnFteqm5YKaQymxioi66DL3UaRLsEMI9ZsLxcUwra3UrTBqXJWIPwl rVB3QUrW0Hr/CEJCnRx9l9TJNtRjpyUpVqSzVwSZLUjb+uMM1Eep0d4K0PU3wo3aTSKJAVtttSDK RIQhJk/jR4hpQEZRPOy+V9SHthGiFs6kLhAFLQZHBqh3L52cN3DGciRv3UL44Coc0lV9yXCGS9ic hUIW2vV2VHoXDlleMDDFjKf5WHq/SU4vF83YMWWNU5qygSTDuvhDJPui+Y2PPmRojX7gAULSJ5ni G3qnZUTCNS7qimy3ya+3O8neSDt/RyjiOxlvxoIutdkxNSda6s2N+U2hasN2NwMkaOHNiWAXgOcI Cpn2JFXE4gEnw7os8Vo/TSFqQ3+QMJ3z+zw5xoEMFOwFORAmxMjgQtDEyc0A0VwGJDcJS/2+SEy5 zRLzup2pskMlERiADZrROEjd5pY/aRvklGjV5MnSBq4P/Q8m6zxKl8w87YnM1HqF8tBul2hPOjKo MDXxFM521ICQMfE6t/MUqENA9jvzPecJC0X/0zvHcon+qLB/7ZJR8w86LO7qZ7TXxJGtGEhJYNG8 a9a1uvq6VnsiM295tz4D7uv5oOuixM4OvUo6Fkqyh55G1onrs/eRSAesXaVOHTtE8EXN8bN8f1Cj a6qjKr0/YPTrY7DLlPxij6SVNMk+YpTe7gV2vxtWqQi4NBfiCP5N2ianJIwLPN5bPqfd7cc2vBx0 ZgwDHcB6ncijYIeDw1he+8SC0rXwkj6hQrilcf3JSuXdB7/lllXt/db/4g7eiIDcOnS6oMgND0lj UFBl67t29BZ5JMBX9LGeIyhbkDce0ibn8AFp2Bwh8OCXq7Esh85H6PyGSnSW8+kvpB1GUlCCwErd jbJCMG7Mz47KmNzzbfAgLTjK1lQ/eHu4hrxfVL0yeY83IiPGY0ys9Yi3zAIsFvnaA3isGlm7QVrK 1Kid2B2naDa5qZ0A9CbIT3gN9lD3yxjLbCoB0NCn69CaSyg8KqKRhq9gWx1dvDMV3c+voNbl6eyd P4SVyUOVc9P48XPsAMIITI9YhtvPTu4Jut5gMDBubfYJoruANDnSvoTHzE0BNUBh6nCxL/v/eb0P zaWKLx12e9+6YoP0f+67Z9ZdoX03NUXUBs5Be0FbP0boenvBCbQIhLcbebJursxYsS0A+rSRSQc1 IdM3rU3ijjeIN2b+971G8u1/LEPHW1HoIrQXbxtQUfJd8GUtgB6eukebp9g8JXuwy2K4Ta5ZQNOA sbOqOKpQdmI39Aas37xlD05OD+pzJux2aS0zoqtCnog5raO/Jj0YFK3VruB7wSZABlGQkSn5kvMw 9gxxEoc+7aa58B+choAPI1uTA2lN4M56OPNNq0LYzjrL87f+g5tn0tBEYHwCPNuVl1/L+TKW8NrU JvQ5SJQyhS6+Eq2Sfmu8cgGFeqH7fIDDSSUNGazmrtwrajWnlA75Ce0A4x+/YbO8B4/IbkTZxZbY Daxsl3LkkNzsu399EnTCVd6KyUFGqvEwPBRTS4e6dBcNOhSi+c3KwZ5C1/BPpZpORaaAC3JSAZOf 6BK/QreQX06+CqMlI7EGk9Qa6SqgdG1aiDtOXbzWcc9Rbgq3P+o1vg7HSmdhyJs/MWRDJlR7XV8/ oI17L5P/jEudhznZwuON2z7EAzNdrORoeW0pHCRJq9NOsLagFbVhZwplpmh+koChcqmtP539VzXo Heju3jhegJw6jfIL5FjcIykt37GI8+4CfJtVyeBFthX+A4WQtZJqGtey//PAT8UQZKDXbdi6J7VO kPlS9BvV6stO0psf5LCH668LE1vTV4EDZICHTSpLnav24u4eB7mw1G7SAauKTE2lubwhZ3ICph89 0uvvnHsi5i32TyEIk12ttoPWTB4QiNtjUolnOJFWXOnyG93tk1i8LYBPDRvSW0PIwXpYWzm0hw/G b9B8B3rTnSbkC1zgHRkFXsuchxGeTEqcRbL9AHSSJ/F0Tp0wSLmY1JEkwxSydAEaWA6tdxNJmHpr LN8V2jIvXr/FMcumLLr0SwVUyUPZZAqAjfFymgvjVFBadquypyI+54OJytKq0+C4r6DIE17DNdwN xq8o645ZEYs+I0PKNOove8zVHQ4lZQDWwHEh1yfSFWx4y647cyjmUGS5of2AV2fY4BjfvWYgaOMg f27ZjH2coQwqzd5XMIx2nA0EdHu/dk6Zqo+1Qscr8suCQKDFxzx3kbKjAeupG3iJpZLljJymouzy CM4CJ6RABKoIeyEW8tzyd5xNpznhFjtI5fx85pHkc0sTHH3v3tFAM0tvRhyCkLvJ3jwmmC+zvEUs WmQ88r4GHpgJxJ5ew5MdgUDS8lsiHacymbPj001LNa+We+f3ULAOgfm9XuT37iP/J9PNI4jPpMDz sEiFNVZa4f9Q9zmkOmxfVjzE6jhpJA2JEU1wVPCSXxZinKBbBrHDTJHVWCczG/KKwWn9JgVrZADU hCZ+CYBfqPCFQ9mMOo1d3XIExqLftmuZD17u7VbsXahQCf5/iNvOyqGD7N2m0ZHZoCR1P9QxywWW WJ6pS5aasD6w6eH3N0TJfNBt3q9jAPpJN5i7Nc8eHqvAwGiO2fs4ctUsvn5mFTjl4mwzGFhU3IjR se05Bs94YoaUbES6IaPgUGwMbgCa9qb2KaIXlae6n6HG49ILIALYF91i7c3YXt/Z6oBpIChMoeaJ qQNe4ATF3kfcQJPWFzB1wokQ+C3IvkwpXe6t1jDIaBVBc4ipxCPW+dl2XABIN20c3EquO5Oejj4U v6LNOg1bO0TXosNrHk3NgJxughq9n5PlYMPcnVhO5cLXNHa/CLtAE+xZxeSVFAzoaIoFs25yvF1S wfkawGnUvpBYPCFgowIa/HHjWjIDp3Qw600XgbASLXoTMCk09WEsVNCb/p4/uunOWX1fXXciT1IQ d1ubGLRmfK5aGojxjRQJzg3ar8xs1gwthkKzx33QkEexXeMDSqt+V6iI/t3R+7DAejXLktmGPcXl nBZj/syCnAokn3ZpmDT+re8JHThM+HJyWLlf6lYmCkWnOFK1gcTlMuK8r7w8vh4CO4kW5v40u4zh 55GXj5hIjeqyl6EIPMUmSXAwBmUuKA9p175omt6Tf9P5rNEvIW+++TEwDelyLISpcImnda03f5pu pGJVuvfo44dEXUj4eHh9Qlwf1NToG3QHTSv4n9N901Ks2PKWFCEqV1ZLqhOiVfDorKpSCijBAlsN aq/CMZ03bt5b/by0c9mJ3FBFPXfJU3ykhb/eNObdJRS6ske+bqYS4qlWYh2yjnIrEMcsjObAI0nz YAKh4jGPrVlTEx4vUnwjl/lMUTib7A4PRFi6KEOD5XmWw+7lRsjNUWCdxZ4CZ+EVaV6++uiQS3OY 9i47YyGG4/8swo4/kKZvaWX8ij0CPAwokCUhyrq9KSDCn/QXDpOZtFiW2aCZqmxpj2tuQd+PoyOk Q+w2ytkQeqkKXivYDtlF7bbAswVWZ2Jx4jXXJZ7sVnRcJvqXeellQQ7khbfbu3WAKn8nWDeVQoPN bL6pRG1/PpAaOs+OOZx7Mdo/iwOarMge8dIktd4TN+DMsuzdlI2eYYh32FE8Zgy6l+pyHQPySDiK I4ZR4R//MoYydLin/utjZclCEZJudlho3seuWXSx2TMeBanJ62Kux3CuA/03KJDlDQjmAR5ThVwI deaR+oRkltKlfCBzjP40/sLoOd1PKon//2dbk5JfCGN1fTAV4Pk8qNZD5LNlscInyHadf8ABaRwF yN69HppVUeth6Bo+IvHCVECrxKqPc0fmPoOPbRizHTW+MU+SPEPbxGdihNzC6GaBduWRnLbQSnSp tfvqqDHy4FSGJZbRwubus919KIXscJ9/dAhtFXg41RUaHM7NfbxgThCplSLMUW6+hpZ90MnueC80 53PDCLIyWtIWhGYTJEgggUwVqk+spOqqaBmw45NcD1qVQOa1UaRE4cmko1oxfBZaJ6sRXdVG9g5+ grONQ5q3rvPhX+YZJ4iyQP1RIuSu54OTIEAOno5K4kuqDJKEY5yTx9NJa3hY7tvaF1Bi6Ykc+yBI bADh0J1S43JBQE4aY+Jqc/igtAQT/6bhz8Q9gGBeoj8kgWFoethUe7VNAQ3ArgK+hp4LirOR6Wvi mXUmU9pAFWRLgCQ2s+X9n9jFPiiZhkfOcHTL753KLGkCVT/0hbKoIKSvl9i8KIKPg+c0+E2yZzuP zUsNjmkUq6tFqHqoqUKE4Plr6kh7rOzZ6lKWxqDgfnLMZgYYNIOLV3sMDznZ42/gzKkUNcBBlHH7 bcQqkYpCEvSnFds9RhYFQ9lw++Dh4JTg0n66hEgaTOhc7vOXG4fzmxRPtfekEqPEFmZ8aithokGE 4UzBHs+uJAFiUU707RgT0UlcOlIC/PNadVE46s7N6QqKEN9Ip75sUqyj66ozUa6YNWyrAkqg9ZvB xypsFfXEAduJ9QY7BzzDs/fO4P2uneOe4ofPAB4Iz5pvMHvlHwk3Ly9ihdQimRSJlhdUOkCprpF/ wD1JEbZduXqSjRC3JNKrIS/YUeKXMkxfJ/80LSMIxdX9dhvwcHq6xz/0wwn6ZkEUFwitNgftXQT6 HJy50C+P/APShUSvPxfXIa6qjno10pm+bHMvwLtKkPqXJDL2C/EiiiqyccdWPStMswiASNZeBksS TJxbtHrUplBaLoBlNPcHEN4gq/Ux9yrdUTqreGf67qCcpaY6zSvV6LktIoJwjnGL+xE6kcM20IE4 RWzJ4IWcNxJjAJnoHABbC5iR8QeICuU2KbjPWwv23hDoAydfn9p/2CH7H686sI+aypu87s9h3YMn NuP/Gcak9PzhbHn0NcVjaVqRSsldeCx3/ch8qzRk6kEGPIx3gZrW6EgLcH6DuRu9Rb4ApaSocndl H2fU5Pgj+8hUjAhRnPE4z2EkTJUGssvRSkYJ0bcVS9kpMPuGbdNEHaJAj5RZo+b3G3A4IeRgdHql 5hD3WOCFa3S9BxwMi3u4MctyH9anY9MTrPpFuAHv1Q4Z6ai/CxBBxJDGF5z5VO76rjyJFVhekpKv BbICHKMhR/lDxnf3ZV5c9ScoTPR6ubpsAyUA6h1WWFNKo74JeJL7i47mCogturRfjem1vOlrAbQM IJihLN+Wgy/kazemKaIJlHVMciu9cobwFa6DUSlZ+iHGjrJqH1HUVc1AxvrLaZpRm8caVw3FjHxa w4c1xzKrjPy3L3rYDOueX8xjrULIupg10DE/uc1rEKHgVhEzPzZjPHLbMqfVwFu1MkMUaD3tqrqn aCLtZx+LmExvqzSxnxNX3/+wYICo/4Q7lSv6C2fWWh/bhAbHxCn2dmdaB9r5j2LRoCbSdPfAlWA0 zobGJ4x38ek1wrQUjKd6g8xm99B2Y9U52dXXpxJLq7pUCK5Ykuc6coX0nTZqJYZd2DXYJzLc22Jf a1EvHp1nE6EuoD23zGOi05MW6VVEdj5P40BtEIz4WrsRgukx1uOrKtYgM4ZGaezYjjoxxOfLm1oe qcdrasCAhFwaZGJkioVjxRu/BWYAAO21oc6H1DL9VJKdRAV5edtBaxh0PYFl7X9+qoqweDnoYew3 DtH3GXJYYRgdki0tuCgY9v9FXkWPFJBiXryOZGLtNzfiF5vwxwUx7mi1zT3bulzkDbk8xZMm4sqt 3F8+B7it0akioKXNK1Rn1RigjEGskdNT3xtvWndUd+ZTJwxhXw4W0tSWj4oWtUwF1+EaLGS+cfIY IfiHN+af1F3Uikx3DQd+9sx2WNbvPZCTKe3wn8WEgbNzfjwvgqm3pDyG8S7MAnbfR1zAZucd0CMM hnBWveBX2wBQpnkFkEAso4JW/JD89UnRBdJSjJaAM8gv7jvEt3BNv09eXmRuvOrVZfbOA9uqpZGJ EA4EXn351IEaTBBkS5iV3Bitc3G/Nl00SH/uVwOxHWwvNrtaLuxoW+sOboHD2wH2HvKOuNpyin32 9QR7ezGew10ZLF9vnrbDagvBIXdphK6iuZ41m5eLc9IRkXMBPDmmEX20Q40tcqE4O+Mv2ZD+9ICj zTQ8F9MCtxQbxINvMJCKviKjFTx6KZy+ss0X13WvYSpiVRiRUqpXsRBQH3LPUIJ6vU95vyF6qwQ1 2Kx0gQQ0vIGmFcdPTc8Cfkz4s0rjNCibrdC7ebBQFF2wzX53qNlPbaCK95je9XyOVwbsoxKnnbd9 8JO46wrpp5HoYC6nCcpXq/5CAIriCtLqPQKWlPqlBPj0E3WY3V1GBXLreRfF2QAtXov2zfSSq6rS yFgu4EffpCuIrRnTDNOWuMhxEbMfJIRta8uhzVkrNKBv6xSGlOt4m/Mc52Hj/CYvpR7nYkbH6PkL 8TRA7503JvhtIxnvvYn8kDi/1A0mMpy3Gha35z4oe6io3MAOlv14oinm+Nc2SND/6+ICaKsDx3Ae jxxnHyoyUbEQiffhvuDJykvRjpJm2naArBgeoOWGtGcajw+kp5UsvSEYz2yrluLeWfkw0sXrurSI NpyWKfddqFFJjF/McwCGeXo8XCrGEWhi6w4QgtFMqpgeYgg5ypZG7dSqYomifW4+E7yngC8+eEA4 bGV8hyLjAU79crAV7l9XGjc1yPbpeAFOffxZRLW2VugxkxBjqDYWIKKosTgrBhkf5b2L+C5wzkSm k+SBDCPGp1T2hGE5KJRV+qbns6stwSfFYwUO6g0IeiocXoK3+pigP4zF3ZNhmNEac2navS/S+KqX SqsAFrog3tvq4OtEF/EQcrlx2wXwnow0fNlN05y/0+p6jsiAq3sOUmHZlmzllFjSC58NnzpmyQVu cDtd8yN7q1MSENaxFrWyddMGsNgHLTW7LypmCyvIXWAjdV21cchuLBRSCCW+afe9nQLQ5uzoGtil LoGT9OkOAN1srDvb/0e1nTq533RNBcMG8zoTiIZTRq/1LHXwyy5Y7hlfkTr4ouW/2SVmQIoYYPyh smu7gv99JOOezc/JY7axYXOsgPNrKZCK05V1RfCxtGQOnAitCzqBwjrWe3rNTGbvRnwfWwq+loJi MOa8yQh94Uc5tzRx9GxKwwh5/ga2Xpqfwp19B2goJ9s01RHQugrrCEyC `protect end_protected
gpl-2.0
2771e8fdeadbe693b1479f5625d3d03e
0.951454
1.822813
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xfft_v9_0/hdl/so_memory.vhd
3
39,288
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block IEKs3v1U4ZrGtQ0GJBj1GObroWn7qUtVIk6ehVj6p8tNCmuXfFQoj3MBJ+irsY7fQnr2QERnclSa DTPzAxU7nw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block bHXxs0PerrsoqTiziZzG96TBw9FgFyfq3QWTqZzWJZWI61WJwGHGqBZH5euavmse+ICawYH7T8Bu C1kvVj/yUX+nC+pJuS2BLbB2NVDcPRPAS13yOEyRxKnIqRGYF6XRt1dbAPJiKBUg80NJZUdoDQ+P 6xFUSmJ+jaJFMmSR6D0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block rwZu9JV/WyL5oJ8E3r9iE38W0GTz/CW8V0TwSUQz21oj/YayV+Z96agFVj0LerDu5Tj2VIBOdkbb SNb8r52zkbjuYy8Osfj65FVUUazarpgO3K9zBcrwBcg31f3Xt0sdB56cWf25hDeL/KxRc1K3UNNo VgRABiSYMX1lTQqmrq+twlcoNHvmrOIfx4majp78GdbNHb61z3aCx/hagHOgYjIX0Mwi4+2tUmtf lGZdco0SrhpF76GeZrdQNgSzSHngjLHRlHR2vbMSOktZwDzKp/ctZeGXzCW/MyHJIA5ONO/pGafS Cw18rNP3+F4Hw9tyaOH8mbKWYTWktaUvmVdsXQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block hUBwSBdq/KA5xDTppaDcXrfqoqUMctlsXs7PvZV3x08BXOJ/ck9a5ym0jFUNQzMZyoTka4DTXgV5 T7iBzGaRNIQIhlBspCoLySOORJlBaIWkjmuSv841bfSt/RrG4UP3FU/6gT0an3slneAojwNLSukr QKHTkxG4LNX3eIg7Big= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block YvGdzmxwlmtxQHq6XiAFTZCO7rrH3lv2BJbqvR0nhsRVFTAAMMX/X7zabvZOFOk2MCn78/H66qn7 D33kYumZogzX2mAcputSk+tgduHknVzs2zy7pnf03R+x2m+vFWgCFimmNCxSa+PAuf4PKG8Yw8nu VF1xi5GQSIFcYeuJHJ+CBmCvgrSYKWb+itsItUwXuVIP+wsq6vZ0mjano08md/DKdp1hpmPT2RxK AI5iuJ/akWjYMP0FI/aT6DKyIJGGPoB58N1STQvAPNIKoFHNNdQVqc+ACfMyv8p6Zrj359fDVqEV bMGyMeE2FFJFDOj5OtYpvE6Ud2vx4LDoUQ1z2Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 27344) `protect data_block ok1+nJu2GGnoyeHRwxz58YZl08OdVvqOQXBLvlagodrBkbTI+hDJZfu5z4DkfTgauXrQWXu96qiE 6b2W3ZgDJ5K3L+FeJ/keA1KB09BmOxX51eLmeX4q3rCCW72zsNye569UT3EJDISWL63Qb0xJwomo 18RM4dGdo31dXAoudT4JI2mCYIGstcTFycxnWIFFI7wCE95lSpMFCQ0irjns9d9yvW3kLXpqVEwv lhEz08vU6IQyBWQWr5UxnIXM4g8PUPzd+4eviqukFX2ZYxZLdUi1bL6EtBUfsScTS7BBJNoZ/vDY r5YgGrO5T+paQyJOwUvrADT8+yWjEaYQq0mQ3w/KPcD4tVp4Eu0z3xfHMUKYARKGe9PXogWHXPSh pk2ZOtfw3M6MVp2oFVb/tBdmbsGYi+md8SDFMAuLi/kOecCECrhzz/CSPayTxFXj9v2Bt8R3nvdL l6IQZEsy8QM4h2f/oCXr5Cqi6y0602uxfh1sykT+t7uQVwHrNYw7VK0DdpLv5sQRgCrEyJlhUS8n 2/nL7qIisC/goz1633R+E5safrI8COcwaSV8ImQZtkTSzGRmMFhAdPdhqDXG+d0nrff8Gc7aoavJ QNSiE9dN7DNaChQmhrgQfwVFOLVckO9XVgfJNerunpwjm7Vu2o8EVqR4WhacK/xzvB2S9iVbhdud FiUdvwTKCHMQ+UkmyBS6E6uH+2S6LOXCamFJL8GY7ZDTtvYWAboMiRdN+OqUtzZygIHwOk98OPoI BsndZUx1UBqncm3544425ij/6z8xEmw0+bAI/ydVw+TyCwzJBMEapu0CC9NFfeQTAIEKDIkIwZFA ECjYpcvchnpSussvHmJEvw4ah8DrNAb4G3HYIbAQnNQsQTKbYwQt2HGMxLL3aKvL7E/PQ/JAn0of 8vI6VrJJLCu6jBQ2hnDzYMaw3VeytaHwuODurOexHSrJJGhku5NFUDUcyOMM8Jtdjv69QtYsAwc+ kEL57dXfvpO7Wr30dMFqzb+6wCQXDyQb59+kC4AgGlsj7pheMgjeOhVqLM0GQU6G7+i2lY+Rs6BX D1zP1L+jWMpV4KyN+jk0K7omstZ3Y4gZZCHo7x55a6lTUMqc8gg/NhQbulcywujZD+IMzGTRvndr hj9r/fcMbQ21AxRZjlH2T5q4V0qqwwYV10/IUh3lCX90oTLAoT/yVe0VxhIv5vfq+DiTmfoP0aq9 Vpzarl4f/f7SItifm63e9aFWcl4WykgACyZQ8gEorGTWATQhhZ3k8otnWIZLOTI2JS8DnEdKD1mj 6NdkYcxbZaCKxSC6vcDeZULTiMpwrjc/+pVrsMx6ofjJAbXvS3XlVTz0Wn7LQXfH/k9f82k4n1cV Agclnk5THCwvgPQkwZTyByZIA3rlvQPPU8NkP6gKwtfIzlCaA9k8ex6LXrDHxHy28ioaOjX0h1ww sOQt12LaiXxc6dNbjmMk383l+MydGMNpIMFrDthqkD0v3EViaNCOgnyclACUa7iTT3bu1yrVas4J L3ArmZAgSjmRCR+8C0g7sPXLGMoLZQslYWilZVfLVoGB8V7UpExNSUgozgSzkb1ip5EvK45km70r YL6jKKAXm4uUqFlIkMkPcwZvtRb7YcBO8bQXYhnjGC1lX0aYxUU+0T5TyhkJyp9Bqy+u6VBinLO7 mvzINtjXHbSvbI+z+PGtHz1yOUd+0kftlcPDvhSWh5EkRZzyLzcTSl46qRl4DcVbijpoMz3bvMss 02RLuq6LE0GpzKclAguGVHgnvcoosp6d8vVJV8U4tBqxSpm53c3GJh09KQVGOz55pUdbv5Fvtapg c9ec3X5rH4+5haFqD3cfOhBE2m7jHdGLAveEvwwoQ5dxn+4sngyJG4S7BaW9kQnjgxpCqoaEnuP+ 4jhbQ/yMWzX4MOw8t9GHO/FxWRRFdjN5H1/Vw8bnn85wkcsr4lF1K98mN6VAmL8jm7wvzkRxCnd7 FdGo19ROuQk8WadZOrKvfqrP2Iv/GUflDsl40umQxAIrmGkYZDfVhsFwJf/s8rkEdzQOVqQJINlV SnRcGQng3Flg0ZFabyXhsssLVsGgzjCcWQBONsD6cVCaqxkx3Zs2UMpZM+09kwAR5wbDt4IMLHe1 P+pDKlRMsIo1RzUuHoXGFztk898Ud27PEHWKKx/OyN8gE7HIGx1+eL4FTIO/rAxtsm7f0JWhiUi6 UdQRcWtV1x6cG7h/2eOhihkXJvcCpqA0843nuACEfKmshzF5XqlIOp163IibNBdlwTZoDB/d5+JP qtuvXPL001b/yQ1raQoy1NC2tTXREROrTJtmXkeCYo/+9JOBNzJ/biSozfK1gKjOUP8tzMbmEHmi lHLJh5C3FIg04raPnGgTJESfE9eIcJwxU9cUbo50Vk+VG9090l9ijP/I4AAC4xnUY6m1lAq8TdxY P0e22AZCq745xdC7X1hmduoZU1e8leknH5ZU3fkKN/8XHNRQqCvPMcCmvlkoS81IY5syGRPEqDxt W4JTAlYtc5CqdTCr251TO+n/RFqRWeqwm7GCQqNoCyxVExxF5k7a4c8Mr/qqrf1qNImNwDTa3YXi rAtn3fWgeyBScgj8Nj8m6XxqMkh1ouctcPyn80aiZu9mhzh8/fdmicOND0U0snsS0Kvfn/46kgHQ c//1N7KXMnvw6DAa0CAe28u7ttzjdPqtuH6/+RuQ/keFcSnITu9tAYpRITUOObvnIwQZJ/LbimHX emqZpG0lv+J+mdjn7ye/gXHqUPYF+8sOWM9X/+xVCfHv+TCruBGn1sJi9IN0ZVONgaUe2uwlXOA2 jkbhRPbnUbTFEl6XrW6N9MCk9efLiCeopiprjnk53s4Rnd1uj7zPYOihhSZGdQq563bJmrrkhCBp ERhfG/g+47CKzx+o2T9xlwZU+Coz91THRIzGwsaSesW6sV+eHHETvMfWbx5jZSmc+4gaEM7SgfF/ evfKe5bkTBrLGtbLenYqm9i/qdt5f8a834JYr4KTspsolvLI7afY+mVfZoFHmfxB2H2dsRronCej POnEc5CMwSFOHBmFLJ5isQP73J0fIBxB0p/7whlconWWCa2N6n0wt4lg0sR7jmIQB4kIKHKDwTj0 lmvYhZeJ3MBePsm4GVhdTY/YMFecMdi5JW0y19s50cNEOLnoPwLZRiMl2OFDcREIiPi4bt4f5Zx5 86yTTzBPkRLIDgCiJg7OCM0U6abteIo20rbeN52ZIBq+2XhxCFKOm+XrsesLBkIgMpNT6ErzJkwP KxTnsWnOxD5r14azMtVsW1ZFrg/t4Hn6igIQBqThUCuioommEiy+DA5l8uSUdGDXQ1JYeHC2ATvP sFLa+ReeQuKHMY7eG65UB4GbbJnnk4FTaziIivwTzmJpH934Xu3KGCgkVHGs8eteBTNMrEPMiHmb o2mEeqD/bNANg/vA61gJ2/bkEIDs7AJzrgpC+sl0N3l8YSrMVYfRSET9mWC9t8beUnVyFAS3ywDU vU8vRrRSMMjuThLVFDhJ2PEhyQpjONC+UJLeI5YiC71Ue606uHNNK8ub5qmF3A1zfJEroHMpK7K3 zugIFGdCGETlip1woRH3Pr74SPwM7PcLBXXhMQYE3lOOYjF0cJBcW7kG10bTGcL1npFxYbjX8uMM ocszmv2wtUrYHiivQXCp2XDGWkLA2GjD0MpFPP8Xia2h4sufH5ZpTsRpGLppYjUDQ7ygahQ3qxiM pZRBoOJ8kw/1iXTHp788TRZ3Uy8AmVNYMJVLkuIVxesRUAkTv/YX/8d7xJaAKmtmB4pVFBE3mLDc gikbu4Nb4poHxmpVcdZA+g1XTniqUxeEXWK+IwOQOSmWnKUbp1HyhLDp+fUgetXJdoZOQBgcehsg SRqbH7dCvykUBmxHpAlF7xhAabcch+hGeZfXQN1B/GTvBP9INTmDy7I1fss/vGKbVs2fi7jgNwkF 56gmOtT6bhS2PchwoEhUyi7RbB5HyVr7EXHf+zv0qOi7wfOxxk+uKPt84q8cvv1cIcyu+q8WN5ky 9RuXpV0E4U+LYToFYwC7BFLuB7bgwbhIyI5FQYlTHP+230ywGIZlNfMcSNkjObZQLJSx94RpcteF +qn/CGvxMg1Fcndad54ufQqHb2UN9lPURCwhduUSXjq4AjxbXQN0Ux/H3wYyWfyvZyJZUGThMByu BuJsJUuzSZuhasxbFNYPQTAGW24DsNH1o1q5qQRGmXopW0/8rYpkGCvhLqA3QaTNgv5K8QwLhwsY ULdCWoHsbN83V9hE6xRkJSwOKdOrIkpeyVG6oI7ti/Fo1pwdqJ/gySMsgrwRABGgZo+81859uF5q XEXCEYARIb8Ezz/IUAuzC/NU48oumviSy+ygGXZcgyx6K3UsRsQesCm6ivdHy4c7UZDtKc3PJJrS AIlqROcKMwwm9aSqB/VEazwz+AQ3hhnGQLSO0FkKDdr9WX04e+fVBC8U00W3+1tRKEIdt6TrkWkh sl6g0Ku28TwhG3y+UP7LbL7RyVvEOO+2XHUy07cXXYoBYUdWflIVH4wWDyEndFouvB9IOZjF3zU8 7Dgtn0KfAi5rGuY7FHYsongcQbtxacpQKHkcIvRNqkr6wpiwWwo8G/CRwL/kz8KeKdmtnFXo9f++ M8EnePVGXv1k0NGohbJnDx/LoL8MXo2+ZCRx1i02cdPU4DbsMo85By0fSLJER+BR/FtEP7f84PZZ O7p8Ocxoopy0d6bMkC485nUCpedNml5UtgZfNI+lcJTZqffLrdSGA/Ke6oSOF/cHfXibB0T3psDe GIGo19+9s/P3A2f2JMn5enGoiV5FYUxOyz9bHpOlnhfE2LzJBmmjIZwwkBJQkF43OQAh+5y5JQQ8 WE8P9BLW7tH/dy1eNuTkoRuNp7Y+TN1g8AvReOERuzpOV6worX+RPKYSOkYmI6uEAwmSOPm0Qyur KGjwTz7Bxx1OCzacf6AWh93jnVLKmZ8w0NpL4nUd/wBUpDg9P4ImTTt2xvve+Jcg1xaQOHTAB7Tt /cguAUzKlPJ6ZwqjSK33/5d4pliNznw+ehkM00FKkx+tasDvCL3hSzH7fVTpnrk5QdaAQOL0YU9X LDMTW9OhMbxi7k/VTwrY2ZyyfUPPeQtU/T+KdFoeRlmyTvidqBdoXeuu/90HqBnXo3IT1/vAZ45t Q602oGliuLjErey5JXbuujS9JqtUIeB3PFMChebEkUqdLlaR9PACzI9rC1i5FzIQAQmefQEBzLPf tIAuTwRcHw7yyusx5MbT/wObT4X2DFzPNxM7/ciFVcCdVtlaQ5X5/4UcH4mzDJk+l45LMJvd4ir3 1pZ9fAWEutRedi72avI0RLfldIkHc4rZ55xlqAuKqXPVz/xweCXphz8n3ghUMe2LmdNeALu+CtHA QiwAo3HO+5T6aCGvqkRQ4lCeU/p+fW/SzNvyAkW9rwSpVjLUzsaYBZ3oDyw29uRyn5Bwz+sIVrOR WTGZU0Tx6zW/E5oU5d2IKpGnAhsKA6n5+QTyNb4ykzCDsEa+wBGjDoWqZYQK2r4KtvABTBrUmJVC 1gjJpplgbw959b98xTjKmbLxdkUr3/jWtgy37KySfN5Jd8+UW3Hzpo8K1ipK626MiAyjvhg4tFmn 84gh4gD07ljZRVoLzT7i4kIJOVxup0azbjf3JBUGjv1+yuIw9DX2rBHfJ9FRiKtxGEUfPMGxz/7F NgQSUZdeWh6sqKoEeKOXV0EMNG4kMOBpQqdF1WNsTJmryAULQncsGgPVkKN9+BUxKk4Qj1qSteOH F/b9GyA45idGQye7V/llfBlF9XOUrnmSvTAWV4oodoLiGXaWAuOYqz3u7GkPFvbFRAoAgCREbMOO hmMDmCG84EEsNOwYJY/HUa10YgYe18YMj7qwjbCDIdjFlnB/5byvQmmop3+uhlA5ZIjoB/Lr6aIZ lR9B7uK8SO5cLfbz40RrDFQBTRF18WOsbVy+etIKgQG23Ps2YgLYHq55SUAMWo8lBvhhqIkyuIFk W7t7J3q2X8o1t5VzMUqVq16LwAqbdeJd/e2C/TGq6W1XUHhl1KGB/WHp6GHbdkurQ+y+gwI6S4SQ m1wz997qVBh8vy7dcEvAm6QkOVT4G8Posb+gzd9iSKVpcE5hPaDuEPuoXM9+ldA7u9P5MzDeg5OC xlpdor6wt0QKiiMkCxkMCQQtPjKZQ6IvBAlet4UmPAvtbbTiaL0ADrRbDGx5rxkarvo0IvZTVciu Jg/fF8aSAo0t1X0HQhNVT59yGfaTjJKnwqORJGXYq2wILIaJSPOAHZWVBYLvedzIhxFECgL1GZU4 /CbAZAqkQo/HFrd6hHXn0EpJb6Mjk/oL/rLmdyLb9eVfn+qsuGq/pb8ONejv3zRBXIhmQesxq5tc QCjUGKY5HOZVFFTX17qFiU5roXYrRgOrbGoLbH16LFQdm9gu1c1Ep+dyAmNFL0bkm4CY8+cJyCJH MoURJljNqj9P8Yivo7n5p7J9JX5evTyqD83nG1O2W8Dj4HktyIQ4UeOFvwankQNdJKcYZTuNhVNt crCeUvIqRyQoq8mnAvmLB4zD2elll5Op4C7QJBjDGqoFS/Xw/9pqWGEE7NvlwiJWQ2BVnK8wqD5z V9lqIP47BdZhzqe5RoKUyiVqEkqcbilDCONSRGUBj8bq17PCK4fiun0R98FB22keIn+hb5pOfOJa HHHADDW3XRSUnfCt60zazGkhAvAB7q+nYkbQnmdd3iM6O8ZRZqw8TGhXQtt6X2sCvAhcNJuSnCYS r4I6ukWGfCqd/SP6Jmkum1d+98o3+XHZXpsyNqeLckpNz9SAaRisC296EvGj+KMmeWP8k7lUanKH zLAh1fRstC1lJ6eyFyyJxMQyup2dnwqdrb05GjOojIfs9D0YiZvf54vlsij4SwEX0Y80ZPE6RLT1 DOO5m2tmkp91QobNdacLFjWWYQoGH9OBK+qVV27jcNf+YTWyQngfhcZZfjsyiIF076TCxhGDkKsd UmnXkzkbWzXVXAoi1hvUU8yuPr3C+bBKgLKAR7o3hXiF99CWO7voT+GGOfGDX//naRmQ/RDvoRkR TtPQpi+8cVnB85t+KQig4MnL72erTQK9E616RLpyxNu0ElukOPHV9Jxfh1uiCLHmgMViv83M3UT9 eu2B1aVEqvKYDW219frKMd3DI2I6ySymhFQcF6ISmsiZIfYAM6/kySmNU6UgivJZqhGk4BJUYuBv 7XtmGismKObrCohm4crs/QAEqGgSBdn9bSnsTFdWw+RbM4o01qk5JI3GgNZH/l2G4UK1KAakluoK 7XmzuJpjnxCf3QydV8YVlu3xxxX17jhArvyTLOZf6hF//uJc/I7iDfPa9/A+0B7v5u1w1nguCqYX ApaYL4KjHyqBucGQCkUNVTOrfFk4OEBlnlIk2jCyoEjVcMTJb76Q7zhsPx98r24/XT12k3mB7aco 0irPl3hUCEG4ICeFUgkIKsM3XfyIo5x5ImPq3UsyZ3mRCrBaM2l1Gi1Z+aNEp3bHrDRBz3sVDy/a 1HPCYkDN8+YOiSnvi45R85xBDRbquTCSqaiq1DMr1co1e3ZZ31dR5gr/Q2BQppAV/BQwTwjYeAA+ Dal4iGaDzMSYkkmQA0ly3WQSVri2PycTsmuuP5VmDzBsb7dScKQSKQ6pclPsG6RIAMnZiV52MmtQ ab9XWT/kOv0v3rWAtPheMSJxBpcIH7CpQ40M05PQDwCAp0CDKQx785wZHx5YL2MlzZcMHCA0hnml ksGz7KyZr5/ZNlPx6tNilWE3MHR3Vq6osiDpB4l2LKHSBuDYrwRGkCrh1U3AVZjx2TEB6yljpdIN BT7CL27INZdB1I+VEDlNCXqHktWH53u59RV4iU/t77MuNZmWH3xvToImsUP+VEVJh/lyy6Fv/Ulq cju+KQqI9xXU++hQYgNSOVw64fC9eRXbYJRgCRGQbKrFXe/9kMkRJTDMT5T3ignMpXZInNfh4TXH OQyYyY8CrgoDWmx2QSp/ZIIFss5ptk2/5sWguXb4H+O2xa+Z0XHcahB8w/wo6jPA3KSbxuDJlLKL ReXiwabvSqRQlQZwFAMHqm+4Wllu01FLIzxecWJ1iDIlfz2QtyuCqN1wAo5YOff5RwP+FP1vaBuj YQegpAOstYIx1G3wRiboBkPfNJ9lyqJhGLwV2c9glZXLrxKqpRK6BdeS5QLNQ5tWqzbK5rmyNpWV MkULLRN65iiS4kLvgm3wKU83IBKqnDcMhQ4m06KEU0A8YRvhhd3lrtITReIayKAH7lzb5koTCLSU deKUdKV/RAmmxIzlyYRIciZ83yD1fWwK67T7/dyrP67aZllfQQvGC561ZRhcdisd3RJSFrmgUFFs VHhIjzSdy/HZHCN2mo6X4+/yFnkoBMdIVBxCjlPnLTnCutQp/4v4hgVEpHbAZmkMIx1HfLtlKbw+ JOfl7nMoQQH26LB99TUCE9G9THwyGdx6aVEnhebY+NTnpIxjhwhcVYSj7zgxSNyey7RadDgfEdNO u+ELI2ziTcaMCb4FCuKCCWuXRMVSEx9lAAWGzsnRIdwewvN2sn3RhmEOebjI6odkGmW0vzaq16ku FWj4N4/ai9xe2Lt1e5NJBopLRKUQ5j7siXGv/R7usHLxXWi8iMD41nNrMjmv1B9jAOESBe1m0wae FpjHVee5rXmB7XRSTs9qNb9HBuGOfjew6hurFnnrJTudobCgb8tztlipxNDEq8nctcQfn9EniS48 PdeMSrtlryEZUkZz2ySe5uLrgzs/5MD6qCYh++bl/aBCBj0GOA3Ywb/u6dKb60tAQL9c0fb2PiYF rW5Zy6gvEC5/8q+bsyILESXv7F7T5paV0kB17Bp0SV3YrcfBOgDxBNLmKfYR0N6+XA+InllvF7GI AI5YT6NPzD9PeoMQSHzJmQMBexzL8exXmfTGGFqFmb+HtySVqtEJom9RDdYdt1pvjobxeyixdJ2A y5qGhLUDozv90gzGSDSZ2J+69tHOqI7sMXZL3lIqaooJDKndDqJYeCd7dwPNRo8HlRsTCJRB2co5 D/shcdZEUq4nOF+JPo+xESQI6/mAkXMPBoNF4Nu/cvSdP9ChKU60d/FCaZu2QAoLcWagzepZ6iob qghVVGLnheAsG51Q2KpiNq+pCqz7VMx760I2XWd5NmATzAIDJhy/H9BveC4ZRBt0LAvzgvGfosPg q8jqN+ba31Hy5vGhCBF3h63NZoMwtTYGCd9rMdwyPh+uBGFav0ZAPZeubDg6NYja1KbV37icJBZm 55KM8wY5FFG5ZjVpPsewl1XtYTt7ILHJtsSpiAQu2yA/Vcs0Mq9Qsy6RK09sZ48NOkKUBDrEU2de tXut5SkUxZXzLJwUO0lKPwmF7UfgOvqx1aGA04ey1Mcln862MCTUPiLm4KF398zLnsTlP2gYcuNL F4eGRnV1CcnpExhjQ2jua1liJW7/ZfKzZZpnI14HNa0NnKmBUnY4x0WSTow9wHI3WNVcEgTSq7P6 vT/w6s13N03jUztwc5LU+glKDfJaWxrGjVwl8ttJef7DgYj5ExVZc1W67OlXxL4GOzTM4bFhGGGt 4nZ+oEJdxQuTuba2WmIJEKtjQSNR9IDZ8t8VVb5MNU9eA+eZxz3IEvFeumIEERcrMTflVQjWFYbw z61JZfLpIOir1Sx3LNm8i4MpZrQ6pzAotjVFCkfFTd6eVUunR9DBlbUUaaS731FjmB7FZJrCz7we U0QdQGhaWRnse/QeVlbCv5FLgkKNevQQve12BRqMj6YOqUe/M00m19+Lxg51a81nlpO822UBoZWd mozcFZDJRdsB1b5twhep3QZ4kGHObWznKWWX03aWUYze2FkaDrmRFchJi9xSkUZXmR755xaovKj+ O4/8UZmJtZOTnJt9w/9SJgYLJ6lABo+u/kNzt/q9p5ABnVKuWX8PZIaOsTQ5r757jC0v+mNWfLqj Nid9sU7ozEiHoh5BPEnkFTh0PJynu6wTbMjU5mkzHgVYKNB2ahOmgVJIRP/D+z5aloJMFBd/QMS3 6mvB6FsYkoKe7Wr8HSK5oJ90OGMij3OxvugjeLW4C9Y0Omand2NsxW2cnyKf4N8w6/Qbg0uLtwBN TEUJeewh34gLZIbfW6uv77N0pG34az7t4MAvX0CUM+yNQdN0jQiB1F5/Nqb6TSKpXzSp1k1oZJzV kVZtea/nGR/Oje20VUPXuhVr5Cq1J9oCmTpXrI31wlnqOmN+hBQGQuE+p8kkwNnBiRuYOMaVXgHw +XctvLDclw+3vjf+MqZlit2ld06Snq2J6lWOh7m16z+Ft27BK+xwOX2DVEq/DPk9KAR9DcrmrXxk gWnKjoUxh5XlSSgbyG6mK73cB7woBk+uw/mlt2crcIp+T5YD79q01a8U3ZOqJ/3n0MpK2iU1MJsn LVGxXsqQjPrwaCdxmozZfmk5HVQi0jdsT1xwTRjGOj+QK0Q6arcLLsOt0rlspmuRplCszS6yOhp4 YQVsyfWjfHZ6UxbAEPa+WGPQH+wATJdO4mFAofE7VffY8dK4oB87t5XoPvrXwE9HllRzfLW17Msw 3B6GLUoeU+hBkf5W8uMDHN6aRgyg8L5IO7IPNhGSB6ARc4U+DT6hvUI125ZETeAMDCPHmTtQQpd+ MjonWJ6iO2If6HJDDzyqXHPcvCPlakPEpgOe845ScaOOVOM1jhwtnn+jLApTorq9GiRFyxsEDO0D fPpdi83Acg+2ztYREg/05pYw7L3ZR/pd3y2nCySpm8gWVgiuvTuQnuIWDxabxrwEOEacR5yLDl10 hIYGL2tEingks64ckeL6Z/TSQ3ytOyoYa9R+hLTH7/jhlx5mw6rdAbVeV3kQLhDoDl5PMkpJgW9F lkSfDQXLiFJm+ptxuapCB7PoR4Lxz+DLEHcn8nmhtjrbp2hwytL4xVplaFpE1bmWGRR2kf6MTX1V 2NFlyIubvotMjstdkAZ76kIQ17lDAJ/WF5/MJ3F42U5E/Q+eBCezeam58Ue//JrpilSwZnvATebS rldgIADQGAAK7a+bE5KsNofQmqEu/On6BVwMtb/nu0s4g7e3wYe6rjn2WI+Bm/DXOAXCG6ObfGQi /UjRhDtsmuhtPnARiyscv09dGqxg/9YyJMq6UroTyOf7MU9yLeb2PA//8ISNbPOFhLn7C09UPU4m zLjekVeMYsu+TryKOkf1yXuIV+rzysy0tTwfXCO+9yskqW9UDbX96bXkHdCbq9F4RF+WBz9fCYqG 20BI3liUR/mOJvBFDS2h154wAOozw0tWygt5+BtHLWTJqXMhIED1eGheGLarAzwTdwfsT7FGlRmx RXu7wc5luG33v0ckSNX3Kz8UeRl5KyJWXm0O3X3i0pSfR9Vw0lFBz/0ha0MJaO2OI8rkkr9+FR9v 5uSWsKGRrjI1Yj+/XrPChR1UWIcpwN0clD2GCLsN8Ox3QfJv6VRbpyjttIYQXOzw6t0CMxHTkgkj 8fKDLPAHYN18fyYLWJBeSm6ViYhCMyEr7aLnknWW6zQJW2uUycRx2X8uEuQfA9xZNTgsokDtKFW8 clZ6UAL+gJh+ZWFQlRfN3FcXSOqDP4Aob6s4fzYeXka/NAX6WStmI5UWIyu7AWcGWGw9F06exG1T 25K+UxKGfmUNJ/m+rY1GnH5cZPHMWHd55JAYKuUiYYL++MJP28s1ov757uNUh5wpMmNNcJfBnUjH w7EyE9h4fuF1yePtGnEhBY4JxKDhZB5ytaB/6qUT+RL7T+Y6GnMM5PVV1QSPH3OUI2Vryx3hUS3m byNroM8KlSczyS1/w1yp54vKxc5YDMfrPK+XNkAetLSSlabyNAIk2mQJRgu952ZdmNzKvUO3ow8T PR/MKfrwCWfSRE8Ss3jiD4yyz63jSpkQIGhg67KgIHi21Yx5hg2YWd2S3raENFNkdXs9PauyoboJ 175qGiZ/fV3lKidAQaxocyNJa6kNI8STpyTUBk6jrmTW1zqvbsnD4NRDgakiiXjgeLEvNjPZhVaK OdOAfT5qzSwxnbRL81Dig2gExNgwVDrRQXRDE86uNgkR/G04TmJpaKzH6kvB1CPzDR5sRi4gUuvY BRwO3bTDap9k66LGa8TMhOhN2buA3FH2SFYJrsS2yfh27pfRHgd4++nlzpsAapSwA7FqHyk4chgh yDjtEvvwurv27RCiH4wsOgeZolWT911TFcmLY7SWaQKNietAJl8SD0cLFJZcDpTC3CsWnwnrfa6y O2TnaPPE/TXTQQjz00Jzrr1Y6GijewoC1YecwULCsbFHrYC6CUkDm1CvgGglR0tdI+4A0iqhpyXw +R6qxUvUUQKEftmMNYkb3m3+cxHjKA54sknswd9c+hIMcEQwDKfuAT2ai1ZVlSlQj0vCRpvJgjK+ IfoJQdvbLJFwXqUgKr+W8oUd9QLGWYa5bHyhhTCi5JWWYr4fu2jsfHPNMM7cteaUk34lVdLMzDmr GRvurMINtfjYAlJQpm0YaHg2EucNlw9uGr3TgKi5KLokw0XiKkvUYKyl5KYnVaXKJqUH0bCfay0J C14+B3dHmCbZl9Fb5ubFZmRS3uSENjcp6A+lC+CIo0loaS2x89fTuBGy880u+7EgAN+kMqdsB9BO FDAH19ruP7y/u0AQcZBM+m1NnsGHU5kuimdUG97bNHz79uO+ujdpo4xgVUZ+CyDZr5VpMPtQUFWI iv9N9NhCEA9IHHvyE7jP9kx6IQyoIBZlHyvSq1TxS5pzkofW/9iJ9Yz/dpoxTMkEZPi/5ZT1c9j5 zSpdDi2UdlhlLfdCPYy85qYi4eozWt/R2uvXuj5A9edzm2xeOhfwjes1VT2hfhS9x1ipWKSwThSR 6MWiGL22Xv6PUqzAuSClrhyIK/1vMH92lIGmPvDRaK0rpasSBVnzli1aFZzwDn4GmTuX+9FqhXE5 roBTVe+9tiJlvq2jonJWbvtW/6LKsyWIEQ5s8j3JzV4I9e8TbArvDRIBrobnddkYpbPx6xBWcnRE l5kAK06QaQ0iIEMS1DSOElYr22jdUUNJdJTOjFgqP6XpgAnLIbZ90MEJ3wury2/92W72VUHyLDll f3lCU4SGQtgq305fZNmuckT/A33Ibz/pVkKtgGKtbFITLevvRMRax/KarqQN1SqeyksXP6AvzNu3 5vmKPKu/tlilTiTYP2Iup0UjGSczQrl2VKai2mzMVQjKQkgEZ7gaKDGTcK7V+ntQKQY5PAyTyd4n pn89RyWRVjxuULWeh5l0Ofjww+TWoenZcMPQknYgrcjueioDd4cnXY1pF5uAMlQHTO4KxbNuQavw 966uIokpWE1eYWxvhsvY4fRcqFPxpW9uOHusq8w/f64dAPiYSTPKlpvppGvAengqJxMy9g9Zl/d6 hqyL0v13Q9WpAvKwjeCGNEcJT+YZE4iXLIJe6rKC8qP0vLJ9Gcf5KY2X6bNLu2gmpJ64mk3GEwv2 V34kVQxAn/3ec1o76wQX4GVfLBDpCTWIKsJ98UWHW6TtrMSM+D3CEVOci1GupElY6egEOxbVVD96 g9cclqBcE/fbLiPASW3MHiRicweOK8SiOey05ymz0dQXoOKBQBMaXlWZjQ/DDSVfR7A1eCPc/uE9 w3I1ee51sknMHKMXX7NNjd8JGJiaT0a3iL/RYbIygb4w15Lryd2DAzbjB2L5vZClxmAuG9leZdjM N3ez183fcDd5xJHVIf4WQVi/ESQwOZd+YUjr48/3KO0rTJH5JTps15sTLROII++5Lg4WvQXoppPY 8IOkVmwHOHGd0qV4LQJ1o5ESrbdB+pc0diOYIfWTafvocxc4KvUUOzOzpi0HKtSNkeWKPhtBVHGG tyPBR+eFi357T9sYr/ypr2iKsgqrMDSkIzZj8l5qk4JUSGeUWHSDeOEzXI5HU2Zhi32JzS4dckhm 90thsfkXX/mqqXGVvwK5mDs1/9xIp5kh0Pl5VwAEp8iYWG8e19RrXZCXEn84dHRYx6U/zX0STftb Jzp0llGp77Zz8fwhVB05p7yW5Ka2OK/m4YSD9Dp8tpB8kLBKiioprZXj4IBSsiONG9tM6eBt2Y5Q q5Bm4tz0CIs7fN9vA0uqoCwvTBQBmNQ3LiQ2gjihcoZ+miLV2rb6jfOFsAXkugEYgdU88TPZxGha A9xiGgY/Zpa5gmU5jgc7qr8NgMMaYMELxrZCmh+gdhwRlZ/eZeXr7w5F4ybHDosiYT4z3yHiTUe4 6/fxmFo02sSpMOJ9k4yZIjfIKaBd2Hmm5UtOw/EUHamZmmviLl5OBvIsKv3pM5FrCaPS4r/2kSlv u5JjBE5I8TlKIW3RbS/Q4vK0LUTDIMYbHpbRjdW+XEmCKeDIqGG4rBv/2gHEgDyhEwSOvC4lbWXh 7EUe1xVvdQWLDBmQdSTTIUe2GJESqwnDBiyEupevid6kb0DarM8CrqfO6Z/vixanRYHMrjp62zVs XCMDJnnzVZ2sV5bunrGbZMopODhZRgbL4tqioWEN5n9UVk+J9utFwJnP8XG3qZO8UFLOQw+6rO7R agnHlR8/03JoqFuMZg5VB1pl3QSj4wx80sTN0dWOuNSdndRLRY72np0R1zAShFtdTj75p5op+F8w ah3ZB+jBZs7dGE9FNE/9E7T2m1C7nRFDMx3avf9LWCNrprF+mmM11bNzYtYh8f90ow9Wsw8CqkPx vJc7OYNjAzFcZfwAJpJBB3y+9j7mjbPV4D8w5ffzD6w6qLK5+7j4W6K5I+SiccnDzHRlOt6hc6hD OOPxeBOA3hYONcDZY6GBACUMDJPsPHzKeZCTs/Af2AXaziMUwBxt/5TOgino+syTQxv8rUWv4iN7 AsKV6mWTJzUU8JKFG7lLXVWbeKGdxoBTaaKhS5CXgQw3YCqyx547TgA/dSLeX3yIEFGtm4vpv6sb l0uyqwYaKwQzShuEh7LjtByvX/aZP+tSQxw0QxGrDLWJaBw/F1Qh1LegnmiG5gKNq2qoUXmqmQfZ Xp1YdMkZ3QVlUqeZFDc8J2jsoN77EVIUeESyHNCdGUCTsW6QC15Kou4JLZn/4Q/I18H7NyK8a3Q9 E93wpwBAzOjBgvVAEMhXCJ45ZJGDt70mGa5iU8/dVYfzE04UrVEYSLGGKPZtuaDgvgw5F3dYz9m8 qNUTC0RzDSLK91oW0hDsou76VVNqmDnKx3QFGTC/fajAi8Xk5sSZVL4sidPgI1CW1YT8Er/Y0u7W n8YJvAufRK60bBT20AmI18V+VfADY9FiyG8VLNzn4XbfdWVhRx8xOFeYUcYbxNLDzVdfAPPqIkbj 72ImFKjUwQ+VNk9YCdh6Wol+BchRYTF3ZQQMnhOuaHObRrUmgt6kqElfHDf6TgVTRppEojtdeMk0 JLKk+wFwGSvJW6IJiJAToNOqNbnsyO5nMlqPRtyZObL54cV/YwbZaVrEcYyoxrsXyvShKHHaHYqU izAN2E2OFtf7s8yoCZrX5971x6+JXcG9rTl95uU2dyGn+VeE1Z5N3UfAKOIM3MMpiTEEYJkyLInF xORwvJLrH9OrIWpVIygmC4QWv7xv96/mm1dBOqMhK3fSt9rPbFwhM1OGVHC0bg1tc6bG8vp/wXN+ f0502tztd6MKjJIDKcTHR5JceB8hvQUc/g/omC+Pr/p2VQ92tujlWvjo8XUbAZHVFeUQoMzsTQnH qvosKeNldh0E0h0bfX1+Br73jYSWGyyyzSbebzw0Pfne9U4r4j/lsB/TxBFFBXDj2f4zgPmk2V8N GrsZbFh+gzlsxNGVqmyr7S+co4CzjtxyhXrtlXyznUBdXMm0vK6VjnGUtRCribaNw5mhOCyCCN43 1+ofWBwTbR1onrHVpgQ6ByXlQ8Wn+THEx29VmMIPMOUmNECh3OurPu978URLrHjRnI6x9qUueWnK JnLzTe7CNs3ZAFWE3buJ08YXOLrlkeNHGJqFltbf6FmJG3BpBrnqKHLtNl+8pnu+9FVYqMx31g1G Di3uXVmEiGLG2K9CX/ipfJMNfeR0R4WFNgBkRa0dKvTWQHv+q377o/PUpy1gBE4WXiczARqve/57 1APaDZx7H/zBp5SMUgEN4w1V8GvK0AIMu1lzlXcyVGYk0ZunlycITKZ32OJ6rB+Ri2GCo/VMrqV6 u5E9hkAlQNCH8vJfWv9xWr/512TA/11xb8Bu3m3JCR0dGvvaRGDwk802rOZxy39piDuVpfsd9qYb LoiGJgJGRk0r0Mo5pwXq3J4FXETzdfZ8ktlZkO6yk1HpFptK6RQuLE1quTRFpVOMv8LlMW8KlMiy pRYxBLiBPgg02wZrwuDpepUhuz4F4whd62JShlqzlHalTusxGM/92jEXAIfWBRuKIwKNbYRQmvAk j8RcIVAOui5Xzs6ZvHR1clxuJ/LQn865/YDG9p8Y99RnYVgTchRUBeKzaKk7JdCEgYALT+DMYoXN fWhQteMaidAQ/6w95SAd4JvD4YmZrL2qsJtqlNZSKGuv/rQlWkjfIn/zyJeTB0ChCHpJaW1ngbqk rXujBQ2ZfLQ5Og/xVsTaZTab2IJ6Dtz2JgdO4vE6h04g8DgU6Z6j3bmrXvbk7Md6vexlcXxJDtuU 6i/TMqR6mWV8CJW/Dvk8AfLWQg031hL13sUUEa+ZpVl1G9XpRfqq7rdGMhABA7MTmFrqy3CqvY5/ DUMFBBC7h/CPWRpwvRB0iGUB4SS3GoQHkYARyrw8g7EMjWIjigQ7jAt38tvTsm7cQXG2kRkgKDjU P3/RpVF5c+6u4f0TEJiu9r2nhtLkQ+rGv7WwXXCwxT8I7RI50yFqpecM9ubq5hq6yz3o98VKKs8v VcjAuREl+G8iDVSh+9ZxJE0vRssUH4bhLb7z69yQ4m2y5rEKD6eS9q+0q8rlMB7CSmoLnkgbsM9g ZsNKUu1MbEaZNFKCyp7KCH8LR/VR2Tm7RmuWBZAkLV8KIu2soHwHlEztcb+5HjLSn3HFAI2IEfrt L0FPwLBzV71RQ8CcZjsJpAtHF07dMjaUY9+uQeW8rjtVHdAsrEXPn0gluq0gkBc4NCZhcnKWQAKt 42uI59Zxo28a3v0Dtowt6l/z4CWMFiwmnDUtXUz5MGfa+r8N6NwGpx/O6YWJLQ1DSjtCkWrLlydC vD4ImBq4gBaVkBzqIP2Zrx8Cr8DtP4jzCUGJPNEQ+cG5m137TJMKymRXVdfaFE+sz+hVpVScppWP t+9nCzp3Do2R9NV6oU3MfmTAFP+/cFrqwWf9xNJwzCX9y7jMhr8/PrXMEoLOlvdKt41LDZ1tNvRf Hoc+ZKWlu+0X2njBsl4fs9ZxCXiEYgfnI4QGwvbG9vJ81k4OJRyDnGLSl7RqeiKIM5GPEedzO2wd f3htWqoNw56l0CXD8mxMcmF4eE/9/hJwrTuiixulfzP9muJ+dl4Rqk323KuKXw1MxXcO+udZVV1t ZkJpejbnWhRXC9cSOYXFLeTqCUFmnxH6CGSB0lYYWIPBvvY1jlc7Ca7NbTAodx1CzeAKeNZwxqcb GtTpe1lhw9uu6qvCPaHq86CVTJSKwuX5A/apQl7eMGaiScKbnuGiQuvZaiUq8OTN/GvM5rOAaWGH BoL1XiD3k4VPHQiV+4tDgagWRK90o0RhtyMQY/SzXttYziQP1ZS66DLtKZNP8ghh/gX6I3SZjM+J mUm5aYXYxIUic4urJVuAkYx2Oa5Bfp8tKxyNxjES7CiUDukE9XDJLAab0poA9kU0G1oWO7/suBcj 0z3nNB5ujI8uXQWu80K4vGE/XUg1h/KFiK+3Z/PwTuNN3W1GREUIdzSa3+TXqOKnW/SJl/Tk8gMG y1syBTI6qdy24kcHILQguGrCIAqnzuFDy/kDJ7teMrP1YrNz86dSWbCldwUDKhQIv4Iqlwc1tpIX /vkYpNza1M7Ga8y1hzwJ8gsgpOj6uUDJw1SGN4ZfQE9jEy7jwZ8x3vkcNE4PTG4bR54yU87cU8Ki BWjPZ5MH7994Tv6n2Hh7iAmeSZfgteZALyOUD/BmuoX4qrw04+/dpxEL85CLZtTmrp4inU5iJSlx N5h4CgdUzt5npkapDKBx+fBMfJQ3uwb50wSjdoObn32Vwt9THRaNFOpD2IdpXqTWzkpYKG4DHyuJ Yj7OTH6pdmNKX3X/qsDOyXhPpGJ8ejvA65ko6zqLRf2EGMnqy7q5M9380il+YEATksDhplq1Hqse 86Gr5UGWIbjbOQ3qQGjQCYA2y5AMh1kooMIwhRyYQ9SqQyYw0vWbpCcLxE1fQ4jiYvyZqaOiQSgA PrGIrkBZVqaPIsQAmgcXWFD6aPUdLH1B+E8KLkWS+ix0n3oJ2YGoA8fG2L46dmu/JUiTXwzrf2LS dw8jLBr7/12v1HDzZK/BasYEzK/EJVTdT77VFvXyBGkxgsA0jyZjfMqJ/553Zv+BUATd81lPDRTR 6yqiNrQ3z4dqBfagHsUhMnTwIiICHmVzywTvq8KkH+rO5pBXEnyM4aCfabGfThSJN9zygpsf/wSi cfOOpTEEs3+Hi5U557yu3gxDEhkJoUFNvBWfBMFjTqI3kMZFfGHtVzMhePKw5p1VZODh2LmsWKuE t5ed2GdA7xowOlBAS2uGlCO0Zg31idAa5ce7x1pUgf+t4qrp7YzsJ8UtGD3KWqdmQU2+3GDtNweW uPKNztisUkUSs4J0soZEN/KY8g/ch45PsI/4JeW4oyo3LO1F/kkGykr09xBG+EdkIKv+BEYzOzaS bLI7NxmLSjTc7qYK03y0ICojJGEd9uFEEsOpUeseFSLRLYw885Evb0nB5IvAIf4X/qrBm+3nNUx4 qlXSNl5KOUbUcXEyH3vdYpuXgwKLYKaAsog2ihzrlfWHoe23P7oulbYj3yrjbC8w9khLS2F5Pfkj CvxLA/ZzT6cz1IYUfPtrmAdyq0U0qTuBH3o4g7QoHZ9f+E7Yb37PCLGv3jsTkGTZ7ngSYS0EzNum 9syMAsUeXc00T+b/mHEH6EC8F5bpDZjQkVyYOTTG+DqigVERmdT1ohNgGPAZyYkTxeoEkQL4p523 loL6nQEbh/pF/xsNUIBlLUdmBM201w3hZX6TUnFBb+tcjyhvecCkqTtgaMJkOdGMRnBXfgJCmyk4 9yTNwSvSEnWZwm9G76P7hRDwSUPq9tbKc9cKEbQVgTAFmkEQ1qnUdWho2LiuRdP0ZkIFEVqPpKPo Tb0F+iiLbiNTQYxA3l1+KOa82gx4Bp1pLak5j37IR84EaSgMlhtZPpWyPS8PQ+IJUnORB2xiRRmY 1Gb43i21MtWHpQSd91n4hjmQPfXBxpae2IcdyqJ/OG/az7pkQSie6nGVHfDpUoNN3kaNxuSAzIw2 j8PJI5Fjhc97zTYM4medTKVTHi4YPFznLaXgjXEmFwibZQmYoUEwCD+dR9qBMrdEorcAwHC5DwNy /uTman4KycEhK8tp6yh7gi0HcNIQRo4RoxC+4NaTg/4IBLbJL12tDLGRNqtEIo73njm8a5X47wLY of98UkDFfwvkcUj668LV6ncJUCVwLJCPiVOxvmn0RvJlupe/HKGmzSJgGhQ+3ejjKt7JuceyyJfA U9KMF1NlqCqPs4w8KbhNv05Hpmn2XIuw39VnhRu5AvjaCiQmakZoWwAQ75l9hw6CuYo/Cao2a0Tz prOhRVK+2XtcjkSGXpybmA+oUGNRjnfa/sN10QDH4fKSgK9aaSDIk3k+iATKUYhpiVQqS4Akog0L +Q0S1DQn85HflmZnMSc0o0cis+8wB4IDVnYjf4wDFedOlXEj8sp/+pgX/OwxtLwsL7TcKyBnvTMZ HKXuHmgXjG0k+w/xFn5PTlustVbHVoxu/2FEPg05eeDaBExIoN562rhkWManCtMxGnq8vWPMf/BI tAedRVscYQUhFf/IY3OGgPHlBVlFMUHGd369NGXM1UoNTNS+uioxZBfLdiTUZWhXP495Oxk8eVUR UhTYjqCm6BAb7B1aqc5s6SCg6HiOfUVnbGnBu8k6EU+d2/7ynlVKENlQ+49fNDywwDgfXfGk199y xio8Dp3bzcel6gBSLAOyoMMbmfv+e8yU/QsR+mSC/w3VB26AhbwIQEzb1cnDKG8iUF39gvWU0V2G NQtrkBG+Cf49AGR1ZSDRylPvO/rQYhRNCmC+Zfc2iKpCkDMqdlvUQsisp8h0IIC9MmkoYZCJit8H ZQHn9kYRvrytAnzmjt52bY1Ck+Hph31Azbh36GcLRVvOldtlnvZvIVLocQu3Jao9xTb+wI4ORwXK astmDQ8Urv43awB5WBHuvnvv6nA1hjxGWCLVzUiQkp1sJgFEr5D2oVGzeMFqOjK04DswMn02ceXq oJe4BRUrfXU0v1c2jlk1/md9G12XKwQgLD27GnVpmrqy+zDVKtEtM8Ez6f/f31nAFEuaAt3dUvWn af8UVz+qPlozbBSZBEmd07+2aZVuX66bkv6b51LXF1W3YmdAaLGkjCkPtBrL+eEjMFNPvfbsEfyn bKyJu3o76siZ1mjjVor/QW0eQzqoM2YB/ytoPX51Ir+1UgdWI9m/Gz4kuK8ybL3rIaXYdVvj6SN5 MUNLO0zYItpYhhYrsJPrx3YBbTkBZ8nrzwlnjIk/v7rmGoyNME8988f79Vdkz0JP7QmucMMWEDfY jpRdI80bedXhURxFE5i6lnFuJsxOubC/SlNmdo/LL4pAca61JK+w4IOBjpwVmYWkShsNTAqxR6ML 1BfDvfiBkXY/rl8r74Milj8RQOidfzKUksttBpy/GByurPxMV+YMdt4lKA/DryfaCS/0R/y+H2WN +hVY4gp9emowuB61QU3OO63S0PygAXV7J+CGupo7PR4FrWlQNiE9EEe6lHRHL4gIYirXZcY4kVAs R8MzOfi4RVSR6+QUIX/BYcsiV7w4FDpZKRYHC3BTCWfo4W93SUSUBCB2rewX0/Lh4kGZuM6xOfBF vOqouRx+2/ZhiFFvYUR0VRg8R7E2HpGmGH9TCOJ38qJsh5ocDoKXy7bnZp6xq1pzpz5ER9eh5y8U K/7A/u6t0A2/leDeuvRkOKlbG2Q3j4c89ELACEi/c+H42VaTpnxVLMPQ91FWV54R10sL5NLv4pKa 7QFIOkkSnsOBWhaDFUtoqE+KmIFibWSjjBMxBTC8rNjFeQn3lpQdBGTEuJBT2nrMqdCOYmloA8Jk nnYGkDjPvUWgOSOLd7/gtjYAxi7vjoinawe0XwUAmb9ZjJ1GjXiaZmH+oMX+X6SWrqghCJqrL05s gPuhGTnNNy/B+3Iot/tdMc2h/ixdyntHS9caSrMgkLuvzGZFKSW7gkSwM/7mRzAOcZ4y0frugmu7 7b86HC8KL5E0TDO3GcseOyEcyHd6DWDbGp6lqh4WBBjmvlxce+EwfvvI03STbwP4jKk792SMh4qx wvQtjGlRrlIhbjxaKJUu5+bXuSbSrDQPc/evgP2UNk06L/s6Zgp7knzz/46CI2imLOP0Vtf9zyuT 2UjtTRanmpwzDddUj2RT61aD6BwWb70S9VdK6ZCUDnVrvesniJgH7PqkthsrrJyp9Y8th010IaUP nWuiPo+vX+URzgLnMkXfQGzxFOOSsgeg3s5GVTtV/0LBglmylqP2eWfeIALEkVIldlqB9+COMiSR Ti2eq7aEUX7rrevdKWhAcb4HeCfYLxk8NFJNSZRJTrEfGxn5RRH/3vkRbGLbHK9MLfjEK4SQ1xHo 53HN9Braq5ps60w/A9RaZN4LDYxEcbPpXYmCC4TmyKtrKzKVd0wEmnQ1kULR7gb+js6C0feMEj/t muuFRZQPgP9jszyPB2SFNMIpPFKd+qXG3lswb7cqsVxvjPmjK/eMQtF+1hLrnvCMps07p43xF1/k e99oMn4v1CTlJjHIE0UYAWsq1UTtj4XKgwo8t3QXNV09pHn3X85nyt0LHRbP1lh8RvvHt10U6e4u tuPwrIA02gKck3xgW7855hIUKUepDDNz4tnUHON6UkPaC9YIWDYC9HKCloKT2Rf6QVUzyJsGWqJ1 AEfENh6z0pIHzFUqa3DEtlADblISust46BD9yLFBrur7tVncPfC21d8NqcJ1yoM3wBwSQ24o9V+u YJ1rRQ3Dc4jnYGiA3YrPn2wRu/JE63ePXU8O3P/cKRGV3w4gg10bFag4c4KkrcjMAiNxTG7mnWWj vzMIvA9KtviC79PknT3O5l1uaxP+poH6aOU+gD/1Bhl+Q+DjM9m3i393Y1tScw5Nv8C5DZxAOoFS CzVgoPzmrehM1jTq8b89J3sQnrp0vp1v9oIRRj216rDUmxSyWs7fU+G6hPg/oxb0E1/0OMjW0Z4U x3wBJqomBV0QDp+uhBoeWuUKU/UFonjOqwIQOV9vyO9eBwUmOAN77TAx/sbu4ZxXI5wXIrZNyBpB O8kNL02/Agcc8CHfyj5mRTlSlpMm3oa79dThGLIGghO2EyubtFw9CJAYQuj6L599I5R//SlEPJ/A 5gYMH1Wn82rj0fzW+fzVxItKZJy3ZJRDDFa3sCYqy31VruScawy47wvKMiNlNfbqcGI9qvAb3izR A4X77URjVVeF4jp1zAXnhEg+ehYhhg3BszLsSLYtFhmr12BOrkFa3XKZ+9CexzDJWR0gsCigmnaN H5q2OSnEFI85YIbLHu6absKNuWYFtMCUZ8nSqNOXR61t1LOjhHf0bxhriXrhcKo+CqNpOrzW/JEg UL6MLTGAZBi8NThohnMmKVK1h2Qdg8/xjilfRzcorQxU3qOewrAVJ4/cxezVm22mbndjTCxi6gUJ LAYGlYaJVdJ1U0NX89Al40ujY0iJdMgTJG3zd3PbaiX+hvohKNIL4B/yYZ6bPNeyLmAOd8ahCXHN 770brEcCYfeX7oO6TZ2qixqeDppWqso89+VlUgZXtiYREicRMdF4NTuDJbyh5AcxMzTt85N9VE7B XGc9oURDlFhfrHnydKhLBGSI9N6WRsedw9lnQCcAUa3rRwhRePsU26VqLqt6KjRfULH48d1wGS8Q srgMJ73zq+H8iSpRXeyvcQIuessrXBm4CCnHlATwZ+kMkdNwU0BfkqQ6Uxz901omV0JXVvdIJ036 vZ6N/xcEIZcHkGFiWwliMDUOqNn87Wt6JPbOyTAaVT8Htzo2qYH4cP1xZcbST8jjdLgETu9DBSkf rLBgqBykQBL1LXlu8GRA19Mu26pKGCmtfSrpZaMv+XQ7gCwDf2CV5G3p6eLjG0CvebE0p+BQM55u 6B68J2LSBhsJxqu5AV7F2A/Ep/VaOUpxI1LXoqYQQAsmqaJTQCpRUsKhjAW0VHtfgG+m+rxEAJe0 VX1zLE7zlzV8PkH5h9ALxu87dKkorzRYcx/lvOm2S5o+NhG/SfDc9xQ4Z3WJl4iYMSpab2fxF4R6 DXfVXdx3/xZUDJzkGgUxZegFpxmFqgHbV7fx9e0DPuKaKoYlf5bfvvlX8i1YxpgjTMPHbLWuAEVY 4zrESYO0E+6roL4wiTied9evnzEbuL8gIftYpcU5iN1rUwU7O1OU2OKleEl9NNx/03w9r+8mMeKv DHZ2xEh5Ps5gAPA7O+OPy8qmQxFhHWsUPYCUSlswDbuu1eWz8DdgfMyq1X1MV1VXhluwYXafiFJa wyl83Dz+8qOvJ9XJM2EFgCKMTzs/RDjD7rhmd1xnx4UdNDOSagFBw5/bUl1iqlTaIZ1ACClUkHhr sS6rKJIxvNHy9eSORH9KvOS9yL0AqM0ync54vOJKL3+taDWiopc62mBSVuK5134uYxTES2jnMlTq m/Xd3g6AbMouE3enVTr/r9TScwz9kytwjxW9dXx/DDmzAAVCLG+4DYZNrswcSIq5uCMmCC27DdII 99y0BJUYs2kDBoqWCeRYBJ+E/MhEZ3qzrCywiocWNswQguhUNncf//e9upTq3coLfEHCQpNN9aG6 070m2LOVJlTwOV+9rDT/NjamcI5JN/Y3DFqgqUgkEPxJ0nz3SxloJYGSA+QYrwY8LyOR12CXrX68 CmoPgnor9Ll93e+0zGNr3zAQhbTQaKZa/q+WqcA2x5ph2KVv6d/XzV8UBUg6p3m8zbtSGYfiutKu cZJk0TRJXoxlkD1+K63zlU/mNCbYfZQt/6tu6dyGMt+4HAQ+VZTQKNJ0MPLCp9IMVZWiX0bC6vW7 zEvv7fUKE5OzPfBxQ+YQu1aEFmfmOGMZbW7aWv2wOv297tVIR8Dd3YmRW9XqPk4PTpfvF4JSpNyn bWPCPMkqI1NfGWBiaVKtxUvjav5ZsgWAiv0LoX+5XR5g+ndvIpsfqjq5oS75+39yv/pGqxb45K41 QkC7X6u+1kAJeitgmgKNFkHvD80clS5u8LliuJgRDatXMKQ93xpVfku+R0AMClZ8mZzFmBvSdphd 5x2SufQqRPGvoubmuv2nyFYjZQqLkTAUFcXTBZw5lPfpsOd/02DTG6G/AWbe+JjQeGYKla2KNXPu bybWd07o/oLkpInqyZpGa1yXODp0tFv8nZfw0QamWAMyzj8fQcpFjazuyvBjQeGUQlVGr7VqKnue Ze9IEtVi3t1VRh/k6/7qWf/ynvN7VlJ+gv4Kg+LlHpLErzVIRhLpkytq5fOnXbbs4c6NNKvqNq7d ySfIuXuzzqrB/Gdzu4N+nivhHwCtEtzHqq6nCuSGr64uF9+diQRTFt9AZvT08DS1QWowWukuQuzw 9qqS/4uArNyIIAdgKLxYWoPYlDGc56Wcp4CKcyl/9tQC24KLwp3oWyhHhM9yfT4xUr4T+JSmS1p2 C7ghUOwug+Z9GUprRQS2Jw+fXWm1+mBqQn8Elwx12PucDwOdBDELbZSG9P80i8LzoyM0vtoDvnjG vmd1ZeZKWxcewFNydxr2YCjscm8nDtTdEwEqox+xktNHIp0jtKuC/x6ok5Eq+8yOg9g2n4iHHG+v BnKqiECzDVPxQd8M6LDOLtOgwpiQut65HwT+8l8h2kVHLVWvqe74KQWNKpQLTTx1iVvnxpaxK/0w 5bzz5LSgkun+aaT2vJir6LIP/AbA4Tvhb3ZVawIeTBQb5kYNHjXE87C1flMnfFLk6V/27X4or8l6 0eVYByTorFbZ09YqJKfda9YyudWBmN9DhHlDuduB2I7MRXj5K/BrlBxzjNnvcXIHt7c8cQsJQbOj 2X9nhP0DTDij+XmhxMhh2vXaVFYyHd1ftv3PW28KA6JpdPLilz7RBEiGmoLNvoY6Km9FeZpmUuiC BBshE6dIkq2MLoNrHsPcIJCD3gUk7gUVLQEyLWVngNzORAXK+m4e4s95I2TYsgaktkkmIwtd1HTo 5kIzyUZx/YHvq4qpNKEGakmGrxj8qciLBtu2R1GdqHKGLAZp8VxRKegKsuWBoMR8Pp1cnNvGdTXZ 4e1zRlT8/cWSxWk5mgOJNa3J8yedxGxV7vU8ZbJ9tjCiYhIeJGYsc2uE5UkOFgTtvFfG+62MNCZa ouRv3QcEc3Z+QS0h/DDp+ZYSUMCUntJ+4oomu9xZcq0bem+V48urXa/XSVTeuF2epIPPYPulXDEk YIuThgiqLLVOlFYD/YcLLU6rJdrW1RzszrCX75wlZZm0JeOr+vlpgEjG8DQRkZCMyeW/8w2ngd2l fBmLBYX8vSPVbi5WwHtmR2FQBOuG/7AXEya9q/hr3nnWvpLnAo4VSxz4cbOHGvB9S0C+h/MVyIqU wWzKQA81LctPmdekFaTkSfvYZNAhpn0xssZvnlvrQEmON53Q94uNCZQ9UqcdfS1yF1ZXY5bw7teA rtT1Z2TK5pafTKkvbyDFIcbkxNv0dXb5GVxO4j4xc9AxhCXIAkknhmeeRERUGuPXZVU9BJ6r/r5H N/SVRp3KQgd4MhvZ3/6ObtiZhmVvOxxGYoDTIdubCEVmvFJizW/2n9Xnzr0/IagJkSo1BTxn8Zbx 4ILdBa2/2RCYSDpzq8xJUCv5K3j3R7m+p5Rjhd5Q0Vc1QxE+qjb2adTIMVr8/pBgYbMHDrY/E2z2 C6i360raDL76V4xx2dvdzE+buP+J7837md+++WeeghBv2ppZuVj1hEfBAFPf70bE8H4PTdKZZAfJ XGFtKw8VrHL6iLCci+ws5Vf7TZSElF9Sgo2g+IbTZZciZkKnJq4W88Q6QCHu0Wb3rRHuqGAN0vGz lAk6w3On2AKa65QNDNxfrTS0ITRbqPRcAA6tYEG6vh/SykctXCE42SaWzF6nbgYNLEsrreH+95ZV bE6aJnCome/2yhL+E7luo4s5Aos5b4/FCPsh2LcOBYCjFGGFaNtaU5BJRfXWkIJLTiz5nSm1OEPI wtSEzmVqCmossXhCoGP5S52Z3mhQWkxPKbcZXZGRLknbpUuzFGNYp0QRc1xr1CUtedDzgS8+6MQe 0iMYS1tKXOlrB7Lc+0MLK1fLMplceNx+1lvLTB5cOpwPm/zRJBPovr9a9iNJwvDMg6tkjun9CbJR y5hVFgEP7mqzIullqCYltQpo2/xIp7U4VTEdiHTct9VavbnZyFXVGLdnG9zkzJTCH2SHNcxVSWpK G5YYCFm2JvZgM0ApMO6PxuT2t0jN11xLfMRDqdi1nEIKjEtE/CC9udythtNnDaMBjgNF7uPdfFYM E7D8KzZsGfxkpl59mMnV5xQH3Mb4fSGqGP5wvM5gV0hFG/RyUxVmK3fV332WOJZ1u7YvDG6MDv97 rnerKR6HA7/2oOdIbfE0OltF6Jtc9z1RLulYxr5vOdLiuvm3Aq7Edrmoaiuzrhk0sM0iSq9iWPYB AdPOMxGmnxRMxxPWR5BcoUikwEbFmkCM9iLAH7BQv9P6NGEExeR3H3OSZ9X4lj6jf4qgsDCz/rQe eYDKxG6+Lzh0+ertr8kcM7SDotBV2t+pGbHEgcR/5B83xOM5POsPZFbOwJBXwTxcL7LDiANe9A5+ ScDHDbJMXWHTC0W27GGEhDrOfdwKeRp47dE6r9CsZCw1iA/iI3TJXufFqFv/hVhtgfThGT32NZUU c7fZxOR2GeP5X7lRAgivEIP4xia++Tur7Nt+YIgiQG9lAcLF+eerl5nHhH0rkj4AXFpt67Tha/ka KPZX2sQDPSOba16FtCTZXDVR39tWyOubHXT0VHqrrgSuTHtniz2S0O+Vv96W2juDY3Ix5XjWba1R i7/pVkZ68l5QVqRIZY8NT8cBhorGxKq+aO300AhqUGP82Vs8yPmNyUp83JzMRzxspBZHM9KFwxmK W8ytau4IkQ7Q++BzwwNFeMvpipexZ9xXxoaYovhUgmCHKeFVdeLLvR3OMziwl4nQQ0A9LnUBpVan y7uNhKnc79N/9AQfCfCkEmL6yKdn9WhxKT7V9oNFjkcSLjhoKFRcjZK2lIvlbHTVnrY/Qf6ixxXE FIAykr4LCoOwF0XXfw7sQP1sYCvfOikj0VWZUgcbCeccMynjx+TRg6cVzqfChkNn/qrpoyZhN7rC hBHCS2cohur9cagW717HXVfhX3V/QZWoPP/SBiW6S26hvNhQkopJoY5SHuzkD/NAgyOhMB0pUgWI TuzZn6rwRqnIjbF7cGqYNuydxCjsWeQjI8jb4qzqvk078x1/9PhUswDDX97fqgDeJaJRy7FO8fA3 QOp8sebCQD315Hr3yiHQEm1dfP3epmNP2XyeYH9bUCYSRkIPrkvd/BfZUUTkZ8i/ie/l1iGhtw/l vVGbMZW9C3RV7a7UFgmnoSOWVO/DH/Ml88Se8fr5IELAsJWhB4WdgT7U2l2X5SRrU7dHfTTZlOYr jGemMivrjVDf1meb3Y3wESlQWau1AARhdEoRmGSQu21cS5yyqDi/gcAZHaxBWgrLxYGekRAPDB/7 bh5joDNc57DItBS01hp8cucQvJb6tYW1v7hRyYXNLypugRah/TluCArt3A4xL6Nt8L8pb9t3oeic oUrMBC2AFHYxvpeWj9vqmT6MbTyb0LHgVZJzN8Cl/Xa+ydUNVBVscoGtBnPDWHanTzI/UtHUMUZ6 mp5UmW0JrqHn7ZMXm0+0p+gnH5JT+65RWpFOQr0o55WZXb3Q0MItViZ2HpZvvoctUpGuslej+LwN FWtCYboNFe13zAqq/XpcBo9EBpdyUUJP+rWEIpBP90lq/uW0EsiVvCvEGhd/Aw0t2AF5QN6B8G5z X0R0dAxJBCC3rIoLZGACfEj+42gn3ihznEe+NcE5RI1Wyd7vouifyo70ntGnFMoPgY2r/Y+AH1q0 JKUrdUmyiRb6ss16TMQXx8pUyqE6hsRbuEyCuDBEMYmJTTVBi7povdRnhwsM4Pl1QKEwB4SwnCbn rEBafT2iiSv49U/PCQPGga6f6kQj3BsjdjTqts/9DbPhdd/ghYo8D4tvxtTD+UWvvKYjMteUyXzp fnwWN7MXxBxVWal5zGnnpZduNMIX/EsgR4yZcntACDHqLvpMngqpomCcHU5qCrI3IFTZSig9Z4TQ Tkmenl4dxfhZs8QG/12ax181wauV9b3FpAbepErlT5/qz5ajwTbuajZLaCDBU+AkKv+14JRKZPm7 NtvFg3fnCeGPDNbqo0EBxQQlFe0FDCXb7ZPckI/Thhn2uxuEgzcTb33cQFmcB8wyQ674lojGb2yt TPYQyJOQKRhstFps6TlMpYPu389UInioTRAUYZ8SpTv3G7kTTqJRBKxC8TA6E2hpgf6j9tByirLc DK74UoNif+4S5ZWq6ebwkweIR9lmX/CzX57rkj9d43UsoQSFZ1zTQx9SdbtqmWeOoAu4KV2BAdrL 5JmEEEVvg0fwvjUSBCvMa/gUHIUDEHKIUgi2mPIF9iOtItQ3DgRt6WTZ8naWk2jAGSu+i/1Fo7zs rCJhEd7KIAHse2vLIADw2rWYgj6zMEO1j8BNdgNBOJtUk0jNjLgmeHQn1HmhHzm3l/jvLxeLOfjf PSE/vRwUA0BlpOJ/5zDFxSeChZShvDsFHY8CluOojpSddd7rwrHXVzjEQQB7OFC4LfhvAfFyyifP ZG69kTt14LBN4zWBiM3mDLa3SXkpiPi7lw2JJUwN5087Moq2Y0glNraYNRwlhv4l3y6L9JJwK6K+ ZD09SK6hBF4XtjpSucpdwWATejHy3ejfqhH0QvfeYbT7P5s9BN5c/tUuFKyuhti9ctPNXq75iSxH 4dUDGgwBr/cNIwP/DPvI9LJkA6+C6OeuLJH1Sc1AEKM0yalvOiOk8cFZtDbhl6Wna8YurfXxs7IT d8w3gfCYPcIaWoWfOVY6Zaont9LO085gQRjIhK/XfSJBbGx7+54v6bGE/1kMc+JxDMP77lKmgvOe MrZSuAXLt+Aot72JO5yoBJcxQ2yaQPnjrP9nigq5Sk1/bqD+AJCLyEmGDtCGWhXoEgwtCwGGrBDF 4GG0TmNzYuQQZ1h/a27eDInIln+b+WBFxvmp1EuGZ6eAHAkgUhr9nuj9K6PFiAhd6H/8rI+R+F1L Dm31ltr+Na5P7vHFGQXL0whlZlerlszwIZX+u62CD9HnAdMZk2ZazpmAF7Q5WO+ov+RxKAVuEhw1 63eNVAGNLOJjWC9l8MCPyi8GHwg3LPLw2jhPVd2L+Y3Ziw6FnO5QGXotaITbzBZf+uLiM7BZs753 RlCdDYN5XrOvhUgCig0sHnCMSFG/wCQnUubeJJMioenZ2zuwOGcFXLTBrh0BXh+FE3mvQ1ceIium N1dzLa7nDJ3uBQP4KY7OzL1+blZSe0UGHOzTz5LbJOjgeCthyNbNppoNf+ipmAU6Jrp2gaBLSFMa 8nfoOHykxKMjX2vZHfM507u9m66hZ6T3BnGZHn72FqQ3GhXeD1pZ+/a68JdAWiJjdlpu+EG7DuEu uh2jZcrbfcDT1u2bYMh4FfzGzvrqplEOy1R2BOUQqRUWl/LZDXcDqD3v+CtajNGt7xDeiThs+32g DUCu9eB4cZhERu3CMp0g6srKkXmvhUqHcDke6h++CZ1J0q+RZ53dahK1ZB0m5SX5n8CUlPJxNXeW HvIWMbAb8va6nEsUkqEQKwU158WaVrUI9vOcPPBUU9kM5M371kBDA5GayfxiMCbrgrhLdsWthQ/9 wuwGnMHTCl+TwH/GmSz7Z7txzd5UtSjbl+mwPJrEdzMXFwI38/BFG1b9vZaUgsbr8V3/poXg1ndU z/tTvoYMuWnDLAfA96sa5xIJTHE6dD7xx2fBif9xHdNsKrlFbBpfeRj5+V84BIqtSclcnabl46zx 89cfP5xvqAcXxx7Wfza8c/NQqW8UzoV/BaG1YtJhOHsbjz2HAhYgJSt0tQzeqBtYHiLanRSbbUDw 13hLs85xK9119EIWWQArbyvcNKvyNS2dm1/tWjbkhQ/MeQ5U2UevqVvhDNlAgYxe9K5F6FsWXmpY aBaL0lBGprr6Au4jGvA40TsCO6HtF3USSAUoDZqf2qCIZ+ggzsTIGUSWaXECDQSQ8G4pSDWLI24Z Pehs1wNTwXj2OHM9OXLrwWCKoPLBaTtSTL1V4sooQa2/yXAonuYtnDP+lm0ThBh/q1+EFGLkEpGm IDKQ1/GIS0lEdqSsbQqcIv0SyC5Zp6kyksrDBXnecUZK2LqzygxVtQ5mc5JRWy3eICkXfwSe5/2X GTVh+I5AB3kkjIPUw/qBCBeRZd8swzcQUhrTJpc/jF2oDd6DcgccK03Q0tCWjBGOsJ2D9SrbDu3p TqWzsUcWzbyd5dgNWlC4R4iNct03WKh5ECpGCULV0m8jeBjMlKQsnXnz+boHcPNQ9R3J4TdjzL4j ULiKpaGv4S8HVT9tVvtowLswMH7O+DCW/xK8++dWfomSiZituU2QIZPvQGphrM3Aq3CsVouRMezG XQU84pWZEile9MRH0aGOLyIc7B/1W9xamhNeuZZSSVGM9R9tvBc9wAXoESU/lf1olJvo+DWbxYt2 iZA+Lnukhmo3ELSyrN3svNyEwIBAUA3bdkGCC68mePmn2/t2FloN4sWlC8XbHgYDQ5AYzvkH1FFR FFg0OoQfaZBRes5h2/JjML0E47qmuBTVzpPAU0s9HNXqA3RiuDdygEjTGQIn6jWRoc0MiYRzuWdR h8gG94cLFaQYy1IgMgBMYPYgUeSXe0sRMCyMy6zhsjNF0rBsBIpfrSUFDsszqkUlQrUrLP+4vZ0m 5R5lQYh76jW5LE2PZVHx/3Z9eZDbXalAP2i5DKjyY5ZngpS736Db6ULcoaq02AXa1K9M1T9Wq58B XQgTJMEtQ48Rgq7FEfiKTVDSB863Kf8VQAR+YvCtCvSjHi0FYxtmJ3coWBo7NXo2X70MQO5Eevck /LWL/ET5Ok6hfsIaWCUrjmD7xcwh96cp5bnMo+S2iq9hG2JNEf5eV8+fn2NTl38j0LEGDMVpBJYn WLiCb5u9Vi258ykaRjtJNoP59AFkXojwRaY6xXzpaqCUvPvrSl8yTKVMKUhbtV9w/dPRoN3XBP1G JZt7yVyURHEqbTJ6/Xh+uDNct5HoRVUQLJCXfoL+RoUtL4Lz/72FaXWo9PWVy4rOqJfczjOc1n0m JOO45on/sBT87KSTqR6FbTo5b2VxM2Z5nv0OtG/BRDqrobbaP/eiGFhcGiygGfTUPD8VSQ+WZMsD yvGX4MLBGqxpwa36hGT8Nv71Zh7QG7/1D/kBbLXF0JcAxCr8g4McVuBw1CaZ4KzLM3o4Yxxg3oAx 79GrGZTExxVpNuBZhVjadRP7D8U2b1BNQV35ioqZ8vlRL5P3qQVRo+BL6LVGrj/ekUtQLZ8Gnkju xUqiLI1ZBEGpiRLoGWibuBqYSgaLTPtgL6ysmKC0MvqfSEzwV0NZKZ0suzzIuAkhngliQ6WRzKom 589pgej97x2iWRw9zOi7BXeRgm9mqf3BJtmD0b/J8wVDjhpaduMtcbkjai+7WGjZf81m+EJNiYkG tI8hazJBT+FLOf/hF0EWK6n3wyV/gvWdZOrTcuO+LDm8LrzB0PCwPSLeRB0jB+veInOxbnPSsduC Bj4hXIGRh9PTNA+kYUqortdeF1LXKLdFKMh5j1TlGwdPhdgkvArzIE+NGrhrw7LhLalx1fg7EXxK V7Q5MNSHrZh8WV8tCqeAsBzfxB76tG9OVAo6PZWUlq7sR4YcEoX29qZC4M0Wf6Qneu4nnwHJM1UR b/iwYl+HKBWE0eM9YtTxHOqa2sHZv2v3wu+0HuChX4sSZ3dXWFiW7OcJFNgomX5zuNaX2urMdMyI sOhCx3+WONZiPI91s6812NLAYRuvTcPswHw9Zd83JdlOSoZtTyUYm6LEeDOQHDXbMJnMQg+Ehpq6 yOmm+kSBp+R+SpwYbohpNtFVcfAIhdqLwebvfcm0AmmzYCbEu0UPWaZa06XcZCitvmELfCw54YJw o39M0Nn5N21jcN3cHAktl9DRfNhXpXlGNPnbTYyZ0mUz1PXdzB3JNmBDJWV7CMy9wwAbqeQ7krkx dnylBiWlhybFd4ORQWVc6PolKOMdPkuY+46FUnTcDX+VN4kYmU9fGkn8Gso9iDCCoIbLKKjPCVEu uWwoLzK2G0gyoLadkyZnO2AvslwBSLwicPy5COPXuDSW8Sebp60KogeDZhQgxM/jvcPhUreN9KRx IZtyJXIi5Lvm+5S/noXv44Ms+1aPdS7OwVlNxxjTDVsrMHPDg15LO/zds0dos1S+dYgiRPkRECNT 0iYzaACvyuWKvPEsyX6BLFwPo8ZMnj0V0L5f/dHTr8GN5Vi3zb5iPCCQO5y9wJMnPITnX2VLxtT4 S3GS6k+A3AEcuZ9JI3CfLjx5XXsVpOuZN2TgXFEGk7c77R0CVGtIMMp1GiYoXK52Xi7ZyxG7/QeZ LY0vfIP/epT42xTyOzwEz0jPMnb8sBPEVC257sePni3GUYORMPDgv97QVY8S1mpgjWrao1XUzA/4 qanPyqh7wLzvS8694ec6YxfMrqcxSG2rTtEGqCE2XE/pzX8lDZJWJ+b5BktVTVs8Jaa/RoqusaT1 1T0q+cGKnI8NWICmNYPjt5U0v9k1s/wq/ps2RG34jRJwhxIf7PGHylkBWccr0NBlMppsclyNXreJ mlO3ZfVY5O3vEL9kEFlt4y3LqogNuYceKaQsvNxeEyoR0wHC+y+E4qzcuQtnAxYrG5BMEHW9BTS0 z7m+bgXHclotRmqK4YuBFrJ9zVVDgMuWowkVP96UXzOnzNE3t0pDHLy+K+R22erUd9VnB2C//XVi WmAMveq+hzlCRB8g1U9K7Qx13csnv40pmMQRsga/SCkmIFsacGC37WsPkE7rGcpsnMg4RtsHYMMd 4mYTDPiWlAjP1pi51Gy83d7PLLwi7ryXSfRdqTNOfXDvFxhBXDJ3HAQF85sSiA9shWwg9QgMggfU j0IOe8uWUMM+mzUb7A91vJWzq1zge2ta3hL45dSjczwbGThRi7eQKlACRnQ9rVtb8FjUIleCJKGy Vn02Eed8lw2zEPT7u8DNmoDIztvdn/Z+W/gIira23qqG/O0QBoC/ktufAcZnH+sJF+l1vMY26+kI 7gyXTPrsPHmsXVbxmlB4nDtrWYuEkg7+gPioPfW2h6PreENDO+NmzkPuHqq+96rWseYxOJW0BleF Ub3JhLGBOVfhESqtFoLuV38kxavYpimyba6R9n0hPhO6x7tNfyJsUdYjdAb47SH/QYIy/4Pw5shp c0QY+r0beI6ptkNUIIkpEkG+aKOmgehM30qL5wB85HU/p/H3097HGUnBEzaD8CuF2Yu1HXX14kED HodaPNyWOAbYiGDkvuCQ+YlGzcoJyNXoR0rLfnCqnz2/MlNbHL2oEin0B+XrAK7jHUVetDjI5Rv0 AXyvbDs09FWSW9QuQd6UdQMRA0u7UjKiR8hpeF60VRqLTIInH+dt0FrJXx5UVoRXazgbaL+ePqC6 ABpermH6O9f9cZjGRbVFD0VwTPQvyuYHAtBIuoMZ4VzSWAoUSGQzP1TTgaY1Du3vuzkBn3UPmXZ9 f2puiJbs2SUhLVojTxtKhYYutpTPwG34DdtBwhSeom+bAVhRRHk3Feu15hLvJ/h9eVXJxRcsjfCF ho+b9IheT2H0OGEbsLgSk3/0AnmKxOoboqSuTjZ51Zrpn2UuZaUOIlqgFvlY/Iwdfq5tgs9C6BYH XYaEKp+qGzAmB0fxGB4LcL7NNr+YzbQbM1MHy74JLzLhrY5ZHKl7XOSmLBZzG9o+KTDVw05ZBOFI ZxT5JOapReoHk2lH/8V/srkbqfkCxxWTZ4J1ShnlSQUhrblxACY7pTJhEtaOtLG1AMUb4YxiqzV4 nAg/IDt6SiT6zAsqi+T+ImqN55oYxSvcagobrCOKuS45c5mSBSUXIsmMg8y+IkKtoKJWtHhOsTaY zyjcQ2XJh+GDs7WST8QQ/5yKEAm6240QCDqiCZikr+rAoP2f+9HA1mXyOlIEUG/QPCKPdGON8bYq QRn2UvJo4oZJ9oGdqVOcxsA3AxiAiqRny2sDc1rjypMq17uXJduM1CQ7B85/URehSEJ+bocc+20y cAnvh09EXeTAlM9ky8ESl7RQ2OhDpxHgRZmlYi5OHYc7q/egYrUX6cRnbsM4Z8kY6yiEgRxoD45n Aaz23DAMNKGfBfeVi7OV8wIG62M7gMHN8mPjdUsNk+eCtJscr2n0uvmNmiduOoOhxWuR6uhVqEA3 QmXtX+O68o0JV/NzFBOnqcbsb/3HwJFW3yOIQLNOsw86K0J8N4UkSS/FYPkL0/XVwobEEr8QAyb3 qAXYIwPUAK0POhFOMPGezyzrGrix+gT65Pm7kOnL8axJdZwpetumBxd7bH1ctbvfrhEqugV1JK1V xq+R7laocTD9T+RunYY2ODahXNFludugd1M8EHsVIDf6MsXdQGuUcrrHfIzOms/boGsIxESRO34w ecNJpqBa+dKzgveS2d860gsBWxKdB6SqcemaIKHDWg+kEux0/zoYrpcKd/WV4vdMUoRlOjxPZ76J 93t8HktVApq5H2ZgNBJ+CMPU+LgsNRXZMSqbtj9Y+FaHSzPahvhaHTkxpT5w4YfD85FwfEik/w8j NH7tWZXz15H1amLkZMsuHPNK8Io0BcF61iA1VQBi1tOzx7lDhhVPcIZnR8jaK3sQmaqPOrgTV/7Y fQQEiZeQaXAvatLudOEEL4LH5zKUvIZTsfw7DYRaCII768ispF+y7lntYQME0rYjPc/o7O+8coU3 bxtKjGeJMaJe1lCckB27uYXepET1V455MMEE8al7+FQ+IVXTPfPRclQai6aWDKRuO6FbEYjlWzKy 5+wbCQX1PaCk5Kx1NB8OLUuaI62EEjA2jI5vRa+W44mvdzuNcvHp3DEQUBQx0+S8xb6ihuD3M8mZ Vj/4yNoZvRPyf5O5S4/dgXZfBEl2NEGLonh2IhmfCFb4AGaXpKI2pTQ5k+FCKnY0w8dTpftr11SA 42p/J26pIUGuFW8oG2jWH2qj7Qeb8lrDcyE8DOoHfMmAyJuhuobC2Sqcmn7HubXZDCAnlRdwjnhI 0mJgAsRkrgSz18sJGWVKPVYXdwdOmQQxPV2sbUpIxbi2TLhdOEr9V6Pia9g3AesBO73GIqLAnZp+ qUhhpdHVCrFu0M9HEbHxaELysawL8m6XdIJcWSfdgNrIFxlYM6V/x5zTqF9EL3KcyQquK9Elm0Wd ofWDJunLWQ/55TDkASp617dN96BbGD8dEA3qYRFutdO1hxg0UjxdjlNAI4xjRxzTtQxwDWAchem1 dR77QNMWtmQBSZ7Let5zCRSfzl2x5GEEXCcepFONXSELS3EF0YtFpohyztrwK0DC50iYZ7/Y6N2g DHNskNFZnXOsfdgRHIRkhfx1AbpCWgoQfiOJc20BU99MXt6jZrlSyyxCWKUOmW9cxEzpaxsmv1Fx CIy326h6ooW9wN0EjXPthyllPA8sXausmrRLHW/IWEJGD2oKTYzWq23pLwH6EjsStMx7Y3g5J/uS YrTaL1IpkyPp1XeZp/nm3H3YYWfBlk5K+hJgFN0+E1fCsJdladfnePHhxqw6Aoq0M6EkS3p6qbZt vZurKBwfx5ZYA79hXlz8gNKeN2kJL9PkODNswsxxA4n1NjHUjpzlJS5I2vt2Qsb2GAuQ3ai/hWAj VVCp4BBnBBFFHTeWFlhEqOAKcgpzEX17N9S/6nI0gUkT21g+QregOLwFMG72dSM6fUEcT9PwWRxX dzE1EHko4X6DGPLogH7pmv28s+yHN4tLI+W83jaOD4H6bBQq/ONKhpAAZ1wxL+jlFIiQgYeZlF/8 EdERA6Rv1ZHm4C8TmPN0Td9QMHrBZyQzixPi6AehRyTW3gtZ2Zvdgl6QE6E4kiMLDAbKgEQA4niG BQqbxcLkCutvGDbbjTgUdyj83w9NpG83xygLgkOCjgPwb0qh3odA/OSwdLOoG/YaafIRXNbkxG0K S8gWxZqoiFZQJ4YghFHxGe9fwwjzM6N7REqxzaNPl/uVUKGwpy1abA4i/xDehpkgMWW/Z2Y3yP6a QkYal/BvXQQ8uduEtkTEAubX1EhJwgxUjKOQVy7r7paZSDmuJRCm9j4+eprRtof/WMbUgvMoBCpR lWPMR2tYqgTGhWnvSmXg9zw7BMHZM3hKSRu0zlZEYYewVICMaWTUBI4= `protect end_protected
gpl-2.0
4bec3f897241266a0946c4c51e868f9b
0.947719
1.824379
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_log/flt_log_L_block.vhd
3
25,108
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block TesOEhveIGXmLY9MB6Nd356LpcutXfRax0YWtsFjcHaBAdMTItbmZYXbhtjUdYTyqU/g/cLu0zrs CjD6kNv14w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block MOKL/vvi6+byokG8VdTkvaq0n0RXaRoGpLhgL3Er9X6ZUQBbcu1UNq492dtEtCzLHhEd7zAE0rcL QMm/nHgVwWvfyr6vbRq6uK4OeGJCsRJ+R8ql+CzN+BQuSR4aKLciKEgW/Lt56XJvpYWV+esSlN0z sQGhs2zQTaR5zVqpEsk= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block q35NfcTJ5Rfa9Nwlen86X4Qu5qPti8pQm1uZCccb3/R1R59dckaqUrP6OHVgzDWJwVmA/jq7/aZ7 ED7w3Na7gQg7AkwP+FocBv1HMBU33Fjwji6pUNb1715H4bIMIObcmZCi4R7NW4sBiNGbYBe32rLx l56QVlTBpZUyh/Qs7R6EEWgsVjCC6zVcqsl8ROs8lTHcUGG+bJMWBzBHx94W4iC8a78sRUCyxy4U LocZbOD85Klu9fRPT4ZQSbLgJ6+z9F/gYO6SXXU4oizDR+D9TT+qT0X2TzsO/U0caX60WI5hsLfv Gapla0a420FvLl81b9pFvO5AzpyCYfT1uszOXQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block r4Rc2odin9JujFSAKz9V5+dxfMS+GZULOeBqUgWDUJ+fWwQmCeWKDrNsUpR2rdWOCaG4D74oiBzw muXiYRkbgyCU4o9sNzDvVTXcUiI2qOV3dB9Zc753JdABcYjxP5+IcpgOYN3XeYJQ6bCuFB94ytJq ILYOpZTYnwtL0xg1gXU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block NavOPafGh+rDhmrBhO9Qq6OgTYiCpjkCMSWXPdlAzEcx5FPUA3vjWT/H3MWKlpBc9Gp8/lKAcBHm FoH/+QXFytsnAw8PTM7Ti0KjV4v0OHCwYkds9ch1QW0EM/ujx+4+eOXHHA+LZ8Rojx74i/V4MpPD AXK/2In9qqSDxsOCv7MSPI1c/LPSWURscvA7NPEeyEPBvykLrQ/FssHCCuI015ac0C1jCRH7dARy qrfl9T/+WDHPYjHW9c3tXrRrV4wdRBqMmLmIXkpwe5Y0hd4ULbfpMwrnzcfoKQ8AVDC+zwzU7DPQ pyLoOtkLZKBqfBKePe0DhaAqYdWVyNykKKICdw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16848) `protect data_block /g7fTg2AyPuZMGv6mvyp6x4+cVcvKAMZmSh0r1UwTefni+MUdlBJ5hWiuIjLoaMdhzt7x5KzTnGZ RP/GP1CCIYtjQNYagbv8g+XakUVlhoL+yNwQQ+b3I2fT/9s/mYBnL5wvSVxO7VnWX2xogJgUY26k PE2ErZAW4ov8LgpAGWEY88fbDYk11ybrFTJ4ch2Ya4IkeOLAz+LXqyUNt/JbP+tBxA3t/sdb6KMC VV6CQK3Lx22o1vxSGtmQ507nPbi0lOYsEXSpBb0ahFg/xi8zGNOUk8HhpQuwIH0bju3k2Ag/beSH ShrNroeZwr0tiIgDkLLL9TtUqPAKLJEvsMAL9RVkmBlwG08hrv412Itwo850NUUltBpuauB5nKYN m+VupoA2QXfYTw/lrTUNs1aev8WOLo1LHhjZWfQQ5XyDRDkOH5o1LIIybmhEbnqGyz17H9YkY65U ZWhRlDAc+UA3tSpBa8y1ZRwCJlEcZZX1K3NQ2rp8bU4b/WedCjobM8Gbq5WQswsnHeWgDGckxFeJ 7iAf4eUbNvPMu6VvWhk0FNMsE8ut0czsTo7G/jchX6JF9yuvnaNe8Il58BAhxrbuIew8KAFWq69A AkoJP1mumlqYuiX56HW5nV5fv6cih2UqHBRDiuKid5PwDylhHXxvIIjdkCz73c1i8w6TzazBqtFW aidogjxDLQB1yREfoSOqvmfH67qW4mD6TvsASCmx+w86Td1c03GztDB2O4ucv3io1+gTonatUSb4 nbN9h3kdDFn/KSCz4CyDwZ93HZYhVgDrZjEmcTGFEdKQASqSgSyTto9ug2d2vux2W064TWvNvtud ORe4GvaMP/vJJvMlsDdaag1xfNS9SCEzA34PWuE3/2cxut68XX0YYzBSGjs89E9ZsIYqtzSo5JdU uHYbmOqoYaGMImNCYLdmu0HpfSmpV9osiS/ALfuWDWbPjAr7wriWcvXKWs0Kwv9XK6IemVgzsIHC Q8O01rYLtrnRrdZHVNfFRi9YOPafQfNDhYzZ8lFJArBAe6gaj8TBV6rrHGAqxwx0+/f67rrZQ7hz HwkM54BSJ9/mB+pFTQL9D/ybSK0/1uLl6Vm0hBU83v1KXgWIaNags+n8VZ64EBcfwkYljvOW8Dye wdoVAi2eylK7jNvqcFsDqwbp03wqE3hHeqxelYAyCtdpTYGUoP4OUWVzq7wVYv2MNPDhXRbXgxHx 2T1FGDsK0xLHNSU+FEgMc7B6+9zvEhqJAH4WU01XJluEh3J0w6iT+M4Aw1LnlvlZtyJy3GaMj3aV EGIR/7Th+FHQSZa4KDjIz5RiDcTfGIzBEPVnlnP6n3M9y9CFgsbQwE5bl+lC82HHBZKyjdjSYkOZ a6fTDhCmQ8S1p6YY5+hoKTgWw6Aho8WoPfkCrXgvjrSPyNWQuijIwEuUpcHmtoB/oqzMs3cG+NjD 9RH6pFm1LARV9Bba2IfvXtwAGtGT0U5P8KSUZJzi4NMgffDt0M+PE+UItDhwSY9SpiG9hQ9Yj8AP gw5oVM8Uk5oUCpBWz3nS6gvJ/iJkU8Ex/prAXcfxNuC3ars2XexnUDXdEg05cTXo9+1U7AL5kA7k PljtRD4uRNqjlhix7EOYp0nC0VvWsGXhloEnmtcPr7sjIuhX25EtNYbl8s36EI23qGO69rgKYgRj yxdQLoOkrEYanCNzQbAR7NlPSYROpwbwh1e9niqoLWzW2LyM6hK/i3+fFAIs3veKLdNRltlxV5O1 RcEHENXVPmLWcl9BdxC2/+mBuTIC7JtD1FkE4frawpDZ9RVMn7srrCVSCRmugAeqvTgUmsTY5ABv w8yqXam9NhK9V8TMmCC1Z/AdrL+X0JgSx/uHTEmfPKx9MxHCcugtbQ/4HPWPSUdL3lrzdaej5wXm BEPX961yGA6AEOz/SXVJSg3X8q1NcPnMljSf40f6ktuQJWB7yrkT5J9rTdj1ijEfzfjKR0/AlLX/ wJASt/EkYeQjJzfFkcYuD7UCtk0h65nX9+bocbhmuwi2FyNtFnwf+zr2FeKfcdb4qPk5qjDgmTWL DfvR6qCEXX+OuXr+4Oi4fdHd6yKMk5yZHj7pgGxBFx5WMQ/Vuv5Me4IDOsrH3rnrLOWt6G/Qwh19 Y3NkZVD2pFNL23fxd2JAQ6kASZ0RqBkl/OmMMGF00wozHPeALS3Y+oPsxZ4atMpjni2Zsf3RF+bK oWQnBVv5YhCfcETn6sjKDqgQkHnLjyu85YasOWnsd6NxXM4a6XNKHtqCN1llOLrpnloMizILtSUb mTqziII5L0uT4swczPglCdzFSF1LSN+c0TRokiMNJgwed1tpUTo2sYj3AKtzddhjDpssLfqVJi/q nO8wpEToSxj/zsb3CRfKBywmm/1KsHoGqCqUte+PMRJ6Mjz9DFyIcqLjgPRqsRicdrh7inNUt8ej lsXj98MWwrIuhcB/0oypRSED9qpwvxExsqQZUhnFSb+AJDPR4DyNInW5kPLV5G9XgYFVGNaW7wzB d3vWlvZykflPXflx9L3WJO0VpGO7WYt/gkwLso0b6qt3Jq1nKfkm00Exz6YYST8eSfLtmXmPu+3O fvTmxQ5XvYImwnqSZLCclMA4yUUGzakmqjT9OkCXIHsCUjkPrZ3bZzabkT1i2O0bt7IdySx/lLMI nbEXUhqQi0ciewlcax7NbV9slmjOfW+0soxx7VFHUAEmtuB4nwsPsbGOp4/gb2SkrAzTOlEnbmKY DATp7w6GurI7jur0hXOETn+2pXra6no5w4YGHSsTQhpKEgl76ysy/heahjMQ6S/pbhpBvDp5QN2l XuFUeD4yV/1PXPsjflLwQn+HTnunRK1evV0Pb2/Vx7ZTHevUfaTXrooJ+V10aRxONvmFg09V62QB KS7eC00RQH+s/zdurd92XQr4ngAL8MPoPdUC1/iFdPT0IqCte0mPYjxVRwT7QA57x2CcEJc3Oh1r kkgXaMNggD1DkZarLsm+BLwwOruT+Her35TA/0A6n/KhFcagaVaWId7iEf6FCfHp2uSCI1WEdzAg 5aThy2ValprM3Ymcf5GIDuy8uRK7W7pwXoz2MgOEgDNyAlUhWIXd9Cgtd3KAwI3mZrM/N+HeiOBd LwlARf5A7s6v4DLeO2BgHrb6Ccu2uwATYXB7cADBYIX0uYRxANdoRNZEuys7c+n0AEyIxQqwOJmu UaX+pSrND0w9THVDcOOo9iH1JV846LeX2upcKBY9rlyZJ1r6Kl442evwOhT7QA0aphZsP6S6fp3u gVr67dZYnE4k8IVLO8L1GhHoa6Jpqwr9+AX5Rtra4N12proRkD387fgfCVkTh0x0EoNACahEvAF1 tgZJHrdkI6hd4wHnKGR+9pI/GU4zCaBK4W5VnvY8eZ0H6cL3Ib1L22EElZCQROtn32vjuQ8bQoYw vCEg4F1Gpo11MBIyFXi7w70N1SoYdrDUUAQshgQAzWjoAXjQ1LLPJZDmwkp9i+I7fwHr0FZf1HMr hVnLnhqtMyJDCjjbOOQep2jzvu/uK2Odmrcq1FLHeuODoNU7gbjbHL3qg7K7VjaWmTpt60WT9tqz fAnIoTgK7aeY6wu3VZwjPllS9sowO8Q7feQv1IPQI6hlJ9CIJmWruVoDhxH5b6K/Ml+4j+vb0C3u GGlTd/RSWdJcREOAK+7vRlo77bqw8FSHkhjyw8Nrb+qKIiQTm2PeueXI/5EZhRvFHZO03LB0BIXf uWHBFMNrZDZevoy1yKsmdmeYOca8mXBwXXoPuHEfwl2XJZqseMkoBLWmdreaXfrPvsFAapkhfduP 5mTHFA9OiGFmfsPkESP5mavltNHj0UTupnnOIfyTBJJ2nWta2cc5NWc7h37aFVMLk6Nljh2O2glS 2I1/8SPjz8WklAoKaYTMGnKYF/xXXsEd5TGRhjQxoEFKuz38rBSitqujeJpCrqdDkhBgDsO3Yd8c adWc2qHRS7ThZf1PYxAEPIR1jnv1oQA3cwboVDxJBSXej8nrM9KVw6oqmJqRglv4pZXbpdSYRGKq rnxcApPSUY6BwUM8UkeBzh1KfHGFdymlb1O0wW/bsQYcdGbwMGTrvmKYouHPpEw7byH7It7hKaq1 QJ5rG8UfmuhVdMj9x0lrM/mxBigr8mLFWHjkMHHlAAY7kecgicRmGb7Ji16/v3YRF487PXXPq+Di dKSAJkuN/e5zMrTBbX8LZ3azq0jQ4n578kJn2ChkJcGoEmqdykxLXxM9A8tPbm/WIe4a96aoH8SK Sl35odRzYY822GxFIqXqwKdluftC9xeNc5TNWu7NSnZaWcIdSUcOQbxaTQCk6Yptb3ry4LfEowzi q6CFm4zwZbIXN+jbswHWpZLgGLLZwD6tlEJ013h2ky86fsiAfAy9yQqu9IjqA/v+mo+AsABMoio0 az+uzmV88JBN9Su5ubbzBAoOmFIiS8zYG22igmD165H9yLmnXu/Y/7izfRfCp9K0zbiRFCcjn1Pa vQG6q9qDj/ZAFNIjZjxG75nOE9l87KwU0tdger/T6v65C8FNjybk3LwXGGSWJrPx9qdgs/bvlBH6 eBGDo4BMCgRhRtpRdZb+42zo3nXqsgffnYaHJ33NRh9j+X4uF6ysAGD5QWdtbUMGy5B5C9Hh2Qhf 7N6bbUXESOabky4puYsLxyqOkvjOpiHxvKIBt9oWOvPoS3BLUePoVXygL4OkxWw4rnpHycJqiZM/ uEBCki1ajhnpNs7qrHveLUxNdRHKIpiqOOFXvmq5PCi+6HQhpfLdtzyWtAyb7AW5/KpI/cO1VbOa F5h5lklmn+iVLqUCNubsFGg+Mx2bUPnWTh8KjpAVc56+WCO0E6Ag/ldkHU/T1PbC2R9HbTvaqfTg +yJ0hXNxJczbDfoK4ySLhWGAY4sqAkz7HG4l00XnwTBbS7qrli1izM3cve7Lu50Ue8UCd8lf3DPk Yr/Awmj2Yn1d1pmG21gWXDwIxdcGRGH2kHPm5vsc2K3W3vXQdurufusOAjvMbIRumQej6oH8AoZy OY5r8xL+ngUps/+h3aPBhy8UX1GEraoQneoc0HHgb9n1lrFv6/By/OccfwZJfxdGzkX7HMg6ljil mPueL8RVeTvRo6zQUmHytEy7QzQJzuSVn9Vr3/tVcdntQrrTr3Meeo9pEMLIqxWjNsHlUZg/cYyC ogpDfhJUs5ENVLOvUVU2g/O6fWa+gpGhRbCECWLIyqX3jznNq9S9HJF8hGiCpX6236uprhehYfW3 B0oU4z9qPwHl8abGZKTtrCx0Rb2Q2mbD8WL3Coz6zcnDWFluOGkKH0fijAMJSllXTZYlVj6MA9gi Vjr8nsmGV+aS88aYvSiAJEugCBsvhRyZzJ5nhePlrcxgxOXYaP8H7GKlmF3a6XdCVhiYH42gvu0D /SRFdIksqRZeShtMwbYBFnVkSOEaUoqH1ZIUVgNXIeG9K+f6rIkf2OCKmc1sECr17rIl11/xqjvc MRvvGw4HfmhDxIAMCGKFaiWCtHxp9/x/3OCebHofJhjLK42b9mJCaPWf2+kjMZ4JxoxSBo2xZAhB i2+ikFXOXCUoYnYyrHoSeFqClPWjCC732FZQEIswhtrVAA+RSbYeacHbaX2F2rtyB8vEy+aBVORm ReRYtmZCrHl8vX8l3E+id+3N/kPDcxlZXZmuoPic/74VB+IWh+S7/d+83FGJ08vlOCL0sfDUw5co FJOUpzSGjfJb7ksLjvArYlJPxyQu0hPD98A31433dhD+ibd70/Lo/oWHb3fmRpe00HGZ8LHBcAs2 FpFdwaww4h/9dkcqhCsA767pF5BmP8VYuqrbLTaph6IECfeTRMCV6W+HwPgftZUnxuKBLWwmKRSr kDLKb/v06H+hMujxh6+9imZDqQDsWcdx00/9ACYPaCR70mIagyPjeIaBiE/XzFpA7uVqrEEca4eq JO0zl7AJ8ucImY8uGqGlo8n8j70wPG7ilAVgo3AtPxqWsUZJ9m4yGc16Rd9ota5l1kIo9ROjR1AK yzdHGyC/T2BDpQr/eQbRIMe0h/GOoREMRBKZ654zwXNTq5JQydCxWD+ehqYwspwzBALQDuDXhajY 95XmtXcz4rLzeFSBLoeZPiis3XYX3ob28xWqVy4IZHrgEWkTGTS8DCMoSdXik/ZyVphcx/JiqBZ8 4ydnSEfv7vKxMYXHIdEbYzvwk3Bktzo12mphuLvHm0vEEiLVifLGVo0WVZVtDy3hUodbFAxpJSA9 32fVT04K9ZnnmC3zZA3kM8sKMik2P1hTz7T5t01wru9ofDb+3bQbHI31jOWV9Gz3uhJLU9XLZCo5 YrIYhGHvlXQqaw2nrXNjy3LLkndpNqGO8WMXG+AcmF47As09LFiSETBt6OYhN84GTfTvTC67fd7F 9G7qfkY+NbDnRqhpd1tHlwwObk1tQ5U+wuZWgk0FoB2EhsCtCb0uWJJDth90qlGgYUCR4/RaEAGq OoEQtGICl80LSjtBM/ggtk5Chl2cvOwsmHSPtPui4X4MYqgy21b4bYHBq+GcTuC1AJYZh4MszJZA vk89fA7gdn88EVQnlcSnQEr+0nyv/0oo1z/csX+s86FBk7l3ypEscvwZr4Tff042AkoJN+wPHFyA qlebb5OqfMvCOm6ScsTC5U9eBs6zDUnQo7zkoepM+TqbsYTs/WONBl+9uaFiU0TF6kB/Ii0Kq8f6 YCCVti7iu1IcLXHOWjNSloPGjWqPAsOOZYicse/l7e0wYz7GWF9ZmcJbVv9GdX2DXrrIt+gg8+fR 9c07FoytxWkUKb/B07AI6hhjmj5V+d1PgCu0EI61Wt0ZrcSqa3t5Uq8SZ3cjMdGMKJEjrQxIiQEk lLkZ60b8R6ltGM2hNcY+xJDSKWEuL16jztn9GVetK4wk5+p6O69+eP9Nit0f1WyUsjB7wmgRz4jB 94OhDsLL/TZ8gns3J/7aIdRYTQvvtpn6DBcsAr0iAHVqFdoPISgvBcPYckVthgElXk/XxcPpkvaQ dnDYnfzN+7Tlg9DRau+iSADGM9K9rx+mUqG6E9EqHOGYO1WQFeLW5UOJuLWJwi+JIPLBwebBXwDq b+yWKG+W4ERIRMVXaRTC8mMsnUuotkXpULOAh/fWCfGZWVQMpWhc6ZvzPrXzJomvgA4qEoeELyqP NTyLrGsy1TMYO+7v1nSxsdbFRb/0w5bsxo1MqWG4YFkzhYHN0u19Qp1wA3w6gA+43FGF/Z4mUhWb MMDdnbvRHM1+hWvNhwHrfYVp6aUoyMZ+0rhbueDOxEaAR1F+giVddeJDFbC7gQUZgqGLaXOUYjSW 4obE5S4j3DxMG4dkQPr0rdyZBa1TRzWI2rjxGa3RsSA6XhI5IavQqp2D/xam53Et4qlatgbWaLm0 u8UpREuUGcJxvPft8OW8lkvcs1KaYrgTgtfnwTU6VK/kWTQkXuNiVwBbCMrAf91yo/Sp6u0OYNNG IgbqIS/JN+LDPPoBonTAN2DvAVeZI76AxrflxwlkMB/On9fWiPuOLkLuj8+xjVj3sx/hznRHfXfi o6JrK/i5GiUYLH12i05QQrRAQcMlGJ9L9zauA9JJ8urKD4YVTv0oEG9q/KOyA4BAhkhDEE8eSEEp fWIZXFvkQwkZOIxk2DR52d4Xk7d4t5cX9A4+OALT2Jjd4AmTtdJ6iBrp6mruEeqL2ajXJ3NNVV1x 3mE3p0TkXndL7wMpW40mzwKuPe/gX6WKGc/L596hJRBhUCJDj6ptX+k6qXc8mTFThxgh62Mm1EhN CXhrqDkDebLJ+TZikSft85BSRomGLT13qjvgkurccJTX1jR6+SDeC1flN4gJ/UuLaLME8lsoorJm IvcuutWDxTl9su66i/5zb8YoX3C1IUZi8nY7gALeGAmxwvUZrgGAtWLR1mGbYgCMoHejGfgHd1J9 pHagUILAkWIlkg8lZ9kwO/Ecy6na5O9ly0SZg7Z8vU1dUU5y7OFOfTHHoaztn3peFJMvlgC+H4Y9 5y6Ui2UJ5VCxizq3UEr3uSExGTBnpnH5bc/KMtF0b/8a9ubGNDRqbWOqS+loE9izT24FQtoE9POZ VJhoGdTJuhsS59v1M3AGeDfatChNJMWDn9CQ2AMTn9xX5TFEc6K09G1d+GBlEbOsw27wNFQqcAfU IaBMY+ZnJQyJ59gps0k/I7Tb55GTLnIryFBNLOBMBq1KVk2BbqYLXV5QnycrQcO/GKheurMLW2Dt XuYdLbMlgZdi1YEVXdr1att3QG4SSqk5NfLeDVBtdreICLfwuNVkAAHy70Ny3ScQQbVgnFh+IG4R H3w1xY3oWCptNgKuAETgBCDA0lfRUkoaIHxpi8bk7Vt+HPQzkFXorrQX2brk9yPs23tJmlig50M2 6Y2K/P6hAx1SCmSlPhDgMMZPs+vpYXw72bWHeDSuCrdEZp9DVtg7cQvxjoIisCYruCIocvGLvkwi mV95cTolcF06cFBIiqtzYtZbWGNFdvbOOoxBY6Xbb8VxuUi+1YvDzqZn8Ygq47VkXggJxZNbtcsP Li/nkXoYV5sRd/EDeaVlCHet/1RB0B7epXJjM3SLfHB3z8Gy0JpfQBfi+xVybePLUt2oAOdZcA9U /pxSPAZ0ATbEJVlnIPC30ec6tMk1je71n1KI1J+lAbalN8DbSOfx8o8e4RN1Ur3yPrns3GLd6dfl YLuLNcOmFWk3U5JDXLPNQRgouzO54OoiqwMrJOWVeffkwcEeH38z30MPgYPjfLr3e2Jctb05HxbP PFTvj03kUJKBjWLdvWP0EGf9jiKLxknuoNFxerJXIvGhg85kS2HSR20nphZjX4Jt48G8J3TKfVSa ucjVCxWzgtD7zhzowkOY/dnvg0CPkhONNUBdan/uUjvhAFShI3bp9Kt7/uuWdfSrY+5LkgksTy6j DmuVQ+UYniNE+nh0xSY5X90tAwJkzPjelTnSdE+pnmWfSc4XAaD0oc6/Bi2KEdFEGkP0+xRyGjMV +gmITkY47xnCL2J1sFY34bj6gFvqRp2mvsUINq6+UVqXEHkjN3CYQc7NpHKW/BhTH94oOgmN5+AZ UtnShIkYKae1xJcGFgtGgog3rejHmF4UUKsxECuv5+kUE3HqslxEZTNV2q26biQqmo2r7aVMsyZh XVEP9iImBrTePsUMeT/cBUAhZsIoUjJy4zBDSgzu95rrubmA0VoNovVlTUknUfG4WY0ijD22d+bS TgRHmkKAI6o6vnYTOBqHtJcMK9XB6wH3IHEzEqqrq8xeov2kHbtwNcXOp2zFMZg3YWGntAvB8a59 QIVtMrWnN/RzCjTrcbZIynKq/Uj1xyWCzakgPAaLudYys4zGQQHTzMzPMXN89It0rM0xmwH5A0Dh Q5XfPfbi9xqhLAuw8GxN0avdRJPtuvej6PaxXLZuwGTC/LOPfdMLJnQnmGmuG4y52Ggql+/D61xn uVJJlpa02jP37C6189zeFogV0vsbopH03VOQyGd7NkOn1YkFUOAwVE+yEeEtD4+F5+hAzcfXZwz6 PZjktFNSNybQZqvs6/wTQt1aOoBwyhntYaid+d0z23x+OU9Put/53tfJer3zb3cTcrpobVHmF2Yo lJhuHywr9HkAeObaz5cEXJpwlRQqmW6R2JmT4gCedFM1t35KuTic69qYuRdnVDK3rnXF+OwCalTQ kPeP3qhiIycPdozdcmgWC8EnvNnGo4466P6pFsJx81KvhovPJFVRyNP3bA7Yo2Sv/BVuTt4244xH wi/3eU3YD+YDfdN41jM+Y9TBp4sfzB9IqSYL42BIP143cBL9aTNSkwNG09EhWHjT8NEsXjok0rPT z/i7+yAQxerks/t3XWcNsGs4H9gEdRSVsFYgIHpbHhsdbq+M+RMZW/jLtl9U+Myl+mUezL9Lh3iy ivxUyuBHdiUmPZWTnmbVnFoF/pgCw+yPTSlWY2HKLoXr9/9UPWskBRp/QVZfjyXwEDEjujkIREoO eEwiOac4aWCGJCha1AlHj3Wi/5UmVNQ7duONX5DBg4T++jUswVPwykpMEPEfMIoYosNWtabQEVSP 2TM4lJxYVNUfH9x7XgTNxqRXf36WA7rubSHkb1QWR0gSZpGbBqUzevb2SnIUUcKNLRtB6wETqJZd Wplytm2tc2ZN0K2dEyNeNtqiPw+GBQZ/fyfcJ6CEy4hYzIA4TD6t99+SAAwiosRnT44/qCQBbeCR Xf+GABEj5wgZE6NxqGlBkU+RY1WH0SXkIA1Gs6Fhh4Nv6N15zgUMwmYbmCaRhVMoT8dQyFgVS3m2 04cFdrDy9HxVCs2CVi2MSMqvptq8aLchQGnlNVOPbycq8ElA9s5H4R46o6fWH5i2mT+BVaM0KbpA cbhhKLW+vhJoKs6JjTHFYBYXf9nSmYO+0Xw7c/olBLsU8MOIaaAK/uVKMaEU2XkSU5mBQ0zRDKhC j5nd5MDHnxVH8PKov6ErfxsiuIE6/CW6Uvc20KuSed9M1jHBIPFM7QMZIYq4GkpVI9UOyT9htJwt ug4g/VUy0KkSiVCVskYMZQlrG13TZAOSVr/9Jr4PNHKXWcopRmcEnw705mhji4quQbSEZsJm/Uih oiYGvpelpNicMkaryN2y6lG2QdRS2cFdUv8NznSYcYY8P3W4hh8BxvWtO4nhNbqAYdNDfS2LXS+S TijqJ2qw98000q9X0cUBxci+G2I5SKLbJ6E1uQSabjXHWGfOUeKVPq0/OJ07F6EMXjzC/fNsxljh Xil0zb3J3VUD7Dhs6+McgZ4mNxWgEmPhHWHFRHJ+p+mYFsmwvykk7kcJgQT8U/ynDnINSdmPjsrb I6Hc4BpXch5Fuaq+kc484ikbVLVHftxP4e3qW4ktnOZLftj8jIMmBwgA5uQIQ9CIsXmUMEllwAm+ es1rh9Twuw/HsiRDeTAkpz8Y3ymFpQ1O1FUiENdXrIenzZspfb+/Qetm3Ibez620Ayd9+KqGYAbF /OBiFZOcFWdY8k+9yUg95eAv4x3nUOeShtb21ASu1941hgvP3xS5LC9NJ2E5NvscMPKYl2JOm7Ht HaN5k8xw5FWhL8Mx3c2vyWKjWpPgOCkV34GQM1TCeu6nbUUJ1F4EERfsNicqtMN4631W9OEb1RAk fDeWfnPD5lZD0RiaQIH08GwsjzqD2d4mah6nSwiTR42qqbpZkqtPBT3KRjYEWNIanY03Ycnbl3i/ ZGMXp3fqj+0NDXjVMM/sW2U40T+MC5NJQNzI64QUbk/P4rCMYmVioYmUpgCp7hkaCmTb8EISPIsi tOlW+fVFAEP+9Dp9njD4sPexLCV8FAdlnGhqxp3Ko66fX4j12b3W9oZoT4RTg7ncPj1agoBAIorx WqyrIQiSmNvkE+wuH/hrMYKmsFqo4qkhu5McgSLAOkbDUOerncMH1yCHDBWGTLzgV7KAbfSIl4SL zh0ZlNFOhDS1MU6PIL3EGDa1yL/B/voUoUdfCp4FmdAzN+Ri9df8aw0VrR/xeJVSf4eQU7DnKQAB ucLHBl12QskISwt1bUbQ+PH0suGKsfmIEH2x4Y7OHY4uJNXhdq2YMf7hj92pMPav6aeLPX1PBdyO ecQAhamp1MP+grfS3gGw3H6sGtGnt6uq2vMQpinojMnIchP6W3b3M9HF+5zRgA7z59sOB3S+5lUo fto7E4ohmkZ7fISzjXM+KoY6mIEZNYyVMA4jKsh3QmljmmKLiKkVV/21SEbANifOKr4BlGYiUh39 laHlcdJWNjLsjsxxVM+1ACRxRTicpCBFcY0tMdRwr/7WXRAAvmiRP0YPZjFdI14UXATOVNXnV9lC nJ+OBXe6QcTx5+wcD5NaRScGeZPAjQciteQE5xRXSaJiubF+pZuSTKN3HRcgrb4foRoGYzlxXuOx 1ul9OfkV72yjB1kN/BjEX7rL7FuC55+SfarWMmBPxWViOKufes+VF2PRsSmrzwdUq1pGrcdHaOno yxtlxvHcUFyfaX9gaxL/kULyHDPtOVG/KGFztjYdh9JAZNrVqb5x4ifszf0m9+rIxuzFahXjzR0H a7qpJoTmBmJ1ym0XwLqIcjbhRAAVOd7sB2qZe/+7fPmSDwL1uta++rVY4WMkpZqpVZK3xeuR9PuN fIs2YRJehYQLd/Kg9gYNAANONH2MGkMiI3An657AYPIpWbXgCVMBnW79KIgYtqDKe3MPPmUMAKDh /WgdZ7ZL0RWuhA+tcid89YAbo7jfUs5grbyzGE8LqrnjLXjK7O+++cj30win1m75aYQvtsqCefOm +ZNw1lW6004jRCQIgVft+/yVpGSTQBMLrr6p1ZjwaA2O75tjv4F4LArEJCw7vqOe/qfxB/Rp54UF Mw4OA6quAbQKZf7uQZdUxyaDmqIXw0oW4/yXSI4lwJfnDoE2EmEaDkVrEsOcRz/5aTHZjuAxUgKe pz5fm0cNqkdBBk4hscTpKFuYtbuH/3ByDQztipVFEDLHOH5LmbEE+zOWM0lJB9Z739Y4SdxkXCDH eTXrRThVSw3TQbw4RSvy0jka6lTH5UoEQRQoSi7Q9bLNBImhmqY1h3CrFSEACG554w7ULPovfLBR mdPCCM4RvpLox7yafY1u3cMBkiscNpGNtyr7qErLbMbpAReUgi5sjPqjl3uk7xLx9EVg5ARKH6TE 7NQJSw2MilwsFYKv8N1rlwZ29TlkvNACTmRQtznEDzYmhzWBMq4f4NXDrWwEdXFDg3qeMibw6Qhk f+jbDZkkzW3H9n1r7IZA9sizTqc3SAhLkhD8vyHRimcvZ1NTPcPrzQ5ZPpvtDKUAK8af4/1xEDfw tV+p4NrRYPsl9j2Vf4BDzTgVz5sCNvyjiqp6B/oAYUgyAQkhNxzYqEhIT9DEwRQyRq3Ascj5lBEe xSaK+4V+0HLh68Piigbybji0UVZHscgW3ZAcZCgLCRUlhYG/1PlsCM+fdUPIkvuxoTifOBL4wWXf zSWXc+UCKop8y1K5KEjJ3NTpGT+ouZDcZ3Y9dGPIOS1hjUHL3VkFV/JXgwFMFI8L+woNIsHFRmMI fiHXYE1IHzoMviJYRO0qCNMyZAFcNZKHgzJANliPOoab3KO06lDLcrTIAA8U4t/Z+4avVsRjFQre Af0xit+9aeIuyKtYBOgeeA6SyfFfT2ss8PKdopcOdZcVjYY6fgJBZ35v3w9ZPLzfh5uRX+hygFxB 3ePcbEKEYaGBcf5LSgD0mKBbv/HE4Ae312a5VfcpL3vCJm5VSmyylkrs4jyN6zDx3DK2bOtc5m6c 4F76L4G9n8nNlPbWo0CWN85Oe1pRUPTNCqWXkY5zzzUvOO3sbw2N+woO2t2onwhTDfUceIQUJYBG ALHfDIgEhT69QNEOE7YTo5z/59w1ZhC117A4Y9YU2ZFLLZzcNL7ekAF/t0WoFB/ygS5tLnySunKS xTSDO5XRLqwgXLjB8BuLX89UOzjdwXqFSNgr0u7gVpvD9+5F6c73YL1Cx6/+Ve8YCgyZs0x+xZWF +ZbSfl8k0Uc7n269nrck//fdo6WuUfv5941YHjACRHryP/gf315dMr24q+MMEiVI6/JQVZ18qdwC Cj9FTOF0ELAnxkYjs8lNAEe4Jz3nLXsDPbwJq4nVehJE3YjlVA0iLBinB+dcdKdvTPuGTgr27Zls 1Bdf4hHDyDTpb6nwZrys24OV9f+Hz+ueMOrkjpIpYZS/xQGYy4lfkJO4TwrnWY73XRwp00cVMf0W 1hDDZB3eLLibQmlI3z0gsjQ0RbLWcB5mHeanXQO2AG+NTYAQtgZ6/2WPTxW0u/eILodGYSxj/xy+ IvK7lbKSIcHh8zWadxjwzXQymhP2uNgPIc28lE17sW/pqWSMExEuoFy+fS4X6G5o7RKx6oTuJAqk F8M305tBxDL3twZ6yrbaDVbk3uERnCA6wRiL7U2wWA93hwVWZ6WAI5tcFYa+ubL63Tx+it2CUSnb 10Taeietcs7NGsWFbEzKbplC0o+IIMvmh3MiTA2HLn7spYJlEKcMftri6eE9wcCYz3f2LxqxtFy6 nh1IZnFIjFYU0lz8bfQIrBnh3eDY0gH9jXJ3zqkJkdVAAE0aQfI5ZF3VRc0QR8IhJ24MvpH+DqAI Bs/Mht7hUAMMC9ablGR2SD8nOisAIEaeL2FAVsJ8+DzWMuo6GAGO+iXeBeJRSAvjVOqaHqCJFU5y 9CB+jVDxPEiXc8dschUoiPnb+jqSxuDk++qRWFhK92ztwUwMcUrnyKmtPYjj/YFSe8B/opJ9NS7H JL+6Ml60SJeVnIiEzXFecFOHOQ5Sb8Lfggicgby5U9Be8njfKv7tDdqv8SXQZv2pETczQ51kXi7y C702utQNR0J1CxnLJWACSVouNEZ9PjgHFlpordc04q1UFX03K3jZJb9j9jPW3YuHqx4D10MT2lV7 r31C/jSf/KZUdao6jSsa/thQLP2tM70yykQZAz+A85fcNZBl3sD6EwMdFelvqK5V+TKLQ4l3Cg5/ PEHB1BSuW9skrFKjD65DsDMYlUebM90Ld4F1dXGI5o91W+HIrNMfrPlz0pZ3MXEfl/c4abl/s5lU NSxMZ2ewrLdF9UVLzVREWmHCeG9gvALJQnxicYQTOm9i+28Yt/yJlHE5dmjScrCuqCkw/gwS+hla hryKQfYv8Wxm0Qmoc+9Garz54mv1YKt/j8GFuUi4ZPIjqNm1Z2/027FARzj6DRBXDeCLDhZGcAom JtjmA6h0YuspkUB6LoBiecFc5kzKTehKF2UnsLlpWD/RfP1b6o7hqGcC3usbvyE4Day8TGxXsAST Cumdcf2zrtJTW809spzN2O6IeitPqzjOYzaTZ6+Sc7gT05wQ6UFROOUCkTvmDosN65XMBiw2oK+Q iddNjf6E+Qydo0Ze7fk8jygsKVm4JunI78yebOQrkjr2kVZ/CsLM8ARCIeUOLJlWi80OX3ZNwYfJ Xlehocn+gx0bmKHC+3EFzXnxk/1At7cE9fbiuknWZj1chntDv+YZDB/BGJyd47yYnMdXpXBo2s3l KwoQy2spKu1YLECuxdTW34AuwbW3b1OHAMYX3kWZ1SuCutRMtv72FkGcVm+BXmWbJ3P7Z6YfMMfE xWyfnyLMbS3YySJGVVK5t+6xQzkZJbnXwrgBzHf9ZjShhSu7HkZvZisXr7YgNBiPBcRP361l8tBC Q0b08HES0f52Ns+JOKNdqxWPIP0uTmwwYKFIfgziX4NtXHumjGqQong83v4t11KFHryqOzXwFgxq cUDF1HtBT+Gvd/ltBVYBaotQXocK7mNpT1Yyu4dwPMOgHaRNRSP/+kB7H4iE0RVvGcgd+QxGQPns VwDlwQAETLYuBEuQQxQbBOikAPQ5ak8riMii19VY/1sg2Ny6FnfKenPMhsJlI3/TuSZPyD2noJZe YAnOwIKjMTLeRjt5ayyqr9/GZIwZpsNTqWbdDSH6POrePc++Tyh7dI4ba2YGUUxfDC+UjHmE34oR T5mIgW0llpj4Qz1/SJVFUbU/pdGrs8T4EyMg1yEHzg9i85RYbniy99VC70ynL14EwGlm1LISEDXs N6y93EBmwXBD3UfQIyQwBYa5QsAMb/p+Tx1RK9mUGpGh1V1N8tg8miRaYH/LMb+FLd7Wx1lgI+xd Wps4FKBXs9Vr67urlAd96mM8YJvdrcwezVWZegMPhrZX3E1s4UOMhrmdzReLA1Eidf5Qxr79EKtF 2h7lW5CRnt5KGEr/u3MXKmyMliXgHdLpD2OpccdKWASxRXhmrTfJcWgkO5m9zgbwkk+eGP//0YE4 HsuX3vLeSxUp52VvmiYVXSdgsjNR1o8OQkUZ1x3Lcil6svJzh9AtBNNMTsgeoR84QBLCpp5dPD3Y p3jlb+db+kn3rEuV8Gml0Nls8eLjTlrT7QwynsnNNhK2B3kL6P6AsGeyvOXPzah7xX/VsLWLmKro 2ujkMkSPrrS6S04e45x2iVWDz5z9YixSd1RJhBY//0PQzx5H3iKIPZPbsZ1quAgVQOHxfteYOtvA h2Ao3J8FjJa7b5J8DGIQXLvQ+Je0hhlraLXkVpoRMlTZZj8P/vFCLykogROMdqwuPqhBDGr79IF8 BISBj4NKiEfDLItTwSwE8we+H+ELDu45gSwaqf39FL6ktZPlDW3hOYloB8H+gc4M8FUmoTGl8sZx g5KGJrEd7j0+ceLMKFj7xEd/CMJY0hWWD2J7WEcJ7Ay+dlQTMfOn73M10AvYvDEtEKxX2+NE46/S yAathDjKlpybxJNtXZDl173ePy21ektV4C4RmVTrHw1KQJA61nVTY35a+hkd5DmO7A/RS2SRaBNd fU84QWnmxEupjhq7o0onhkHOmddJlpsSv2BloymSIK4WdQ036U73YB/kB/yGagPIrIGxrjdhZ3Dj JEnIfCLv/xPd2teisGuHeVK+g+LB5fXe5bUETSdVZpUL6ARuni79kW6TWQ7BdYQEw1iA6q28cZzY gT8n5xhjLkgHXXxMq9GUKD1ukzz3bMoOQBq5o8BybnjGOTNDGesbqd1AMaj2X19KG3BuXIpLqspe pR3nV1l0DXwvi2DFUo7D5WwXlhVqbrKT0vsJA8qbv9ceKF+8xXDLNtpt9YHbKPehr3O6Fd1G+LSl rXpj3fDdlFe9omV6U+7AcYptiXoGEGmsjdM1RlS7JgsQdF2AQRMFHrRsm9LdEJ8Uq5uj7CSwuUOx m/SA7p2ZCNM1wAk5TaCZGqsC4X6ZLJTSFl+V1hRdq7gJIQQZLwrbgA3n0SPwtb8ZS/YIwA3BhXft Z91AYWYV84Ua/RnS+wA/kv7/njPJcMpWPhxxYVI0bJkrtbamxx+UOr3cDUEULqHofYcC/t7do6MQ wdtbQ98FH2yZbCWzDYBL+Ie0rfrW/S2iHxsyi/FqWwiGEo5q1GopSJ8TnhD0MHOw81kyOis3b7Ac Ck/VsEzSPAaL5C38bNAMXsoRVO0HQG0XO0GYGuC6Hax7/P4kunueehG4JBnYUBlQGxRukvu37Ngx OqhcoaknUPvz+ZQOmuJij6HbdahNfkKgCZ7mgxB9GajF1IbWdWuaIeUIPot8hSrlA1iEeTx+MlcN XRYCs7pWg9FXCGjf0pz5Zjq0XCEOCBRWwsLUZVEJY0bEYE8vziR0TVa+jXCBFukSQcwaBOB5K6FL LAgfOtz16xQqE229QGaH0Fvys7T+VIb0yxMnu7gLYXxE86fs+y3TqEg7H8yEb3U0xHIJ4bA9hbWi KzMH0E1DuTwQMxkNFmKfEVifYLz43/Fl2jVKqfx/Nhmd25oX9MOZ4xgt1ziGuHqZVmvnmMAA3+wi lAwG2Ps2k3a+ooD/rZmQr0MZzmAsoC7Y4WKHn6xK8joT/e+B22BjpxV+qFWxcyedF4c1PVIm/UYo fwQcQUWrO4PC2adyyv0tRyr1Yzmr9qjHspSKgKXmBbh5bSoRYNTe3fdR1oJ7Q7M/pEw4er1eEH40 abqA1NtLsqRviKGQnNPbz/OTiFroRtuIUA6AVTIl4lg8ipVjwaj0CHokp5AnSNni6FHsrAl59S52 pg6l5Xwq0Nmcr6xKXl3BXcFhtDeZCA/twClYVhOIQIRQJ33LzDoPpqCyUVV6ESx0SaE2heA+CV4Q 0JukfkV7VCCNTcLCCnO0LpexzK8C2Y+obGZYp0vGRlLCC6Vatq0A0w9akMvMaELWxKFZK58RdFXf YlES1RdNP04IQ/Yh1Ftm7pvpgKQmofLPn8sWLO78qNhQvALO2nMKngo2NuR42KfHhE6TI2uNhJAC dwLudfng/8FG6PXSS+scnXxDEJZpVJum1ifpLnrT2Qn0eaXK0VYz7yjMn2edkFSTUdkbGBlDtUY2 U/8aoQXTtsLkHyY12IXCROYH8QSzoCk6fuoT80lE799QoxwC84QqBu7u44FuIseeYcD6Lry2a2IS HlpPKXwcnuEWLOMFZ5UCJrZrFwYiY8H5Yz+pRZS1rlcfIKI+Np/sQ2hbJkv+/s0QHPEcsCL3ocNd LaqfwZlyD8Pqh8ZIBzSEaRMkD6T8jZZuqjYzC7JLEB4vthJv3lOUVLyBuoZx7a0bhbZjyFTQkDoo JeaapR0RRoVT7HDAjbtoDuPmHOOdCsqDpirZ8uQ9p8Nk+sTwzp6W1JXiiQ99EXd1cfiDPs851zcT P7sePHcOZF25SRHyLZH31l1iC16qmG0qC/BGqL1NVuhxmOWmxbhogxq05axAN5xfQy10lgtSTfhm 1PlpkEP5MKo4ibVFY1TFxJR5tkCfywiUSbu/inL4O5aUiVBBuVKBGGyt8YFeFESfP/QaFdYbuttY f6E8FyL5HsmBhHI2/Kn81LQuxId0rv+gcxW+cdEAWCO6CQgHbtJ/zHQ4liDiQNwL4M6aS0bWKGn4 9HsOWP9hK6UR6g9xr6bNQlDrblxi9JqYbo1qrY00IQvu4c9drlDkFkbQX2KcsJRN3Y1JoA+3n4CM EI8ld6N4blULQCFTD8pkS7c9SAwt88R4ORizCK0epkknUtTnccy0n7FjQGIlVcZHdiY32YXJX/c7 MPb1pJ+D8vFBg2pwAurz+3SL6FyPGzqpDx5R3qbU+H2P2O3g8lI9GSmVBDEMbZFUGUQAFxUdizcX ls4TBv7Fuq2Ws5N+dT67YUBhjxgIcKpZgabkYOyBr5kHZQeQVnRUXueMXqbUFK5viUr4o7pUWBlh NIwVG3CCNwg0hUKA7OOXA//QLIIrSeBzy7nkLNXM+D82YC3oYi5prWZq2ljmjxWNGHKST0dFMy/0 kcJSjXsbInzE3+n0lzE+32jwTDtt8wkX7AFL4OpzzfVyI6xMVh9s6O2pLfTVogH08rVhGVkKfK3L TzoARRwJmc+Yt62uIrCX5Ec5gbkJCTeCidmAavoZ9DT4+dF2tnXp8V76yMtV6NfsKkun+N1biECY XWkOPk+M1PvDJ7wYFuttfYYk5NP0YsiaeJEvL/ceoToHjYu29iQd3CSrVXFCcamqqQ0eut8COqAU 4pIVOI5BwAnx1mE23GQAnV+3kqf+QHUS2ftwCjm4yyfWKqPCvNDU5gFfMLv7lWNuXdMh9zsppv8q ghfurkd5WWxarECWr4uXxSyv9s0MEbHbAkkulK5vxeFRM2Fgbwly8kSZAydSh/yugmIoJ5zCNu/X SRzoeDWPuC+kZP4pgXfP0KoGoQV+rw4ZR6pJsCv0TIfBCSxRPoD8Gzh03FZANDsIX4bNVRDODRJN SGMuh1U6Re2a5e63EGCLD8OixzihO/sSb610RRRY9nxD6hISdReMKWadslVRYeyyWIPopnNAvgeL G8UKQtcouDOSaChdSZKhJq3ryxSiwbPVh8d6hf66MUu42VK57ztuDB+EGDVMYt6wJEyUXJ0vajdv PoUMNxYafwv0iyWNPRJYFRZ1RCPSaKLXhTdiW6UG+JK2jLKNmsOKLUDZcD7PWvPZkpSgriuBoCfr SA3CxaEiiewpaqknqlyW4UdlKWO7A0pou7+z+gjMN4NYiv9dDneV9Lq6zTkKolDRbsl6nIQ2cYhI Z574nRwYbrBlqoZgV1mDlp5snTZ2h3X8vVzx3UQvCC37KpMDFpf7xem/5bx73oQDwkuSR8He1feG +gWPo7vUtagENeRldwrpjg3YQnwhr/z1A89Z3m3VYMektBRCao0GrtRRAPOYEMAhpMNBFIVe/xDH KFsCK/PA8wilPeKJg+ElT1ZSy4r9Dj0LhB/MhN5/b7kuh5BXd218l9vxwYFCGcDDB+xsQK/1UK62 eQtwVnp4Mzw1oL24ojLTxlAE4FcThN6VYV+tAeMRPMPPGukFt6BZBtoMNj/w9qNw4BsheaXW/Vbn ay6sipCRJwAcOshtEsARAlNpyqQLUmTxBXo0DMDbCN/n3EfwU4Kobh7nEXmvhRw5N1oYfcMzVIWp XwT7X4TAUAEl5UiLkqFX7LQ/JHEpLl+OJToeRW4EvdRtoogaSUPPB8o6RFHWByFO/H8jC6/2SJlI hzfb9M084+B7JN1SbGVNFm5hc3meD105AZzBmBCKtxhcDH14A5plXZGGoWXwMeuo8dsdDBEIFZnQ 7MNt+clk07YfVgT4FYZFuau+awY1AbqIejHAHJyu3wuPGrm0QNYVuz1/9rklcMvZBc/atrsLzpPo sO2BpXNehQkkToBg4fC8L4aSy0wyoYyFkz3CuVRqPNcve70LiKx16Z9eF8vPenOkHqza9GeBvO4Y M5iGUUaN/IbqWGuQ10AaXvJRqpyqegtfJ1YR/HiI7Xd2AJDpj1p/byr3TjMCWfxPnqe6o3Qg/MEK Gns1qHfppZAcp2O2m8FyvLvXd/hzTFtg3RysjY0XJf8adFYCT57D9wIdat2vltwtOnBD4ImdhLuF cEeRvtamaLaSL8WyuFLdm54xrsn/kAEHboSUCijbsfF2c/ZpQwhfwBixqLHSQNsSbMuAenr2DAc4 Kn0gQQO0M/8AXUrC05dAw6n8bd9wGjaZVmfiW0DPSQOxton/Cbj4HCx/QcJb/uekwIJhYEteKFND 7RjRUJZkbclAKipqrvuj2op0K6U6vViQQhAckwWxASk43cdlTX3LJ4uVxDZYb6PQasuvN7vJ9Coo K2U7ZpGfZWMJTvWvHDDoh3B618VNjDdMTXaBBg+gxjUGC1qJolzievTGD9lvL7Jx9HaEEFBui3x+ dochgw4z5ujcT9fe7NxpI1k3H5c8xkMSPYEt5l2wu8XilNq0mPjzqj2ERBZq1mdIhncbyW84fiKV Kpar44UEJRClfpp4+SbQ18HGgYyqGvpJw6G9vOTTqu5oy4wbvgtifNaZnWG7I/jRLoEM2/GcxIwe k2JyFK2MuSpMwupdaYiyMh7+hp7u3UGlHMWNxWXLhYlx3KTGO0IiXSDz+TngKggj/HBQdP7DUYkM Z+VaW2bL6vYgmkVsAdRujxPdgZO2NJ8bjrdna6SL2WGrs9tA9DhEsIObK7i2iQDSMnafkg+iJzB7 HD+efPRL8Gb9/OH8kPSnKEUf7XDkolKHXW9olO3ZWMOiD287ZtA7ic6zvhBhA64616TxCi7FmSbH UFDfsHNirQFCo3uVnSWqsfyPe+43CoGvgGpEgCdcgVSOptYNtPkBOLMz4NPH97wUiIq0nJktoWwL Q5mGKMqG+6FyF6/WyyqgxGvBT36MMSj9vIVaxtC+jDcC5a4NqGDOAVAdZVhhzYI76aYjbztXANlQ ZZZh36GaIwWRfWshI4IfZWaYWM+ysjE0gC9EZQUPxgKKxYa7SbY8byvA9NioYn20P/1VlJCT+pT5 CPdAxoGgzLl/+G+wF9GmJ/xJEAISseKtD/9oyAbfE9hJG90z0OBhTQwB18NJ/ffyRQRuMEmhyLe4 O2mX9OMSo5x0vMx9B4ye3jsFiyJuIH8I02yOROqO6U4/r5A/AmLem7SbE9xSI2VaLk4NBFosM6Lv mMXGSVnBR0EkwZtUtIL5QxKM1W5yduCD4sitvPNj2TXfr4iCaoSEzLFWeKpFpppIbvFuqtfwPHIa S6i6YJ1Wk6W9CDUczh30p+JmW3qIpI/jV/woltr0SAl3WhQ4oRJ9NdecGAlaI3E77h+b4p5DdpK3 WRPfjOp8bDue9dzw6KCP3gYBCyns3QxjYHuuuSLjV+XonobON/T1ESd/IjGPlC9i9FqiuoSrECWX 9KZ7gtoO8yAV+I0QfQk8cdHCJ1OIjB/UIjT5pF6DXBpHLWOuL7T8fv6gC7sd6l0CxYUXHk7OHEV+ 1mERShrKywC5QL5gGc0t8m5EDTpkYGKPhNwGskqla8THoHRUxeDli67rVtxgTKw/0H+Qn4HpMtDg k/4P/TH7zQ0Qlybuf5NvgjUuNdFbsYa/S1epfYvlGzQezL8VhJf2THRpM1ugxiPkNWWu/P01/KKe w5AHlABsOSFXGJaIEXwSJZ5mxWtzLWRBfU3xmCHTw9fg+K9FP4AxOtc/TCHDM30LGwkaUKLwgrDQ otgkJmIgcFOyhZWCJynWFZl3BowjRAIkyNDZwe9rUpDcFSL2HMNhjvzTVYfcV87chhgOnypgqEIi QJf6TtFNiN1JESV28ix/UJL7XBsH64F9JlUhaGkS9VuoBUi+gPQihQL1HkhZF2S+4Jtb+giGqPDF 8ESrHaiVEyUgNAH2iyi3TF6Gi7ozJvwlY9HPwSPM2uwDwl1ZTy82E+k6xrRH6vYhbQk+mNxMru8K Q/UADvZgWvbqwkXjo/E3edtX3CgqSWeimuvQeUzsfSnRsCLATgJKwoAZbBz8sFjLajGNosR8uBAv hOO1Mree9lVfLZNYvmtzorkPZocc45PWQ295o+3aCXIZjUV/q2K9mxC8C4CXxP7Q1jNjteRg8XSw ROQVZDJfmMbhjWm6KqKJImj6iU2pa4sa3ssp+F1OovWF1vmPMkeTeIwCnML+jBDB4mQY/niHlVfA Ov8v5DPKKVXbmZTVC6tZszLuxH46X2rnVRDvixlxGBDLIr0MvB8jznIMqupC7ku/RMufAJCv7Pq9 JXcS+hqGIb+L//cQ1EpgSUTT1Rgp+vinA1EFLfDgqyj8 `protect end_protected
gpl-2.0
55ceeed7c5033b80c7c66d6f6d012796
0.943484
1.848079
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Shadow_Reg_No_VGA/Shadow_EX_NoVGA/Instruction_Memory_TL.vhd
1
2,001
-- Company: Team 5 -- Engineer: -- -Timothy Doucette Jr -- -Robert Mushrall III -- -Christopher Parks -- -- Create Date: 14:26:47 03/31/2016 -- Design Name: -- Module Name: Instruction_Memory_TL - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity Instruction_Memory_TL is Port ( CLK : in STD_LOGIC; RST : in STD_LOGIC; RA : out STD_LOGIC_VECTOR (3 downto 0); RB : out STD_LOGIC_VECTOR (3 downto 0); OP : out STD_LOGIC_VECTOR (3 downto 0); IMM : out STD_LOGIC_VECTOR (7 downto 0)); end Instruction_Memory_TL; architecture Structural of Instruction_Memory_TL is --Program counter signal EN : STD_LOGIC := '1'; --signal RST : STD_LOGIC := '0'; signal INSADR :STD_LOGIC_VECTOR (4 downto 0) := (OTHERS => '0'); --INSTRUCTION MEMORY-- signal ADDRA : STD_LOGIC_VECTOR (4 downto 0) := (OTHERS => '0'); signal DINA : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); signal WEA: STD_LOGIC := '0'; signal DOUTA : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); begin OP <= DOUTA(15 downto 12); RA <= DOUTA(11 downto 8); RB <= DOUTA(7 downto 4); IMM <= DOUTA(7 downto 0); U1: entity work.programCounter generic map(PCWIDTH => 5) port map(CLK => CLK, EN => EN, RST => RST, INSADR => ADDRA); U2: entity work.Instr_Mem1 port map(CLKA => CLK, ADDRA => ADDRA , DINA => DINA, WEA(0) => WEA, DOUTA => DOUTA); end Structural;
gpl-3.0
c3be4ed8cdc272e731e0ec4884cb706c
0.610695
3.307438
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/dds/dds_compiler_v6_0/hdl/dds_compiler_v6_0_core.vhd
4
81,480
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Xv4gEpFDcz3Pc4vh7eYFzUviPA3311Z9j2Q+F+oc71t+EXAgc3fZdmFrn7nPvT7b00ELu26Syl/c 3qCqzvEQfQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CJyzYNyxTM+lLoe9L5A1nBn4Oaz6nSk0KJ1kGbDyoJjrn/Y1SB1gmCoKEB0Z9r/TuKAL1OgjLmY3 eDpsVYL5TdMuZj1O9TTsJJ7Ry0CPjoxZCs/w0mnXBZa5rjaqO7JGOPsNrz80NLZYbwedcQPNvrfK erRWwDFlOU5lN6SPX0Y= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Onx3VlAKDFSOamlfojCvDfpT7SFct3CZLAbfRMCCfKcS/uSx+FEYWzHKgPkNnN1vCePo9o5zhRFe 4FbdLD1YdjozK7/i6ibaG3MW8TKu/Ls+zJ2AGcCeyLNh3R06U/kq50+wiz870Vc2TikgjABp5U7w tjwJOgzi7xDsjckCQkK6PnqBPUl98u7SVOmbWwaZYrvok92FHbP7gZBEQ/PqzXru7sCqVLJNWl+V u62hykYdYO9nK5YAfX/3KTNFMXTjF6KLIuyUBK0+63QuAo9eKl9JpWsdls1q9JvOEDy0ICcEassr wb647O1+HKoxlrjIkKQfZq0zaAbiwh/0SAzzkQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block OjYXNNr7C20CGksDNwE3FrZ9DYbhEsbVSKlTg3KdjTkDuIRzYwTIGlwBt4h1jSE0pW9IAClfwQf1 N+Lok9NQOdjSSA4doKeWPIMO5+DfNUeZwtKKXnBBa/5VALPX0x93sfZSiQWiXKc78ytUtnhhGxew uvKligbEeVpXG6Yy+XE= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block rjUEuO6/NZoeb5/YPiV35pul5uHEVoEQKMg6j64+b3KQBjoJrlVOR6bAhUs6wqg9ukUKmUfi1CaQ SoX1wpyBgobxAwThVFxjsOCGq4cXKMopc48im72kwPm8bHqkWcH1hbICP5qOO5WuJ8E7dXCQsiWB gPPMPF0kBJiJUQpqfccLhgCvwQQq7JSfyp5lkMG5BHNCu1X/gTxDFsZ1nI4bpqF26CGJ7dWY6HRf TqP/GCqeYcBMICf75VH/27In3KoVhhEFJN+j+nMdqLvO+DIewscdKiw9pXfpLflhxLx+ilrDY2S4 iy3wMiEcLPrAYujrsWZdDhB/YCMy8Dfzm421HA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 58576) `protect data_block mYc0qawZF/cAT3kwb8daQEpKHVWD0iyc/wYCAzlndvohLWFoZUDPv8fPIybFW/KQXyeh0CM8StPp BzA5TZE/EtiPfyNGvKPAFHSTPQxg53BSI9ozObjBpkqNBS9GIY2m0qeGYlE2xYw5xIe2DiggvXRA w7txh3UAyfxVAcIOLKBzf+hMU1gRI3/j6L0p7gIW5qPbRMmoa5bM8uzxgINyZ5nMWfamXW3/wDdd T2pGnaeZ8sdLkc2vB9DLeXg5L7a6yPC1Ftd2ErKB5iH88TOaSRNZvsgYnxplR2v/c9rvFlv/ar6B rawJdN4CdWLMCTCPu02QrQgw7bYjThTvDclopzlcGEBZ9BxbizzkxfrzzQhTXQFcOKJwVKF6XT4i YJ6u5JNcYOFIj3HZcIO1m6RfKndaIBznrSY0HxQJYbankjR4Gob0oQzhKUBXwiF8iEq+n1RSfWMK 6iUB6fRaUUmhjMLuh/vwMNKISgOIC+/ev0yN1glBK24bCR3DNgbiOEI5N8A0YCnSlsdEwwAAB+28 KL29WHWbBHxduVjPLMyaCkS0RgXpZI5JwNfEVifOIERu0C/dNvNrpv82WhiGOhVCn0fOr2l7i/gf 1fOIQKb8444lesg6LsK8YJ/nhyHp+LltjaAkbfu7qou6KTKgFJQeV9MpVG5diufzW918Rk0xmkz8 FwOsVXEV0PaGGTjHjR2MWc2P4kRUsMSwlEjj9e8rrSFlGqtHOnTSd6sOOtfoX5BPK6rSkYlSExyT hSY2ZDFOLEOs5hV8FrtNuJK4afbsz/+UtQz80MbojoI/IBN62hEMmyGBcz1e0Bm7ruHYCM74xp4v Lv8kNfbe6aLeXyCmVxp34NXFXUIxfa9D1CUfiuQQxCCtbnbmBpEdadWKtGVAPImjvOmWJzZHwVWI Gt6zA0OapW857XD3Q7fuctYJxkd0JuV9XWp1t7Gg5EkV55jkla7RbBcW2yRdQWMJs5NjT3o418t2 asAJK/onGhLbFiwSIkfqYNuCR/Q8zeh4FHCfM1WGfzVY9dBUVqY65ZXKBwVJOk/XkMF7NT9Bm4s5 /i86ObSzp/RDfXLLM6MrSUP/T7epQSK+edkDT52hcRwnu72ZIN8M+XUxqTflgSwJMELu68kTcamA hskc0ONma89VnzRzVLJ7sj/stE6EYYK8JB0Gp33OTfgXeLRRG/3uK9j36II8HBiUJxnSvTXG5q6M lJjsVJnLjTfru9C4KcQcU8XTIAdClS24OhYbqDVnVXUbVhkuiFWezUsh1V7iO31eLphd4wc6tm+g V4N2MrN2apdd60NhklZH5KIN0Q6BRG6dxKXBKWsaOjrc+H9kOSwkwXApwTI/TXog5ETUsaIKZs1E 2UmYiXwsoPRAUbRqV2taZKSJM0TKE3fDK172NEYgOelsxFDVuXVh0Qal7NnEXepYDbIeNCST2iWE 63VXw5rf4UYVoD/5mbZf3nfA1HRzEYzHqmAXdz23JCT9NO3KjQvjAAGX+/bOF1FEazzyXN4PDfYp ozHVggVJPnL7X39HtuphfChJUWaW6sZaOcDkcGQya25He7fHeKXnXaF3qFgl6W/85vJgAeM+m2xB ff5AWOwwCDqKhDJZsZWqOqayrimrLHw3iUOhtT+5xnNr3+mze0VqyB9RKLwF9iYXS5301ixK95/Z M0gz9nU1IxSDYPK+ejyUec9PnYNYQBn8l3ut+paAQA8NucDcfzmPtKhVVSFliSYM0/SSou4NK+6r HnG5vAfrAig9oURIhJLqRhwFk5RdZQCifdwllZSAUVcjBH1G44z2/I/J9oz04NKXdQjcDDmQ2i5F OkPeRQzcmA9cJi+slogUyP11UR8OCgDfZBUclsiI7FNucsNpCFxpRptKnT+o3zCi0ziWzH+fu3Ry P793zTu+Fe0ePsXW3glCvT4K6Y98EMuJS6Mi3AdAHQqb3+hS53w5TdI/D0jhmmYH4EINry8w2O2L oYAXCo8l9Yj/ATBCFDXocWJwL+Te0udsGBqkiCbyhHr8MD70xbkyxrQTb7I3DWu0R8/5+H2aoUfk lrn8tWiJwiklLlq+nE/WlGmfUDi/IFtS48z9GucsVRMriMnlvVXFTVX1+ptJVYzFh2hc9/0S8cKt SbPT3Pst4xMXcZLONwGlg8bUn/1HTeEe4zSeVZNydBCK8AJLlVPmtyB/yA5tIcLWGOrefqr/D6cH +/VyhloOD4KjErxzTnAQWLYXsefLt/vBkfq3SYM84PJG4FhHKa3/gf1hLLrSOmIJFua5s1wyyOoq gO17BidY2CQY6TQzPoKpU9NqSxYIHlqI9AWoVh3YT11a8cZcuXPfnH4eHgWkOrAz0L11SIQTnVCl dX48JPpUdFBv9oalKowPKCHuryAqsN8X+SdDpmLEbGQmXgkeMfr7qYfazN0udOUkKnkbA11pl41f oHu7hM3sh7Wzt+ArnAPbbj4TlVzvdZu4AzVks1uf/d+lmFv1odpp8Dd5IQdmGr/SnURK9gW5aaiZ CRYYqO1ahUJbGN6lvb2GCbxvsPFJrr023Zp+0OuzFVXxfytrX/M2snsYgPspfZlEzQZX7oQ/0DQc JFktulHRo6nnr3xDXipaolOn5ePYIiJOBOQqYMmNkQ854OiUO5abOGOJ2RkeX1znUkkyGLyOqLq1 ZFAzgJsep6aJlWZGVFKk1hO5ko5ZQbCt+FzJ+Wgq9eHp2eqYnP8jG0gGmgY7Ltjl1z9BymyHYu6v QCQEr7lDfuvAUwaLCPprwsi/vfcdqwfwgFCHYiAhkZGcKp8O0fQCjXdHxTCZ/YS2u5iJxwqz6inM oXJr9JLwviaNFuQsmTBV0+QJv7h19n0TWUtInFrZnQrFm2Dmlkp2HerpSla00x2fkV+GhYl3562A qX1SMu3M7ajwMX7re4S9qwLipZQfsI/8awl3Uja6kHTrxeNUf2qZZHQ6hD2BS6t9ijxhbJDxN3bY S8A7riORWqb+/NOihjsFQBkdk4fOiuXzmNCCAVscBqyoccbaPSF7DqyzF2SrYq7kmDToxALvCAxp DStG+pOBj/NTe5RGpZKMAKHGNCBIKq2sIBeu2P1EuL2r4RDfqpamJtd2CdTGzC1QGtl/bO+4ZChp k9o3X3NQfFvfM58vltGH8JcSQ5Pa1+4vI6gD61YcQjSt1A3UKvHxwLJ7Mhq4a2vKpq+sndGxl+Q0 IPRqs+HudunKEZnkd7CjAqnVxtH+Ko93QlbKyFOoWf/jBdcPuvoG+NvUtAHUrcrqJRnxRYI6DZzO d/IKGSF/0HSOGo6LeeMumn6JTzlHZWBCuiXE51wLATh0BgQNs6NQJhtdckLJCQg76yJ8fxDFb37X ZhDsw97+2N+OEaFCoZJViV88pScV/a3GpW4J0QmQcheeqRa3G0Dmr5PC2EBTANC3WBz8DCaGvdfg iobQXWajcdNQNYp4P45VPMrS3cHFYPviJj3kN6Ec7DBAwUBUOa1G/RbWaX7+SCsIlqBdBF3cAMQb uTpV/PYwS8i0CdPTJh7PLG6Y++e/VjazECMg1hyGZm4U2X6nAsjXH9iLnyZHgWYEKAYwJfAfG7lJ k+I2+AVNulCx1V9LR7EqLI1NBYrk6bnwWIM+aWTWsR3lZxlUS3uVhIFcOm33SHg/7enZL4GN6YmZ yNNd16McaObDfXqvoZzh0FXz6NEKK2yHmes1cAyTi8KfZ/NKmG0094y7+oErtdh/g6w43P+oWkjr oCnnsc9kIjnQaJCJ+DABqNop71iSvAT5UT5WaEfCEFJwZ+0EZdGrReroeCNSt6FcPIgqINHnV6Of 7QbbpcNmLdFRGjJfA3Qmy2kFFrqgIt7u5pEu/GB+s1JG7RhatC/nLTB8otwlJfPHiA/pe9EkQXHm oAFiQS5SxSCmHrKBFNSInca5HcW+tM8RmZ9PoySV0pP6Z7geZ4Zd4EZFa2cWA4BL2t8QkUQTSgL4 oJ11IiswGlbU6KP5zGjGNbTwIpR+lACH61l3hZcpCFM5BjD3edYZR40HD0Oj4ClAzm9DFmPuXW0B KCETiRp/o+5LG1GEqUmOu507MfxL90kcJ6YCHC/KpOT2AL7WT3TEjuZEBqk2G4TFi0pNWnafE7oW G6Hw2HnEwslYZnyQBNEM+Vjh/VzlQS82atLqdMgK6M9ptnign0KAgkxVnDuO1e3hf/OEUKsx3a0T xue0mz/q8mHB4NYsc94ypGQDch90NnWNDzoLU5eb3B1Z7Vg9PFpcXUWIaKS5Cy2ocBME0XBZm7tf 2t9qnzuHsx8oAvJMZ9OrHDQcv78DXMovLLk6udQJEKHScWj1MGrzHyGmtJvcAi4IoNczZTRdv7uH O94aDm5gFNI5tfOyayUC6Udu59TBgCw0Iavm4HwFpY0G7zyqkLVGBIx3L/DL3s/HdcM2FndVE999 uJI5ugCRYTuTBETd+IPz0bqaG2Igwe0HJNJrEjeHPoy85V7Xr8q1otr4404zk5RS/HpguR2tf9zV fQwfI/i+bHF4TqnLCozNSSQI+fJUN96fyTKhS1groTWUG9Cc4bxdZToQBEYLfZwCo4CXblRf6Ijo SUjdsaq8NtFyYCTmA+rzjDRaeL9VbKRIMByoE4WUfMs+9UEZK3Z6e6BEqQRbfO11j6hY21XO8wOq qY7lOyhJTFgq+H5rZg29843GHOcZInjz4jP2h/g6hrgutBj6WRO/eO27VYGCG4Pox4y6FDPscdxT r+JJoPNWsp9bX4uUFyt40wiUakeSkzUoUirZPCx84FLRkZxQ1iElETvSI0P7X5lx9KWOXFobUjyP J8ZWDU38of53rOB+qkxIOfXTU+fHZ3yA2NFGRuCqo18LQMOJ0hJZYhBcWJsib9Ow0hl+h8SoWTHw YUOHmK8A48U98lQM6XbJTNHx7O3vMIrMzP3guOfC1L9I9G9LNr0CtSmF4h6CJ05l1GDHG5LQs0BU pbJUbj4zF45gYYaHaBgjDQfy3dyRMyKz8oHtHlJbrEuTKIYc20LXU8x51LvquiWV2YmVkf6Fu7Np cqchG5GQ/kscM2IuRSzTzKxAVPiNLSzmVcdWcLOaUhiC2UOHInGvlDskuiTom1xmQmCJ9ZMkbTfY ZDmSxwQic5BENO++xoy45f32GkwBM49XCanjlypQ7ma/DMomi7Yp7/itkpLMjuXgp5eNWBquwafQ b29C1Ep9aoJhYiZJcph1f8WtOaBiM7IsaRfrSQwpl1wCEzRBqiBas4/zEqCAb65W1K8eybZSGCm/ qzNbExoAR265pLiRdZtqdpdNFUXL3YlKSunkiJ7pCZmZ0WijT3O/H9vr/lTDo1JCa3Wz6mG9isZe faaxcOqAfXi7E7jO28M878tJC/V44yb7s2ly4ynsPTmWqh+l7AlYP9b6emn6wK4K5hg1F7Q6BN9u 8gzBeIwU+FIOyws0yGvgIvEuFW7tjYhto4ifHrEHfwalC81Jnwx3XOU0CpqcKKcz74IGo/hj3ikh BG8WijSQyaTR15EEZqbAulP7Y1tcV1beh5vG0C1nK6mcQZUsdBeKpLw8HxE3Oe02OTdJZjUsZNiX T8UJNwjRuTWdqsYMs+TE7PZG9NwjRX95xBgUMp+2TN0vQFTwRQpp1sLtHqNKIDz7fokB9GX/+iXf pT4tsoH6j7shqY8P7WqES/Wrtu0WYl8BfRcJQVVOtmh5BtPDNtTiByCIuXHcvNCh7bKPfEy+KAxZ p/+4uZDjfHFe+oBpIvYDRGSLc1FeL0asVmuzerAyfhHLkXcRwywT3m6RdJPq0kV1aTxWdY8T2OAb KYDd2DDfneA6H/1hxfnfX8jJdVbNp6VSPQtsaL1DgRYu2uGqHRGKfwyoIO+kxkG0Wx0QDj27vU0H f3JDLBbUEi1+NYcsY1KcGjOHq3kDyjyqPAb4187sM2m6CCIltiVfEIcfM0rkhAJ/6zavZRdaNc2a GIk0aRXN4ylFdBU/VMe/Dx4mHdnLonycSjVJMv+Y03QHjM39wSFYgxoapyznlP4Tlr+9tgQEJEd0 5eAKeJ6WTUDxOcRYQDlWJ5k/Pvr3wfPYuZtgEs9T1eBskV5XUyIFok/8UetD4iFbGh1C7eQkRvxc TzsGbK+Rsyba/wyLsofsOYLehnXP45m+cLeuimM8lAkmFTfKyDW0ixUaKCyLcGr8FpyNmsW5ExKD VyI+eKdxFh7GeNzYYZ5RECMTdnZgciicea1wYjHK/6bYgAZnqEjuNnSxXE6ttE0SPyTjfiHD/ksu 9gtbY0ul8fTTSbVkcgoJNcqITTQVJWT/rqnCGDI4z+0eJUlNYo1stbZHLeD9Y6iFVBjrAGJjLZFN XoP8zOgfyIGlI3MM1nS6XRPM943YhRPEV2zpneIs/fPeOA8wytfDBItxL/my2tN5tELsz+4MipCP 0LpRptlcutevI9kvR0OnoBxQrdIlO5xGRzTfnujauz2X5fdDz2n82QNgC9YKhE7NMsGezTdMG4C6 LKHdd7wJ8pQc7LfLBNIZxnJS4CFB/DtRXCjlpnIscHiNlfXnYvQ0WvYAhRT4vHeSSUjjVlMSsD5q jhJ4aGchAFYPaNzr7ZWvuxkqi2ffPxgHwsYqMIEIsJKv0f4B3cvwTDtdSIefDXVJSiC2ljo4tfVS tHbfi4+/iYlbgYh1Jc20w6fJpvmDKhn1figknWQuCY66xO6hu0lENRKZ7b3Ugr2xuNupMgKUoqZ0 nU/rC93IEQ5Vm32RBptg/tlTfse3r0kcJV6GUGGQVMyPyt1/rN+FWBGcMJBMYn+kHwS4rzDEdrsF nMpb/mTHpzIJ316gFKWTGqhu3xwoiuvILvpSa9aOdJWijfAGeUWngoBco8yjUfROAyDc1Vx5sjL2 MK+q1OX9Tm89dcNMyd68FxV9ooKUvZCzcUHupxfzBpdDHrlR79GBZw6YogXiAQAA8J2FsC4NO7Y4 xvN7lJwFWDK8xNkHSlk+DZm7EZzA23YOu/W4P+TQaJ4RtWlFwVpUGWC1Wwkfqb/gQ5eK00taAK4g CYcMWevbiAurYg4ywmtXWamKb/e3b6ZqKJUT9XlQgv7cb+l2MT2CJSQwoe8wDwa5nXGCzVZb53nh gYvD9gedaCFN5BXocZI6hWikKeE2FiOKxUhE5lRk2zAhJca0ByvJyJ9EYT1h4dMk4jy+P9ET95RG HX8d4Z4mFCmnXPnYQYvQm/K9Fa0z0RxtXhg4UJJ9LNkio0rhZvxkdjY0qG1FdoAEw0om74B24FbH WRs/cW3wt4AYmicnnUMMZfPt5LOJsYV3xboxnwp/A/CR//wnI4TYWK5b6+0VvK14z46mYPdtHs6o 0EjvxK5GGwsTtANqLJntYqD78CpubGqPhg9WDvzMW6K4Z+fyrTHzUKGcOguGS7pbcyVeqaBeXChY 9jHcTWBTnuqpFMux9Clvixbmc/W8ccComVO/RzWld4NGBxurtRHkf9ZMc9X4lxSO9RGpBgaDTsMS ZDwg/bK/PVHiuNddzbDbNKA6E6cpD55CA7xtnjCZdUAS+u/UTgq3KEfDcNcdCLRHXR8lfQzB6IaF v4xqqVofQvLC/gaongeQcw0Wr4UrQqii7zy5o3QRc1eh0J6E128lv8sJIYGfISJlrq4vxLwTsz5R buLh4+QxlCNNfOJw5+dy8xKgc5oBkS7uHKJZjYdw33MXK2ysDsyh35JyXmKIVKxP7ehUiFBllhUm B6aKvwd7twOqfcGw4sKAkkg03E6lMiJOejccrQiojZpGIyBbQw3AP10cuq9MakRn5K7XH+dGyM1H LCavIejXRsbVAbnr3zNxh921hNQbsiPWpVeAlGP9XjJ1Os6+xrZ4zOgZmqt2eSGjlB4fGiQATiDt UUQr+odhHfW8AqdmZNwblo7GQyoBbluMPjwrrcCvnMJMdWARoM1La3kIQOMTltSMhi9yL7mwIbUC hnqEbgYs9Jgu3inUhv/wOmqKAzYzq7ckf5QY3Ra2dg6ssloRR71KMomnIVA6UA4LnPj3dQ85DGHM o+2IxAhPdeU/DTKsrs7Mp1x3g5wcPwPoZj8R0EDuf/ewKkUPEGkKRpGXjugikdQJHtQ5SaRqlF21 jOeSNDDY3IsfxAK12Cz4zl9kpJvqAfdPRae8HVuEgNndp9CHIRMKKv5cCGCkwthFSiWPV1psyxtQ cAZZ/gtBmuI04159fDsVbtWH7VMwGaayGMf/UlUaF6Gftz1qx+LW0MWdyORouB1O32bG9Xz6vx5B +x1BXv/DufTxZnSuPtxPEqSmt+VGZ3JJnQZJT03FDrtC0tm7p/f5+tRIv4DFuIT2afifpzWVuHQ6 2AwS8+M+PCIP+3OoCh1c4uPzlUtp5KdeoyyoO8maK8/Fl31L3hBQDQ7ei6gKzIclE8xoU/zoSQ7g qTnrd25xacrEO9dWg1HXiCXzgOSOYB9RPMQfpOGoBFdRf8EpYR0nNZ+JmNr3FjOiRS9LibEkCuNy QCASVwXsoK0EeyblCi21pyXE9s5XN2M2H3zLUwTFE6WQP6OFBs+5NQflfdIPiALcfvn1/cQUjxSK Dy/gPD+PtfabiM+sVFQmQfWI4n7F2wYX2WmY8G7ZKxuEVcUBDWFBqpvnmYQZ7GJKO0D94H73jp+i Of2OciBJrIoOuX2O6g97S58yJFgbHlGof8c0yVY7T6kNLFw5FkKM1I6scDubD55qJsByM3eY5OyA gl591dT4U0s1jgQOxEpNP7m3HS7EtInGRrmM34X47wvnTKrzkKYOZzGKWOXY3ugstaZy2rYntPBI biVXRCJipd8QaRe6TbKueTmOkjzhrPPEpZGtQ2ekL+WVRllXjDj2hveWzP1hsvnYEbb/dzLkvNYh VVKrHlp+jbwo3RIp21g2RyNYxE3eBSUkwJwWdiy/X/qUQAwdOOcrw7Im78JfgcZi17P9Smxa8epF RMA2lnVkGyYaa7TeN5+Gl3Uab/sIan1WWIeqxgQsT0ckxrPQEIaSKZV9XrJtQrVNm1jmXRM54lXc kGRJtYfQJhtqGfhR6605AXJjFFP7UhpPpLCYP9rsdlCPS+24LPzCp18tSH9uDIU6dn0C14PN1/Oi tgQLO8OvT3dQOc9xqHGEjN2MGW6gVvZDs6oVNSZigSMeCbSGQSqpQF0Ebw9LkEhhFlGaWaFLUk3o 3lLvpDHSoHbM3KagJWBD52A9TufGH2I6KpKu2bUns6J+LAnCoiSy+m0laA4W2ebUSE8egR5cxLgG exgkdiOt6YWXjEjvWrtNMDK1oK7v5S92hzHsRDyjkiEsgMZ11wlOVkY4bd3ZBLjx0mPVPeCYvog4 4r2dow8YPFQpbfSJ0rsfltcEEhw+qDfm13xFngIzp1Qca1VLvPwIWAggJs62K7H7nT+hoyv6DPHD SWrFuCc6DHEwoBh+VuCmy29Y3sw8JTTnTAEPzHgS8utsEuep5edxDzUm4sKfzxTmJYGAmG/t5fkb CpX22/ws6GK2ptr+O0Anodes6pHgNF3kmuTKianf2wwLD0Z8vLuMLgtnuXxG3mLfwnH5deo9QdBD 3zI/cRVhpu2NuGzysC9WYDYGZ8I4z8j4X3LiDuPoi7O/+ANKjq3LyDai93e+gAK7ASGcj9l3+Qbj zAO3nEQ8uyHGseDICXQNt5tMpVmZuSOGh71t0F5wDkWMWUj1hrwSbl9XylB4JwBe+wInKLso0oYR 8WechC52AdrvubaHVF8+DG6cf6Ow8oQEX0jmWRYytmHnaYYqJw1N7Ukyrvj/HJKCHwiisrCRx4hG VTwXYGlPjnGKxsSbo31vniE3PSJ9mPW42LC5gXZ6h4nEu+JcNOlzMLAphWyhubOMI73Ts4Pj3IW+ 6PAzycKM0E+GlS8q6QmQgZaHceiY+cKEKJSD0935d1sn+CGTgNtbxvdLzVdkeyXzfq2d7v1De/lx uZDP35C2OpU6J7z0O6b0zeNUxD4jiB+S+amU3pTtzgq3etFth4mEwwBDb1ol85LNJfsvK/NG5dJW hfqnQjS9ND/1CK2jAmDJ/6HJbKx/qSlh34y5rtifW2ZvidqNtEsvY3Wr8h7WseiL3UwOZKG/O9eY ACKZGGJ2yiETEpyPqN/RRBbgzhvgRKEw4fy75SJrW0AFcKZzeARyUBvPHq3lp1L+HSUmvXrvlvpt UrdB8JQTvDgzHij22hnJLmqyzuCZ9ojV/KVReIGBsa3IGw2IPhRemsVx6tywG5iXYX8BnrG605ki GYhlybGs8m/l9WyDkSuIL3OrZuuMVVQXR/oI/D1y2ochckftAVNBG2rdCkL69kxldyXlciWQjYf3 6Wpynv8r1xmmNjwq0K2B/9tE1UWoNEMIyoHnS4WHaJJwf+9RutB9tahA5QcfVq4JY0uxTGu3IDF/ 3gkaZP6JTOOVHkUQJ5lwJvZJVBo5+7jkrVxzsVn2fnL13nmzGvfX5iRxIqs/r4HZPKovtjth9fhR Ffu5RGUdDEfSvgM2lvaAINsmPY5MjAP5wMoJoa/6gznLotD1vFDiv4Jp7xdrnZwFaFGL0AgloxHn 6APwq7Fu2GulQQIkSvaklRtdw06FZafxb25jlLGPkfoRdZ8iHNGemgIf/s6NZYJzCquCOge/Ii1l bdcyyxvhW+DFtmaC6MKylnc6yMbwkjq17BdhqgXAAuRwxfEuSbDBfvWGBqCdr/n07FDQuAjCAkYd +72TVfKE5f3pH+GfkQfih1RQOyxrX4lVWjsDHNlSgQ1z5X/DpNiz7RcP9dJSMBmVAhdRm5/sYjov 43Z2mabo9SIN1tuYvPGmU/CRw2HNHNRsV9mix7u+8Zd9TC9yjwnLP7Ijtn2UdhNqCHeNWa9aZLya 1gNFvWBnMoXGYG3cmHi0eqQETBwbCiH/6KEezIRf5rOXkp0idwqhwP6AyArimYZ1ee4BPPA9gju6 LUCG7ImiagcEgOo9lhGI8fjypEaqkKotacQDleY9z0J16Z7kUQJYUSAM+YxJ8b670KRoXQptoUwp Tpr1ufxthdPmLV6kLzQoqQsC+KdvC62zcFvzc4nakTcZPNfST+P3aUiaaMZRdB9kxyPzdWDMIki2 JuRKIC2suGgRpRy/EVCcepBijFIXSf/t+IhZbz91pWMJHGQtiIqvEEB7f46SDchjycXEaetWNi96 GAfcGAlQqGGdAJ/TiMUghc+0qmXRhFEBomDi9jOYvTGTx8lwVqEEZZSBFKYtXW5sTcE98ccHJR6x mH5mVtvzYUk2JZ5MKKYMOGDJyiuaoYnh+en3fgwzS2c/r/wSWb1E9n5CRUVaE43bFPBnMft+EPN4 Zb0a2vtAwdzGlKYI9V4Fkxx6L0h5gKZPktZRmBuo8HAOohcNlyIUv2Uu0EtG6nVBs1cWhQ7rg+lJ H1binGt1K3eD57ih8ys39EDYSvGiytJcdC9bxGtqIpt9TqRw13zwap6TGwoMrX+G184K47Sms3vc ufsiqqbVoBDkCADMv4zog3QnP039MxG8e5cIkA7LHb5J6fEEuXuxYz0I6EGgwZgLY5EllJfMs3mq LqXC5LQe1EngEasZ0XgKfqPI2lvXTHPsCT0bzB1nj+SLUHlqRU5+pwKPP05iM0ak4fcdLbaA6kv1 Kz30qv8L+wOzgzvKyiCgP74XuxcKJKRbyKsFRF84j/rtXtvRr5+JprOFfpyqA2Z8SYr8CFIn/y/B ZhXkuNZThslsarE7Phn270aKkD0wC3K7ayPMxC73N1PRNfrwg9sUiRDrTyM9vTWwn1M4JJbUBlDT Ulhari6EBoJJq4XPydFbzoQz6OOPIafZcrUQ4MSHwYTbia13CNkzxgUTgiNr1wADJtLux9HGd2P6 NjZBCAZWRnVZyQgwueZBugrW0DqC5ztdPGAla6F6k8eQTYIUzQcCikxI26Wr/PZ84Fyit4fqVwaZ cN6VVdSD/ouZZKGtjsxmmXkm5kZ+WUjice7absy1RD7FaiHJ+0U3opXFL7oNbkcQK1CLozPlXl8J peMdbZzBgvZrScWcJby1ioRHXv2DD5MvYKsL4PKcto0FYS10nlMs3MQoomVX3PDedbhryHWirETV JxHiI+xtXuEdhzK9XL1tfc6mDHAJUD3nBPGboAtGVdk/vPw23w9lSa9QJ0hFfXfkK26GGZCDntdT jGQ0djWA1u8N9PR/c1o5reLFfWhWksP4sCMPmiHt0OW0ggnlQkwrIwE4G3zt6uuWKTSf+c8xYy6D AWDcW3EP5j2vjMQEIZxpivzgYrHyEl/V4iOmxUC32+GjG6um3iBcsXKcfVBmr3Vpgu+c4AqP0/GN IQapFKJnSDHUz3gssq0CCD+llMT61oE+QggyDMP11mYi7ZyiEYPLrQ/64aHkP0soyt5wL4OIBTYp zHoRcl5DvQ6bhmaJeD8I0ck21+ixeFDdbhuMTfkX/wsHD9hMfy54lS0/ReTImqoWm/rahe2m+X/a qihZ46K7DTl00oqy0aFHvSNtN3/WIt6C+zSt/CnoQBIaO19jTugxcV6lJX3JRpQsAjU2w1kIIl4I olUG2nuyvmDX8+Hen11faz4uHbBDIYZOOdyD+f8xZLXvz2smcFjRCcjhBPWA5P7+UedVBuQ+7x+T sEv3R/1bSXJeTASZRem5U0BQcUpAKT+ebrZtIibye8cvPBgJqFdOgompf218n0ej+MhTmPl68yhL DSLXVA/c02/6xHtj95pG4r0Vr2BoddDgor7lrOKw0Sb6WQhv4Z6mH73uHWkNkBr9EjgujdpjS9CS K64KNuZMfF6mfxPb+2GUKPP+zF8DHR/iDoeS1jl2EdShbDkuT7i3tow+RgbGmt8aHdS9dHzkRcct 5FxVrMttAzSqXaSo+jxRRvXmrIO1WP2NhBvfvDG98o4YbYFmLz1f4hMKP4bYQuD9MY91v9RPSa5X jcwtm0ktjtcfJcCOt6YkIIlKdJDaZLWrRnMk6Vmjkd626y3tlw4uNvOiFUUGBc8cOQ5+eMk49/80 x2mL0VTUJe7o+dhBBN+xOSrt2NQAjRG8hj3egPSNJl1k/SUZtjaisUlJH4jvoWqvLcAQXUQDg0yf 3YxxNz7VQyLPHV2bZTr4CzxGe9tFRDwIUo8nfWDEWSvdwP7okcDqcd21JUQ8LEYsHXhgZRxRgXuF G93Tno96e6/sRtn2oZ66BTcQ0QgD3ZZymvcTtTwBoBHgxrVK9vXLfkG69T3cnT+6mumjcCxqpQUa 4N/tYTMXbLz4Pa08KGsCIN8qil1WbYTvQe6AXQdmrgseuRUoV8MnRRZe/qq81coSuiLEr9f9sKz7 F7rNH/ZksmKcvvzQDsYxoaCmV18m8GcNhaTljGDRhXBAWe9O/D4/b0LWaCQ4V/Hj8MSuaV4TmI8d uaWrdXCY8vi2bvK9ZPVKBuXf9u/Syy5KoX8w8+VnhIyWg63etO0WF+kNJGoU2dZ6kDoEWo0m6km1 4pnq0wqmwIyZ/pHS+FrvhrxeysZ25GW99vICDUlztYZgFOwF6GqPyDtqMx91kFffv92lEFZkYczL xu1XWDOIv/5lXYSKXpXnJT2i/cJu6ML6z6gNVdOeu7G4frlJqqkHRRFO6ynVhvflPrF9uyneELdm fSjomaRWEHOBV2ohcghMKjvOVr0z9GpyI+oM4vlzi4JBvXtqjUrCJc51GxW4rH0sOOatuS38w83J zsyXk95BAb5DkAJm8J0Vx6csi+hz6wdiRQIHuf5Kc6QrcdJIX6xFX1xLTUIwwxqZyKvaSgtrkeLP 2VGkEa7ukE2WOkgZfAKG+9H2NWiQrtok5/dk2IliNY4QgZotp2CwV0jfismqvQ4ftvKbh3tv6y8D DGyIFoM97Xt3iIUfPM1DpWCUaFgE+XHe0dXbn38y+ijEYEflSaLeWSVeM32yp7AkDiKYlIB/vowX M/rd1mDNWtx0AeVrHQ/QY4m3plJMp3fRhk+QN48h7Pv+l/aqknfyDxLyM35P1MH9jjP/J1l53eDT 3DBXiy/Sra7kEocMq4TIXqZ8wd/AJDP92bRjFbchUiSAHUn9TOPQwjblksg+eN6QpVLDTielQYbl i7izSADkf35/+Hd8s1SxJIQEEVRgB2ZKIMRoR1rqysKqHpPneykiMTVvY9L9n9rJ5nlTAX1hhx7X VaGRJex/9uXXJdvOVXNSB1v+NCe+ufy3cKxeEXfSJInG3a1OT/lbjDnBZf/yGncF/JkGcjXToLO2 Tv327XLVPEwYmA7IDSKTlIXhu/HciR4hGjT5CZvJbPXBeLTl1AXK0FJRiuc9Cnmm/7gfkGMruvTn PfGCtvWT0lqvpQyrMQlc7qw0zgxzec7pBRr2y1YCiBVi7sgljJK6QvUcPY2OHWTd3ygKaLZ0H8zI 8vYANBPzqiM5COl6s9Z+seVOKrP6LsYo49pcovnIRYDx+HSOoFqvPHjrlplvBYAAMnvAsD6QYbGV awRwbrDZ+4tzRCXrq7O8z5bd86GmfVCuE37Pn+VY5pq26A034bL+B+pDc8S3fQH16pQBHdPajjrC fgTbiV68CxcDmDQv7Us1Kc7GxKxVSvA3VlKoDaVPiZjfaZ4JZ5XNqmIsSnwMJKJ/if+AKM3bc8a/ 5mkGMUfdOB5eWsbj2CcGdwZueoyHkPCC3CognyMTVFJi1k2ZS59emaZ4P0L8XajwzSkCXsLh7eph W/ohmOTfd1WsAqo6a8OzaKHOdzc5fptQvMo5HTkj+CJVt5FFTpYTVnC+C6DKsBU2M3xu3hVEE02n k3XLUw5EBqJCp+OJfVE5f1y9wFavEnI+Ku+L3uOg4FteQq8TBQz0+G/dWFm1+CYaWxG1gg8u/IVV L602Xix1uJo8/szZL2z8Fb9RrsjJj+4d4Ay7tGHhaOeA9tpcTNMMu3qjw1K7rItVrgFkmhZtS4Xy fXscmI80iOHby+OsXpsI6a/aTSmmE6nntr/rjlypHPO9pZbomhTWokyzJ7XYwNy1bKF3TYnRF2Vb D+S9Kfigqps071XtpDo+a7TSB592/QfIlKA7xi/VEWc/jQ2EJs9I9KPYYM1Zz/rLaBkBGKLZtp4R S7CtVkVqLiPxQ875Cr7KKSdxC3PFFeUIWq56b7Uu+HCa6JikmQlHL6DPj/m8cyaKKKS1Q4aKZEZ3 6OdXU4BePV21oCcScoWi1Pylq4dUGSGS6BfkfZRZRLNytq+gGvy7tHDDDXEQnZmxoLvlWm0gAIbg Bj5+illPC3auxhtrdUaE55GqCK+EEEB/h0rdHwbVyFSUHFtnXusmQh8iOVuAWCJ/Z1CVN4D+pO43 8al0Z5aqyQs8IvJJKKGhPHhXavcAYuF4yO0OB+NYxezP6csFHl4pcM+/fsS88iHxw/LeiyCMN/di NcHcPl1FCLyDkJZ4NnCi3O3fOTjcCvgsjAGKDzET6WoXE9Jw98AOjlcHOkTp/NQm9NJ4z9hIVD4F j/qQs2t1x9lTTR7C7D/D7Ig0s6olCLl6KzXkSGho6wunDlXPqm5EXhqOb6OSyveIX190togV+ZN1 9rzdBeMW4AWbYj2YHmm8UsWHuZDnOAs6kGBjt3IGkYPagJ/CHiQDWOQ0x/itrFgYBjyXcmzKni9I Lj0eTzUzit4DrDAxhhB9POzQ0j9VmA7wrRL2txzG2TaN6mDdwtXgDgQOspCg1dA1aKm3HiQDbprM NT1Whx+KGGUMd9v89+ZITonUIMj9/lEtHEP/D5wnwNuO49kEzPz6YMzp5ErbmdOjvxX4/JOPIZ0k q6UhwBCgK2m6yO7XNBoe++nOps95wMlU/fd3f+wC9LqECYxGy7fhS0tducrCVdaVcQfgF/vf3rg/ rTAS6rKc8mo38uyaZ7hSaqDoDzWVAARFoo0WsDOP0uNhNPtlVI9QPUTceEIiYWEU+ib5+v70IKqg /YBVWhJGUtAVLe7XnQzAZODmHv3HQOPQIW9DcbDWCklOQpio4bktrHehXm8ERWoITRaQ0WiyZec4 Q7n9+AVCAo8ciZzVVKBExiM66jfzPH2PNPR0Wsu/Tf6OAdMNSpb9TDLBJ47qpD+ohliCYYess870 xk0pP58DkYjNQzPCb5iONzbamRhSCLU5YuGt93PT1qOM4IlL4d4fXIjqiiU8V8VzpGQMMZQX34fS dm4cKqlEnFGSlbhO2hO3b3OSbhauKWIzmiqjLnYG365lsYozQKcS5/mGQUEEox/HOkwR5zXrr6O3 Ntls9dqf9AINSrlT0O31xDPOlB2HT2sYPw5UnzGxhkJ3BI36RAbgGw+tDpf3/cnno5eu0KlEINQ+ 5h3g3/icF5fSAFGVujyP2ntCMKg38g56DdbsLi1MNFs+qL6jCD5qU1XSrj9gNDvm82gWkLqWfxzc Yz6m8B2QqqQBNKitMTbj+MOCGXrD7sqvoshpMCYCnjzsh6kbZt4Fls/lm9QdWAPzfRvLbt2SxWkE hcP0Hs4iOqGZ9U4Yf5XFl53O8/dk74ILcBvTD6mrowNYkESG7yPNthiiT6oKoOR43ib157z6RiQf 7VMpDVE4guNpQmbJ9u/tRxCmjO3wWWE8IprjsK9HwY9x9jqJisEQbjabqpPpspNACBTwgnuqzzW2 jYerK2h7sPnDkRZO/ammeEoN4A/5n+X+FA6Oq6O6o3MAtbndPcVr9bqlrGSkMrlI02sz5DrVzFcs b3DL6abIu0zHNK6WdRbn7esV9/o73N2sS7U2paU2YErOvfAl5aZxJT/SerTgPsdytYQOVm6e5Xjt Ejm8Z4t7zqKC+ympE/p5IpK/CgVMuGB5TRrp3Hv61mgYs13wmOlrp1tym3nSyKUvxmDvAY59/xwC vgArxiws9BA8R1QKNe1QTAmZf5cv/1FCwAOhoeUnk9WEnRZit0yyhIZw6VnvFjJBrSPscioyzz5m wE2AlxvvhG++3yACsx8yT3mOJwo7DErcEadIgsga/6DuUGO4kf/Unrk2OxQz6eqAENIDSFgA2/BW kN9pb2pcwCnuIi1bCIjVbclfho1JGRy9O4oEBxePGFqEmBeFpr6X9IJs3KYLa7S6/hRl4Z3pmmQg aRiSnUJZYrdxrDjt/xDb4TSPFiTfSw+mRFN3lACXZIm3UIYkpCz8Phg6KMPC34VCW6uLbl//wYg0 G+n3azjCVR5YwlQMV2Bu4QlLHgDQvmuWNoeUTi7r12oa2D3exNXTA6rR0Bs5QM2hBR5BYBKaA7NF JQTDjw5oE6ubP0R6da9kGLYMJAfHvN5rxmYdxciStj743NY0hUt6L4xuBlCwTHg6xL69UzYZ1YiO 8+fqGigUEkCDyTHaUp/xc1DzEASNbnv19vbSmgmHrUXaznuWuDzgRH+yFpo2jvDMQbVALJ1iqrMe LMsLbPtGUHNRFLsi1Wp8ql8RzhDoLGbeoEAng11XmYER8a3a726bq6+pIFIRxy28bSCjxq9EHwgn BkMNBJ7Vc3a9ivOTTdA8qirqU0/s91UJTrKgKfPAmtH0MQSM+bwGVj2xqP/FjefVRNx/aDPuc7zq NMGbFkK21an9PvZOPS2brxQeLJIsamSyZLundgogyP3iqPT/87XeJ2M3terDh7mCYFn9vbCxwUVP My2D5Q5mA80aQLTSqVN+QLLzt6zXNseJvDS/16cS4bClynbrRdbRy3o1DByBDUOhH0eHMbgwwK6q Z74hsuD5Etqd7ovxWNLum06eUqYQLYfT6M19EEz4Dc+j1fACk21vrBmHfCmczO/+2j+58jLmmQyp b41TNWMKb0MW8GjQqk528NzDse2xOPvdw1rFRdzxavNNmaMbNsDxtXWazmtvjoTqjyQBE5RmBMwR Zeq72FwBN1LkwU9yPabWvQRN8HyUMxLBcOZBf34NmUcleDlCzg/qkjhIJUDFLR05gtIrJtufpZ8c Vq2/lkeknmZ6jUXmb2kDHlZDLtXJeWAgPCAdGVmWgZv5qKxX6p0v0MjjcNXNaPvSS3y1FO8HDMor rnvdkzcZEdxSHesd2UY0+MuNOdPjftQfa1B371Y3M9Yn9viK6Vrlav7Yi2wQm7NEtHZj/KESFIWU cIOYIWGjouUV2knTcISukHsKfKpp1+sizzAsfFohcmnKNMHTCc/WI+3NflXrjhQqH/q1CXlyjWox e6ApU5a5gr2mM9OmA9EBL4FhTl3ZT46juC2YGMwTUt2k+hwR6gVjNO1ohbr3TOEUffxkNcbvGtVK IRJiXlZMvDQRAoFZWeVF59JWfjDSIzSqT5gr5Q+8Jso+MdF/Ky01UyQmC7EghEOZle3Lm66l3Ysz Q1KYvQ1zoM0XTQrWawsHsADsKgf48eB+e1goIaTX3p8aMosctpE6tYKLU7rrz9LY9hRtjnNkaaii I6nnpUppiNvXcbjuhpQvdysGMZuNpZ68oW5BA1ABbGBj5Sh/Nnq+cgRex1WlrGhFiRfRal9dGXDH 8RoyHmR92BiAr9tCHasNhWaDDmUaTNh8fJsYkvUR5AkRUb4flE1t/rWdVIllAA8fYnDDhwU4R1GG iuL1RmHmfNTJgZpNLQfvRqB1Dz7ZaWUr+PstgX0qpgF37kcqJO8ItzxSNgTmB0Q0McLYFXHv8Ius yHgIim++Xh100ttxRNpDgf7y1ItkK+6RSVXSU/ZEBN+7UCw+uN4v1lhoG/Gk+ha7SAK7e7+dmRai e7CKz6qCyMMsOKad5yqNNJRuRHggSbHG+e7AyAjOEjIPbLlOpQtfTDget3E9l+cFiN77kXb9m5MF vEaDCSAqbBKM0zHsERu22whBZz3Hl4yRAfSqFeQeO7u2AtygpZamYGkqcKUberKXzMqJpso7x+6a XGb7jFav4T6BB/5CFguuaoujqx2zyNhHK6M3wdNKHELOD8eERr2mGAywp0INSTpL+xGTkHbTiVa4 bybP81+osvQQfvOOGAXFyMR5lVYydT8T2sxDWCUi+wlsc6kFTOAO04A9+VHBY1dwuqqZQFd0+/Kg GCXPZEJiUqNhCbz+qoc8Q4f4k3gQ7CQGuaIq6ml2/eUuh+Z2Ja+eryjEpdg3QHWwGqAJ6XTIBtbi 72IeaE3RxKAsaZ142NXozBKvm0+R23uCEQlBZdsj3mqM0U6glj6qRRJcfacdPk+F1/ZaRTywFdCf 6gtgycbM6Ve29xctxZhsjbhwOixI9qkZ5G9JGyBEMY4OuDGbt4N3EzzvLT8xK4LuhZlrZhUfbPNq UfHxheGLavZWcRIHrKmcfJVqC+2+IxtbQLUbbuUzjaWkxOjBcyK+hB18DBqMny1cxVIOIpy17/bi jKbJrc6ZHjXgzXxs+vqWlS92809bOjGwqRc9q0mnVqq9KwVICAcxYv0nWaFBE6/lbY27gRO5L9iD 7yeJAKykIIw1XiV3YIPaizZN78Umo7zMpDqmbJ1WQFtigZY6dQrF6Voi1ijXaab88pGZWO6C7Qgb SapoMDIe1WJPpfvkmdWk2DPp8OBHI9G4dnepMg3CeKbAl2TuA6Ul2NPMFPzFoQabdl4YET+siDY2 s2q4BlDgzO6bBGYg26KQ3wBsk8mLEo6c3jhq4f/Pxcvcym4u3Uppv8K8fwOVaKPNZEVvKpdPEv4I 52rFfU5hzlv6eTuwVYfvfwV3SwXtD/zjMoPhjU/4X/EH/63rOYEJxPtsHFp2UhuvrrzlAF4tHYk0 YJKhyU4wM9dLGr28Afayxy5in3Dl6RkmAzApHNFVakbxVUg8Lz+54rBpV97OT/Jzv3g/2kn4g0yZ PykUofTwUrzFe455+kXt4TA0iFmghPt1beFt4HTCzjQ8w5REtPr5TD8NOp54Dhxt3xP7caZNWSoj hP2pBUs54Q1/QakduTZpZ87rpDZ0JD0Osdn44ObiZUsEpyhM6OEA9XU5PQFhWNq0kbx7yoK3F2rq E5HaetkksqzJIIo/5AfbnkREkI8El0T/yOck/yY4EN3luw5+lsTxBwhiIicoBmRUSxMHZIAEhtsM i6m0mgu/0FaAlvT4kYvCB3Iy/geVcmCGb5qUaA/01Ibw2eSEbw42LIk1kOEDDA6HU03Tbvwiovkz szzptkEgTdJoX/pimYs1+LDUmMMk5NaUyUCcD6IorhB8AHP+cx/hXsizu/OvVqez848oEUsS0wdz l8A5MPsH5kgwNTl5xPvyeIV1JcQ/CFph6lvyrlFNiTW6s4Ub9Fw2PpOSwvme8IFz9CbQb1csoqPf hq0+pvDPctisdelYwsZO5IAktw2DI8r+MgfwPn096FRz2vKId2yImBt4D+KikD9t+Yu4xfOU60aj abjzSUcGpPA7gKuBHQihtnHiZpu/Au1MRgwaqWIkrNLmVBHt7e86anO8DJfwTqf2G31jZ/raCWpu 4qkVPUr87vk8fcepfvperZlzhE2U+3BKzARv3JjUi10ljX/3nTiM7sIr4XW2Sr0szhCLBa8YQRfs d+hE7RJChHuOaO7dmkbS3xO6idN1USA8WXwALzhsyJiaXedD0JSCukpUCl7Gy7ruByg0wrD2GBhS nf2NjouEbJ8rJniUaxkWtivUlIgEjWhu6xAUaWeBGJFnjwT30TxFE7Xkdac/uOrPzzk8fvTXI9dC +6XWQ8Rl1wkH/qq+JuHQXKDfPcyDZ3X4j8uqT8l8jqIe+gej5lo/nHqj/bIdhiwnTcRhEW6GCm9o WzyJma6JPiOOrOq1aJlRI4ZHALP3ZCsNlBb03RRT9qOIRgKNUpnWw8QYyQPZJq15BxwxVbhokFca 6GtN+i+WjtdSygR9Vc6ytupWIJL/7fTvtU5CgO16AwWDv5vLK8nqK606qy6GgO80uy5If4sEQL4j J1IyH3bz01XMwCsL9DL8V6QHYsKT2ofEFZUblXnJ9d9rZi+XvjxqOQPTVNk9d//mOMFL6QgMzV1n jIDtVQRPJsRub3JT/1SiStLa5VABJ7l3nSaIcTMVqJnJ8M44kO4b+vtnK89q7B1T2U0xtUWz46EY VOslIiPcI6HNBKpXMs2Cufuy5RLpxKBnin9F+3Kc1DeoLNVTg1lR4bL+2s+KQVvkcrrYerZLiw2P T6CxcjaT2u2G30gkCxMzflIu0u5K9XREu5Ted+rX9HfLdLAxwpmcAFRQ/0SnNOdTMMTaPQ1oj8lY loL5rhD12xwxfEiyjF+bgrZxKOLU+LDc4ammNXdCakJDYHL+FlYI9B0lFUKASnbqqPGb/aGgXA1m cI4DuyIoc9xTdQxwaVAPoACOiqLWYymKpcDUBWUBsfSa8iYOlhiV3rNHAL6MskX/Z5WsEmOZQljd UjWgo1cXZxEVcVKCMfDjire3+NMuWjSHKlCz0nLDKL88J7ekfPjD63oOsJKYoA/2B31oytuHQPpC K5O8mrdZMYoPBxJSyiPXcmPQiKnPd10iRmisciZ2q6JieaKCTudxiwJmcvEILD1YcNY+aBahro3a WVv1IDEbAvy/W4iNvehGwIV8u9sC53f0kLV8ocfSgHL/DidQlxhRxXfWTCZJKoQytdFV139SVe0/ +FvWR1r6KH8ouW1zxK3oA8dKq4YDMUAVDz/+NuHk1re60CWHeisoEHKfSLg2ImGoHz5PtIHtjtuU ROLfkX7ntwSYOgP5z2AoSdg7jIQIWcueC3NsuDbrzb2PbCtYt3S/ybgvfjkiycxT0qJIn+nknSpY mkL1rarbpqELtNHV8vZwwNvEluz/VorAgNfMSjSfO1kR7blFlfn00Z0UcJpJPbumXnhC3vUeCLsB Kqly5lR/zzC4jvIo6BZa6GGk5Fp6J1Wzr/vJzrdwM6KrNA4P9Utl2kH7L4Akwprky1QSEQOeVTH6 GP3pVElzepYB39jJSwdAz7GxsS+/QYOizL6hmw0gzQ1ldN0+BJoqt5o0NfwUGP6yQLn4w8LG2p6q HjEgslcuvUKMrdqMCqm1qay2rZeO4i4MsUL0N5gLuIaJomXhuhed8jEtoc1kT6+QqprpYbEF83QJ KGpKmV9P98rsR0mc/glR197NjLdcQQNLMt0+1rwMavNO7G4aFS47Cza2T8zHaGQPIHbxmDrs7CWK ui93zdrEezubgZ8OnebYhq/eDona5q/EL+nSrrFAiwL12+IrN7gwYuRwuTOVzMpz4YlIpmdbV2Qf /sffDxHpwYEY5gH1ak8UTuipUsCjAzySd1fulDr98BBzrTNZxT3OQesNAMd+HAmEkfMFq4rQjVfw FWYUjpsAgYJRz3LhSaZhZDkx2+f85qYSGEwyX4vX4SX6VeiLayQPsWeld2x1wZJScmIVbay4XhH0 cw8qlHr0mPRm8093qBKZkKO0c+i9uE7QnldMsm/f/LyyeoMLjs1Ogr+OL7QzG0wmybdXptH7A/V/ gEXoJ6QyknspjA2e+xBaSwDxVLl/8KHbP6IyjHlXwqqFfjvGL541L9Dxm7wSpOG5sPvZW0rQXd1R 6He63PwkI7E6Hdcg4Nb/I91Ivyn1YQ/IhS4DN1rcbGtKKozvCPBw11uUyBOtSViAnduCOeewELtA XlIFVIHXzSIDlbcdzP/bwNuaS8VzaKTCprrvY0sXlI2EPTzpHMs9iih9B7F2zFGK2j3rA5CzGAf/ GCNLQqBmSXlR6ekS4zg8dlQK2kigDHF3oSns2nHPQ/4JbuYINc9fdAOj/Ghn7NXdrp8BEycn//Xs 4/VNrm13LE2I6YuqZuArW++YlFXYK8/AEoeUGq9R7sHEZcz8/1ZwadFqPIetUZQrVAjrwPfVLlzS C2e8iGHrxIzjXkDO15Qor5NrTTIijzw78YTcKVpBHFvldZQ/r6yr32EnkgjR8QODZvCZfNSs8Rxd 7QyyvjNL7G5Q1rT1rGChZh5za0dHR0u/P40d4Gl+CAeKlSHCvvJP/R4PjD8p884LqG4uVSuEb7QK PmLt/wr2CWgfEULnZ3fX0aFHAgddigQS+bThqvklwhLRPzYx+lNINdkaZfw+3Vmghkq1JMFw+eU/ P85kggmpHhmjiTx1//lK0oEUhbe/psgDmLBN9TbW6g/m6MCL87r7gqqJCu3diKQlI76r/JYGv0fJ NduqgmbYXygiLMHwtipOwMQQ5Vf4cnqRC4/blIWh6FtgkZAOQb0d+XkW1Jp27najGXbcfLejK6nm 74CyP+CVX6KCjyI5TY0fOQpzqW2aeptEavscYwZk9E1nG0G4o2FuyL9IW3G/E3iUEObkU/3IZtJV wUgXIpIa5+R70By3BPJriKxMae8CwAO/g+CfhfEnyfI44r86M5Tk410jTDsrv71TKqWrRv0B9hcW //kH02nT7C8R+H2YoTdeD3sb2LlT3VhR/KS/OKHjtnpZgvE4NyR/cdacn8GwilKX5dGbJYQbANWu pVJmKL3Ezr0ky+V95BL0+2MrpxVaUH91sDvuXK5TUWTtIg1Q4RH+IPaSXDDJvV34iLR1ZfYO1Ije xAjbwHJ2UQYvSpHIoezwRTJ0MMnnalf/GqlCgY0wiTV/P1+Zq0ILpVDrb8rIxAxf+kiSwtl3lGd3 +KPHN+Nxj7XFDu1125wJkAWWkqdSxYfHVMo7EITFxQup6ntkKQmiCxb5NEZwVwo6PDBu3QXit1zR mhKup85aFSkiVYtQsLaAlwPSv4Y0wlq4wchUOm4yw+YZUd37bJFr7abjpqR2+STQiIygbhKUGemw xPvnbIrcIulZFQNS4yiREb+oaP6TqUSUE1uI+yUXBMFXFYnnqQNazYFmnp5ooqzgVJSug4K0IlDQ UcePXEi8DaOS56hnn17nLLSnlD/+VYMvRDE6U3ajSKztyxrSgQiAUfu1GsqIpKrzWR7epkggd3CH HovAkTvN28Gu1bYQibXavKsqmcWwyzn5jENvssAifg7ci1glA4OX+krtG9wWJZybeFbw0a1C/rKM BNBiFciUDIMTQ3YEM2Xg7fpJcIVbk0WVAgi/rgV9twbr8bRZ7Gxe1cxOcI0P10z6g5PaFG2vFDpm s2ARjWtXKSquxZKxGFVVtzin53Z7xg3CHbJ1r/0GsKqqgVEp8cU9h4ky+IUlP9haJUO1NfuS5Rbp 62JSovpURM+ScEjwa7Rln14ERmOuCK34ApeQPBTtmZE7GC1monL5YpuuX3CAsEy85eslDCPX2NaD G8WZ2zoSjVoL/J77bYwsW6tknwJXhyvjyhz1XZHWAkfofPUMFiPFiu9R/g7L4Fgy7e4b/BUluzEU o6+zO6gjm85WW0SZqOBswoe4cUNolmlQSIEImkOjdAOje/XEPsh5byl9Dh8GejVhRZrKTYYafnW0 /iJsQOAiE/zFRq9V1OJRnNB6du2oOWNTvmO5yXRxWmJzNgYto0Ri1BLkJdkRF5BZv9BVbceK/DjQ uhqOFmNATYiGM/UwnJUey0rOFBk9HuL1fo7t3PLeEeaUjvPSLPLnVbT/tmIkCNSG67jdI0ZZod3v ugkoy2nE7fz2Cgg0hW4pAKVynWvInhrlS4GMVNBCzUNRgfNAmn7aToNZNu9o7Yoig2dq4ZzW5mqG dR5vqYc+lFBUt+TeXYaJU4CGwcUQU11DPOqGay2iAI3aPz2OPJ8P9c3A8a7K5JLwxAyCix9wV1yp s634MxSvGOM7n7caUXLUVijk2OuDPAkdP1V6KBYQydSi+cIF0vlogOt10sOExHtiwdjPStaXnG70 OHoHjbU3ewZXzuSYWD/RRj5d7seRnsofAwWiozXL2zDw+6z+9O/PT73MxEpoWFfSkboj6WxazXuc ScypwNIb4MYrjM0ONwnsjbKiAlIPiaFqO1xJaHSa4qlavg3bkdvb8Toy4XzfJDYTFG4bs8oBHuw7 d8Yn9rav5gEPUGiMlxxxfC00B86ju8flc0MtRWMAcmsBdcVUYmSH6idxxjPV4nhe9hnATUhemhj6 uxKsaci+5WOIvB3wFuuO765vvgM1mafs83MXxGEGjfqifGgJgC11qkm2FaW7VCb2hj9ERPVsNoAu mAoFRsMYvIqE1LCLwSTuH2dYjy17IKueFyxR18hLD3xGat7F3k50pfJAtoHDwk37R9ENRQw2YuGl R2clxA0TqNo1rBJHebaQCQqUzSgC9h0/a9vgcK9SQ+u5BA7FEQyCmJaNCgvO9eTN/4yUryxpGCMm DZ43J1teABZ6aw6CqpI0E+der60VRwQo9cBZT6uBabFLuuEP4IWWzup3Ayt6RKZ9ULm3FZl0lqc7 mqSWA/XoasagSb6iT9BMXySvCDAdW/UQRXic3C1xYjgN6ji0fFoAMqkQithAOcOhGaJ6oGBEqeCy s/KIEotbmmf1u/bc8Hlv9pu5r31cyGHtBKjCDVJGAXTR7MjYS1Fuy6HzEd8DPbFj06pqBogJqEWb LV/RFshRZooI46dPVYIApwLiwzo93pk9kIKVVVk78FaYgtYXMj+xwwglufaNxc22OEC792NBPsGN oYWq/HbcxaYaqbPU8VNrFliQJVuxQYnkvGI4n3ehFXQ+9s7C4VXGQg24wWOTn3dp0U5V9VAwn1iY sf909F0dBbGJv+9S+EQ7X098oS36/QQXrW6jR9JYqCMbqlKQb2UlaJa80vSDT4+ACZsN6tRRhCTq f4BCL3xuYfElsGdRn6uI7MW6cdIZ8cdURcN1Z0suE4430EAh9QkP4aySogZMc4azpxxkCIf0EijO TijBTVL+1LLTO2WDxth1xBpqsFw4UVBftKnEk1Fmupzb6oNN7vgpggvcBDalhyvC+gdwOw7hBv1y eTOGmUpLt5Mr7sr97vUV3Zq7mkOsf83Bdsgbg2zmVi0SQ/zUHExVf/54HdyQq0ABTBGVxYtsjfeT gnWhCPxqL+5DOFaSIpAmxkoawVDTunmrwj6HCtU0nufxrYFPn8gQjaKPZJj7EC+6AzZWSDoZg8qi A9sLlfVdu2twFcqUky5V65eEim1IYY5TKgm+sZATMGEKaKWRUdDrIYTjCwbbHdB7jviTVV53/hsH qvbbyfYEYrF8BmrpvdS9cfRi3SdPFXgDcm1XUQ4RNWVib+hSKjECuC7mp8v3yVb+2NYv4w7bynfz 8NjZK8EOfDCCH0KrGF+jxxmR9rkPl3Rl5Auew4uEcVyXuKP2voB8Y95OoUmLbTug34MuuytZF0vk ZRXUjwosl7/58vYj7oS5HPrpisvz1DMfFoSoTf6kdL/tztWbxx40zWYrEelmwzrx6RjCbx2HN73F To0po8mjjJY5UIxeA2Ke1Z6Hqv85ZqlAK2h4ND9+NkenIXDIactw2S6GVkVcDl4TH+VMoGrqHyf+ YkLqquTgViKUUgtqdeizROHmpOFcro/VcbUxbS3fTUIIGAKw/ZqtxNzwhHLKkzixB46m6bfdU95X sAeGjACA6/pRRVmNvHihA5QOBE1kvB3wStGV5XJmLZhcYLsXreHi8mq8uho1W5S8bvMVxTsre/qX iYbQSLk2eUIcEg25oNLmxMmkjUeSljFZB0mknbkIlGUKam2z6/1ibsLTN0gX+/2rlnv3wES7F1j1 xs6JykOg3EJQo02PJ0D15ML7FqFw1BbLvWRcjp8m+zNCQesYhI/iPR50/+Cbcfiac42BH2AZznjN 2wtLqgrydaiEoZqCbNjYVkhTU1yl+sSOBuBN7qDKRBUY1w0pgEAp6DhXwCuIrWVHAFjYJA7vc65E Bj8eMm1Vhgl+Ks2gFzSVMyMF+p2X47XHga94VMLC7Jtsg9m9hmFAePHHoHDpXL6e4NGFX01xEr2Y Z/wTIGGVvYLDbTGc/9dWneNnAsboy7PWwhi9vN1KnO2dmpMOZB+KdZL4LDFya0Zpu1WXxoDwVuQT s+Quiak1/EoZfXMxhy6ntylpbroGPS615/nLXPb0I1XH2uGqflOFLZuROz+lP6fSjPuNGcI/w2/w 5xX593O7fCeGE0ard8uhbyjuMgPwUoxyjSRBmIvUIfWLJ5i03M4oMEE7OVpYmsfwiAI/2E3Bn3DW JOEEkbkk1cg360KpRpeh+JZ3NY2XhZTbRvxeUIcSICyguykmX6gMu4tRdrClxjMpRnnDbe89ikQq DCAUqlPys4c3YiZA2w2jtXD/+r6qWK7ILFAKGFTS1or9iXZlTnJUymIHIqis0ugQ8s1rK54Pkbkx svLUPsqtDwcjvBQLCoVNfuAdYRQxaKIUKpcDrDgqSh55ahUjEC2yF+QWcVMGYdYxmwof1b/oGWgH aH1UjJ0qINzH225tgU2gXbTremGd43dCVmyQcQ/g5jQI96b2EjH1r/+HmJIaLCac8/r+mlFVvqJW lJb81OG9xF8u54jKeygx48PZ2gxOWct/GvlWJdTU2K3d7GAgiBxA8OoEE4mSQaMhb2kBD76aPn1W moyf/Bq1nyxO0h0lwGLW42ogK3zsSqJxd5zMy7CT0OnJz+6gz0x5orp7st/sUuVgfeLpinUlxRpu Ra8gS3moZvVEWh7xT2UQ86G8dK636Bv9bIWPTgBZF2UQxxfbMhh+CkxgYpNU4eKMxN/iM6s3r+zB 1HOks+tdDGJvSHQAtUrtAZdSG6jVylTG7ZluJnJEnpV3XzA2ctISKKjOdSvrFrvfXuKrPoxjrrx4 47D/ev29WTYBsxzYo/Z5kBTUB3bMoGZl5/s4bK8feA54JmHzzYG9FIwbDSHH6C9Q9iu6fbVyJP3P tmvtP0RI9W0Bm7w7YYZyV1wXE5vzuC3rwyVG7wnt/hpBbL1XULRdj9og+pG0HnQe7ljeMV+zIn6J Zhvix18x8d8CX9W11jdIfCAwGa0Tj1F1/Z8u3yC6TyZynj9FP2oZu/nxvd7JybApwiC0fps3wXq2 w56wB/Jd5SV7t6NG+Td55KZMSzjNGLKk1qPSGFXZNxbNN+YPOK5o+9nxSFNVlCdBS2u4LWX7ScTM JHsKH30PyL1sFO4CLN+yHYNvEFivr+cjFnLo6RJH8gG0HbRUcQ9h45JzH5RhFEOIa/lkfaiYHiWq rEEKF/99Ng9HL1q/ZO61RMfnLWYRXhyVWgYXA9zcB/GcLdfV+Y6SGjMltgjmj4m89ff1VvbnMNwE aH3nDfufl/nmYvmRF6Mbm3X6n8gq2j0fkUZ8HE7f8NP/YiKIk6kPVc14jxybq1DBAAUUmweZGflw HPLkyo4xSa7QRb9TeU6PtrmTnhbQn7UezKzO1WIziHyXr3BRIcJZnF06cnmHMxAHS+oQtdBYuH5l wFBGbjE5NfWBHZp+U+S+KGh6R6UFns89XfqJoTvJr0qCMoV32ut2ovGc/wZ7XlDPbPAaGZIUGmKG asmAa/CTtRJSXXwm7bgvN8/hhVE6bPr7FNS7PeBRT4gDFsdtvz8LAmMmibFc3HG6efAZvI0L++jg 6GMjmFLB6NQ+MBv9xC4QDkGOKB+OvAa+DCeZH1FF3F5wevYVNop6GZ4T/G3SYppB5zyjn7PoLcn6 iJJ2imD8dkmYZUJ7GqlqH5Z/J7Q+sHduMyPQnpMzr0Tzk9p6F/HeAzTl7e8P7DzjFIgQyC2kVwia feVtTrVXCWaD74vYRnGT4luS0AOlOtlr/UJDzIB/jGaACl10T3zA2Nd0dXZnoR9fMzO9SOwbbeQq hk7Pql/lYq0hOkBkj+cG2t1O4uaB4SvtUrjFQP1gRdONYxTjXQRm/KxztYvBC/2momYpojHKvJEo uqkvh6vc/Gu/pszO9yqB9lXc8sVklJ5zLiWvgnrObsz9ax4x+o3Hm6WrS4MDOjeeqHhpbOy2kiE2 hYI48gzZrdn+fpcqjOPev+xAexOzm8H/brPcV5rMJRn3xsDFBS9Y9rm11M6ErGjGTyIf+AvkvAP7 oSOzSWJ4HVvc6hxjl2SUtwxAvI64VIJhLo2E31N8DX9C5deKRruXxgd2Q/Ak/YZIW/QlUqO3EAar tzFbrHugIgzP/aQ7A76md5QYY2jWw91xagj+IZszu6w5SBCXwxCLbIaZ+knAO/HLGcjYJn2GPPOC xPqLhA81x7CfBc4L9YPS0nhoSoQbYjAJW8y7JZ2mCJRtjJwAzBQRUQhVTaro+r+7OHEVKOvdj4yb PpF6biz+S5rXHQO3fVITKnbEZSYo1avljBbULxAXTVBCY+qIQTdGZUiUihZ315nLWZLyesOM1A1Q Mt3ZCTumBkgzdKszu6o5Z0S5Qw5+nZJ2+djmp4BNEaCOMhFLrBfL0XkUyS/dT2iOiawD99m2LDLX XKC67Vs7Wuw5oLftz4lC+nJ9sw20ISevGdXLgqt02ksROiLHh9bDyh08IkVKCujyyofPSFTHxRJs V53itVvKO4ZGbPR7Q67Yu/vAqLj05OQwooFXF/NwFyhiyFQ1FjBFKs4n/g/7UODhm2rmwJUpYsdC jvCAs8tMna35OUjPkqEOXrtK5sHm9DdqlrQUwH+hjYJDn9YKJW0XmTuT2RJ1km9YNKvTxN4V2fGK 3aChuPVgB44RzCYwkmWDPgEXxsZzF3SiDuEdLrGQvejjeHC3R7LLrL3MIBvxdxiIWUhgS9RlIjAR cX3kKPAhprDAAMUKG5wVtuNaMkDdOFxsz3DCln6jBORx3w+TWMeBpKoC90pSLjSnOyXhJfJUnEPo /DSYPfafPCURCrn8TtxIfOietP+F3erfcPjZ0n4PzIDZZDeE4/r5hr+BghT1LE5hcT7a/JBMb5Qo CMUZtiWYbNTzYokao7Bu36edC/Ptq6O3ItGxXip7TrVCIemfzZTR16D1ub1VtKo3cuoCWZn3CZke aTS7MXOpc7Wb1gMSTwjCUPbsmjD5+nCMpbIbexqVZzsTB/26o9DiYzEDZ1vbKAp7DMn9TeqokGvl l59AGjed91H8nUbRwOBkTyz4Q3joZIsof//e0uhwmybWjWAV1q6eVK/sLoZV0LvLeLaTz6tSFV1a eOgwBi0Ehym59Pk6Gp2J9WQN9brdAePk8CSAKyZl+fe+ulVKoBD3bjJ4+qJ22xttlTBPLTVTY8d/ TJYaXIUHy+erYyaw65iGTqgU9LBGGxEhWIDsNTZjVXgZZ9180cf2OtRbGlTeEWzLQcGGQd15SU++ jFhZJOAf3z10WW8027HOMGT5ETvKJEfHZ+IIKddOOR/AQvRzVWomEx3Er0I0mlE7ZvOQnznCtCo1 V/e2HbPnXhQ3XsPeMs8pGC1KLXu34rgtXSNomIfIGSSbFA6+E5fFZKO31BZZuT9MC5gs83/wCa7d 3DSxkN6cLHsluXn2oRqpiFqrHKrvaqxZPsN7en1OUbdDHjs+LyqS8UoeeRRe4xzt1myCKm5+yUUh iOXNliQqdnlE8KZXVgCN5myX1SpNAt/PDXexZFYpWNCBxdTtOSTEQpisFIPTc6CU96RW/XGAOFZT pH09Gh6eS6DEMGaE/b1Wfkt0RnMu068wA3/UWHM1yONQqV/W5j0Z+i9Z7Q4PB8HrlpQVZeKrIarL O5cxG6WM4+wtmXRuwv/5Zf8nnxbQRqU84KM1Je9beprWSH3RhTbZbfZYVNAWo1m4eZlE1GtHpHo6 ZT9qU3CPh0WpK+06xZXhlh9NZwqKfRTgULJydpTJdZifGtFT2bnXE51EzeonWwY9XM5dVMUNdOm7 7sYv+gibnsaldpA7Kf8tuYJXjNkH/TgNzya8AzsK44RH/gIFzst+WTjbimzUnMzLKWDvG1djUrNU TpfumEoVmF9t7Xvrr1tsgBax0LJDEkaHXffdifYFKR/XibgK/uotcCobfFTqhz7/YktGNy0k4VqW /qFtcdwIwFkGTtjJ0fQsmRueomoXQeoV1oNz5C+T6Ed3kVdOftHW7h23ZyOsxHhdFHKG3F5Qap7x jll6A9JDpP9lYhC2zrM3u5q9roz4QOqGKNwQig8q3F22ljyd3wAefU3unC/WmLtaV48ThNaYMsBr hy9NNL/S+VsV+7JM+GCA0Z5GzV5UImJ0X1e4gYL3LNxmutTCjsfLv6kx4BbwtmB0jPmDY2MNN1oi hAv9wCt/TWvBOLdjVKpw3+hnL0XcBikoCdoq4Yp0SUOpQA6s9/OvJ0GJCHk+JMq01WWDzuCQIOo3 IApmsXauaETKGw+CFgylK6VxsCFT2n22miyMocee5zkopdRjHDZ8kEv89CWxzUv2u2o5hstAvUDO KkfGxyOtMpUCmVdXpHzWWi4A6y5d65vwFr/4Sh+cIzPcSeRTf18WDgsBC2qMhRyGeka/NI0yZ4Kd LMxKDgbmfyyjsv9k50isH025X/Vu0h3RIlTA8Gt8vprX3ZkXrgXiODlbI6ys1DAn198SN8GNgM8x zlf68TdyqReqAoSJWUyqlva03bNSGFmzRO7FciyFDZtIc9LldFEo27TaV/yvvPgRLWKDOhOpcQ+Z kC+x+gf4yAa3vRNI7nC0y96gsP32yvkRqR6QTchHEjyAA9nOAbcpGL3gm1X61S7gN2+uV9sye3pv g1pw/UN72pRSnu4exiZM2zkpgy1z0SdOZHWX5t2/fgQbQn7wIzZR74I8mdDP6qT7rgWpUw/4iTXV FqwdrQYBPjKB/56TejrGrP99aKxj44IBtNb6Uyki2Zl5CYVosk5vPWq23lV9HfJgqLsECLL6MzgM kWMKUP7tzt3OZuPe8/Q2x6CawfHqs/B81YmBtZiwd+tZrvAvTzuWI8jK+dTQuXkhUzFY0ySlyTA8 NAHIjhq9nyksOeAhrwpJaw5dJM2w/xwiXO/qTfJC0IwjnsPGKXigbDDyljPwKWAp8zctJU5Ebrg7 T/nAj+FULCd1WVrXBONr0lqte089jYdzKi0H2IJNyfb8wxPWo4n4mmcrF8aa5fmLPlY2MXyY+y8k qRmtplizUNhk/ld/a26c57Zz70N7I/xwJIWccA1ybb6jaEKWKWQ9vafSGrDylQ0rrwNVH8oyIDm2 rrsDh38mraURTybXnzNiu6SfgVM5DubHlsaBmWBni2CSq4xHFkgb/OalxwKCVKxHdJZXciX0A9W1 zkCcXAR88e3ZsfxHojXKVASElCX+C25tq8XooIwJQi8D9N1cJVe8xIduJv8ZtZ7am+LIQZTRwICQ hylyun+BQsannE2tx8jDFpYmYzmO/Mud90uiU6IT9qkxj07+DDtnyCNlMhTTCOL/vcPhxvSdv0UC +raB7vor+oTBSPLZPu37HJYOpwLDMZRaUfj9JmI/bBFAlMhT7CUi1bnxlD6fOvSAlsalQEpfMxjF XzvWFgFJyzJFz0cTbxWnLIJzU3gLt4jrLHpkSgG247UoNak7i+6YJoCn8zPtreasmKzoOL54Tp6l DJyJuXYUm/KhdcapRFlWaJzCtnBfwvwXdB/9C0pPW4Kx2FZGGMknF8pQV68agAlTriT+Eb4uJer7 xQjgSzgY3L4+2uFoZWVl7VxoaFWeFBgtAKDRCvQDWUVCDMyP5TNYJ+GpEdh5LLV/Ra6mh+Zb6l73 NQLWSJLtagUOnx46la8H9L86qEwakc2wFhMkrkB3/+ijX0qcDK95rB5NPPAX1SiHShaHlVGHkW/c 1VK/NsLR2rwiFzrNPB/eQ6HHJLwXDBvnlVRwzd9ai9A4aDYSiA/8lkUdvrUp1e749cLCiBnEEPbR NNgqCrwT1Sy5tUo+RYEnr7lxtGvCCF8ESrEQ8vJW0JM92iS/UCCus061D4YnDF+u5XoyoIoEQpMa NzBq5g35kTUwB3i2OTwCeiyMN3FFZBdsxrrX6LPiC42aeGEmnCfFNyJ+pGutZvYM8XAVDhoaZn6z 7DaFrYzKm/+JJHhikmMJKEfZhOkvMUpgzu+zxHzS3x46hx0oBfMehZAcBrbmxYfcYFYRwwwDa0ai jwp4meiUcXSV60mI6DHUlixQ1wSbYwqHLsMx2Nf6bboUIEVbvOGf1ImjEynmv3dI+JvUe0iyrk6s LLRXZltYxu3qr59d5+GoC2SChsz4HBC9WkbN3+nwwxHOFpXJA/a4RtSphm9LKr9aYAXUP1rOSTV7 pU8w2+pooAw3zj9gGLIkGj7hYrNlZ280jV7w98v3b/9z2H0e7ijDoMsesWKKBNfmblvBR6xUMw4g NX33zIK08Ip47xCN4D2OFlNE4KgQwy1WXeckgJJIZRNIZLU97X6/w2Zr7rkgMBlAn58Zt4bMwuHW Y5L20Fu06WGX+N7dwJ5AkOGSHwu9FxJDwnKX1hFayedFqWoeick9UcxfG8dIgtbKO2wqQ7uJazcr DjUAKLDQidIfggJwY5dd97uPZPHWxgkQkVpoyGFvgXuDebDYhFB7DerQSKJvdhyuvEfQiHEhpuBa OPIalBr45hekxvA01ewGyuJZKI2wXWuiQC4lXt3vMDkaBvPRMo/HQrk8dj6yd/RbQ0vZZfB/cShd GCIWv/7RNTchpluE7OWb4RE1dImt/aXIvOsu19v5MsWH3XHDA45d5Otq7sPtNgThaxujk4Knycgz tf9ILA59CMPbH7DAhMntaUbNnH1i+3g4fEfhAc/BM4nj5CC8ZKU/QZkgUCRIgI3sYUETEQKXkS89 2HJHb52BY2iJsR4gb9XJ6/xTAR4xRIrNhh33yO4+vWKr6VbfE7/nUS2EuBMTFzyoPFElfHnYp6y8 WvQHf9gwbXYxF0ObhuC0B0nbuoxo18/54EFieQN6g/kUEwFOw5Zl5BD8q2lGmug4TWqs+oHBztDi uc60oeOYV5jA3T2pqoxK2OYz0f3GCHeEFNakHxnrWIYd36oSWtbXKj2QS7wqqXUmRu5+SSwkHT9M kdQu0Jbi8RxbM4aQY30AmHO/G0PCOjTd8Fv8vc0sYd9VVgbJkl0hl7XYqQbmndeR80cIhY6z0uUh xc9hp6s1gAKb0yXl/2e+BgCCCHYWEMO5t4B3jUKr51tCGcqiS4B2/Q9OatQ26V6iKccUK49GBAup QAW6w3d1eiql/s+Eqmm2awcHQtHYedh68kgth/rBXXdrjtRLh1Fpr4xbmo1pok+c40BBucZ5+NSm 5TzS4BGxunSWGHaWpHy39UagPEYn5bquRzfgdU4bCNWIbRxFNW8fXb4duti/qZKntbUyi8UAhocI iXkiJlZ76NhNkZfCAUTyFJhaoH9yl1qTgBuH2F60qhUQnCPPQ3fOjnZ7hwD/d6cdTajKHxkF18Oi l4bjmwl9yPc/OS4RLneAZq9Fb5hWmyDN4njnrJ39GCyIdF6FrLKung5q5rHF5Fej+6nxrprXe1bW GA5iFE5KC7VOBlPGwvotd0bj63nBh4qMlrBnOv+CXDPJC1z7pC4zLdqr/k4Ik7R/W+WkYhCfZSQR B9hI8Ar5xpbTNMAKtGOeu066mQHM8w9epxoMVE03txbN3KS6UH+t2D1cxYYQQ33NFleBvJzW7pL7 ju5Bl55+6iNKAwt0BxPCZsgrjgHaHu2AVoxZdMPXpe5cl+/eZ01MDkBUV4NLjMPcH1righp3WPD3 3817dMNbsuZN6WnJcTZsbdNpbV/k0V3+IDMYve3TPQBuY4x/JZtDlCtjz7n8jddVIrKfNX7UatLl Z/385nAVvkwic+UQz7Iq9pXTdd5MrVpZLXMKyRn/AD2ox1hXGlR/Tzz1z2xL2lWf0XxIM/4kHW8e 7eqJFYzQSsIbdN+JebYmUGdbRV1iuBxmAIBLOqgsbbOzyt3gSAvInwqSiYEjrcKna7kIZhc/C98T jQudo3l2PAGeuQjPsve9lrmaBVs7AzoX0D6/bpkP7fHmpjsinWEl4PSUq7O4sP5bZy2Zz/OYzphp bZky4Rbq/T4CUwrkIEslpR8UXjDEaDXb3PXHHad87Kqmrs/iL5qzCvrW0ujDVx5jN78eeRiXL79B BsXKNSBKsHM36zb5vHi55CKjivuVvqkfzAJ2ISDK20p37sxKmsJMYRMs1K9sY7L2L7ziWKSgNDWh 3eUvdtVhsChExiXrx77r6AWTrrcZICdfSVpT+I+UMQ5OgqihkXCtdKfPsoVOYi4P05YKsKN3p+SX RHn+/LbTuxmRMYSWGQCahZkdD+Wj+An0tWPqMSNgAc8HVKAXD7oyvyp/0rfJQPNrclQ/ATeLpmI9 NkDsfYU7ipyeZZ4bS7uAYADI0ehyBl/sFxRFxFRc3/JCy3bBpmzBUlz2XrDYwdD5zdtmoxgA4rlS DHWAa0I/1cLW6lqvX8XSKNMNL+UJeSDzYWKUOdzowrTVM7TX7VdTLbl5zBUe4DsuXkUEu12jfYDj pi8huq3E2MGoOgbUFZRbfSGDTbYgxmlku36FUf/e2bpBHBSP4yksb79inzsPkSi5wI/emssDlm// UAS3Vnr9AhQiyPxCCHBxZD8C+pN5UVFJhpcOoDqVGo6uBeAyQbo4gdDcw8v1IVSyfEaTvrv5jRma qWFtlp/Hu0ny2VHhk7XNWGh/LM6k1UEc7L5VJheW+z7zydOYf3M9ZBm5Lr+DiFAhiwFJbAq+YBOG Oxy24BMlYKNOVhSTdGh11+uzN/nAH+uVdFw1yz2lCUjRnK6rVgl3MoSBcDEYhn0vgbXiDKzzup7e wpqUjevNx9qkXfJDfAxW4BLXQ5t7HMmBJF2zaB0lWbJqL8ICJn2H+eY0OeQZCs8kKNk0e3ihHvJ4 QOF1hCI2OOV8uCeOdgWW9VgVdNGhBH1/E+m9I4C3ID2AdiZl+3zvUvF5TeAU96QE/PHGifwVKOz4 pvTZfsep6imWyau+ziMnr+8DffQhJArWV7DdyvXgbVVpsopcUxdKRT9uixNqonYsLA/cCahxbKpU aw9Vo2thbqGellaOOG7ehga54RnXnyYXxX4CH+e6lSTX/0B1LSRxqVtMzT2XSMhE0b0w8R+Z9N5H VymNbnSUQbLsPGDUQdywxauyj+Tc6FPj+UNgF8SM1Qjw6dj4poYY6/kU4zrbAvuyfq9aG9HEsksg eBab3ruRqwCcBWJDQfEEd/I+57+txZ9/jzbie+Rsxw6tJMZKw0TPNiURg6ETivzpaWNgEqDBBwt+ R0UZzpB+MAcB8hZMLPLk2H0wR9Wa50Pvh4gHNm0KKDgMPfnCEVOmcvfCuZyQANHcJCIkSLIc6wr9 fGQaMd8GBH8193HyCeQSvVvrl2GwfJtE5p96/EWugC/lAmxE0MNtqQphfW33DrHmywgxpAwg9QQ4 UKdZS5mIVxgW6DkGXk4GL+RYgpE83cK++sAUPgyCvlSX0SXfK/tjCoeImRF62GXb3ihvMxxxRtG/ o3h8pkVH/Uqvik6v6wkkzZE6mBNJPOi1ax1lU9PrmYtybtzlHvL4WGcGSxNRHMHUkdNT+/FGl2Cz Uic3jYUEoRrdXnv3967RBKpDm7301qLJSSghD+MrFNQFix3hVtZCkEpvXN3V9VtGagqB7baYRdlU zlROC6GVZKnsIemrZCsKntqMd6m/jy5CvFZaviBYROav7WsdkuFq7lbMu/sch/ZgNLHP+QNRdYne T+oJjHMs/n8fZ6Qus3LPHRv3SIssGljGrLRcXPG+pH7tkQ0O2twOGt4Lm4V0qhVQ8LlqPu4e3DWy J8J2TiJ41H6Lna9RYEZYme4sO6vVZK7g+qBSqDZGf40l7bEqhZvtb2FyKP9TIy7zNu6z0M41O3Zv Cl8U9GSDZI6hFRbcVagopcstunjkuPls7pKeVdBypgYOlGJZ1Xn7JuGIaubsyk1o/9JLmpYeKgMz TgvdcnUikEKIuzEsYvSfkoVflDgwfT+9SkHgBiAGqq/+Fsf5EWFu82xY5t5GjeW0+u9kWjZt51Km tbgbwYUcybPLTtxDOoGISyxBa1uA89GdFlbtnZFrgwDSMGmwSGzW+RHX2FHzU/imBZdghMc1zBF9 4Qb/Q7mNBTxruixCf2akivoXLU9+NO+Nlgsq4UAr57HN8B0b3FPq/x5c96CQx38+8CYL2g1wFuJJ 9i5tjD7pto6yK/T7T+yibR06izASApQmv402+n3p8wxnZWMbLq1MTAPdzJrve4Wm5ze9nYseEBOz fcpzZQ7k9XbGm0uStQu6t/LMX8Vz94e8bp+4xApbj1KwBmDaTMLubJl6Wwpv6C+hLKkIYt7fDdzh uW1xZ1iGdy/Rqon6pbwtyE36o6hnfiJbLoB2AJ9E9SgYwc7mIvGPJMYDwD02ZBkkWM6Ws2trfEzh OoPNqUJvw1sOnvgQptFmNPMvpqCe0pgTYWoXcq7KQQcZqoAuFD9YqWLbnx49t0WO1LpuSfbXY1oW 2x5z+88pgeYq+amqF6tntNsELQbg7nZnpy4yGMBgNdIwgUrlvmYyCsMNxat/0s6/OcLMZGDiiuvf Nx07zFDmQkUK9/UcWaphm5YzywU84GUJyuuyBXlZr6KBOR5SXsJoTmpejRQimlRWKfObKRMTKHex GWH04QReTE9+0DwQkZp2qJl5FzuUmT3HIii6JYbSQkculX/e/EMqJo4Vtp9fkoo2mgaRSLqy+I0N D0j+VWeS0wEiNbM/n+Q+S6JXPMFAvGJD5j5PqF8VZjS/mNY/IyjbUgOV+ooEp5XP0rsrZs7Fsp11 IVBg61PAq/HLAziE0n7KnbXYsLFkYFEBYhGAJBqJ9pqRwVgTQP3q003lBJxTA20P1VkD5xlwEBhO dKMC9hFEvum7Jg/igg3EiQLT/uaI3q1X7xMdJ9tp9HpopETsyOMAaxqDKU6q97k8NdmTD8aS4laS NQ45/VBvGrzr2hOFjU6ghatxgrRzyq4CSRE8VjptQ6+1yep5Pp17/0JPpvFDWHBJ341D8Z2hUnUk kXnNHsA3xMrLPfNat9hSFVYR2tMcM8T2TTHRE0h8qk3lzwf4QlJmy7eHK42H14hlqJzuJ2Ggen5m nIzzQW5jFT4FMCjv/+NxoRnCcOJLFs+d4NBZ6szAysM4ohtlSpKjW3ODSGN4znM7ykQ9pKgzk1Qt xTwNZ/BzH1z+CABujIQvObQYsI0mJud4Qp0tCxE4wTket/4+nCmJ9TPbxgifYTDu++ed/YDzt4Dd K5Ybj8/BIVqKvRgxmPcqFIRg7X3a8jy8K+sW0mSXLJxk7RuCTpzxVEbV24+kgwLWNHUjy0WesVs6 iMqZz7MXLiNnylestQwol20vGog1u8FnrVXqbidhSdRXLYMEC0SUMOC/6EqOztsjYwpaIoBDuPhh gOITuVFyUW4JUdfCKcg1Uq/nCOZcZ66akE61ajc77Ja6ba8SlLt4hZ4VU7A5Sm67jYWJJg2zZ6nD bsIjDU2DhSZ7fxDZMr9ceB5/8W7//BvtWDdWYgG1GPQRw1uolNykwZXyWZLUsHBWM7CFI8Bkdr0M ngiM+BYvhJg4n7PNkCagHrX8N94zIilIFHbyS/lEWoYhAjPh7bsRFLUJkILU990kic7Qe4sGWOta 3Osv3u+7wgeCrt6axdPlm/f3+a3LkUmHkVcOYaATUYuYfD2VIwd5LOks5EtlMBnQrxwyA6yd1qNP oPm/Cd4MTHkXBDzZAKlX53Lk0sEdUiCjtVrRn5KuQNaAjpLDwTz5sOHgKGTZBkHISvPjA5BeWDgD 0L9cWz6db1xG3CQd2tRpwN4QMKPHnYEAXnEO/MT0NGivOuxVd8VqELLqBGlBOKQwKxvPyUuo7zFp FyVMRkOvczEEU2LQhbtf14BBXJdJmIHFlCCP/inpzMtibD1mL58m+DQGNfCsIhwo68tyFEK1cw9A 91kPc4R4t2ahSAdYwlta9uHUDSbCE5ruUovJN2i4mSIlulleoOzO4Zn/o/EJnY5mhjVy5oZjVR9O WAPnxYywVkw6CFNj7jY1Qwj9LkJUHWnm2FoMTvGMuaYKH+4Zatj1Oo+MgshcLWMvVlFUdAh4I3Ce TNpnyZph6UUo5xYZ74vABeRvwwdS3upF+fsEI6juHLH1Th6JJjb9KuA0HBRN5Hkx8EG+9u4a+n3b /ODpVVi0mfUCWBVcMtQfqkji0IMUbnpNGse7t/0QntHTDv3T4618XPUUz3iJAEdr97Ns6J1tq7Pv XXqaS+K+6tewVbiRb/MCMkj/RpndWY2Gsin54PxFo10mZsHIkjoQ8tah8/So0o8U/PELtwwqhXXR ZKDo+dqAfSu3nfUoWVXQxTXFUo/duZQiAt9mVDcnaACZywycuvJ3WEanki5hoyumVrqhb8gZyqBF nG5DOGW/36UXtq9szyGP69Q7viHZzYNrtGCLVqNtBlpVJbQOVVYmL37jWhfeBthGpHq/UkBmvGbn U/bfJdJB9Dg46hAADP1opxXmQptuwyhOVO3ix7pCCWKTf5KwlTjxbrYHZ8RZAp07cz0afURlRIqR cBvWzoWoPGzQ6MB4iVV5junfkPYO1RUTzugzp2lLbJos1/3vWUuSyC9bapMNK5x3GukzrcuSCv88 adDlQoy6RCOzMzbH6vPjBLXZHsDeiTHuABgKLlyqmgM2fteDAwsCz98Zn8LSG+AF69z7D08vnn5Z lL9AMekm+jtlDEIdJTaCC/h/eld6dQt3Kjhdw9SlezDOYuXTrvZsFJ+zP+gtOz3TZfxD1UDyLvZE NM1+H0V5cSgFmjzr74zX6fwvSJQhqwpfWo1EwYgPS4X9kncG3xoHtSBuj0qZeVVi8/RtCXf3GJLU qRNE8rZdIYFy2a/XKFO5IXDA3+xa7sqW1uX5ir4JqpGhc272mzlam44qimfVYvzZLkxv6kSg3n9f fM9oc6heV0OUc5KoNY0TOVFGTqXfMGJr0hATsrXb5PTBzWklZwG1mT/hjz0vJW4y7Zcr/AKSjqAX G8S+TN6A8d6Fzo3ZftlgnWZDPKX/gDuKXh1cJUtimXz6MzW/49CvRMwqsms9KI9vo2IXkt1MmlWr GA+3zj1lm97AFnrs+FYPkuxVCUziNHUDOIQDbRw4ZrkXbho/wJ545jR9B436WUGvqy0vR75HN3Ja +rCLyXJtmrJwIPHuCQ2VL9CImf3VdI8WSd/OURAFrKFZG90H1Bg2DyosPdpa0p6zV0c9ajSd5WoH p5sRkzzHdZsfvMXVA4R5CvvGJR/opP33a7yqZZpRFsVKbH89+TbFGvXyZn0Armn8lEX6XQ9FjNwx xhU5rcULqZhfGNcE9c8PtJEgyysT2htLudAq68JiCZtqnueIot7ICoMBE/KDSyv3qjRBzIYgEwJ/ 4AvxY19PayWN1NMYVCnCdIb4jykr4UTkJZAzxdMPF7PGeW2ImP8mqGmrcy32tq6RahUaA73yDyzF NOWlKM2SE1tKg+s6wEtetvUR2+h4NFWbYsiJXuJDEFKwhooKfwQXkptCRd75W35+vYX8WKnfxks/ bE4MvaR4GF1HbDNdrPAghS/LLftWNNBfev4lazpK5OtTEvQJKnAVo7bBH7lWRgcjdpHquE1TwY+I TVTZj7uU02pKVZfjgu1SRVX7rF/SDaZaUWu3GqGqNBHnqBD7ZuPsdlDDs/GhLssd4MexGxA/JGHs jBKkgTzmg9qatos2qMQE7wuHqbsjOpm+RJTcuDvzKU4/aI6D2ndNnSbvolRimXEm1DvFPt24/ZVW U/rcXOWltlZJqRJju5VLNhqFtiCcjlsQQ5GOqHgxNv2zYJHV9feciSzYO5ezP/zwvo3yVh4+RRvi AZBE6ivIRq65L4rVgq0BIaA6raupBpAUSx5tlrhbBsmLuENMRr/+OBF9GUmvlyzz4aa+DODc9vOU EN7v7Uj7taJGAGZJ0eQvkULeWdQ50UG9HonfPPbO4NhqJLsMyce/vXEKYglprz5nHwPboOf/+m1B P7cQQRt37JFpvwRWDblYGU8VXys/8HW75dpZxW2pZElqR275ZEtzAPfwz5YB3CmR1RVAU0iIAdtr Sq5PJG1Wwv/ONcL9eeqV69lxx2QVIJvZ5d/YIpd4nFJCt9vzTteZiSVlHkmwRcQq6m1HN3twpKpp FVf9U6czh8Xz+EZmQmoKo2ob4SOO/0j0pz39dw4cOv7Lw68Kzic9+FcS5qO+hO9VX0YtrAoob5ah iL5vAgN9mES+FdMYFRccHxyWf9QCa9oTXBAZ3+9YrKYSKtUPsJ3+QY5/tQ6A85+It3OxAPD/31s+ FRH0i1akixakRu5Yo84ZaM0IZO5yxt050jnuH6jCSlR5FWmVj93CVvXepl6CJfWXgKZe4UGD6JPv H49s8Gh8cNXSRE6TOImdFL8KFy6Ve3NI/wWcC/eR8mZIKph8ZcTEuH8Q0Tgj1JLzYV9mBy2xYf2W 4QIFyIJEs0NwK/mtV1KTchp9zNqW080AF7fzETzuvvbzVkROVcJEw0MvEgoy04alSL/YTJJJQ4PO +DrZ8iPwcXpdgY+q34rBWxQNYLWIHks4gmeHJ4ybFlAqFg5W65WUGpDJerwdda5TkH+ARCzOUL5j 92VJzm0fZ6DcjeSLRnTrx7L9CjTGLYh1F9DDz2FRAzwQzQuAKDP9I6WsT8tGERCN2q7EgtE0pMCC coPxZFh7a6Lrg/IUmRJNUxrtNna1OtSEFCkAut71jtNDBCq1CTZ5e7PonHRN0e3vOQhNDZ/ltCLz FQjKsy9uSTDbtKZLNjaBBEjWENB8xH7pIETIVffZ/ZoXaZI1x5YQlZKpTehBFx8Qd6Woa+PoZ6oF g4mTBV9clsQjc1wsFmiG+i74V0RZGhXqo1IqSvBF+/G+0o+Yoz6ZhOTn5Q4VjhWKu6+t8aXg5gEP cz4QiTbvq0DXACVu9NK/jIDtaMBR2VAfB/r2bqdBfVnJbdV3HB5a9Eu5oJYASyTMAoljrvm36NVN bn1yBCYhSQXJsUi6sa0uSCOzpaXSdWIGtukn5YmcirFyfvFZ7qH0TyvK1gopKgMjWz7p1bziVXXd 5qcxnQ9lOl+QkN7w4oQqVdfrDL4Gj6na8tKiJGVX+RQCWKqpZKlGvx6Nev8lqQERoo2rVkI5TWQh g74gDqiUFHJpsYorxG1jf+8lVud8BW2Vk2bwFJgryl2eP15TWxU38jkQ9sEr5GwSo5SCad6kgikh Zyv9nF1SMkBMOT9ZweR4FBZwbAtufYxVQ1RaJBHczOUJBrh99Elseq/K8f0nCMfTrSxOrQErjqR0 hQNHXKbI9RKYLBW8lCev+HjAc58XP8TNVqZIgqlM+DWhygNT+LObJxUmhOOxBufxjTkoOfiRZKOY OtaOnrrJBWtvNIHJP72omvN3tTpn9EXrn2v8QvnOZT/xbS2eP8XPBNRk58ntiZI9C6msM5YRYwgs kqEe0WHPeaAIavEr/l1vML1X/vU9PobjIwqjTbZmtmLHhnPlZCrSpG4BgCmWhvK1i7A5A32UHj18 yYmdH/y0yrKsurltOkGBnvvFCV7HB0wda/L3O4nnKFeLFMJ5ZOInrHVTS/5TKjRLajfJ/KgQ+9jR mXVtSnhNjhaxTI8ffzxcdIKcCLBLHvtSlveGJDwcJTdluzCBxFCGQyWAT1YzneFQ6H5hPhhJltqD IzqiWLGWOxUt6lKfDNYh1jgk3VLcQN1JM35vmLtVKyp4OCLaj1pltuCGvahzet5wRjuqk4JK58FA CFFRDAaXjiaukT5QG+hKC2XCDJeyuoad+PFREuO5ejOuEb/Jvayqwl6Pq6Hff9Sjdg6B50kbszmZ OqTiI0MlCbuIV7KEdJxfPqWS4vDOuJNvA2UJLTyf/Aeq89EVIku9iTg6mtePRIDoJ0PYPLgPWc00 0nQ7lPOtEFjCJeroNd5jKu0zZK/zG7wh6gqaPtdKXcTL/5uAm0D+hqwcOKtMgjmB8/QbzuywgnFc T0e3p/11sxt0YAeLrpMkn5zasS4jcpztXipNZf117zdFIdPViz1WONi+i9emp4CRXIYs47XmLXns QVsY/REaI62ULsy0IzakcrRyKtV2uOh/qeK7SzmKY7XYC1W+bHhQ5RguCTNoRxu/Q7RqpUyv/t5a gZUHyfZkQbfu1BDaKLB5CAZV/XdrTKT+c6AckWl9BHmNm9a4kIUU5O0sMkf4XpGnypsqmQ3JzhqZ LwG9XH+nBPtE9TgW+YjkfwuSS/nWIl2k0zhLBdTL8qLKXKYzPBjeVwZ7RgTyAodPOUNt/RDOymrY J+5rxJb3W1ofYyp51HysSZ2QkB6DMd4eKIclHGBXA9AylSomtGWk8ozSzMWGHXGePIYqmIkqzM1X WgkupDczim/6eYtZhBDXVJctrHzFU5FYP1vQG/w5A5aNCODZJashoF7mXnp7TiyNCEdAVXcwCUci vLm8MiGHwT3dMS04+rY9Oe/DeeTuLxGPnERczHgLEWW25EBkdzhYDFoZQHUwaiaZLEfEXdA2D2sX TqtwPGosKk18RTU+DTZfuCPez0YOILjAJPPOEXiFmafOwO8byyBbjN1qR8Sv+AgJMndq3oFnN625 szhZQO2dcGxZzEESYirjBWkQ/xKbKRbQsxF5tFgzOHCQB9ecUW+Yfke+/GAgnaOf1AWrPNu7pKQl wQqn0Bs21RDmWdS1co+Ak1fKeP5JgH25IOl1Laojo9jkVOniGl1DlyUqwLDvnt0wd7Hvq3ki1jID 6GYwVnYHxBDv6xf0c1rWGDLArSEg7cxh8niXDxb9LIxMonPr0cCdWyBjAJGft03VuWfxc1wYMFNx Dqs+3GpRE1cK1MQhZ5eh652PkDYMTKyioJxIBcqXLur6lrrAqBLvawXBHikHyyDN0+0Lkpq3pMCV Y1MA7uzpI+eglpfp/dtTqc4dDEXW+I3OrKQgZojbBlrnS/TUIlqMM/M8V+SQnwfdB8vNhrI/D+Lb jkatBLBZ5k5Yd6hzxB4DKperBq2fS778fGm5I6TZz/ucNnGZ9y9bqClUvLObbLUrZkg/64K3mYnl IIbactyYhW1TAUObt+N8fWJdeOABuPozCofXlwzpN1mcFhDvTUDqIhfPzXANhbdJAzp5w0po4u/A PFq2ck55TgPtx/U8reOZ36i34p4BU/+nNv3EbZwjumTQxsb0I4BQBYDRodTva8wFhyKDKSLUhX5B +ZnRddhp2vO5ggyugAWb9Yj7qy97jqQP7nBpOnEjVl0Ks9t4Xc8zIvTZymfnmaEfj5YvNa1neLDH 64EUhVetO6Fc3pPC/GeZE9ZBrSAO/gpV8tmZtzM7t0+eh8fuA2U33VJaaCKQ38/EwC0JRTAOaYti qjHPySOZf9M2t/iwzhGehmW6HKWoUoseCdre3SYu4ccy4cnLVaawD3QnClXdzT+8unZE/VEU/kv9 xRxpKPOZS7LiPKlvN/qoFjh17YvpO6PF+FiShXaqi5Owh9FwNW/Sj2Q2r2TxPzLtkLQSXvbQV72H coQFHVmdi2FWCWW/AeXO27hSuWivIw84miM7SvC+27Hjvb8SLBh5MqHJHSLzcXZ/ela+woMK/QbD 5lEHb851piR1bRQ+nESoA+QWgJfRLwHBqLbj5DeDKPBv9aJwOOr3/YcvHEQPHcIs6aiVro1FSnva hYdEdELyB5NKYhPpCyncQqJZHDuLvotVSkfOuDfK3CaXVUE2UCbAnEqdsrszb3Xh6kRg40P7+3FH liZPlBZNuT7qrghy+pGh1GOUNMA4poc8eTZ3RL0+KN7tCrMxO8cWFL5VUej1JbeMSavwFbcqLzeH u6Kc7kHngmwj0F6yjiT5uJqfVv0DqMG3sMYBNXN6e+A2MyJVYZBZA7T2WUIsP792T6aIHMvWokn2 68o6d7+e5W95MS6QGqKpiNWRhIAWwt9MqZlK2zqkGAFPi6i5Ng9WkYBcH+26Dev5dat2TeJIdFbE 26ArSXoRAwk2s+vSGTMb7NpKBnGX28CiTeyK6bXnbp6dDEBIThmVhUHGyyiyRR6UFYUvlFS2w7in rGHL+sM47XXPKgKVxsImUSfhgDhDn2Yn25CtC6HWpy9Tmc/GHXecnK1qA//+z5AAfNf9yq6bvNcH rmlvs6oS3/2Vlk3ZQpqyC+xa8biQ8Az5wbSP7dQX3TrDxL0cH9D6mznHW/jvu2jEMZdA1TEDnk65 2AtOPaXjqwhScLDxuTFOo3AavzExOIJTnHTvHS+sg65L/Rhy1e+lbaii3MsQfQCfG6NyMRqqv/il 1YCohW5exvKAKO92fQUUwLs/YhnWhHbUcXVYLU2QzXmKAK9s6m7EqrsgY1G867I2sNI17/nvjCxv IUbTGzHPGigfNHsciSaxrCMwbPgVEu/wGasGefz2t2FudcA+Ees2Kj7FO4ulw1+9CKtOvUiEl4Iw qtP137LcxkpQslT/hsjklnX+lQlWFTdRkcBE46YAB6mHdaRiurXbp2izw3bVMVL4DddOdrNgQP5i yiEGtT+5Z3zObq/n8gOMAC2abKbCJeIlO63AnISXo3V3bS7RyuK+ja0cF0i/5eoMxWHdKaFfVjWF pE9j5LPCr0hiXpRvVwlllakPfr+t+W8IbqCWfgUBUubGwNYSYwMjsqxIo3x6kR7tY+0SR3RZ/eJX c/1EGrE3cPn1OYbdftxTedW/OdMRkQC2p+CUrKQ2I4HElKemKvsC/acn5dX1bH8fXQ4MONLUxcnM 5uBXslm/xMDk9nNrAIySsIk+F7DGv7+HI2lXP2A7IqFTkclLOrkaQgCZoABabYI/ldTR+ysw42w4 7OQu2tGm9jFv/mV5imOqTRZcL8R/agjGb6pL55ASBURUMZDkE4Zld0ic682kLKnwewTDCxxPf1F/ g4kpcIf/PRI7on/kiJkcfoqRhuPMmFcw2YgAyv8t9k29yZUjUYRPAgi1/JRxdKtT6n9zFoGYvibf YvM8W7xBEUuSfvfsRjqqGhSD1TrTVZbCTdI/Cx3Ke3GopIH8kaOQMprr/HiyvWetm9gPIwvSLQ8j 3gcMNk/5xuG+VX6vq6r3/+mbrzPeh9z8tzxEHeWu8yOmHvFBCfzzoYb1j5A+Cl68D06UlT75cBjo k1BOFpLvfOCZJe4/ZKghxssSQT7z6vte2jdWXi+fx0eWiz84KgmyKG1qV7H0DzUD4r/L/xlL3GaS SAyIuXliykXuDuLshHtkGp8zQUTp0VoJMowxxAcGbefA4n7+97Nf+PVfp5p/FFu/913/Wk1im1HA U5XLpcJ5caZsUHVmZI6iTnNKnGN1jCsbpaDvC0PM6iuBonP8Beg6Kk1FxPee4PCQ8eSIymLPakJx OtroHEBqA8Bd8KWNESQGXJrphjE67z8iqT0FaNrEBpsyKGdQ/QehigUvkEykRlqar+fWyhElkPw0 42DE7Wy0rvi8eeLdzp6ZWboJdl5DHY5Wx7AoTQtekc8v5vgDjfIH7FAvaIvojsO6XkoYw9jRLhB0 Xk+HolE/3Yzb8pXh3LC7m4Iaoe9Uv+blTzSrTdiovtLYPTcGQpSpS7UxP9fjKU05WK4d8yVqAmX6 M0RnyrLOhl3MbhkezxU/fNRZRbpledgvzVn+doWJGIONLkv+aMHcFNSIF15hiqmZOa5TZ15gh2pv ZicaoiIc3cHorsNA46XCdvIfB9XkSqXj0xqIpw3cQxTiCDvFZuUyE3CvIcGOvCy9st1jhpvqfw8y GO4DpKPqcqy62iChF1j6uDRsQsKQXFGfILDz2ZTQf9ouIwWw4AZB1lbnDakXIXbL5WBriVcALHtF RJhPn0bTR0i+xmWX9Zox0IlJgZfezsN81eAuYKK+eq4CBhxNB/tQVUNsbTv9Gf00tBCStWO7G/vc jEEsmO35fGUZnubWSL7BUjbmhi6Qm6gMgp1q+/usswDZOMrJTdq8/k6zLyRU5ChrHZYsY4hCvVVf nz3UGU0i+gEbb2VE/JFRkKwuI51AYmyk8//2P4oKi0886B0u14WhZgasgVk0RVt9+nreiZw7sJHu A8APzm+q+0vp4fhhX8ihr/gQvCCY37gPw3H5j9XL18atyD6YoCMKkM8hmPQDajtxjBF866P4REBX oZU+h3EmzYinaweQYcRtie2l+mefbPwpqbVMlrO/efvnlwDti2AKoXTR+WTLKFNBKS1pSvZ+CDLA 4LWO+APFS/hYbMCfA1pUYF3s4opYEC+m3JYPz83OOF+f8yK8vhAZUieSbkMXKLAuR6twE9MC/F1I vwcnEPIAMd4JDJSXu3vf9oT8oU0rRGnfq7CB0/vVdiDdWmRtOh1FStJJ0U68+xeAqPDosf6mfDvq a/0YahJA73joVzTgBSLoxKRrjPIrBqP0v8tcsi6HNLjSOARQBDccFkm0PwSORZWscj/PpikBmTC0 L4LlE75ivQ/EMFWtKbiB2CXo7Eymxjqy6ddROw917Nhhdyl3WSyVvSclcrLmN8vYD5d6/fd2R260 BAd8RccAu7T92a/92BBYf4K85MLcZfU8MAx9eDPfNLDJRA/2XaK+RVGIrRH8mhcpReNeU+OXKRbn iG9G7cQKMUEHVFVviPxSe1KkqRR9Y+P/B8+qP5Y6Zb3Dj9rNohcOTuPr983sg80KyRMaURu0V5vr JRyIskKGWLqx56Pj5hzbD6q1Y9yOvVV1YcYzA1kgBlxuaYr4yTW9HJUxEKAxs3sZL4tBphye3ul4 3/zvwl3lLO0o+VE3l3OB9UtNE796mCe6c7JCjmRi2bx+MQMRipRo8IRHZ1ZfA4SuV6IXvZzpj3pP I4QjmY0H0lmwH+fg77p3UiYnS1t4eQO66DskK3oBal1LKHy7CswWBQ7ASSIWjyd1l3/lzCa55WTu DeZSRZtILRoUUdusOX2fJnrmqDRnpjmxkg3cgGuaVYJXj5O7YZij32Ajg1NgVyyiFyl3pvTXyk5S rczyilKleu96twZr+LX2xahGONTtu1H1Dbl9nDUsa5f+I4VJZhSKkL//ylN6zKzZOl+Y/URZRI/G zDAosCE2PscjiGrczlnRNhNETH5PCqONhW0Wfs+ex1OqGwaUvTNUmXRc9MZ5oSw7yU+ydI1I/T0R XyJYeewx8U2+kwFhLk83S1ID51JlTx5jRiHfnbwSfdk7qWdo7gGgx1/IotEc1Xr+LAdSkylqNgUZ B6spC+npoWTR8NgiG3gZorAuNNZejWrSJD6BiK556dwBrlzyTCUVm71BW9uVsUljc+9DHghmMLgP 6dlM9k+YVdZ3VC8Fgu0C9PcfSN7fZeIBJte/6pDox00Cq5nQGlbLerfkGxB4b8qbsRQldIEZR0hI HD38SNPrCZFagt+YoT03JAtNicfKiDiJCfm3OuVY4b09NyexBS/lCMtugYpjzE7RGQrCiQeyulk5 XfVrL4Emlj0n5WDhBJQ3Y3qZaA0/sh8B9SrJsslDV0IpWY7r/yCtOn9gIRPpg9P5J/4q3CLBiW4c m2HSQNLIsjfLefWSw0w5hLcwhzXXukouckacPYh5bLK8jY2e4E/oUuoZaMun5+4GGG/dliC5bh49 UuUpHVyY9y2nkRxNsaVTDgjYeqtA7CDH0WNiYGJXKS3GbdMvQpIxENuHdnhHXUh3LuJjVtf1FNAF hxJ0TbSEW0yBstFNSzOuSrm2Z3MkgzQmeiO1Y6f4xjymtDIVTkBHA5IpYPYZQweGTbN8vQ2R1XyV +wxaNWaiJQ3sJvfN5EoI8+Z/yd/9NcgWB+4ypi5RrkSC2Lkkq9RAv9LWcZ3cvzkgHFOdfs6cIzm4 Asonmba0IcnAT92eq8zZ9TySTpeBivATezvvkmvDaLG/EwtnwWGVdwPoZifj8aInbdMNP2LvwQGc gpL7CGVrUn86CAw0otyyXYgu+5oesqZs1RdgMKo4KspU/4rQrfI8UeV1Tw1aRDDF0mxVlYuNuOL7 xGD+GqrAFWRNFPVdcMmko4JWc7vwASY4IAMWhwhQBzPeQhPrIg+UaqlGORjgAhuu0BfDrSB57/Pz 2CsPw+vqJarEBdYtEMu5Qx1ejEZ6C9xNY5WgU9/q2tJC7dZwtU0bLGfZ0yXVzTuzNJEqlVjU0nCD RiObXeFzfyUcUMAFWHetLI0IaX9IDk8DG5zY5awzmEPbvUOII4mLW1TqHqRud9elczmkSssMD2H4 YhosYav1M6PHL0vF7ejawjN5kma7h6yt/gRZf0F1dLNAqL2q2LR86dt3bZ2VPcR8a1G0RS6zXqfr g6Dg+Pe2+vwc8Q8QEgy/pB7Munqn9jpywo/G7fmeTRBP1VkAeBTisfbChdmVKC4cNdC4fs184Wdf 4MqaEG10KwGIdrRNo0cvXsjiLw/ANzmJAubc7fllpxXGWxZPfj7IsizcwQ1JwW42Lp/kz9eZPdqz IPyuL5Cs8xaVks/KA8Mk98B7FsUByRkvy9gGJq3o6QtQCEzUoFuMuuaqH/FsdUAq0GpeWuMhU3A4 wJ/2TEdtUJlacXkOAx3Ye9p5onJdt341ASigcEhGWO1fMHD1uW57Va76Yzj9QnH2gXADVRGarYAm hEZHsEpcSCUNtnWPvYRSeS2lGrojsr4gWzv29Z2wYrW1Jv7GbT+Uo+rgCASpXNWysrIEzDqJBGfZ 4Y9wYcZDbsfn0oflb6xKdgDyvUFwvPEwmMppxgCd/T9wBBPDAsPMX42POgHcqAgKyyHYgi/yf7q1 NIj8pUyPJjydBXAUby5xcmh6cPyZ91G1jFmTmHbSGDN6sQ/x6Y6pZ4pewaTOCfT58deqHOwF/IaW R8ezz4LFkCmnOccQ70p+hTmWtEZ0levh6stC5I2GgqgUyXrmW9F+pYx+XBhROPIHYCA17uRGQtyj 3QSU270XVsHfE4h9RcmBm57Znu0eNtPX872Jv9t8YCcpKZuY4OJpBMhBwXV87+JDrDsqB+0DV8TB LT9FM8K6TF/gc8DzGs/dHcjYsTibEqvtc+sk4/KcnhFAjhKDhW6R9TpqPRvm4rkhi8cHsrN6UYxS TwxGqM7i/1KdhfdqxfEP9uKtpCWC+UvLyAjKMFKtmbNg0HMQimJ18u8QKCBM06JxfMAV1W6JafLZ /9xxm8kSVBd/nX+Yx0Fr8PIxcQf308L3fxmAV5YhCqxyzlBaIg2bOOO8sOMu7BFZ3PrA+oMFRL3j +bIwEFsnR4C6mYf+JJQr40T/vpuyUxq3Bd8Kf4jviRWgkoz0HNbMc8uTGhBhAt19P/Vfym9Fg4qY oSy2N1lq58Bd6Ji3FGWSBLqpA94uEwqXucwnst1E6XgRPoj+Q5TkOmZWLpcm/rE6N+dng0Gz2mW2 hEI3Jaz6rMu3h3rtNgDU6vEtVxz3VEa3Y31lJrbZ1uhoDVz2gJvnrGMldyfGDIdKm29yYOobzV8v 1QZVsO+84jiQV2xErIRXyXUmNA7ro+Cw8a4GzmP37+4uNzOD+c6drLTX3xIp+bLcXnIz9piz1L2p jBD9vBx7bPGDfKLy+ag/Mpwy6Nsb3oxTNafGLCCD+AuNdbm+x4xwG2ejnV7a0M5EdtJ7kOAtUoMk W60W33AcVoGXR7Of4le8fDI3E1/ewIG+ZUDXq/rMiQh2rCcV+PumsOKpEGLnbIQ9miSnTQRy6QV2 GvZ5Lw2iKpwZrmxtS44PdYXWL6zfQBA5g8HLrxUkm6AUEKaJlBWQAlEOSIL+6seahEM6cfxXzWOG D/n6nzVr3ffhsiuJ9rc3ZXEtYwS29ikzSNO4OiFByDJIOrh5FkQsu+UpQ2QfSwOjO5n6odamKHf8 QoE7bopC+2rEWs1yw1TDzKKF5YKeb1XWm655f/QO3NzcQ/DUXXwW7aj3+aP2iyKZWmI7XVdjS/O7 HA7cKilGGKY7Bun6gp4OMZm4sehPpu2a/vbbuQh40ToJvWxF8z24z+hMyM8NiifLpMv3GiT/R0zB Rc5YKsY7crbIC/XEf+zY/SzXaQ9INy7KZroF7txywjkyH5rT14tBuATKvbQLdpt9586py2lT0HMs hXat2KgM+b1ATFs2yCajuwjCdPfIC3vfLAYYOJVUmLN2r32NdipzX/sB5EOQUF6YkEELXPgVsAzW gJ2w/YpI7aehKm1xwbWUA2yiQ+QTZBIq8wIy/X1cr8yLjsfp9ZxrGma2w4XunqIATUFjTfL6aTdf r/koW0oEQ+xF+ZrE9wviVd6hN2FHasWK60wYrNL41qh/GjPDS6+6POEiUVVKZ8ZwJp1GtmpNy/Qn qSmn1Qnxu5ryE3lqY0tuFiKS2Daam32YPcEzgebJnhSYbZLjnT2o2TP0nkNZkRUoMbTUa56eMLUz MezkUgHY72l3uh9hfsGDS5jOpdAx6U5dDfZxwlkuvoVOQn/zRZlsLuw+b2V5eN6PffpMSohDOBJH ANcQ86uqPl0LaSgRK+eg+RSmHzd734/xUMhbt9SjY7X1t6lJhbeAqtVjloZIj017fRLLSyTm20ZJ d/+f1FBjPXtP+U5Qtjhlh01+R2/fsXoLhFf8stvI7yiL0YvtoWF+Y7VrSVrcLXwiozqwkq1ec+JG 5BMoqeadN9flwArswELFi78nnvIJO+DVOuH55H2tMCzw8MUlTP2smG0qdnizUqaGVST2UVWlNeCP SSrbdDfx1po2ZumH3MavdKebDYdbrrBfz7UPGKNdtqB4iG8kH62j99y6WpBwaDGr8uKbBNRiAAtj 3aGd1pwHHguHlMoDVswgjsC9/l4eV6n6ZrME9jQ3+SYZCPYTxB2VOT65hJjdgFfsAG/CxXMh5BHW k00MlTfT5oU/0bD2h0kLBItrTjTn9F3jGooAFRXCeZgZU+iOzbJjYEcph7J43vgCbDscVId704v8 e/JGC3+BxbAIDgZEPtwXY2QlOdOsprG/IFcHzwU6WBepisAETosyKcMtLIOy0zeY9ZzUs8uDs7b2 gTJGIAlRMhIWCn2TyKgmLxropOm6hkWTkbG8gwG477E037kiWtyP2EqmHZogIe0ahHISklQCQBL0 ssGa2Lx6b4a6e5LdzXj/QbsPUmiSQxpk34HnV3AEadio76CFB/yHAsXNvDQ6N9E0TkkOrkEOEzrS SdININhLQQ5YZ0MQqOX60reNhAbA5vZFqW6x98Yo5nloOn1BYmwpMxQIquiywZdT7Axw+JZHnLMk 2beG2T0/wmGDcMQjj5YpfKGVaLjO0nvjalQUeN+hS7FC4Pr9EjLY9a1gqBi9FEKuv5S1FW8Dj6X8 qsDS6VI/bd/7DpcDOMasuF6PgcO2QoD7UIZj6IERsW79oJ1vVNMllok3/kVIheJqXN7gSoFJfulm qVr2t9WSbTPCP9Gf/1Lyvnz3Q/GzMbYdluc/6RsTPs0GdTG2do28mAZNmzgrWKBgcnlGCM5oRHtU fWttokKaQM9EW+npp7jDkF6rwLb4YXwGakwu1uJuQSHUikGWAzcyckWljJFkrlIqMEnCGes1tCqw GaWjJ+MAAvhOyFdtvG8CHep1juubIx8M118wFiHqTyEyeVJAjH5Aemk2yRT0GtbrexpP7NCwXmM5 lz7k28VojzAqKidXLa7znxDs64PppH7X/yYTmMWh6cgcx7t6Im93iqV1G0ahAb3PsMNvIBxuUqR1 n1zkHAEGMWLEF532K4wI7fRbC3LF9rBvPikskWxHaoGkn8l48XjVLFikTqK87H7t+lXnjX8EMi5b qAuuG9WMhvtalaDmqu1BuwTG53yqeo2pPNsQwLGtmBNyAxCWGRSAyqSJ9JHHDFDaNcYM9RPC2LMi vZ7YcHRidA3xGVB/PY74w7H8RhjT7LzKyUNPlDBjkBtf46YIaUGPEy5vwbPEqDgxkDNQTrLXbj4f VPVOupwTHhn9lrz7w07akn7XcsONNV+tCogyZZHvQj+MlnTer4ycQXRD0karTWcVFALDZfiJ7SJg XZeqQVEnfPZYOGnhyKDkTdZC32fbJZdMcwQcvNCEJmm0Qd6094PiMw/qlJviR0RvXt6/MUxrMNEd N75dKd3K6+iQ3B0i5+YgQ3zptIVCG1XEBvE9ywcDNDu9OJFYqdcSNBscqLPKqR+MBz7xwbpXG86W DzVUniLzJhFa917RVNF/5Abvq3yvUOpRAklptzoy78FvI00o4CpDHEL69tv2D1t9nK53WrCkEVta xJ5EWw1q7/yF5/VmVJiFvqJq1KwWAvgZdoBEfpQEWIb3djvg2Lftjdw2WUG2Rdb1esOSuKlqu1la OgndULytkAXuWxHg0fpIX6Jc3qMe1RxlloS/rir5kVEoXxdiolbqP7QFR4RVcBMDI1PK2QcmRIRW 8zTZtAPSkP6uaOUGQ768saU+xJFhIRylSY3HvN+k7SAZ9MdvlBlpRE0NAyqxQCAZxOGiQAectRn2 bSmQyXevkpWBHQZe/3CuJyUyI+R05BAOonwuj035gJIk+GG9974+VUOq8fbBkknjiMfA/TzDA175 lTnpJh9BvJR6Gb9+3pFDugMHCTPUS+HLUSqjeGBFysVFPXdkvo+wX3DbyXOe8IhGvEJFr4YTHAWZ FX4ElXMLJ1B3/MyDCvjnyQczK1nLLfKqeHKanyMXcz3lfKHKH0Pu/2nY5xZS/I60ES6vgi/+XfQk 1H9jFBu67zYdqp3Hwl62a+DKSLdQgBWYWmSX7n2buldMAZHjRCUAuspSxfr1mh/STN2HT+edgPLC jDELCDeUCXKOXTYFXwMKTlnTqMT9uney5sBqROyco9WjqcMT0m+79gxlYBoz7sYPPWf8rB9Q4+AP Yjk9GosUVQhBUJZNzYpdcpOEcwUOdO+7F8KffRXsMIfqKpyd5A/asvNKyx4pYo1XrGbkeKLiJs/y rcADpTwi/N29EgO44O8BxVy3ZeTamq1r1L0zAo14zEaCF843g27b20Vd0C6JWvaHzWkzxYPTdnIo z/kO0wyPiag5mytDTFSBS33mFAJV7GblKnX/mfajNSz2q83MqImfDwsNuhJ5b7feN0XtGremxXN1 LqxzvbByo7QqiqEilTrVELY/GV+3+9zBwLRkMU0RKpuuNG+51vtAGtFjCXmh2owKnwPHddnJ0aAs lm8GhBWkjagR7/XDffAGdH2e3kC6b6yNKZNFmT/G/MxzCHxYQ1CzAoUQZhA0neL45Chf8LdYcZcW Z9VTLaCl0ZBflje0nzbyOk9rLXtEG1O1OddmlFdwKif/mUg5M8KMqxDqWRuAex7Q4vPr+wrT3zfQ Wu9sb3JkTCi8HLSZJrwDgW/NwPN4BS2pflXl0syBoJPOB7r49W1nGZdxjamLf9xH5cYM2QYD5Rtl P8sRaPGhUTUsNEwAZS/cWaAG92ozh/UQEpKquNWL53lX9YYVj6ih/et4UC1G18m4jyIxvCN9SvhA qjYQSBz0r9Pc7QvJry0W7xHjsFZ4Wjl1bYbo8JRsNtGcrxVEOkJLXz6Qn0or9Fp6u9y8LT33V1e4 Byjjf92BLUWcQHMiodBfh3HIF+OIj8gR0JUcte4AIeHVexiwHlJf0xnx03oN6F2eoLTQonJUN/Pj 2I4o2uhK2XDF/Qyr/4olwrMIHDY3+fwst2FLk+pCoseY/lNKtO05LkSTZ+RuFalhAhwM9FTPry0/ oQtk4wu5AjMS35VdUHHeclJCk9QAs8VXxkgBAAGSx2D9nWAH6KUnMi9IqWmAtHJ9GnMUpZJKx3xX ugFDeaBlFArjD221b6nu7x3V645BJOyhMYQ6352GSXWz6StRDRfWeDM1LZ/4o3gV81D2kQWuketm EuZqMuSABCwreG0RxocqZJy+VpqnAb5oBCI9RBGvLSQ3JNMjy92KA5hV4vpJixr++cPAcNWsYVcA gh3Uf8dExrKSKuI8cvcaymmy7BnuBBpvw2c3+EsBSg68WAyNBpFNE9yVB5TfYHN4OgASdze6Jaf7 gbnSJ5O//C71gjMwWleg+PmhaWLD+gGsOQQxLSDQmSBkKhMDre2C9k8W2ThHbo5rBSIPFJ2xGPjt MciQ0TGz2Yk6fUsgO6gcSBagaP2OtyWHq6AFCqljFNZoPEU/F288a8PyW1OOhm8JANoAfgObdHzB 3vkIkRobJXGd3v05Qf93YK3W08LJN5c3yIZJIS5FfbzJ6ogI5bq2d3LFVdGDwCWBUpR/UV4zuzZ1 7158P/6k1vnlKAV/Y0PJGM2JVHAnZbY2HFqFbUrLLsHHA9ho/uU3FAp8zfs+WoZq/N0+tz6l5Hqj 1ufm6GpYZaU1vb8fbTJ4Y0CzcqdXZWGvH1QFCB2QgnViNccKz7jC52c0yTiq280+Qlk+Hv8NTGIM mTqbh686JjZKZpz5eXKzwy4sWTg564k5HUYtU72e4F62DOzL2fBlY7IMn7HQgQfUGBOBoBm/fNh9 YjaDA9PNgoGW6Rgk3FFXgHlgKhzxnFCglGiiZ5yfDfJU3M4VSe/aoXz6h3LeD3lQl7mqRL7/0YVh 1yKObd98oOztYU6029weK7wglsaVxXgO9v/LE50OxJpE9huzMxZull9WhVs9kLEPyprUae5ITaWn V2qj6ZX2PQEttcuqLLED0o9zzIa5T5RXATntR3Q+LVEmGPCoXenmD8JCZRlCEdALQZALVu13GJJv otLqwf8KKqurh/vVQq5K7KGMiIPkNCko43/WxmjnCMpnT/U3OBhZHOkF4QhLAlcrtwoWrEyHNgGr fSyPBX9Wf11/wCkioxTypo7rWRWQhJmWwkxw3IVD8pRVC07ufdUWuNPskbI9Np9w3IHA0kgpqMgu Dae0RDiMplMAXx9RzKQ/Ee2/aJ7iNwdKI6qihNSLPABEow/HAinWgF+xnALQnLSL+D592vfjI0Ff EoVcldCh8TQSKaz/YAMqBQklzROAeo1CHi8c2me1wPQc1ksRuI+SBD+3+y+PGnvArVg12P0RJWh4 i0+/Bwq0CMD/BhWdrKWLABpxQWYvechHqQm/3yjOCgBPZz5qvSCvIwi93PaALOSF3h7F8sbKausl F4+G+AW/KeKKhW7jDw7apzEdFCY8BZEbtlDGWqRoVNLFijfyQib/rgQPyB/ESZuxMbudhO152Ay+ AopXry7dUjgGX9KFqkOC+8FeyLBgLiT9pXGr8M88jM7XT3StFyGNC7NPthf5wlf/QRGIMoarNIK6 Ug6tA5g3VYcrwecbzRCnI/tSq20DoK6ImKnpLWpyQJFu+3Z60MxDZB3u+ltLocSiwvMg91Gb5qAq 0PtMx0pSlIntBPdIMB1AcMpVGU7J+0qzOnE6x7z8GrbenPg/T6Tpawcn9H0nA8EF5j4S4v5aDVn5 s6qJ16nLor6muZSDacVOo0J52i9r0ZEgg34MpTfxEr6ZgFSTw0uXauAWZx3HtHK+wTRvl6JGofFi 6jVfnnJKH40KOMTkKfwaHTyq0eL3wy7R4LEErnWqNA77Q7B9yMjHh1N4LnWwkchYOzIJVnC74rlS GIIuB722qys1FmxPiHToQAupUKcwf3rLcFdX/IAHyR4yOFMIahbylzmRrNZ7qV5hKj/QvBsoDubx D6ChW+KOXuabUv2V5H3stQ0xqrTKRh9dxAXfjudXP52ekv8LS5ET3LlXyKsgCmCoLh4ZbWVbKy2Z pfNfIASxAuxbCUbiV7D8I9XbDdN7L9nPN2EOUZ50mJL8V+Vjt2f0NQpi8ntww8QPJvWOT+V1bj/j 3tA9Fzh7HBboMYxbGayJwDLNhMf6/FMh61b5SvQNaILzgodqu00ue3w/rJ3NbyV9BV1/QJOATlx3 Q7r6gcqcZa8bkfnqcssBINWXWBtUExdVQ+GHrMP+o3EbZTIKdpZ1IYkJQU9+CZpqB/RfCoZN0XFU ZhIEvJIT3HmWwYfWkTrO8f8sYNSsKcyrXY5JLuuIEtxuLpopZ39ikjIaUM8ikxifngIPjHLKeM4c /8Ejc8lDXnP+ZlxJOKdPdskFWF84zKEwduDc71w64odlIraFratUqv1goE1ACIvHKLlulcYDKpim BRwrWwaENaCscOqq5qbPrmSGfWoNLGk6kC5r7ZWKiB2lMIiThwkKqQz+nG/Vn3ZTKbvnvzJLi11k umTlwqUuTAgVBCw2ey6ko5Fl+2qmwM2u8BcWNVTEtEncU4x7334TibwXAEGaJZb0ufmhR0A1xWCk T+7B36EGdj9ZNcLWBJVih560hERsjyoGPY3Rm6670XVOvgl8QmELX0qx2h2JDG0EaH948onjEgqG aIFyDCpH0DLC6PDGewuPtP/sLVNQC2cMGs4IQOFcBdmvh9Btlb+YioZjsr038FFQ9QWPsMHVXKQm 2q/Adr2ouZajSOQxzjpfPRcRGz5GFaFDVKf7M7YDFXEygwre2O91Pq28wRIgCHZbWEmU9t0DFGKE HlKXdCm8qqEJ8Dbjkyh93nFZExo3QlKTzUGvglNkS6V2TlzJ6X8GB2Ld+rVuut0Zmg4Ekt7PbM8u r7WnbxKQ0RZI4iwKzCmQ+Z39au79IGl5epfDtv0+9ueROhzNJvX/7fkey7x2qkKmjpf1aEtZt9vO uGNV3cEehXIM1PhdhJlVGLvq7hfyMX7TyHCTwRuRZsoLRvq+0bHgmyoChoEOXgb6MB5SUE9T2HHy RXuBPhyFs55LSVsJgXprjf9mh/z3hvpDRgjRqS7/MzQDvH7lQn5pIxqZJXhDPESZ3Dt7TpS6d33b hWdaj1swtxQCoIzUvZaHcSsM1gSUq+SZxs8+gbkND03APnJuS+1qhoMAhU7BJwKDSj+W5GDX2RMN daAWp+jxCqfe3oWwrsp96unWa5/XiYnSYC+XY3Fzi9U5i08touzTtwYEzzZhQ/yxEGubWapHdGwD g4xoWeO4FmJnmBzBE5h8jmdAKu7zvjDwa4oB59QAsgdzS6rOmnKHm2mzm1Slu7E7zNS5ojVFN+sb uue60L/suRErc5KXIlDBKu7FhTxzWAWPPwgkACj1yn671ETKqpNUif9aAEakjp+RsGX7sVCixPy5 QvM++VF6CmgVCoHu5AI36n9mylal8n76C3VmBs5kkvzIBn6pnxdAY0tVFgIEx/FvO8OXR+z1tqPt nARdwh1UVOkuRlOLzyu32lm5dOKKGJQPhC2JrsWTZ27W+fsGeznP4b+OuGpwUTERJO59sMJcqw7X 2zcAbHqzt220dagVqsP4qVqGh8nNTrtwRlQPC+lT0ZlpG7tzPnU+etY77OFzkhSVziCHHB+EtwkN zwDybuQG6bJM4SRWpEpCYQdQN6tlvXv8B45CBMZ1bcAnZD5mL1D+1l/7jLstMKUEhOgJxQPOuMTH Ij4PqCS5eHlIohpUlniHiGMm3k6LyXWlPJ10PqSzWcL/B1Wt85qkkWDXqF0fpLMtyhgmysgD87+j 62Lnj5zARx9qnMhplreyrq1OZaAazDDA4F1VKIePPqwuuZ9wEIC+DA085hY132yZP0tb3cRfNZlw rRtjzXeZboUfjd4d7lUIcz9+FcPsJTXvthXOvL/dPh6etl6/Vpqy8/eWSv53eFnay70XVp9zYJg6 VPmJJxTB7vgnWC4kKkATRkP+U1sjqXAFCFUDahjmxXkZl4THhDmB1510tO6dlufAoL0MTDPeAu6A 5bR7oeYBdF/CyzV9vkbLBTNrJfd7uEI9BmoNcqxtd/7l7xQAX1FIPHt3JOhuKvl4n7yHoRaCcGNJ AnmdDTssqVtfDJ4LHwiU5cT6aCXRu/WCVskHvO7T4nK9xxlI7oF1FOuLChLjV/T8OF2oV+A8KL4H fn09n4RLH0DtOY5IQoGmSZSeOlX1GBveyrzikYWl1NsOy93q1MoaeB7BK42HTKAT7EFSiOGOsVDW XdArhneucCix5xHsn2j5yLhQCucoT7RY3FQ3Dwnm7Y9/PL3CGjGH5ObHfqA/DV40iT7Zl+wqIbxG 8yhlUK0EKXK1RAmdNJF+HINebl/bzURfgmRmhvw1J5fUf0GhK5hLM+IooENbYsTMElmRYT1yrRh/ epBCRQgZ2DnRTGW+SK0Kqp9M/aiifRSnpTRMqTuLT7qe2jINDQ939M6U0Xr2WNoC9HIklw89EnOu K/1Nn5OkJOR0yxh7TZ+69W3Q9zL7HxiIi2h0iKFTTGjkAjPbLOi2jeNqOcjdhA2EnwZRaKnFdQ+s iaWHpCNZvXZAFWXefzgo2kVUALDNr1z9JNFDT57KsdvSZ5avprbatYxXb9eBvaJbuLPXJ9CXKDkB c9AlNx3bOOjOE6XlOl2y0wmiJZWH596AxLW7wJhzwO3wmG0UNJ8br33ehTYSttK+YjgiwHGR8vsU CGJ61bi9j87SGqBTzWFGUoycEt/li1v9OUcFYrB8xfENW0SsrfbUmDvBtiS0/DsrgLy2XnaAHFNl 0WkGZC6Y69bmHKXQblrkaQ5c5Oy7ud5voRuL5XNbUOf1a1vYEW7aNxUQdQRzuWETW6K1cPxUCenp 2j3Knj+6gIpQ3RDR5+4wE9Ha1P/82cQjzLjgaso1RALUfuaJXiwG9QFwdr/OidiTkPgFpHPGuA9I U6siS9JmG7FTeFCwxwha46sT/KkF2oth9bmet9WTOs8eNb4keBz9MgVOlnow3GrCKf8b1FlGs1Yb vAxJ4hNFNMrTsoqjwrsPHrrpwU7lygOE8h2Q34iwPiyAIiBK0wg/ZcQrkpXLmo1D63SIspyoPKqm Q/oeArCfUb6pgZRL6gPU366/rIj1V+IbSNr+Uag1+IozjM++YnRDU3+SyPLUoXEK1PotgNwUWLuC oMQAMsjrkz6EoZ7vGGDVmFnGO+4CHIBhJjg4Xzf7kbhZK31hxUA1C3KfeJQ1NibryU7HjSfuN3v5 eJKx9fvdVNv55GfYsQMl5jS4sOZBHfjHaNACNJKsUXp7l9dwpMuMN4gvPewQ11G3yeWtGzJogbmn keBnLlwg5TWtTHLATPcm0bCPiuY5z9si8Hu7PW+/YG9Tf0WPUFKHk87zfFLnyJHoI2JSrmXzUk0n vB4002uSLkzQ4wg5GhlV9r//t6p9FODeeI0qgCr5t5/SGqVPa7Psmbj7i0p1sc9VIPwugY3+MKLU eIm+KCECzmOJrWOFqYhcnusP017M1BdMsQIx04Iptj0nIrXZUZiTiIzF4NWQXOSqdQMtUdO6Hp3q XavGzwZsazJOiHSxyiegdYiPD4zV0pK00LMCN0QqW91R0vp9QkSNnSLp0HRBQUn6oJ/K0ohl54Yi uUV4b+msMe7iPky4ZTLoH87DblrOR6Smx4G2uIC3oDUYq9DIecdMcX0tvaWyj4h8WOUVCDia/CEn SJau1tNXytJwsZsZ13hjYDbMAFr8eVsyQuCdFNqLwq6fkY4mZv/vNm2kZcijMGZEcr0t//A77MMY gWZ2tw2F9Rv5C2+0gD7M+I0ANsn+ldjiMmS27ZVupLSAx5zBVZj6A+FL7y4zj5W4nLR1YbCLo7pB 20Z8xiw2ytoRZOeEFlqNnwReLGLwcrZf6AwVr2NYyHap+SNnybGFGt6SQGonUMEFIWb2cRJk35DL VgdUnFHshb6lkWu/adLaWsXWW2QtH3eKiIxFWGev28yDPyGFtXv5OdzBtas1IQdJa8/uDWfLrjHB HEzswWAX1Gc6EBWwSvK1JpjWOucr+6OqBYG1MbrwdNH0AMNnNZZtSXYU9LH85KzlnKgKjZhO7NAL 0zUzmEsHDuT4biJEWZeQgaVYHqE45aOXkw6CDvQScFJ/AAMuCEJMk8SLMy6Gw6P4DPui7Ol3p53j sPRxDPb+yzomJAQU0h/t4s9CbqBdm2nr7HMcss7K164Bd1zUEm83F5NPpeUTobgud+643ZKr/WUX b7iyZYDOFeGJ4/zLot8l77Oa9eFo/G05o+8bsfIabU8NwXGc/WFrQJsbbjBSvpvZmkA2IX1j4yE+ PjYciJKc16KkPNcQI4GfZAa/tFdmUfhP8NYQdgm91jO/W4TSFOFEfccVJtoP0ENl3Cj+J/z7IQ2p HWfvJwbRP33Q25r/KNLe66PO/ir3j6wmwLd5b37+uFncR3mWuDV6rsQjV4p76Qu+MWRNr25w1qR9 g4WdbiKY+yPVBt1vqW4y4DfrlWXL+FnbYBSmF5l38JmRRea1GgJQLQLMkf4PgA2BrFuDOW1VJJCc CY0aiI0XSdVSaKOrkYlRSqceaicP0M40pPYNkTVT0nAPwZjWNthTqG/TwQdhetabYlIBSHYcgTp+ BZKQkuZx7yMWy9D06CxP0QOq6jg19zfngJlhSH1V2UnOvS1j5PX8RK3HIUE+WBKsRJzEt0bd7eAc ccNszm1BPKzxsNvYzbu7OPK3J8FLYvZAvIzXG+YbuLZOShvLJNzlDGfda0UPsvvX3Pqar64hbWAZ OPiGE2D32bHB5govr0TtdYODYe5al+WunxoXO6sPGzOJoj6YbFkWNzHpKYcpin2yVMF6O0ElXsT5 BCUTdWpdYdnGrwGkoWa8oFqPMn+oB3LlBy5u1K+OZj5NHXqhEp5AbV3BL3sr7hVrd7NacwMaVY5k 6Z5WpLWF+OtyoczQv8pFgn11yXpJ32O2/Fo5QrKuHItZbZYwz+XDzYgle3E8/UCe/SeRvaLDseZX TnOPs7Igl99OFlMrcN9I9tKQ4i8xFf2Q86AagCAQ3ZJLyB0CVxbpWK/M3VFbflN6EefD27j/tR7U GZX793zK87oARVq/fYsxcVFI8B05oiUPhNMoMUE+UwuGP1KWHq88JOFnhKakHkHh2M10jAuv4mrR arZjg+iBP7JBVh++0zX36FO7ihyL6hH5Gm55Or4wv3y5Au6xFSRJ6Pu+dYZDqv2i/VV+6rI+lpsB f/s+pIBJpc6TbgLD/1oBQzz7qbf1+Milf7PeccA+WEEA56hbAEc/HGNu7SADK+6yumIyQVbtvnDn 6gLFsYVkm8aJzG9VWd1YYegiO15Y8cquP1OKK/UFepewJjY7QpqEThu9t3rKfKFwPrdZk4eCkI84 la4WSSSiu39CAvJQ+KbV8Up7Omuy3gEIB3XzAVAKEOXh32ks5frm7oU5aTbKN5o9crltpJkzkofB SAflbuBbXq7hyyhLUWztP2X8f0NuLnzHelFNEiAA9LjNKkwr8NF3hcnOAJGvgpAvrm2jt2sm2jth Lzw6DJj1DlWObAcLPFMptP+VUbe06RYMqNiCsqjnhPee5EEy9wWn69BjxOTgyVVfKeo3rjec5/1S WrI9lB0Rv8RxyKCgrhoH0W4VBEgWrfJYys42KS+A58ZWfG4Ct2QYErWN+n6xYOb1RR4l9jMc1DZJ H/UlEc5KhC22yv0//F4Zl5y+D7hIEcrjP6y+3BPODuIAmm1bizfNrIOmoaTTdKYQ9ve/dpGP/53R ScCU/It5DpdfbW8Qbkje+zhkzes8SIJ4WcloMTv9/WLx2cPNBFwASk0Q6YAnewbrsdxFVZtNDXo2 lznd2EdHJjT33FyCSLOobuLapSYJDFtFH1AE9IVR0rCBpghqrbWYdUGw1/S4HZnqgLXIRJwAGUTc Tr1zUxn/W/k2gCqb4vGzS31KEWd1BjkZxu858zG1PQnpXgaJn2vBQaN7Q/tbWrE7Pf8AHee3/8u/ JBuPP8+nAgt23tztFK+yFC6Tee6kNHCQoiCoTt76t+GPjK94x1CNJA3Cr/C4IHqSvPYVXVpFQNlo eEUwl8kKQz8zFWroB8Zwis1RjDAIHhwAQkt+jGuNCRM6h9bSyTjAzAERBHJzr+arSx9ndLnoatiJ 7kiq0zOqnhnlWfgVNWjZ2B7m9+rj59t6cOKhFYjlx7lFUebbU1DisTW3y/n4XPS0+jVaFOFGJ75P 304xd2pYBBXC2xTxBxYF9Dr98nu2jjrCjUlF6Mr95XAe6e9KMRwKmUxAz8uwJEbYBZeFERHVnf5n UzEeSQENjTBDtKtjg47IrnukIvXqtGkTDOdWEuqtvcb3w6IesJMve+gnpRKWhFaE0+xZ4RGIz4BL Sk4iIVigeq7oUykrTzqWLO0R/mj3izT0TQShHTkNTl48y9TJl+6fsfSn1HJKAJBOp1WW5IhziJlL b2glap0ytTXr9Z4nGAgz8h477hDH0VywCnJK6kKAsi8rtbfPjT96zS1M/hiG1jlX/q+CBeNulnkj O3L/3nN0yJSiSbrSFuNygpycxpUqTq6OSvC/29muSvtuH3bzJo/MeRA+Bq2m5cBHGcWpj63hyPp6 6vEkLINr9J7uANwL5PHUzF6NrbLLRXi9B83oS2QQfWuSkGUd2SyZsgs8WUL7Jek31AgxyxZNEDyi lf5Y0C8iK9bvOIm+r+t2/uoMVgZCPXZ1aYu/AQhsl6MrxMAPXNE7VDvYDjhPCaMXGKoqLiXVoHcv K0UjVuPFUkLP76UlyX8d0UYNxAtcTY8ofhiPfVRdvm+mFDp9HMFb5AHbcfzSyTBnanHYFpO41qT4 rF66y/jI7D1a6WKIzY6J/eDFWlCqIHyolID/g53uHvfMnb2VI/Bcf+hNxr4ctd89qsB79dhHcsxV JLamUwD4anV488gmDliHGGRzCzev1G0rxr7L4ufTY/3s41nbM07Ng7AjYZpLAxxHqzhAusotfbeI PtYT0MYoCUFG0+v9THMIYOLltQ/6s8VfygwKDHb10nqxR8WCQyo7SepW+UEfofa/qxIvlgzaMUn5 +uAMA52cQm0x0mNKF5PuU+yt0o9vd1TMFdTd+t2Dq6bNaBS51Mg/GynZg0Y7tHCEpx1JCqh35uJ0 1pQn6nhLlx/RuY5F+xrQUyrWFxAn7Rnwc3b6nU0mpLvqdn1e+cb99ntSGRvktiSh2PIe1LsONqy+ MtftinAp5wIQp51xM8EXwYZYTowDbV7C+7FKfcIACMNSIP+GHoSKZkaN86gk16+Lqn/Q+xEMQ/ja YXU8UDXqz69RukXV2JkpoUF2CxcLvoBgUNBf/BIFKRwyFYuVGjke/9kvcawhaaKHZG3Wjoh6IK1S FXMwuRVqOUBRdwAyzlrx9l6WZB4yeS04gqHz4CVPA2WlO1eQcj9BAoFv1GcfjC4qcxxtusxIrsI6 VIsCozoUPBmUxvKAL6NChzVJsNhr3HuBkzoWJa6xziWqUmHRfcm0dnykr5HBnqo8dqk3PI8M8v/e 8x/nJ9U4rCMXe0h1vME8pgAOU5vov3L8Onn/WVBDmH8BrSNsq5/b4Ty3ahI6OeKvVtTK7LvBUiCN fAn7T65au2MdTsIGC6kuT0nBu9/Rtzz36sFBz8TuOxKTbMWuKl/d/CfKYsjaMIbgeQ2TpCCdmQwC 5p0Zf0J7YgEZeGeuuT1LK3uIQe0SuWm0b4EM/GzoQ6DpGwwQcj4nLV0i43jrv/9koENCcunhsIY9 4dDeuIK06O5ZvF1z6zWV9vLH9lKaTflrm6ASzgF3TWEDKCJZb+L7hsprUYdEZOFrkfDRnQtxXTIY Yt6uUeYugSbrp04tED2qyf0Dw5hmqnQTzUVWkVmfeRdqAdgtPrxZue4jF7wpSIHuWdCkzrNFHiRu Mj/bcyg+oDEXSnKt/UlvCgr6cXuw5gfm5wWmoxt7iieRz3SEOGeLy+TQ6sce32IToXLeqCrAmdX4 hWIJxz20Y0CUo0fXMCPgFlXT5N2bXWSdW1uGylp+z2ROMS1oujdxISz0Qkfvcw4LI1//alGGFBQx VjG9Ms74A9K5B7jn4mz+M8ivfZP7EL8LEiH1+ftztiK+1+jElLtXyiW09CabpACa64PJpST2xhty qAWc6jr+ZDuAFCUi5v3lStbCVtrk2gyQD0uB/TQmITbjHfDDrF70JT76gECOsGePm+lnRKpqCpOt eKScFHGqCVAPF7DIQ/jVF63EGJxTInNyqHSh1YcuTRSvXq8qjLdzU9zI6lKe1f1gib7jFxoqeJ9m bcK7y2lRp1HSqa3szfjpDHpAAQDZVZO86nfd36axAR9xZKzHu2PWS4Rk4ALI5Ru5BB9bTuc/SJKb YfuqNE59KIrWuGgJIf5JjSU0JYa6/gknoYLKtG3Cv59I7DlhoiyOzButDG4ALlTrbb3GGjVjtqsr nvBTaL3Fxy4fS56MPaV41ClkAHPleIRAfWx6/27apPEdrDB4FcavEpkifyLOu6lU11RfUKYA4kWV ET0jh/atvdAaTab2JpNxdrMQ3VTW26KZYGbAZzLBgtasJAA7EFKJeRz/hC+2swCNnrz0V5Pi6Q2O cp/Pxt117EzelbgNyo9xCHyEyxrOXjf4vcHJ2PakyhS+CTjrKT2fn+cL8Udh4ZeqRWwrHNLES7bl oej3lD+WYk/Ix5rDgL9LESJf/FQjpzeN+5O9YJWee1VipF4XYEPIIPC0imWfvXj/uAC35AjYMOnB OfAlJ0gGBfUDcvOmdrhd+cnUc53JxKbEcMMp6PC+4hMWOENY8Yqw8+zPX9A4WNaP3XT5ZzsT8lYG UtZZlWpRyZb/6cIOHBo4+ve4B7JD/+EI0EZ6pWPsprMB6HFA/SyL5v7RGeZV/6DdvJa9q92t4Zdg DWGi7X5IAZ0fzIE4taX4yaTduxOX1Kvs/pSJhogamqJO5R46cK1l14OTp3McKorjlAG++hqQ+GCb oH7K+ONp9lN8Z9nwtQfkmhyNV5GNtKVgng32dgxGGP+bhgLH9UyRyBcrUCGRK34nye1M5RNWjOre OHu2qkHKnf5SNbllKML7UdwkU1wtKAY13NXKiOGVzDni7OXUmGxfYbfMhuecM61HSwnsh1e/oUuo O2k53pGji4s0uN5rck0SIBhdKA2n65GNftYHp95KUgTsrB9ezo2Y91c3jLw4mDxC5U7ejz/MCozG 7giwAxy+yKuJc+o9rTazGgHcv8hqYtffwT5mqAmD0D0EiyMuJqk6YISbEMSHHTyt4EqZcmBIMOEl Dcs1Vs4WWEqSkax+VvyKvEgBLS7OREgBzzJK3CPJRSkTGvEuEQ+VV3tPdlK2PzCdRSE8/r0l4O2R AF7TIlWcac2+Z5SJIp5TgVqkZbHHgYKJrfcd6YeBTrLw9XaaY0f5gSfo58VVL1pOXzTDjKCCOI1U DH1OY4C5Z4rKjhf+e+A3xOwidPHo6R2pDtkEHbIHN7xAX4cF9k9p6YN4NOS5i845DCaGfW2KmKdk +B3YqV4dG0tdGLQDKU+PMoLOGGzQf5bWh+xwQl1WIAyPOQH5yS0mfcc5FDyObLWSa/sCNAylz4VJ V13dFN/woN78Q09UC6jgzDKyGdybX+TBeJIRjxYOeO4YbfL9RmqDlmf01Ei4ILEkYqiZd1DisKCS RfvtNiH8fjY4p4+Rrf5kmnXSoay9gLUCkyKLEVRuU87pCNnbifzGjIK9u7juIdyr6pTgQNX5aZA6 JedIBAkZXqAw/tLoygHoH8YnIkAp4+N5Tblf5qOvrPZrXmDzqc3IywNaPbadsislXg44qkOp766L s5MKOL/9wlSsS550ojSPqQ1AH6zLoGU/+Si716MZFqTtID6vXrS1oqJxCZis5gRsBKoxpnkuNZVp zPJB8NZU780Ps1CPeNw9zWbm/gsrjyBzvh4ZnuCsmL0x9uLWNNqAfWpSHERBKoDPiIzxqxIcyFi7 NLDV5d/D6qAfDRm4PmmhU8rHjbXD/vw6QIQV97BmBi9ZXgXB/npbH6nkOnc6BRrjg7SE9/lIjDWM rdMEPDWpeXerZV3vrCw3/UdidWFJxKWUP1qFl4VywKnP9m4yFJm3SgpjzPrWxWnKd50j5XrYtfio OXJvF4wZQtmrPrTbn+2h74R0tK7c7PmBNfrkHDt4RmfbgDEzSwMi/lvJR4eaxoi+KiGHxEozZWg8 QE2c+qfDTgTrnnlVf9GqaMfP5rKK5wVj6gwcvjEU96B4SBZJGyXVgbPGwRmHIaKjDgfpfhdEPsTW VR8N0ldqygqryhOJpKMaBYIN+VU5wF+AwFNVGrdi1voca0PgN5NOMaAtkBuexJlwQa+STJN3r907 F2HhUA6QWrxQ2+0tD/MpkGTIXLaQzr3cIXqXIy6kP9EcSP+YnR2WlUlWUinYLFptBb1Ei+UEiYUk p07033ycC4lkOr9e22V5zYBc+O+tz2CVyttnZvWYJPB2vEdGr1BlmWfz/TYUhWJ/RbVwe+EQ1ePx CuHx2zvhM0kRpTcApp5ZaQQbdGip0aPWSDNG+En7tJCuDagr9w2ijHbZnBp+dRkKMFj+jFgKp6LN +8tvfW9m/aXIizY+yAA+LY0kOaMXmrdvWhPV9hC+iAxoUmwqBjUknMr9fGEB6rt/WhSWBcR+JbeY aS5pLKVIsRb3SNqYmEJiu5pR+kQ0VmtqhT9jL694YB6YyULYpPjtHdI15xc+72jBsVyF8kQwMiXs 63eeXMdk/r000rfyoSMYyT0ZG/u1UBuDDllMQ1qAk44VeStWmTi9t12CT7xJIsHKmT7I2KdkrMjQ VRuXwLnJovSlYjSD26pZEs00dwVwfmex2AjpD1hLIuYBlqjCpFBQPlzXZ2QWg/gQSQWh5MQw+AK6 lUaqp07WeO5k6RgXawyGFjqHOfSshwPiuB0Mwpu2NmoKxY+zzXAPlPQqTPS3e5VwFt3e/FhJO0qz i1y7Nv4ZAG/s5cjy3TsKdCRAERgSkVvBUKGOvxVD4aSpi7PzPIobXseJU7o8xb3foLgtmnsEjgp/ ZaTapu+i2CTe3KRGCrBDBP62Z7wwYH+CklIprbzXMG8SjpwSBKKyUUGhIJv0Cm/f5BKL8VjbMUBD 5tTaeA5rPa79fDwCpTErs8U1AbZ4IlAEYHADl9GRw3tknFjaOm2/TyggzdJ/PVSffhFuRJuLZxbk m5IEbHoDuT0fQWHEtG6rgEQ4/24e1okbdHdvA1CPGZbiUhoChqRwLJHSBbVBIlifg7/V+vCPo6rk 0Ld/hoYrUQw06oMIGqESULw56Xin7/sFIQrXB8TKvEWfwg9o29PsppcsNtkl2+gseCbf69ODTy8B KaYda5UX42AOKD28RImas2YmYI6aaFAHwzBxjYV+Vj+kEXkw/54S60B+RzLsh10QlrflrOBF14bu oFqk3kZxGWJitpB55YJdXjPTriKryXoK6Xbusmvr973cZ6FUaC+0HCNmH126oNZGQ+7Js9hwEF+M dSwn0i7kpPgo7k3hGAy/1sWnB3cxCUpeg8JEKjJVDtW2k2lM7Qn0K8w8UHeKoCGx2T+qbN1oL27k lbIVC8pXmI0gPrGLvO7zUeoaIZFwwWfkyl88J7AH3/06wyqjwwFXc2bPsX29AW9/fB5cJvGenX0s nT2yxZ1D7cXWR5MWgxEP15dn5/A6uPskzYAn4H7OHIIPtLJIT+QFKfhH7YJpDn1+nUkGp/Jk+LCm qeimvl8rZ6O1hh3BxmGki5lzg7ih8dEaywQFmwScxG/1gdoQqeGAsH50SGW554MWxhqbZenT8cRE S6RoFfT9lZMlraW2VIuvj7ct/v8WuyCyfJDE1Kcb6FIWqAQjy82koI1HzKcG2LT4B7/TrgH9stJ0 HO0a5PZtCTa2qtpCx29UWXUQQhQ5fapwGZ9qg9DI/CaV6QiuxE6qrk8v3lk+gMIpXCmcDMnRUJo3 dQ5LBen2wFOmA72n7InoESMmT8PS4EGYiChMPfEReC1fP3ajrSxYJSwSQv/FodzCQiJcSFU1fTJu F+6MWliVGPJHKL6ao4MhiT8ewp3N6YKQLFxo55IFnivYqq+f+Rh7//DMmGw58aFMZAAOHnROXv+z soPdmdSJ+/nHJ6FhNmjRyBN5ODzxQuLTxMzFSh7jCAAuB0+rymjaumsFhzn8VaLUt93vdDp9IBhy Vgi9kFdRc4ktQHDaj0uML1ZmXOj0FHOrwWP0inKb1l6j7fRAjiKRo8zk7BoLYVOpH6aKfa93mHC2 rFjci4xX16V4UI2GwgDeFWzaXzRJ4Y7SS0pJZmAz3JRs0KvzF7Kw6jrZZSCTC0dAnqj+taNZs/sU veqDCo/MpxgYroPUA2edP7mvJVhfQ/NUd5hVQz3T27bZqQIXrtUf2fAQtYmzapkBP7eDBDRXitau Gsmu+QWhT5ZM6pFA1bqFgbhKJ0258RMLDnRofhM/uNx1Hw9447oyD+tAzjeOZzjGJkh/KaNEA+NC uHmqD5qa2E9p3FuGA2qSef+o2rI1PJETEQhs6L09apbzXdBlPmIXxt21EjM4ZIaaVUL9XWYlXKmu hgwnotzrhZAnLzVGm9ZCV/thbEqw6TG0CT6y9FDV8EkD1B2WBlH6LN2Y2rgtxjd8ANokHzJXffva sUKgcsopHwTUtnxa7RokDAP3L8UOSGgiq+YwoRxLl5GDn6R6oVJo4O8HX/wRChRqUjzPE1fB+Vc3 PDZbyl4opY4zDpjKy6vf2ofwmsCdkkGYmAaoQrYK9Ki3mJ6FHl7RRB1QY6CrhAC4CvxNIYbixlFB 7DDdvbzajU3w9YhZVUUK2NeVuyWycKwoGFHqpKsLNyVuB4sH1ONLIH4e4zJs3hflCUfiNyAUO2pW QRecND4t9xgXoMCaNldxtLAA/yI9ssHAbDkhTFClDkspDKgILqU52zmJz48ufiuU8IlAA706QlSS lVv/WynpF3RFGQftGSODAe4msQFhJD4LjhgWD+tuJO6PkaUVq8Skr/7aaIYSauagsmDA4VD050bo 6IvBh27yExgtav5qTFI767kLYGJ+F2UDLekUTlbqV0phXajqsMSaOZiayfa3nmbpQfedW3QKZjCc b6pQOhmgzc0sa+uTzR+EEWR4GxLjSwAhDceCM8ICfUu/TCwaIR/nFvLZgxFT+GEyIJT4c7wnqcL1 7kAr49IvsgJjFDRiMGLIuWnRHrhgB0EDX+mXR706cGoMjs+NJmWC7EFNHsoG3PMDrVcBKu9vi1do Wi1KHK1m1ZJ9McpeQDIzmhalaDbIfcUrM9Rz8ItX6wAukEBGW62TbdpGcFXEDhJpdxWGovdNQSzm U8YaiaJM8BQOJgOGuz+J4OdnLzpEREgLiSjmn8lXWvNzdHu+/XGXUara8ckEhHFWJ2Bm2b/3gnA9 6tYBF22ajLSDicmpY6uZaXGniFGNeUKMLCISx7pNN2K9G520Pa084O+rYHHBizbApF9uPFkdH30p eyHclsy13xPpRERoz7xGzniBIZhhZ2ZPgVIGxn92sWFyNvYH5XjwJdip2q0eWBASlyUftyHq4cyb dlVI3I+IXJkWySWkpG+WDqXbOEWDcUlbDTxzsP2cFwMjX5D6ov9Po0C5XBnuPzpP6+dEtjEKWcyt SDQ0BTEHj8mHIkEFGzOT60AtLsMOrFvUgfZDbNwTImcZE2Jvv6ySUkloEWZee5hNO9U0fTB2mTol fJyr200R3i9OSzcdf8vbNWpXF+zkgoN/SGCIvWT8QwkjIcrbi1HH0LxeyYSP69CPzgreBesYrUrC 8AAJ6g1NutF8hC8uy8rKwiI4NcRihNGunKeqayLIr9JTdKL0i7YX+Iyaf/VYq6yo4FFzutEAoNY9 AJVgxcA/cKYRu/nM9sNZs9CiSl0ujkPieQFzIVdNB7TfLr7fUn8oeDCtkfmItnrB7pv4wmrEA3sB mz6JChtLK9eZXqVwJ4916LlL8AjshycuWe6Dksfflik8UzGBfY6zVepsTYIFhLE8vGguaLEolkhG 6kFNKAkgwOFZGzew7adrUCofyGvVm3ye3jyyH/avfm65VT6ZRvTXk3yrlYg0I2ptxRYKfMePa0Ls fTPqhJuxvMXm6S0ey9+yMPyqJed3nyv0YvWc+XTTC7oWQ9ojI6zeizSwfV9EfEgJ6kzFbOpA+wKA 0kNotLkPs0swwnDt+kjYUuD2yHhp0yiZRdlnZrKZyDiF4k+aDWS+AlBA80CJ/aL2q0L5VZI4WsZw hIG7DwHg+JEWS1o2KXP/lbdgu8e0OIzqEMlywHuATDMCU0E8Kt674O77mrxBYXGukPqSbxfjmu1t QweHqn/Ohn8OEubBldxCFqBFzr8e4+wIaxDfTX6AxdTymJzIgZBWAis9xhqQsVLxxHXd8w13Oo1n swDkGZCcvzu20dToYdQ6RqSCfh/2/zSPo0JWmRNFYJnq05nmPT3LlLUIwHqAEPQ1Ih9JuHIs9Ekk Pvn9/nLoAfErpon4n0gPxaKXclPZtvDZBZJ5toCrAjjHnvJd2mcx+8IRvVCI/9IKrjE+zw2BmNN5 6uYOaYrUJKfCqB6BQ0mUqqSxQswlXnS2Deu6j7ckWLaJqba4SaRqhGXS2yLU4+0TRZfXKTGHsQk3 YR/c2IPrZ+DEbzBYhbkN3PZMWhjNrSfbdAc/rPstqlkII/Scgw69Qd2XoftSPbiOJX6SueujnNPE X+ohVeuqXBk7tJw9cAYM5owdWehxwBrARnsASX8e0ocOo5xNv+ri55z0RfTZtbF4YE9x7PZFcIG8 LwGnelKjv1yGJ5+6je2b1qEFHer2oyUyhq7cGnNEtj4OqR/cZ9tcOPjuYNTDRgJ3iENdsV4odBEJ T5h0p1fCuKowXes/bM+3lekySylssc5xkGhj+CUpXWYh28hmxXpqi4cBNO2Dg7iX7RKcFubAA6B3 IO3Q/n+SW8a6zdOlgru+D9kiGk3+NnEjLJpQxhp6Ib19qwM+Vca2bXKF/sYo4y4/3hwfXa3n7YJI kN1XTWgF+phbsZdp06s3IwjjeT86N3+UplJ+FooTP4x3YMi70hfrZzvAU43ycM4tqRSeDOMmIJ36 i9dBT0uNtT+WnnNZ4RRQ38wtfMQ4qQb0Xs7NH5X7kXXA0vgIDptgtCBeVq18Fkahh/Bq2x4PP/vD 0wRgMo8mtopmcAAbpqhbJgcKZQZiExzecj6zPVyOG9p5b+oD2RgZRBao8BwnHePgke9MSWSfYLkR 6RetSE7b/i/HxHAGbmQgfsdIZCd5wzFUbs/ympATEIR2XR3Iq/Ec5gsX8Furn+dDFV0qyEr0IuHo SlZMBzOCVeQq0URaXvsENwf2i5p+J29F8nBqQ7Jjd2UQOJyJu3oWP5NHsSNIct/GN15NjoCzwat9 2JqLwYuIxJRi9bQvtgxi2EtQZiR3fiJ1UUJxpOyJHARm9/9Nwlmctx/6z61lwejPJzJVtfOFUZIH PxZurPAuXzZkZR9rynfMKgrbPNKg+NDfKaABa+QhyOmH2qnV8M1Z8QZKr3LEpQkQuPfZ5dlYaRE6 H7JLq0zqYdrnlqzmPu0/rVUYeIbVli2tK0r1fdc5zaPkeh7Nn5LO95VW9dc3mS0e2tNB72Q7Sn0t P51210NHhdmhErQI2fkNeyPraNBbjjkFO+nDrDo1YaLdfisI3oYKB3esguww9qApa5DR70x3dDnh 90I75311C0pgLFhvDv3ObTuKNAJCua/x/p+vIvOSufK1xsh3tO2Sp+NJBBh/6mut1S/h4ab78+py ct0tAhYHF0e4ct7Qthq4WY3k1VT0Ev2eYRXaOElTgUvwijyU8bdio9JhbBbt8LCDSwR4vRcz6z4S rS7S1ICTJaYKD9uFTdoH5mHEgmWQ14kDUZWOOtsYHvn1JHOIMPN+RFA69UcBV9RWxwAvhnKqcFY/ L6/uPFmJ8KvTIOu6ZytOLGhvuNmmgegBZ74/av0OLgFzyWtytbR5ujcwdF9wDwzzMqzM5hjnoYF9 Pa1Sj/nWvRDsoIbHcqmnTMX9uXV07ACVR7+YhHchV1M9w0wxIuS+R9IyaAgSaV/NX8KPmCpl1nOz QNeLOCKYRL0HiZQ3jrYiB4ypwk22LIF+EEtDvKQM18oO6zYDyeZRwLHc3Ks+pHYKVwXUAwRREPYj zG/1ZNQrHdUbHVtZlKOEyTG70JyorIn8mal1vzJk5NomVTQdslrP2wnVBHh4NGisV/fEVNYyP5U6 AheNohfDYusb74ry9TbaEpyTC8XU8nYOtdWMCIfpTHOVmnqBBhEnt/lC4HmQhx8xw+uh/YXAuLmi uZubSF8zRfQC5ef5Rr/92tLSfDIlS5rurHSu1r/ENhJuEqoVoGL1QPQXuukjBQaDcga8p9WkxIjV lMLSADkOWVyxLhT2PxibZSdGRLye25aRxwrsRIRuhyHomsLS7KJjAA9DzLIZGodFQ3VkKRD4he8M Ga4iqeRetwLRRKBm7RHxNNiRAcKEEAiiBiSykX6g1jIiDTDI1P0mr7ejHAJiDuHXOeLy+lGrCBNU DQJ3W4VUGEnk+BXV4uD8WO3SqR8mSNyZPPtF23hmD5ITT/0Os4LtS/6Ivar+ZEBg/TFDXqPt36C9 KHZtyRe83rh1CpiA5xlMoGpgGQTyGLLPtT6mVajPKkVQbupdYdYuMzvCYteHitwt/1/K3jh4gxsB NWdS1PXnBBat2TAGIXJ7erSkPgrNVfYU68wSX6qbfau9UUdAUYpV5eKV2QKqZ2WEPaDDDrxNyAFt 2D5GhFHkbVKJbt5TCkELu0+iw8hlxP+a3cEkDAkLAF7A0EjUJQTGaKfUhfevJ/ODFBr/1jx3pT6R SM3rbGfbPhCfOBVRzcNC7lJYBZ+mW8e9Br2fzetHsewYx9fIQnZHZG32HI9LDYnims6YnsFNRggE b0+k5JE6SDm2hIbuqFrI4IrLlkWv6WC8j5x0t3uYxW7w5O5HOZYZHmAGLly2lhMA0BOWszJTcUGI TbS8Yi9c1emOmio+Gzk2t28FCSdYMPstBE0+j59Rc7D7QM0+vfsYQUrGmFrHpRz3I7nteZKxwEOG WmDyglc+16GHvToGjpKLqe2LkOLb1l4s2hwdtxL6Fpw/suQ5dxKk/W5CtfNTOJFOfdkQ757DZzZ6 9BZ09Ai4X/SZ4dODPdQ+OMDngUsppS8jKNksetVg07ory7CY6uHwPxmHvw1dbBJvAQJpKVIXYlIB meoyzGyER7XpVq/lXODKFkBEDFcpPI9eYqkz2NvZmOrKF8MuyC6rHnUiCdRquxgZ9PWzRjfGXXhJ 45lyT5ELApQd5YeCdoxjMHSs4lxQo+13hvxrmDLQryJZnX+4B0pnFqmroN3+kWgShvCFa4yKJXKR CxgmHlzl4AYdQo8CnLD+82abYMk1tANSTuSx46XUY+hEJLGvJJO2BoxxM3F0knM9Qjydirp4Xa8A 9aj52rbgVVKy4uk4rHbBnv3Ro+D/nzywRPCJe+o6k8FmhH8uAYz4jFMvSl07vRMMgxlpnWvhDt+L oRn3jvsgiRv+NA+LzOWOcSzphaCSKprxc8q9ktE59gdCqnTYTZhnnc0NPBo2R16Gq4uSCEY9yu/L nPlV5oXk1fjuo4L0F2Mf2ayohatiIaBxo2vt57lbgawYHYbspAwnCX69D4mlzKebEDRWl/kqAn2q 9ubdZcJ/fvz3UKGl7qOzrgc23Kg9e5wG0k7kPXUx9K4UFimQEb2TLQPpjtwticaHR+4os90MW47r DAMbhRkLQj5dlwXYbhaasW1jq+5CQcq51Nr75MrHXoiLGmABNFvAX6Ct8MtXLtLNeYDxYR/fE+J2 i93xg/+XqZIzggrme7tr47LYQo/UpQCQ1zTb49MIO0MBpQ3l0wgUARvzzJtRLJNKmbiTiLd11/S5 GASlzpblx0LRgNMcltaBbcuPsLGMd61cXs18Z+zXTLv/HRAe5dXdZ0/ari/WzgBgdK0bulsyr7Qi 8TMQ2JkbDxvZT4iAXLdEzaxwxEwGSXadaVVnJPl2lBoKyexUjuQLFx4WshlMkzoC2TvTEapNAaST 1ijt5rYKxuNRXywXnn6SBLF7oMaXG5nBBpvogU3kmSTQM3ebxckvDLDNxVn9weETEzt7qPI2Ghc4 waw1g2Bfm1uAn6+4tGfVzN/THLXi1/WpK8i8rixXR2Yq/QRcjFpKiULjTAdtyomHq44ok71DlGC4 /q48JiMmU2NLSQaZmHwBANH/i1Z85uC/yH089r35Ve1lSlmeL0P2iJ3FfkiIpo0yW8dtcrQk1st1 O50IWhYrle4uSBgGm7Li3b3yW3acTUUddHEwCvOLIHXAYYo8vJ9FkfUcA20l1Kau1hDcA3L/f4s7 cTRgAW+eGqoCfglMZ8xtadY+3pQtkquwJDejr/EqweDwAxcQEifpfHI/Q7ygJ5jA4imWudaz/TmM mrfikb5f7EZaZYwxvYd2m6z3JrTAb982UKAONsekGepmKblK6GVBzNXUVlPwjYQ4o0bTfoRWEvmM HWWcFRmahUxGD5cCvujzPLxYB0gdZz4CSsLItZGkAqDeInp911A5aWpnd5I2FKC6tf63RSxJJXeq 94a/7TNRCQHKhbh2eul0rQnsu73xHqhdvwTBSzcVBJ2n7yDkqIv80hj9YtNw3CPJXv5dWzF+PIUr 5i9x6NqC2BgLkTEdglvDTU8kr67p0fFeqKgAjOjo1ByZqkYVE2XlJJZvWJ27gXKGWa10mTfv6m/r oeytxzCou28jkDUVmGn4somp05qTVV6q8X/wkF/1ZUNBMdJK5JwIUtlbpdyVkKRN/vuvFp8qH8kf ubcy8XKUB+lCbKPm4nuUD1HODNHtt3I/S0Azj8/a5aXMG4217cT+hC7uqPyNBuA6I5dZ+Yvu7Dnw 9ae2Qp8mbe8F9usygk9KiRu2QHkNj0DEeXCni+RGtpCktfRFvpFdRY+Czc/epUQYsdkdmnYT2RtP ykcqWxvedfAjWovrZJwwUiBGEGNFDse8c9spgeR5E+MD8B6HOVEEi15GRf5K++GyVM/knwepAiBb qrA2uDbSMq4SoSH/DIXnOye8nYxGGpn7XUHoHRkKPLoAqqY1JmZxFr/bnzRIxOoHzAbgxbZYLSsA K+6eyb9hZ7F3LHRySnTiycrm5F3tfvemR2UzoE442NkOA4pt6PP+Su8W98M3iVr3ZrMJIG5E/lOi ikYMyZaYhm4pQTbi8bW2y9V7moGwAAUPQD+goiasWqxbVGXdayTno1AnA8Ftr4R9m7k30lTUzEzP uuokxDZXPndKV53VbGDVMP3ap2cJhbBZSyobu40CEHipMZRKWD4RhK9YcsuJpOP/aIqxO6qeUqpk 2/VN5dD+lenc0s/b0rRcYG9d1zDUXlK3RIBdSwrqRi2rNk0j5YELaS3ik6n/iqhdhuMonNfMHXK0 hgxLCgcaMG5e2sqll9MoVh28NyfPMux0vH+5oNCQcgu1yAKY8+cpQ+n5jACbtZhUnZFz1LTFHcHI dDY/RxpzKX7meqo0hgVnsZzWfVRyl3LkAcFXLUIzQawsC4gnG6U47nMPEmcMGBsua63U29cY8ulA x85CDnEjI/n3RpFyfpPKR1YccjSOfB6XiUiDUtA845OHn8MRvQCPAedd+3W0rDUqDirZk83SPmWX yOYx0PSUPo+nk+KXh6vv5FEcdi/74gghF5F8JTeIzVuqeXaqi8n00x+/NwWMwQC8/DTntsEsAIDZ iFKb4mxBVqYfomDIimUBw7wy000fnok1en9nPrLbrVawWUJshhxisJ3f+BYAJ8QOAHHgKbU7UD3P br5tjvaqJhevbJCYYwcKOKJCCgHamhhKA4RTX9UWs74S0KGxqVYCAHAYVWBzCruq7AJVYncLyHGq X5XvGRmH1nYO7if0kqJfIv4nuGs3ecoD3+Pox7SdBoQbsrF+PlTDjEDcHCB3/hf3ybUT5GaztJT2 P3oyk+Urf4L7VvVAWXs2IZOg+iMxeTplfkFTfd8/294Hvw/yFKkQ/DVM2AHu5Viy7Drs1tDC37tL ytDKKIanXVFIn/YUwAr3foyCqVBr07qvHBWLm0E8DjZIWZhGzzQM1DC1JAq264sb8tD9153bxjJB Si68GWQr1bdozRZPdHbJ6G2cXCoBAglYMMFwBQEnWwoQE0838F/t+J9ZzMsCR8d/R19BVmt1sCF0 fbWGks5WED+5Hy0NJ2/+OM6HdBuHaFv9l0cSDY0enlFStqcngX02piI7GDecUXkxDzl0ZWFnmOQ4 Oov64ttE+z3AjY587uMpx+yBeysphVOqj1vCi8SZb70ayP+Z9hv5aIbXkod2zbbVXosJRCA6DWSz tlZ0JjuYDIE8oRg5VmYmm1Le8AqfgJaxV6el5Dk1p+cvXihX+i6IYt8zzhsW3dD2ivfTlpVVcaga yJGWRvVek+TBGqR6oTGL0LFrxxS0OHXEGVmBuXrURterAffCBt1scVFXcdgmo6dfFtYyYot+V5H3 fELUUWyCFh6fN00wAFUE19ILLSsQIFbRI8nx4Q6ZKy1Xn/9EDnXlrGm/VcAXLjYbeuaCU4ki5HEj Pvw2dAHhRvtBOxBQINQ1OgZzArYOu95V2+KC0OyfhhnYw4S/W8Zn4xFn2KKZqb49JUrmMTJdXoeW ZHJ4VgFlA61HdfocFpI5U7TCs/a5iEnhCO0TfApONWmayY3kxrV3LiKQAd9HOvy9ckepfArLRCj4 5QNJ9mDccIylLfRRDXLzyNCJLE/dEZm7BbSMbLNx9dwJdw3x1x3/fzn+sP8HZuuBd8ulxbHYI6/Z kBd60LQ/lRb+pX1u3+1mt3aahAZFlWTIgMA4nmcUkH9sdloJAPPFuGGNH/S4lPh6+aDfeqCILbJr U888MHkqHFVh3vFnwxiZOmWvD3qOGodcDZuB2kKoabV0ejzJ3z7yWv5EavTju7GZY8zOiayHzJsT g/1eywm0AWZwztOPxJkr/pCT3ab0SXWUhSjhLHPZgCT/pZO+lbWgA16gVsCffSIoPDFI9IaHZ1bc mOTYJDrcBc8Ni3gZQMYgJpHefEUwyWJeODlnIqySLqsY4FEzbqSbz6bx5yqSWd/naBhgdqN+bef/ 6Z6zdM0MbUbSItLrqNsodVlSxRuX/Y+YNBpFWVAOjrO03sWYhHvc8ZwvDw5T1EyBZ9ozmBw1dTpP XagcgQiEQg6B10N9revqljRXZIkaaCVQtrXoz+BmyyhDwZE1gBNNtwo2RiHZGopp1zTxHvXh8uAg 7GK7lRCpMMtPK0Ar/J7ql8894TCrRrZPhO2d28VxXVgOkDWtIW6cYxX6RJ09+bblvklhhBHnSUye Y50vII1NtMxQ5RYY9VEnWhJiQ+4exH4Lz9KB0EWcQjiDaBZcJd6HJ5XaQS2PVijeWjoE6NUyzSpX ECAjaysQENJSnWZGi3RvlOeafAo2OuKcYJ8Tu4NO4gAYxw3j78J/wN68bB4NArMsUUTI8/gy4iHW o1k8o5ZiOzH4O+1Zyir2fmL+uGCtbWOsh1lPU0fY5yMy7QEG823GOWesFo0N2wFSFKSlxyCQVHkJ TqnCooZBnAewKEYthnS1CrcG9OSbebx4ggEiDzps8IUWyqhcc6xSsn3eowx6retbLQKG1TfV9ckB BiuwkvDzseEC+uazOdwI2A+0eMtMFZLbtet/qhphmaAtr1P4ZCjgdmbZsxdiGXLQEVDwLABYBIjU U2R3RD4r/FzL2emNVMb9AEbpdsOAt/ktCDjzjIy2WeItoO5O+pP7DEnfR2WZASwGtYGkroMjgOXG CT77IyJnvBg+ZeLUqVBxdiyqIoPaB11Mc8VsB3im0yxXbmCcu+oW6DIfiXBBi/PsDTjKWgDb76FJ n7OD0TFNiUHoFZAN8NKhu0mW/RU1mkNCvBWRY7tZzndS/P7zBROrpgKC5hS4jPwT4Ffssrx57vix US8tD8YV/iwQsME3E2up+lIN4mty2zh1vjKJmhT4ZuoEGvaPUUMbnBa9dhqziRD3xGhsghd77RrO 9rQRXwcsdaT6jwccqanf96RMQtY8hQqjLcxKT2rWl3UbvqQvU05Qz17pmLHYTcwT20LQPndsOe9X nRg/bfce5XBoBuHVYwxfGDJGx27nPCLUjWqZsRTGj9CX7F/sRi0HJC3yQdcrRn6zNasxKHi7t1QD LB/S72m+4k6MfIQjg0RYPXVIbBgDx5beWepYjCEPbCIdbmyRLTjWfNe1HuuGTlMuO/ab1DBCplF0 GBxq7nYpSxO+DJrn25YyTWsxFrKsjkMVD8dvr+uLfiCiAYpBWD+F9EkxraaGlY39UENm7cFt7rlX gOSzl27s3UE/L+3ikqFK5G7HFUdlJS58RqwPYjsQCM/oT9UCZg== `protect end_protected
gpl-2.0
00554aafe72cb0ac84f4b98769a06438
0.951939
1.817411
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/dds/dds_compiler_v6_0/hdl/dds_compiler_v6_0_eff.vhd
4
47,179
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block HgpAucc3z+mvIfJ6TxteVH8/IXkPfwVXar8dMelw1505LVak2NT/8YWyYS162c+q5NJ3nSkW/EYK KZWcfwMSVg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ZEyYcxdlWgPfviMmrHNvErQeDU9WkYVDCbkOpV8fCUWYAU6kQyeki8/BltMKP49YxM5htRx/jcvV z7f/XcB71BSrv54SnJoCmAbVeGb61LHppwfV7/2N92IP++KzOvIg8FTXGbcATxohiA0xPrQ7n7wd jE1Oc5vILDSGBcNuS6w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block BLIDgy76T5eWvArfd3CZLQcwRslhxrQen2T9AFU5IEi02J0jniH9JocBe9LOfRwFg8OhEW0gKmRW 7GCB+X70YBkzlBpjDMlz59V4Y/8VsbiOcB7/avSV2src8xd9mGAlibSizipfY9vScFAx6B+PBYTS 3GLaNyoTAErOkqEkvywweEhsW5uPwgBN///Q3UcylqIpi6IN9quQCWQuMR3BruUN1abtERovH9Tf ng+KEPeoFhiFjJbdtdYrQvE6grD+0vT+9sQUHXVTjkztDLb6zO5X73aCt8yFREiQmF9MEpSO+DYJ OZKyKpOXKlOlLspd1edDZkjZLIUTxL35wm451A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block n43/RDnDF2VfZGlP7TGq/SmQxQ4+sLMoVi3bwlb3URVmcfigBU/28bEwCVh3HXqP7HuNvk/1A8OJ IQF5RuLz44Gc+6bSe97d1vDfr2Me9/GJtBd0TOAIs7I++54VBSmUv7uhjzuMq7DvU9IFYw5R9RSK 35knz1n/l7UbgL1EF54= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Ve7oxSpaLUo++eJ9V+C1tQeNFITeQx3vFthAYujIIf91qqxRhDFv8pFBvd3LGQ5hx5LbeG7HrhWB 9LfgZiCZ+ZafpVRF6yWYTMG7xMi/KIv/NH6eG8Woj0xS/2jwbloGZnsmsX/U0wUw0hew520AKMp8 AsOyO9XUcrh2igQNOCZlud62MfFEHtX6fGcMOEeQuf6bpsKPvFtXFXhUUWBT2lxVaxxw6xkdV+uY N9wL4WVD3vh3Tq3KN6YF1tjkgCxmc2N7vsVicagpM6CFj93HB+mguvPTaDx4zZ/KA+NfGGqGbmIC CMy8/e8QJjzg+AZqOgLkiOP741SJYGG/h/cHFw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 33184) `protect data_block oNaSJ7TZheXf3JwpErDLtsIcITLq6g5T4Raq+k+uianO/dFJlVKTY3/lczLmqdXI5vMqiw/JmoHY 0R52rwz8XsI0QpRv+LtkjTRvTuTBg7+UHlRxjl6MM71veeWxp1r801eM5LiMzeLnToxooh/r7g0b sV6P/3o/jQ5vq/93cLdtJ8nkS6NurHX62H2cNnby+hxALtJw4rw/go9Zvg13ejgz7jmg8G8oZyVN bMgK6eAkPiOekpL2swOjjUOWQU8n1/G2dEjQUpGwrI0aOZnqDTNeLRt7TpfhXSblGTW8JWVyFumO XSyYCFd0yiYKlkb/VvkQN9zSvp/TbORASJsB95qa2touhB/MUCxYkkc8ULlX4HCa503ulBb32T1A F4BeWGjTVWRwkAzTKlFJ/MJGKtPz+HmwP6UnVvH4Rtwjqo1qmmElAugJu6oEkam1JaqAyvZWLRYf 31+4q0fhar6qao7jjNtVjE4dDmUqn1q056LDcJSP4ZlHvp4lVVe2j9ZombMti1y0Xz/sOr24HuNi HOqIRk1zXv8PNa8G1d52x/AebcbTnzkLVym2k140fs1Q8Fn2/GWXEXXcyeVwD+Kfb9kPTee3jwrO iHeO0R60w0VZm8VgZGNciOkjxnAwABy2/hb7/VrZg/Nwc+U3TDP8DcgZMnz/tVNxQirzxaCvqK5a mTFhS81RB+ah8oig/JY1q1+5oQeYk7hpOhZkCu6zxAhtFznjAOnknPxAiXPe3z41RY2sYgF1Ny6D RomCNWKmG91be3E2vrazSZ664xNG++MoCtI3z9NLZwBfcK1A/v3Uha0GXe3zHOr+7MHOzORpgiUs ICd8Y6LeL6QUUocCLn9L4MZQOdzDBS+CsE/H/Qm33jRXMRJQ6jjaXtivkCf9BzPHDIdvk5CuqwKt qIjQqxwVKQTO+H5rLRkrRrJJrVIqO5aMO9nPjbrBmJbHm0ENBGpqKTDWuzfhoWlcJUwKJnL6173W XsVxwjbD0d1+XM0acSo1j0vIYP5+4/p0AYq9h/PLCBGdvjDHvEwhLjVkbE3DcJfIu9n8HJ0bQ/FF ouzhXyFOt4UL56lBzaF57NW/+29KZugGy2nCSn+whDTxEaSnM3ZMgYV861AnFD37LSUGSi8MAEPB AjywpYVOxDEmvnmkWB3c5/UClgn8TXjhSYNggUhl86k81vIrPoJKBtnQPoi7Vz+I7VuNT3WP28xa KuG41CNCl2HGFIYy/B1RFghLMMn4uMBIxTmA9tVkd6XVKa2RKr3n0NkGkfvYFE4JgKduu5qxrnpL r1P2B2Ung0t9fsOJAvksWXFxnCibSrU22uiJAd7PIvw++0dmyaPmSrh/LoJ/gCMVoWV1O0rqA6pC wbSqd0+qi7XOX8QbSpY3+FX++Q/Sg4jWM2Z5jo1ivK7Zi/wITyD4iuPoMlCj+eI2nbL7BkQU1TGz 4BWAaC7uxM9oVIsv2Fg3oeEA8Amlw03Ne/Dk34IFjeUJT5S43Ts2uiqzL99z/5dEu6VFfti78Ddf v3+H3rTf9m4CuRn0iGbEInBuuNtde0AfRNipe6P892JRYTG6sgbzhhgOO6mVPTnQgU9saGN8l+HO HwbPu56d9+6nbjuOKit2sS13vjsJ66+VE+PIeUfCZFVCUan3F0uB8uIo2tFNnKgHr61ECcCaEwcd QmN5yg9wgYyCsbyJqE0q/EAXsAaf56p7TFkbwqNmlIsx6L7aAtL6G9ED7Tnl7kIfAalKkNgKFn6Z 1JOngD7LYbweYa7/uUfRUAE036sn2Ls0O/IxErAD+5s7XJHrCHO8bemROvw6zlg0waoa2ODLpIgS QbT61T7zujzRgw9tt9yrGjwO9O7WdZIu0FsXNjeE5MwLuPliMV4ov8XMBHlN12ev812BQgkFAtb2 GnT+r1oLWEW0uwxzh653eKif16O4Alk7GrOkH2F9y1etb3L3DhBwQ6URWXWgR9FPnh2VntaVHQKg oVmgM8BT0DdF/iiMtnpJ8Bv1H8pYD10+pl4M1CDdq28Ur698atggWVwUtVNdacLew4wgHdQDjADl XckRArbxmglfnYzSfs3jhcZbpYJr/++86v2FVCxeFpIHCRcL/6L6z6FB6XU2DUJJJE5hl+kyacb5 95SHnatT7H6lQB0/2gj73D3xO6Ds6bE+baVwUiSj+Giv4XIhpeLKE7Aq9RIU1NmW/NL5iZ8zMDz0 HenzejIFoNolxGh4gJk3K+TjJ7WCiiG64Zxd0jhykUQ2vELv4I+okVC//C6czC7o8225dG9z8IoQ +ifehOIvKFp47YtirZKxZiU/Q9fa2vpadTy48uwiUJPAEstYBE761+5MBPAxENj7I79MR2rXRLTZ ugE/JawBAAR12LTuulgUGAPVli3XzxVysaYZC+88xI5QOG47uYLZeX//9krpsI+6//Q5Em5HEBDL lQxW4NgHIR3XFmg1MYSkLiVMwbWKoZtwfxV1dCPyYLWHC4tzvJ1K0KkBKnKXVUK8uHpqFECDOcR4 BghHkzpPoMmMtyNJtK2UDbQDsAZs7GSKC9K1dFX9LZZbxIl0u60uU71+bID8r+ETREd5VLRZDo3q +QccVAvdJ3PTpMSdwP1mjeD7v9D16qbc5PJCGFHhmjboUIFsbFj/JLWpBm05tLoFmRrzyLcXx8Xk P9FRD9a+Z7PqEM9JuSMo52s7ztUmrkZvzGw1XDXz3qpZ5pJ1lvr6Eo9wDTPg0S8YBRGsHPqQ2lvJ lKIX3bvEb1iaq8hlLYRn8G61xtzKOGaWrZnVyczZ9BZvnpMBh68sKehg/q4SduGYXmfHv6xB7LID gc5QNVA9Bu/c4FZNGH8NqYxnq/fO/3ue7r1g/syfG/F7fteddSy560ju/yCw0k2TcL/QDy/FPuAa 0+CVp9YOcEVUv9yjXhAR3dWWxtMCjyCTGu2QycFdyIeu59+voD8pFd+cgtadEmsg4QZ/h62K+fGv Ets7BCzSEjDzhZ6NBtaVwrswUT7+XXV/Dp330jWfbC3Px7AEsZ9kAtKG9My1cJNYGKnjPyolzr5+ kwGzCs+fwaqNwoXc/1RfeZK6xY19oMTO+Qb/jN/6DQsGnLmoViliZBlzE6JVyFRjIvEIIdllkkEJ ps5mczK49cMAgLT1OP3f8PhjKTDef2Y75SDHNvwkCWLjnDoxA+QioBhTy1xEQPnm4KDk/n2GKhtt v2LhCxeYa2pq1F1ZXrhsMNo+a2P1bXoqRKozi7gyXfCLh1quJwIiFEJW4tjytSiP3Kcmhn6YoKiV 6A/8LGMuCAJzy/GoFRz+z90IHRgy0h8vhA+4FpypxCVJrQqN11AAbqK5/Gqp10Yd3cEnYsKQdN5f +YdktcAnxyFuoFm4AJly5Bb89NlkAGo+DMMMIHy8j0R3IpxVHR6iyvIDmVekPAsNS1xZBsS5aWwJ x45/VXziziRyTi20/J5wGmZmz290VXTpjP/GgVgZng+50grlY9drooRlkPaYzPv71ZroinuQ5ONN h46ccOC/amr2Zh4Ov1eojU0VSDVV6kOLNjdwdCoRmD/fF87ImZ0yJI2nAQbMWH1AX1rvH5tlt1jK Zt4kHiJVtQmmkXcUFVzbp0K0zuhUl2A0EAnGU6b68Z5I5Ucz2uz/33QX2JtxuHB/5OUkUPgH2U6L ADX8hMdW94TWQ4QPBOKQTZrWHBvavJNr1lQgeB4N4ETO1RFdaeHvesVv+FYp7DJcksy3EoVO/WAd crCZgkceYftLPRYO3GKweaVCIUIi1Lsz9d4xKttug2T1/4DFAk9i6RpooZWh9sa3nDkHOR+Td1Ws LuhG93G5BB8gt8pTL1oSHvr+e+sK2zkrfpTwEfGeluIxx1Y0HNGzxnQ4wuvBXPQ5T1eFClQGYCfd jeXoVgxCvckPZvS0HUmuicFkBlhpp5ugdwlC+QzG/oBEQGc7UzRe1peaqpLJYVFzLQFwo+AHsv8d c75O3N4+/IjVZIecJdBDAex4ExRVrGLD42j1tyFX7sKkcgCFvlg8viJqdlFx5x5zrKhixoEHcHKr AljjSxAyV5dd2DlA9QOjMinWOMXQWa/0AR5wbV/bfJDRfJm4n9lGP/4KUnbaiu7pUz+fZVFPmQVF wRB5BYOtJHOemiZqe4/vuouTnnKCCMLEhDec28jkorSQ4wtQFxaExctIQxBZ5pC9zcD8OezCo/xS snT0eP1vih+fOoBG6zzHi0M/LS8F6U5pm2tL7lJ29132gYOA7ZhqdNZKNFHMW1UjAd4o2LXfzNWH 9EepSn8kijsFmFimWt3t57LbIV7rCbkX0zadLveWLyi2zOsJsYG+auc+YobKyBqTqtmfeUnbsjFJ 5wUU8ykFdgRmCALXBiu1Xp5JHDLru86fZDVQLY9JZNQWkT+9rLP4kLheWEI5B5in1VxRWJY81h5S 7V/Xd0G9jWt19cy+5mr33viLtcE/1UDBLp7MCVjRrqgnctuW1SXrrFfhSSjR7NPk9F8FJOrEQCGe n69LkgzBYaD0w+xEmdFt8v+yKNs6FTQaR+KMkEPgV57nH3bUccG90O6ef/I8qzHiwFKK5EdxDAUx TRsxznwbWR3y2QarFBSjBzAv69Wxd4nfTvhnl92bCuK+qE+rRKNUqP9/p3+jXUD/8u/cNsdM6Muv qMC+HkxL8f4XHZLsCPJ/m3yUOB/S8xjdRqvZBVP9O3N48FEwy6p+UO7Sp1tUUsLJ8hoL3x9hNbRb WpaUUXsDGB7e8EJ2C31LAOCgKa8dYfv+AwbtoMvnXzM9UA7USlrRRu7rH0vvtUw4j9IoXq+V0VYp WkLedy+TLcbY4PmMNNnE+/30XQ5S8nlS3DbdmgbsevLDxECVDRfiUGMx9DQMefg7MR4JdqqtsyxK 2Ouu+A8RfqdXwgp0d8t9Zmzjt9wio0sItjUrBpnW8KwbO15SQND6nPRp3GgiflIRqv/lKB7b7I/+ t4YmZUfVC04d0eR63gafn71O06O5KV1fv9cD58ZJelXx1KLaco9ipKpo4nh8pz5wkaifmETrmxN/ aPduk9b1TF1n4BLFi5v3r8npc0XpVaVeOxC8a2xdHNf/C5BFJvrs/xaCSz9wWitOuV3hxZslCTNn fcXWk3lgNFNmetr854GPRP/p1KAWfrgFd879WxO9VXf9rOoqy8lF36KhDwdH99qShIQ2MNtKLW8O 19cH/QLKhseebXOLBttKTXItGkfZ0EfF7nNmWtQKdIDhnAQOKG7Urvx9fv6gBZMxHgL0QmxLKUbh 7dFvvhPWtUIX+PWa7+qqOT5DJP2ak3Zaiohhu9DnOjeQ0ixuITzbpJWb4mz9tkSeut+cFj7BO42e 64gTeYnK6JTgvhWfbfUH4XUfEDYGahvn0CMYoQlr/eACch5YFFabocpzGT7bvIcFR1O7fJXL1QBk 6TV24HIdZ2PmPEeSeOm+H7Fc83SmaIEO7DDKk4n5YJ/RligWeEZ4M/NsteMivkxiSHJiz7Q7X9FW JDUPi4kgAFQbCDx2DxLjcUJkzpROrujnnMgxNNxRz5lZF51VDj3M+ECSYH39o4KG2uCzsooiIufk zwMIieyNygTvbxBaJ5UGWaoWaEH3yS747m0I6pwxprGes2CG3vHu+4DNym0J4MNVHHgFkzmyDC+x l/OMgFQcbQ6aQH5l7ZfEjlYbus8aO/lgQZ8hySu8K+F2xotNzW5Qbzss9WfjbZZjotGSx6nrD79Y IQLKTIWyrNmJm98tGJPOnIW38DMHmRktL/2xNvLhd5UvgEzZW82PLlF7HWfnlUJoGeA/O347fjJi 7CqQfvQYaOWEez6dXUI9LTr0aoc2HGR9ncppf23NIuB1JReruNHVWEPvdWawS5Hf6iKMjFDlw97q 2HKEchNy68jUAOrtH2dM/BATLJx/wpCv6KGT3swqytzaVsGok51CSq0vGwOa/R2v/WestzTwl664 WZVsmVT6Aw5VMmDOUITRykAbQVZNRLmtVds4Efq8htgc8b54Wc/UnvpebrkRbJbXT9nPhi2kQ4Ns FIZPAiBuPXenbfgvDofs5GF4l3UBOLHrabax6BLnZin4nKP3A2MXwUMCC4JZZxTyeJdkVlD/uHaB bSdmMxl9kT2/p93nxoawftOQ/uUNnMtahAARImxvRTYhBvt5pEl+viIfDmixBmLHfsdBjac0cJ1K Dds+i4+zGnqPCK4mlcSdQimoIZF8JLgXbLD5ClUqh8WMgPQC4NLAb5AB2sxxeVTGY/pS4a2d6Pbv yP5g2NQeBbgp3QtOR4L8p2iJgoFHsP/JD+c2AMj2fIHrXrHnQObvZqPoAgXGvGWUlhs2YkjRdaqm GApE3rzBqx/lqBjCn6BeOAUXVQVeTWjzcdRSBeS3piCuv3pPtKH9KTpAyVsGzYlmQGxUhOQCK3NO D13SlUmywTf4WNxTtbFV85UYaQNU060Z8cbcK61w0yA4C+3cIz0Fi2CaOL9Dz9YyDT+qf/4Ho8dJ 8XfN81t0ADglFs2HZ0poPvFdUk7ZpcmTTEXAI3R5/V6XnfPun4uUiynEj0wikeXC2zkxzK/L+jg8 6vRAlyzGY+T/03ZoWlxSq0EXIenn/akDMJie7aA0k+GJGNsVWk+RmRYOc4Q+iNr1dlIho0bvEte6 eOYPCc8e1fmC8ZG4mZ5gb4/0Sex3SUUoXJeDC4EfxJ05ykwH8cDPxwi9AX3w8DT5Gnwkkau/ywUo 6xovNScBwMeEOu/xSvp0WYhyzMXoCS5zuLszGj9x0iOy/Dd1grYDHD5TuPZn+RpDB5X7d1zWzgl7 HGc4EuSGxw6O1k6P2dvBYM60QRZDusxwjETjtlwWuyzsbHDlTUg7OJwF5iKtwnfhoP+v/Tux/+hr PSYpxhD/zz7/RUe2kA97fKyTTeeIARbQAiqtwYOyfPBu3uWgIhbpZBv2w4Hj323dkcmNiBNf7Dxi cAJ2Wpq5LFCAOvAtSFNwUd3hWDuVEIqqSDs4RMk6kOe0sZxMQEN1yXR9KEu8OOYbFH4k8ZEqX8H8 fpO8CvSVIoulDWuzJKhAER0WoVd0AKAS4s9/Pq0clSo8ytIPpN9ppRk22iVmi0tiPO/98xWf2XMg Hvh4lOIenY/KXxF3HSvaTCM2Ab6m0ab2odsPIR6l4F4SUgQrW0TywgeyI8QFn2QzXzN1yIiUvHwe wtlV3mUd89BHU7hzb6GmVrHKzb1MTMa+gzxMGfA+NgjDGdmpY5j4Y4/40vap5Dic4EgJ4PeG/Vgg CL5VOjxRGPkhdZRUVgGjU8oZcO77hZ5e8fcsB/K7keIRQIdrCsHG2/vcQ5L3vMITk39CbBpOVSG+ n0LpautLHiWi0d8T3DPTwCgguXhAMcHejs+uSD1AWERq+BhZ9+YLwO4VkQ6FGxqZWpeH2yd5ljha 6zenI2VW/F3yXo+LvCldFvlLzB6v/Nf9JpXW0KcKqlEDF7HfAlC7V9blJ66rQcAUHIgyEPSO7gzJ D5bR0naWyWN3jHiImuox2gxVXNUShiJv27d66FMBxAgE1ZhQ4R7KG2M71nFL6ZRIBCEXfuHojJCT UEYzFpUm/lTnzIbOWCTY14Oj5P07rY2xUlVb647su1ik+t2r8p7MnLR0WSP/rJZ/doi2BkUSQFu+ jyzMPLgvcC0i/7ymaRKMBWv3uXt91k2TynvFdUPL/9xpasFqHmQ3A1q1A6shLqZbn4UtC2zMxdUt 8s1hgwfAsqeqz+URftcVziM75/iQVU6nSAGwm7k58SkKxvRA/zUkN8+5FYlJSEJvkc8aif/xng2z ROh7mMy9qXAxQujkvL1xDx7WnM0/FJrSgC0BdPdRkq/Hi1AfN4/qkkmgLw8diG24tzkR4Jp1SzHU KMjbD/vytlpb8ciOIM2rn1uQBGatPw2x6yoYYtJEGEeW20MiJoHuphgsDup+gKy58I6OU6Vocp0p dUQdtw/Ya8GLaMJXZiwlk6UbjMnbN02VmCiZmMEn+38mO7cHkAYkoAsJ28FCGFlLuXsOIUulwzV1 o4BCjwvilL88E+WKOJ2oZWAyKz3CyCy69K6FMU19HaG+upZxkFibpXe3JKyl77uQ3sUQa9PsWWK5 eLREBJZSzxMCvKACbMZKheVR9sgEaREW18l+Ly1K6O3311mMSJCsEfQqQWoOCt9Atrq3ICofmEvG Ba7nExlXMnUDWDTNtYywEbtxPFKUgPnR7v+82H6m44MJhqHIDPzAxm8AJCUWYiKXPmInGS5g6e6p ZGYUgbICKvGiJN4Yxjq0+tL2DQga9pkETBc1bWJ5eLvpNi5H7T9eytIv05b/ZyFd7uoM6C4UkFdh KNqcKw/2301sTvEWbnyq9aV8Q49e1CLu5Xio6iVmB5S2MADHdrxWMm1xMoQakLfJUGhIfjDn45n+ +5IAvGSma7OFQNF6Mm2FHunLEsP33DN4YL1yEpGtUxgvlZoAxMGfagv8NlNaPRN+QahHE0sdGt+i yHfmSJEGeFgEL0RUSPYCV5zS4g3/EwzbrMLjxMRXlDJqHtcK+XwEBDv6+aIqbBtwd0hCpYdSlFov lvznxL4/bmSthuCc2jkSMSAoXESNp9gBaD46yMFhxQ1/Tq9vii9eCYThwVUJfspg8S9RWKcjj0Xh lkGndKPfJEMcVnIYQVFg06O2o/2E30VmvYaC48O7AizlYUqeTCXlnRPIkU12JZ8WDEkgoKt+U98L LPOwtinG2llhsTaTh43A+vMYCt+pKlVri4PqcL/F+6JsUU1q7vaAF/tks2s8FF+Ad1oIP6bqs6Z0 z+FmchKCue1XNnAI3zjfjup3jCP1uRNvoyzQEBNhE8mPmpcyYERGd2X+Q8SrvBeI+xhGlPHlMpd3 EFbi6N96UEu3DWqv/vlxaTDGDvGpMBM9HNJvcrMjHPpAy2IdYHxd9FhmThwNFGm3RsXDcl8EIC0z hhRWfpU/tTDtE5bXcfFUSCEyNb4ruUBbgYR7FSTJdVfs7rTdH+ZeiykRDDS7VQYKwuI8fz5JKLzZ WbXbbOQBdW8p/ZHg4GQhGlJta69nol2+k7PNXVGqXvEy7KoQwjKHJ7EJ3daZHcV73aPjh+xjUVOr 4EvO2YOCJr8Wx8JPGUIi8YrGUTlIgd7vEFLP47uj3BNdD83gCnVrAL3h5rsG9KnQaaMfi3K6OcGp UnK2X3rA3zV8czYy1L7Z2xEb6t94ys22QDXAqV1q4OiI59vuEuEPIOiPcp+DGu13a5Y4LGafWdl5 YmgsaJKVUWKQHFZ+GXYg9Mus2RaF3Cffm4cPZ9Q4bhRqTz77u4CsYRr6gcxTDq9lBLfIaTFXB+Xw 1Rj4upJu9JXqRnGwTRcKl1p83aSf2LHQrwOwyfKcenPvVpYeaBbs9IKfij4wYH5qw0ZOr4smbjNr NPg2VueOXMrquldl7JHZu15CsZSIPvK5+1803KjvJsKJpUKsyTCE4QbXeL9QGsIiXP7ZO/JB5DW8 BfoJ+lKlZ0twYAN3JecN1y3JILlbAvXezLK995I4H1g8LlMXza628eV+cd+b9LugmhhfUucfCmGI ny9qmUKlcanGCsUmxNheu38GeSJCMS4KQq+wtDMW5fherBf+bKrcuIZFiwU+8jPXaskWl8I3adpY l3/+d3BwsslupS0jUNvw+9XQXPmLjlubWheCGbh92LQ/ArHOdSSk42duJWcl3OsRzj7BKVmRHzgY dlUpwUmYJlAylmY+StNI+kvf18Lf1IH8RAk9tNwzB/frUPSzSNDf+o4KrIFmWv8JyDXKoePZ5DJW RGtVi9yWx8VirV8zlRBDBrzMEtWfR5BsP+yZyZ64/eRyb6WCZWtAL2lZJP8F59Z5ZmqYhsg/zKMR +7GVN451HXBproOOuuHTkBH0YXfjGoynZ0p3AOWAN3V+745IPgKEMFztOnDkgQ+ToCO97BTfrEbB O7gTrFw9WIV7ScvkqeKNiPbF7wPWzrlqucFAMVk+9FRl335QjpUGwyOuhsuwF4ewXdITdK09F5dr Lt2Oa8qSI29Ww8ZxI54eKE1YxTKo+Dz07YZqPR9Ppm4B9UrvnXSfVFgmb/IesPw4ehNvtZpZzo4l sFDEV1zI2X099Z/KIR1Dw5k1+s6t2kPbeJJvftcsZZKZNDbPdt1P9rRNTsBtxDj9OWhbP9LKPk03 kGcFN0BA/6hseKk4ssKGgw/cpQuEej3RZTjdl0ZfckSmgV/LgYrywYgQaUZYIN/YRns6QS7TKwMj AkrhuDfULRbJIqw985sEk8g4qe1Qv4+gzZlBbbwUzo1exMo7lBJP6G1x5LdstJmlgFvSSaXZVe6u xluYH8YL/oBeW2Ft0aQZRQmC6THnMyX4VQlMRj34/F3eNg1oKoxeQK+WzAKR1wELhtcK7SO7DR2v TVzYpVE/FBz2rSxNQLHtOjR7PfBoO5AhBjieNPZRBAwntzeUbu+5qK1QKifXAlwT1NwDIz7Tgsjs pgyQPrJdn+7oHqVu3sf+iKWI9CnYioEIShJlXWDGxBlcJLPJ7HDeD94kLNvwaUkRUOf2U/hAGg5U IzMULdiTfKFS6Gx7mCMHu2rsnA09d0T3CJMU3Ezb3bhf8l+V9ElYznz/zDuijiJNOWlmjWB9nJVG RKZb3qHO9LSmd2xUTRrWzLr2erM58sVEIrhED3qvzoUplmPmb+59cDFFS0we8Ow96DAx1Z0qFW8c I2cN2SVlDpyqe/RSnkHtwzZESgxEW2uYR/+P+KBCWgmZKhBwj0jIpqkFIxvx5r9tuR3sWmu41zSg TrlMdIWaEO/v7WFpRIx5XK4NSn0OfIEdqGA2yJ/MA/3zGsISUA/BAOvYRZP20FXjDz2lA3XjwahZ Gs6JctFqY+kdL94jGZuA2cXTJGMTtn7mQR04xjZNHFHx0YStc2R09PhZ+lDRT6wG0OoEH1KYtxxo BUiwr6cYd/hedWuys3SAPy1WquxoBclv+N7T6a2KV7SkXxgCGYxjUEjZWYpwXKOIa0On7DCXUEXk LTifG2bVNn3pJjNnY6s/Imgt7OLGCXc+eOHC+Cn/P/65xpGXfjHH1a+bDDGuCmcSUVlNhoOR3EmV R3fJbKRbEQ5jpeOXIDZSsPbQbg8cp9/4xleUq9eEhtfdd3F8fw8Eh+tgWQ1uKc66FhOnf/gxVDU+ sPUh7y+NhiQYlLLHszSFblb5JEhfsij8nxI2k1QMb4+jsu5TgNL+/0udZcHsUUk/ipeJ/JwbThSJ 2Ru3GP/bRlldme7+nXl+Dw1xKE1Fr3OFjBWPqBIwPnp6cHTyEL/T/OPKQZ3ng3h7Dlxq8w49zGch gdVLY92MkB64pKspsVqW1dAGXez/BCdoPTaYVi4t/eA6yhQzzGGbj8JUyaBc2DhcYmKeUMHUYPmF W86P06lNKXbfuRxcx+vMcjh2xDj03yH+UwglSTsupPTIvC8XLAPMtJbCApUWtQUiyOG59EMvpA/4 YZMXMkAjYh2oqYhkLAI1ZE9CCbjlW/XYMwqEl+TFlQTB7sEbD+z6kqm6tqF36bQlKFmXB++fX2o+ spSo/zYqUj9qtCimxpXiX+U3biJhxoObu3sz5JvoNhqiZ9ktHE/HFmAn1Ak+i4MNlZkHSbTWPg+u mBfanfg7NQvLKYsbVLCLn7HJs0d77X9BB/4c0yAA9ouRzJKNMGmvoqvzWGcR+KXMMvg0W8TIwLYu IL3kUnUQUiThzdNd+dbMKU3nX30SpbjO+A4BATj3TuhXga27BzA7ORg94c1qvQ7IqxgKk1UQ7GmT xYypRmTzSZMEkaC8SZgUOWmBLgSvMwqaow+MkFwHQ2p1AHbFYgBka2G3//ZAm/ucwFvbA2jMQhZO bQ/Veu6ZwxvBMx9fTUUYq/AOcH5sot3rSrMTMbaVc6sH83ClTUnu+qiSdWBA9sGMsExGQJipoky2 or23O4ifAM8Yz9eLq+cuUaR3JJ2t8RPCTYRPbQ3HiZi9Da01sjdla49xdvXTxDciKLpALclCB1wk 0+kaB8HA2NhM2KH2sIlY5QWGyfzK9WiW61Tjdzcl+05Ewq15oSgzCs5bN3PHT+RJoWEhjIp+VQZu Rjzmar+kYzbsyzPcUPJF+gtc3QaKtRsd/BLU1igd+HkSS3J7hiy2DigyJNJZNyGqIFTW6Fppe4zT Na8k4TGL4Vw4fCI28dXXE4eo6be2ja7wfC5GvjbzqWMlih82kON1WW9zC3Tkp9atVumY0EHCbZ1s m/+0mp9tKCFmjjnp1T2X66p6TH5/CWf+inzu5ojTdDqGOTdKFqmKgPBncI4D/ULX7tJ+0yTwf0+H Y70t2gR5cyuzJNkfNDkhQaLMV8xRyROwjDgzslkSKuzT50zJlm3JQyJWiUhLTO3ZmStbddbDnDAi Bl0sJq+Dd+vat3Ki/Nk9FjilFtS5GkLUk/ValI6w416RWSCDVpW5mbC3mBwe7MKEMyulReQCHZZg AZDO8n6NouB/hrgiblUk2f8IHogU4I0ZC+HLOZICdtnf1QrDkLXMtqHtjMVt9udYEN8AcZC0T37p VNadeUfS9fPHujclGKuWU76WSPTk1eAx3S1Opubxi2iezZKvX2GanH0b+hDxDYX/1y1FDODSx0dD MAvX9Y5vaiqwJSSedKfO7mW3cl+rkLdyTnOOoMuxgLyJWaXx8R3UVDI75KS5Yr0dICwOUZNQ7dS5 DnNe51wOsjizVbiBxmWrXIlzayCM6wgUE5Xk+/GrGVUvo+O9VFJzWdjImLgUowvPu3oQ0pCBveO4 Q62fUczFT0oLAB74/AgbE3LfmYH3u4N5FgKThjeQCQcYiAXAPGSxW5PJJqI3XPTyygnlWbu+dYTK zjA+koBd4fGGsOvXakMEIviYPnYZaVTyjVWoXm/5icXDXNklSCbaXmd3pbWs9Sb0gLz6liaNwCmn fRJzd2F/ZMIRUkxMTlTQbw1hSlyYxlCPL0h/FSsKKg2MtSp/uZuaW5E54B0va0CZxQr31yVXxO06 x1W5wu3SkA4p7PtBFsyrSWSzwcaJRjtIqv+3Pj711pwgn4AHWP3emQAspp5M3VBXvY7jgvw0SnVs Jp/1spL420dIbOfO7rXFMbF8Ibv7bZkyzfAryqe//kGjlpny/jT4t+L/+W4InkVITOmbB7Cx8Df0 9VJ7EXVJTC2OI6gr9ZpNCAoj3fenBM8ZIkd3TPE/iQTUDIAqPmceIDMHNA8UVKMjGstwLWS5knls 2pZYZgu2d5XfOgwSd1QVWsSz3SQPh/IAv1Rley3jqAuWW3AFyetjbkknrHnAnAyKO9FxnLuLZXZP GiR1nAWpmkVGaFb11QZS7j9N6chs8mQS7w07dbwkSYXC1WLKjVnxJ2t8+MuAB9pAWIrVcnHykU/v TGGQHsLeU5z4uMs1xkItD2God2s7AcWP6NBcJtid0fxluEuWN8mJwH4fokIOTtriIN1soBfFZfci bO8NtLAhKC5th5jKk3/JARjgIGXaBYwPgDc570f4pDLPEwj8/L2weJFejdkKtRIY0MycHPaTksBp W0Zq0vvS4Z3WldzLkTHFTxYebEhHfEHvjhfHj+7qfx4RTDXZPIIJ1gVbV34s4ERThBrp+aC5K8lv Axya3BPpG+MtoLb11hzbTeDitG3sNgIH03sBn/uEo/fySBj8E4bujy21c7uY4Q+pNEpyOlLtfJ98 Nc4hOTvnR0R8oXJID9NV2mWDLPBS7Qrh7/3NXfezwFNUjpqfMkipRTSAOVbJbrcORJ5v8C3Vut02 IPfqhZB2jXn2nf/H63WACrvNkciLUhKifw3zJu/Eab4Vztkr7K14LmbgVp2IDsULnUQrnmLlhJi/ 5k3MwKQ4Obug1SGijOB/nTUUZA8IgxPE9tJhcxIzuaTXKrUyb2/NlS8BqMx6nwrM19ZSCckQsei/ l78rhMdez5nRO2ZvKYM1Iy5SRt5FGEGU+SShP00k2EJZ1bnlMbyg1qZwOMKkckzXrWzPTdndRn3h R4BArW4ETPs+VQceLgxm5q5MVJRL9UjiduSD/DpnJK5+I7TaEEMRGoZwJgpRfBsW5Ud7c+QD26AC CJbPW2JaKqA9DWqJQdM3URBleqXZwxWsHQav6kOUrOLbQ+CIhdM33x+soRlGPyP8ZjNz5Fw5Ec3b lDfCdf+iHHt+uATVN/XW2/e4oPvKJqjsQQCSu2YmaMcyzhpEsYYoIajHe0QMqaxf8pDfndF+40EE 7L+w/FnwTngNJOtXRws4LCydk8ecVGn/qbs+K5XAtZ4rhxCm7p0ZK4tZ4/CBuH3idqx0WFH51ejK L7HwWrHH+umB8L46stkgspMU/Wbf+5LM10EObK8reNSCE3L2tFPjr5jI/Wrszpr5laAbAwxJW15y 5dlhlIQW13U9VRt+jYRY2XQjAFvQOGl3/YFKD32vy1Ux1lnNUJJhBZZ+vKb3p/572k9+vsvygd5i HrJPN/wKvQHLTMD7uzLWA3snAwJ2gQKIrSrUT1+4QIINSJBjO7n41azYidCXFmWN7GAi/CtaYK6K xKIjqA0JxbynxViFID3SF8BtcFNcR39A2Kbouz+sCD0oNqvuN8+vfFBj/7tzMWpdKXKKLzpbXE3v MoPffGV9ebQ2rwedKkpIxsq/eKyEafgB6A2qeBlcwOfpHBDQJDVAGcb/xZPJCz6rA5VekakWV+83 y8DNhUH1LxXs+MFdSplUETAtXsV7Cbj1W/tM+ARhjwY2FUJT7ZRO+5fclKUepmidQRlYswDFru77 qQHF/VI6+E5w4hCdYbcUOaLua0/UI384DMr+NLJsRdoALH0MyjPWgb9l5kq3JkK3gyGblDiinfNV lGCG8RurRUb/j7vYjDHVrbwgmPSoluLpKR42N5KQcjN2MMMyJ2vSgi7p+3XBfJ+pswHP1cCsXf3d 3oT56DWZ0dXNh5JxEDBkjCMwkAnp/Ip2rKNbTVuhzxUjUNfH3A0iXJSuKPhZYUi3bp3joTvMBrUM VpwhHM4elDuVXUwEJFR1f/l/MAQznzq7eWakL3U3foTegNOTNY8pIbrIDBrKnNYvRizvuaIgKm70 JDKiFEk2ZBtdBex05XqDMv91nHc1vIxFjs4FLNJyjn79r+CKuL95CitvQOk3NJcBPdttUcNnf+2R gOZJFGl6MyUeGzALn4grxtzJPeOG2wxO8iCTLBdX+ehjZnt/ggAdW1QLPlZf9ut8qHEjsVQ8ljZq 1ThFTGgB/FplHjhO/QumfWcadLqgtZEAS68YJomH9ScCq+inA9ZRgTih3FRRqnI6E7E4q3RwEfe5 biyt5LwgephdYp8QXsIPn+LYJPbkhtBeiIQcp3ddH0bkWKM7HUweGHabhp6QI60Q5vXhEHTT5FjT ESYFEkUGnMHFwBaiDJT15H9fTd7ThTQTsO1rHFk3n7hMR5H8vxjpvxZmi1CTgKkUAEdHWJahPDSh 1qhI6Dw2Vy3bC1XxHWNTJhM0CvyRDRKEu0u7mrgYDiOunDZ3Zo6JtrStkqOP/vfVW2N3haZgJ5xy 7EkGD/2h/wp9dm/VneeO8vKhXiyT5/yP9v5aKC4rgLQfr+CrRfPZlgJM0qR1Q8ELYKcfNBLf6Fp1 LBKbcox17mtFc55+OyotuXaAONMYsXl9HIWidjvFg63+T4f/NESCYOWtCeZyeLuboM7rxsIOc/nR KvlXA+6YSG442n+34+dSGRd4a9w8ILSNfwFSEuGKQXKk5hM6d/ejnq1ayoiSYp8Wzcn9et9c09WH 5LPvs2ZWEIEHBuJsAPKGoDrPgTLIgCxBu9zccU3eKSTQGUvmbj7dr+fowc3P52lSgvLpzBGXYcfg H5kyVdpT9h5ngomOGDc34nACcTH7VC+dTKLSvNf2veCkJY4tSOYs5ZJn78RwdXGXi6Z8LyWgu+MV 4mK3UmLw47vPdCaxwrJHstdLkBrfibDmBBR6IWb1ptZYP7QNKsWhRTlGPe7eLp4DqwxLlMZYH0EQ S5stRBMpgL0I14Otdyr0G3dBBjGIIt3r4EQrAFlfROmhBzHuogs7bh/EvrcBDMku/Z4dcWrkOrHN bwPw9VZQmxW62p/Bi9qTlu9SHrvOrRn+z9FOt70fSoLdeEuPa7iZWbU6j+XLFk1qRRDIrD3HEdrN HLgaLgSZBV6UTyY1L8fi8n++qYIBdYfXl8fFLKcmDXlw0TFSSwH3hC7zDi6lM6drxVot6OvEhXk3 A2tB1JwusUVV5HIy2A8pXKDuDaekHrWQ/6u1uZ/yjAMaHP9EiIrqzv9A5vFMKPjLI9b6pO47hnWZ WpGlWqXM2Jfmjhr4L6eZxYIxS+Z+tmJVCfpFSP41SOTnYHtoJCWxR5woFoBO4rvHZY5HSzpbxgvZ lCcIZS3IpHMTTRV+efxISJ1ls4ILcygmj1t0IkUhMgn0b7Sf3ZHH04HMcbdAPR60d7uAglNV8lRs 2a5vw9hPzpI8IQBOkjf3ut9LpBnOtOWBqiTK8Z48GaaaVp1gWT+TQR2y09WCsUQFmvjkZ7evgU49 z9GzLyErtQUBShnKkMJ61khAr6jJg1kzRWdQ007Ee0I2FY7HMB2c/I5HVaBpJ6q2VHK+mtbwViAC QsuT7+QE38W3vTyST6fs2eMSpuVHzLrLx55iXz7S//NCk5WekV0/JtToQbT2T+aC5G3gEPlBU9X4 czIB0NieDQ3MFxFP0iAZYDUr6n9VUd05n35dBDxSuJV+R+OK/QmIevT7j6JVVVf0TnEIFNx11xS5 +0EYl8YH1k+OlLbBPC1Fx3Rgo0nqxvoZbYz5MU1B936zI/vJEuBM8S4tiUqYrz7qvmO8QnDGbw2W Kvuq/fQgJN9GZuXFw4hFsOyASMKAbNeODemucn+JC15M+SSLLsmiaMA5ACU7WmFxKTtGS7f4CyEI eBbpyxfHmMThgTW0GW2AiV2cU896vl0aiJriyoTLk7ITkCS1O29U49wA1lB+UhjjgTKcDfCLNZm1 ZfIffGkpy8pPm2FJr3sAnJTBp6KRvYGRtX1GoDI3yHvA5Ue2/GBfgjH3MBkbo2up/W0fAXo0uc/z W9BFQgbgebnoiK/F2ALtAialUrmL3MDz09/TURTiByb3kHoDcPldOthmAxEtYvBPDdw2rXr0923J hPDyiz7X559qzZCArJtF8X7OEO+1RG1Olr9MwQWJhO85NqrBvbzjDEyGL/jx94/X9aW0CdT7Fxgl idIUfbl0+UAIaWMsezU1ZAkAAhf8q2IFJRok+ArdE0FEcNzXsviC/IvZyjN2oV0tAH3M4ZLjdop+ zrA03IAefmGZXAxEz02CFM9o3gMkdMxuJU5JMJhVHoHv3/4J3c4oEGtYDcIz4KHejWUM29PDy9Re tvePQP2/T6zgtxfdZWZZ+PF26aQAJ4E/O1SEtYQ3quxeZJCcw7YaDQgmYeJQrAgFHCGa6OkLbaQ5 Xz9duWEKvKiSp3Eo6xtx1gkvYEGJebzWOxItnj6p1uhlUlPLzHCSLzy2bLrliNmsve5U8CMsDsPv 9RqC4DRaEDqalqFRF3r12z3LoL6wFqPIACZGcWaNCnE65ua8nDSGjK/e+oTEW2nbZNwI8qV89sia banu0LMst+3RW2w0ruhCgeHe/26uXtxJ7G8rbR+vLPcuy6IWB4Rc+7UcFxejUnSGxQq+g0d0CWu2 q0CKGlw6IFXFBAT05BKNzJflksc5ay75TJ/ZqRes1E6eMUNLLSosFHTNqtvRsJLc6F95q3cXVEKv vbgNajGseZOUUmGC7O2J7CeGf+XFnjNYgB3ixstF9RoP3N/zdAr4Iaja7coBRbiwqxVKHuq3sQga V+euyK2UIwQkPn9UlX4WdK+l2uMWIMjBoKpWf3ylGYc6UiSgJfeJRJCRTusS9e3/KUPIB5wzSu7w i4iV46SHySebP/HykssuQkRKdH2ohNKSt1ytlXxNIlB/0W4+j1S3JbUqof3kDAfWIWW4S+3/i4x8 g4+1p0Ut+/9ts5j8ycrkV8hGYf7rI71upSkH4xuD6/0qMtLU70VjdFE7oWKrplbIVMbP7ZnVy8p7 j3xCoRWvuf0vHIx8LRrFRFI87uoY+kD+y1DiZ3/6YikBfBxhLcXbyqdAtiGT4kF84ivdG9Ok72md TouUKRhQTTxtWcqOeGRktr4cOgMGy/ZT//ZmtrIb67P77NRNnMHKNRIYnortmmOm+VjF6KT+EaXy 7Orp7AOdRf2HXKmyyQ2mq1bEO+U0L1TSVIUUGVYt4R/iV3jHzEgQrTeiqkCqGZf5xbwqHsbrOXu1 mkAg9UKuqDyuAAxvs5USrFqzr0CTeWJ0ekdB79RKRqfCBZGVYZlmtqvx1PoxZ8AAc1JN2jXOasly FrXUbU9FqiA1JYqrGBHQAWzep/h+MZzWN7BiO+BySvU5HGCKwIckGhqpyVNcGgPbSN6HVRP6pTvR ijdow7MJk9/+BuptQIhLaCMC3o01eWWSv3uZrSRQ8S3wDZPCMWrlPLjz1iBLlJZU7scZQ0MqNcIm emF7+Yl7fN4T6wo3P+PBJ/+P/sNnIJPrDuYv6gAnCdRp4y2OWZzs4UQj/mGpRj39u4sDNmXDK0G4 0Pt+Jd/MRV/8vRGhv3X4vRAzGWS9awR8PxlIIhvh4CzQBDMVXzmT/v/P6Od+xfR8fWDPbzBrp+La qMPkI+hCdHWDSxw48FA8j40zqZfyb83BKxujMaiSfE+nqHwg4YDXvDPArQWPXbvN/NKJYAYieQ69 o0ryvn/A4XWTv3uiSGBfZsVSJ5VXbLaSzguT+w/dMFXSv90YB3eWcKQj/9SbQ+spaT99hBT+uMID 3++M3beujXel+62PhyUvhgZAIcc+rPL3ssT167BpvqOViH3NGVm5tc45vVDMfQR/ESAL/x8P/vbB T2mGZlNSQlvyijAe/87GongGLdyMreSxkLzoGPeovd18sGUYxIasRYFC7eRx0DXldPcjVvi4Uynn D2iCVK9K/puVh9QHUyN8rYmV+RzJKO1aol0G8pWNH4gxKADSwnMruyQ17xdHdNlnjteX5kvezIOK u/GX7Sh5ymfcEvv3ZcDnyUWT4wd2u1tfz1/fhbDsV8UA5DsXoauSVuHfZ7qz89hy9M+SWaw+JLJI mYiZ4KEe1EWfpX0w+Ug95HOhUn3MdOBqpIkkseliMlYIIYCCpfp0pjbazL20TeGWxRwn/LzrNhM5 vgRrD6WQQpNZXQJsQy2a5N4darL50ma0keXze3JrXbsmVdrDoOAh8q0PUODa7LQZJckRt/K1RrEu GdN8qko+CD6DPyBO53yfls6DMzUsfkKObt93ERSHlky1bxllrZg9f9LlpLUH2ZSv0fVweTy8K2Et v4+lAvBAmrRU+/S91dOl0LN8Mcbiy1GFmo+aEE1qU8U3b4a7sAxbX2/sC46if8KYIoqDKMzucreZ +VmtDHhIkLS/xk7TQR5gaIGU5i+XeCKEX3zXX53un2HTk1y+zNpu6SHFpKC3wpyy9Cc95BUNuzhc pfT8tPk1p13LEZLi6ojjvis24OSZDKpnXDOKUhWwurXYw5ijpnF4TjD8zJtuHky2AT8HRCJgpXc9 s2oEH0FsuTXBWTyO2uF1GRW76c29ykXl8YxbcmnB9NuhcCMFWm7tTJ8recVzW+gDSJlICu8nolEs cOBeHrddJG9oR+DGfqs4BEu1d/PC66w4js4aUybmdcrLBBY3hZYR/4JZjqX74/4fJfSyMrL1NHFI boT1KmNHHyhCEd+auW7TrPAz4F4umd975Nq44ZzZSA7D4Pf9PQWNHxYwwqds/UQkySFYaEYBWiBe KLDuw/H8CC7yU1Zty8SAngTjX+uepFMx+v6QvqERiFGNCnsu0ylY0gL7T5NmRi6wiqjVupfIxght /gDTTC1VXgun5nqpQL3zHT1Mcqp7RP3TaztzydR0O1QJi6LquAKlH6OtbGwm8uGKGpBBJl4DG7xW Fzf0sSOUh1p+PJ/iMeWs9Pv70OQZxdz6ZXqCdA4YJEREg702kfUIFjR//hT1M8EfcTmDW9JfKKND ze8o6oMhW0RUGBuTSgwkKpUIxRN0w2ouiQKZfC6ypQLX2kl57UBbyz35NWp+/bUlg6S2sMtxHRGi CNfisDW1fUMMb9jyMTH3Qm1Riki0dnk3Bk2BszrRhmNEW955FRtkdrGY3SBB5huEmnXa3KSwz7W6 bPazuQ22x7WL7pFub5IEZvfJuyMj5bRcPWsnvfQwDoq1OZlLM8ggvwG7O3rxFkA9oC43Pv+C1Bl3 lYksRdtEyMixiFZ90zF1GVrE4Qa509LVP16mUav+7S8n81D9Yr//5PFtu37+SgdPlQMpj5hE+7JN e/7VFsANpgjIaoHecTi5KgIEjzYaMSuJkZtn3xz98ejndaKGQaMd2wR5aMASUDL2pZLYKmXrlgxK /xwKLORU9DG9eFCQbowev7zqmt6owkfWTrR8RffMwwUm2bEoKdeA9K5DkXJHEv3Bv2VUOFztnYIb PpcONlZqI3c/bUjTiz/ZxtDjY/Jp4UfTDT0W5bKnp8MDlEFGWRdfQhALiLDo8kHny1Cb1QN5HE4w FmWM/dpSrEgQmTRI9rIDszSYPxlA6NgjZ78hJEjeoc6gJTi2KB/EP1tGdXnTHmCPTLNP5Oa/WAOR eU8rIX8IzC+TBs3R3QCiHX/4IrMyq9pCWR8Bgduqn0uI3l906siN/4ryFrFtnOtfa913WLabUzIN d0AfpwH1X4UoijvQsCfZyNxfSgN0SuFnlTLFFaa28IHWGG9bO5tmJKsyZ7NCp628U2Zp+cIWB+v7 MTe+bEUo7+j93Pib/eYuo4yZRIYJ7AHMN5NKNKRAMLMgFHmsbHb5Ia8k5rQeUoDwsglzWD6Ko4kD fmZOuiPEW17isnOrPFHVZg2uTOHdHozmd2S/jmqfMPNVi8dZnuUVDDCxMdw1cLqmOcfw69AlyO6i pSH5uJgaBNmKNiq6HFXfn4xZP0URDYUfavlxHD5gwyzPQ2tv4JEp14NH4uYZMnUDIOmoAGpa41gn qDiqLIlhVYkN2rQOyynUmLyQZzBHAaz6j3Sx5Nb1Hl13+n7xWKUi+bWiKnZ+/1N7iOorzR0VqXCl IvoNe/sObzDfUMOSSzX5Vn2vZJRJGc7KoFVXYWx0SATIv9hCpMo/CbXwiHkCOHSVBcIHK65u3whT c3HeuoRq/s3y2MAY+JwREgCXapPNgfFLtt2HU/+KrUrbUdp/FsqapOGTiDn4Ej0/rapZuJ4JHHYP rb4gFlRSbXKQ1ixc/sCNa3YXX5STqfgR+srfTdHWrtz/QSeLi3us4des7NONXmm4+Cah4iGxZpH8 BVy9LqWGI9JAV6BnLC7TlAyeMMyRdnKUF3FGF82Bz182B/aSfyeUsEiJWe+/xQOlkYw3Ws++dko1 aftfFgUCzrmoP4n+oYnCulH0cn+6TgwHImYjj4dyidqdTnQLW1go7oRuTFvE6AmLt5XblYePAGz8 lOg89u3VN58GJX+OvjyIucsc605yUrxzO9XO3UEMWaEV+s0ECZygu/Ju2Y3Acxel9I6bbDtC82+7 UHSsxbWDE2vgLxieGF5e1mi2gDPCRfUF2SW2zYHeVFxRBhlGHpq75vU/VkxssC1LgVtV6FXExpFW JnZktRfuNlKld6ks5qiUrNBnhLinA5QsTrOtSc6JlU9CCMRyvYHZ7HJ3/KIoJjSjLTCQKr6p7AiM q7xEOadb52NcnzFa4fWhK3ZLhD4UjluVSvdHIDKnk6+zSW7U4uk0VmeBfor6TTQry3oBOzWC+n4a 9EkXs+y1Sv/uLTxWa6pBpjrokw/sTW3jHdjQPP28JZn/NZ6kZ/q/cZrq+Yg+Buldkda9N/B9Fft2 VKGeXFg+EvOr64Ih/KxPhelrV4xtIDpCLLUKWfl8kLcm348Ok6clkLE8f2TksoOhYdF0GsxYOht0 FdOezo/KXDRlv6k0C+xKBWM4JdTX+TIleSa/q0Q8BUSrN+5Epn77dWi6S2UIPJCziC6pja8Hjwqe +Kgse54TZAYS4Q4OSHmnFJlJJ3dUK6dcWn1GCvIjoYXnzJ2mrWAQTxSebWGSA9JwsQtjke8iyLCd yfr46GLLUg42Rj/r+0Ab5f7uOJeEgGQQ2gF2m2DASYFw+3ldnLrU7S3Km/K9olTZsvZIyE6BhwEo lcrRGfFgPEyZyPNictJNo65gaQl4ahaC7kmdcAdhCRcaDrjWoRJ0JRDKs9nbYMbSBElpICOzFN/e GxB1OflVffXM8pSnGdtvCI0A+5Yj8hU3zsREVeDrE+prbBzThTXeAiLPRcGxVCQYd8wuKmKVB8Cz 7/FF03ZjhCJn4lhuYqzY8bN+zI5qNHvLvGHAMOQEgk4h2hYBgQ2utFx+jqXvzZGpvuAx+AFvaDR3 EMt5m57e845YnJziZWn2RKpShu+NC/z1LK/tmbcuvI6OVjc1Xk42/R3EwZZU7OW2MmXj3cR71AVU 31VM1P9LzXGLfJ5HGG6QhCN3qodfXvbgo+zW7KISc85Bitj4yKZtMvWUibj1VugEmGYib+jJ+EKy PSVi2W9EdZg15SHcZIwGml1ENk8K1btRGHOMp73yC+c/VM+VV8z8k2Emqg2SpF/M8BJYXJe8nvXU E8WZWvBVjDYV2+pKjrZoyyDAHPMruzUV6gfsYYwN7H8RUOMP9rnue5sBhv6GeizaJd94KTbbnOE+ Vew9MHUo6rts3JIr0eZaFK/tV6ogqFCKe+q0OotsAn4roLWoz0H9w14DMeCv0z7Q07K9biwqFEHe YmKul1VL4Qnpy2mHaEPxEQ6tD2/qocRqjrRD41Emre+xHiPcLr+yS5OchztvEADzrk2XfttgKKqq btcPRSupbxao2TW92lQNCfePHm/Ss40olbjk5IowrA3gSBpdd/gjwiSQWJtamHZgawfQmqgJ14OG qBCg9mZ0HXwni9S/glwRd55DkhXqN1MuINpEZjfeKmejE6FvT6e4st1XEcekXd/mzUqG5u4qTBUw IojaHr1YE0dgj4p3uBu01mdig4wkbfrkbCSw0GOpwdPtO43nmWxcpGVB6VbuEDle0dnGmM/MkXsl +/6WuUOvCxePc6T89OtFjMiE0f8tqtsAhCippxR6ISkGtrHOrnUtuUKjwN3b13Ad1tl98qsW2l3S StofzE/B0EbNLZDyLxxcQwg8oSocKF28POKdTvvwOi7DGmsg2Akey7WFFJ0Q33lsmRF8VF9/J/Fp OAWmBSvu3aJ2jj00eBEXFy2wA0msXygZh2Ld0LYSeinVrZX78seJk4U/eOwJ9jwXhxdBm7nkWos+ MBo5ngqw0x1+yjxUKwQA91tSPLcnTnrhFSVSMM5Pex1z0HdUjAL8xg5Meztt100lUMlUdPDGB3UI N6mGwHY9v4yP0ZChAn8k7/91bpvqU5Tn80rIeNy+Oiuk8QGR33+8zz3YeGKZIs1yW687NgK2Fv1p h1Ble0JmfxHlxOfhX2RXoldNFgsrTLBmPm6DuQhR1AmGV8Gvb601E5C/d/9/WFhUPIOUk4jf85w6 5VlslqVBSc9jFcZqT9qYfsVCckHTzAzr77Fbt77MC8cDcu/mwnhC7rPxG1aVJK1KAmMe9kYGM9X5 xsaulc2jixG4nhOW1FzkAwO2obad8y0NoW+wHVhyV+dwcSOGzBpmx56vGnLJi9yrDkdfx7RbTTU6 jwTUD7EYENR6MZzj8YM4eunrnVh7Vl97yyBeBAN8DLRfv0LRqiPUzSN6dX7jGBsYFvm52B0pMnSq /FhM3f8JUmmHwPH3X1URDlO6ae4CvgCyNjCHRjKsUNbayeaK4yQIUzWfetO6EfeM7SCyyuRZajnp OcBh5lt/h69ZIYnE0QIp2QKH1oVYW/8LZ8+kHAgJss7tiJ3pAOHPAMmIqpKCzAJm6pqENA/1WeoL dxz77XNMVkct12ZmDyVuxp5OlcRBUgVLWbyBW0kP2tTlBEqHY1WMQI1BfDd5hbfYiGpXGujjXKKN vYYnMb6s/LhHkn8gx5XUfQoHJTftArvx32VSwVTAFlddPx8XNDKLv4oe5WaSp4E2R+2Z18cmmP08 StGRKCOvQzjlF79YGRwacqylJQW7UweDTGiywVBmwip2j1CqYTROtVXKCdDGPwD1sl8xaU8qMOX+ LY3qUQdmOsxxe4Ex0UBlHOkdSOn78bvAhd2kdLflQrv5Fxj6GaiYlgFnrPYVqHlD5TcyY2J21MWV NRijcwhyhtKBYPG003kzy9FAWjeg7KijMh08hD32esa6xPMupvkMNanK2x9YKjNBYVeMj3y21RU3 2Ef/2N5KWCZ3ECPSUscJUXxXI1n/a5gO0kp4xYhfMTkM3Tb72IJXSpfALkb/lI6SyO2uEKo6oh0C xEDoetGupjwxc3GZrDH6CzlrX8n+pCdEL0QFbgQDrhzTXv/B0VTPCo509z/hJdk1awiJiC32rnW3 daAvoOogofXvyAC9YeB2f2/7b4j3AQUfQm0mubVjGDVYpbk/Bg0x1pmP5mkC3d5V+oua4OT+eacX nLuTT4dSI3fbKYpyuyrF6tsngCpqROTWvxCMsQL/HC27eU0GzOpRapLBTeg89QqEr1N9NBNWJcv9 qgWP5onloF9CMf4ocagSZivOTq+JRHcCiNvkyo93STSxWs33aVRl2SBJdlua2bpomtqRaPOCb5tz lAPEtGYJDXPg3YtbYAJS8o5iX54KEWeFwVrrbwtqRxvYkYMRmIZh7Va0bDYyV3i5WazQFBciPYUi DTZ6uNnoKFdmGuwT0CEPpsD5821zlaR5VVUPTNrvaKTSFqm4L0gSelgNKth+yCIXHXDvGfC/bZtQ tWdGIRuLqztocMPzfv8D0D27J99MRxnM1fwB7NQ4IVTZy2AvJhMzKwclobvKAw6hS1j3jZRi50Ko VRve1JOrH5NVvrt0Fm4JL/bQYyXIyX16tsq4C+VXsR4MNY0gj2oWNP5zRmqvZTIO08ajT/O8Jm+K 5HyeGXK6g+kaxIs7oZ3SAwenYloMGBj6aYh+AocFQGrJJBiL2V2fdgkFNX5gzRRyTDsLo5zG5+/X +c/nkqA/5jGiyExlIS6PjvqQkJvKgvnOTI+QoAmle9oANnTiUp5CENkBKRxW2Z8KMHZF6dVaeq3h sxSNCNe6kaV/t6UvwnJOtNGDi+nMKcCKP1VfmLJ71XwMExS863MMDohi3vFTm38tFCocdkxrsKVV QLEsv0VN6Q+mIunTFyeIYjwq+22yIs88DTHMXvCHQdgrycyzZzO+bClSFeqnFgxfsKePV4B9oemB uGFFOc7e+g9qhGvxNfyTAzTjgEdrCfuMWSJKwMPWJnonT9qO5fQgL/4CgoPLln55HxJITqiKHsjt Cl/cWS3XiEpxzbAUGzIGuGOEx9MroE3jx5dAHcyHPqbrqCp+7JRyO0p01tTcIxHVOG127cMUt9CP uqEhsjaoJgJYmLHjvSLSdbJBIp8cc+zk1g8TGWY71BOqT1fMHuDu2TdLXhxnrK4Xzlgd34DTeCH+ n1zxvi2mm5n7RyAynh/d+kV0Ouk7l+HhpiRDEb+42offyRj6uDmxl5mNKNfuzKHFkcSWwBY8B4At WdvY9+Om3HOLCLsK3Fbr5b4q7Tg5P1jP0xUiQTL8Tljvh8tEKaRamCGt4Qjiok3uGCOyzaJoCjm7 UfavTgv0B+E4hhY+Aj6wGZA75Q3E4DQ9iMsxyS55XvkOh/w36XltcoTby2q2naY/iXbyoFGkOSvP SOn7jnO/0lHMREs0678x8oF1v2licgMZZap27nmuLehcFQ5D3B8C4pzF8MQ8ntZoFJAB3+IQG1tn YQxQIjIa40wtT+cDY+QHoRVpRYhzYFavyjTa3H1vPXfrBSJQO2H2YYdzTQcQV6Xg1kcb/vWnchDy liFMhQwXGcp3X3+fXfez6w0z6B2/jEExwyIB2DaFIDxPPl2wCYvJxfAwBPD3s3D1nMjTAlJCWfm+ 4jG2qt/jCaB9Vl8hpeiUhDskfyh4xz1qiF14y1491ls6FfdfPE9+S/6Z7EdGeSfut3w8C6tTLqWm rOy7WjdOSZbfKWtyiAvPZ4dwcnoxn5pvqp3IZGG/izFayfHilmSoNRExC18NQOOEzFTY4AezOBq8 FkG7ddpGa9275q6vf9wYhGIklQ9M0UBn0GDwkeQ+DtIcvYAE77yYSeAbsGttsFPDffUkWtlA4BqE jbfRkZzERHvcRBrHTOhlCJKdUj6i769x1UxcQ44D/yUw7fmkIvfgyHpWxPEhsJI+C8nHOH0a9M5i Ufa654+lAS6OfnlF9KN/TyMk4XAUbxvQvj/j/TueO+1j2jnqdBG6K/VGNpWRs5L3bKv59FGv9A6d QQRbrWDIM18HMSQJ+PkuOnNHe+5TuDn120tdWQHNdgoUBkJQB3xOWdaXlUlXgPerK3fC1raY1QRF 5twTanipNusc2RaK6k4Hp1rm2bLX461PFBvEOPHZ1Iq5pfPmpQ2mwlmXyTzAWGpmAyMbe1cx5U0x MffzGYKrQ+aS0G7ChSXCqX/lDE3irDUyRrapQ+qcNV0T143EFFlkKoVcdzMJ5VWA+X53nj1B9tQM K47CtHHIhKpcqF6W7nPjCq0c0Sq/ZAL02wU600N+SbZZXkREBOjDWtXqzNs+5wp+RWmJbFVf4yTK 7zFoF8PL4Xr/dqSAB3l2HpEytbwCt7ZSvbGNwGbbnRoFsQpjDhQRaIfe2N7oAZeONTUTt9y4UeIJ QB/XUKNnboBnOxOedsd/N+UUrL/sW+ZIxfEzXljcmG8kvjbAWNdxBd5+oAQxIK1/2lA8FkKCY0Nr ID+zghf96V538yLYGQSxl5/Ssz9tdeouoU9OBT6NhxSfYYoEFXmnTbTAKJ1MTbdqKC09bjio0Tcb T/7qZemBr/Zo7H7FSTZpGJtBw1u5puf7AVpMTRkWGyDnnTFXHXpqiZ+O60yqrjE6wcZesh5R3JZ0 wEK4HZx430B9T3YeFT4bgqKfU7WBhcdLfWLnx4VqyUwaTfEdQx7fCWLXwTl94p9wvz70C8XDR/x7 dYEEW823M+WiVA6oUic1QGl+tbRBXopWZNyNBiVn88/RJkeS1FuviD4Y/xHS/K3mI0xYM84/CTaK aIVDaLDrrfUjIxJJjeBn/y+JxqmCddTZnzajDpxqyozGFtUe8OW/99gFDqHy2ew9Xg/uLqc5Aaym ln4FSfAzQ3OtIz00QrO0zaIqR6Fr2zQA2WWQ7dpYereSS7GzjrbcYOAnLbNa9VewL56xypVQQPMa OPWNSIW4Yj821kVaf/eQL2tV5nKvYIe7QfkWeeyf1rUCtp9U0jscUkKNfABdmaE3+xgsk3K06Lco fnny+9USZuXrW+0EJLb5ra0o/gevvMqiM+Qsji1/1gP6BL9mErvE/1FJ31SPf3SlB/9yoRH2Sc9Y vJ2a7QJYy0H8uvoUnZReGQgAlXabPmOBqNypjqlgMfxHcHO07CcPVExIQynWIOosfEydmda2chAH 4H0DkQ3lMajIFNius6QsEyoWjXU/iPDQXNHQmMH77SlDdhCl1vZqhE6HnO5as4XyMVtbvUTYF5hs +FIZJZwx2AtQ1RxB3MSqdFj3YxKF2TXPY6nqLAljl4I/4PWQAnEEmIcMlLBz+JFyOZ2fjcEu+k4Y PMI8jbp/vxEGnxM1zrRdblZYxlZ61AMnNmJD/9XzDiegEeI0FcFFB4JqWAzf1r1ouKsfBbPS7/ts o6E456lcKZi+ZBl03PY6tv+m8Tu1ww4zHI0KrNrz1ohlrHd2GiGJY3h0jPchcvYEyGGQeYwbp/bW /CF1teKTtOEuZMxkFhUBNqJKYTZNkC3kZ8Imd4X094tG7fnBigeXcX8M3Gy5ANOZsrp1U+TKksDD 2iXN+dx8tuxwzdcRCZUwRO30aidYp61A/fNtVbnjM12hY6aAp29uJ9D+/Mm2xcC5ja4QVQlEBUGE omvymH6N5f2EYrO0TTrp5ebhwb2/6L6PgtJN0yxsXW5o8lktLHdoyVEWrkuBgRM8YHmjc+2JjExm DmNke/d+yQu3ujS9fWGT1n7+fMsVXhyhW1xFJeU/ineZ/Dm8iuG5ry4kGhaFRbAKlUd+JfOcTQHi YCO4ZhpVUXVUaQEzEgBc1EVxn2N9Xeb/r/wHsmgH0lp8QiZTh95ufrsYaall3A005UCGYleFx7QX UCkb2eCOqQSN5vflEjAe/csYb5CSVaq7w63rmmtTYV8p7mpA579n+y6+XzZxxIrT0Jjj2tRAARxo UgxLFUBBRahdVBlBPIawtE+5HUlRiDiZQFq38YGOzKJhZ1oPFP8d11B486qogu/fQ0fEk8kGY4WJ dC95vQVOfiDum5j/n5YjRYBkTjE4QbXov/UPLSeLLI4Mi2eApljBss6j88LVQMawoYwD17sAmvjO BGoe5VRbgcUO7jZe5jXDp5OWoYsJxFCdTAb2K+LrXpj8OHqz/Eei1ggXkhIsr67xfaO8LJruUHa9 hY/RsoUPm4r5MWUl5C8xZApNjCLz5WyqSIu173MAxrom4Wrjg7MNavPIACN/hJrcIVHpTwQ66/mD AkEZD8AbZwTbet3lVMT61zF10ftNcZF1yuRhSAzVhiHIVsvrhaY1MLGOurjBpiisHyXXjwjSzPzg pHya1oWnmbjyk01W8AxCWhigFrZo1ZiwSQIzTiZWUOlnWIbQM3dDO75mUPAbVxcCz3Gr83aWwC3W lxqPJbvjM248T1Bdb2yUzcqrg4vf7nf82MMEtUTd44PM/kGLsCnGNUKGDzqhmgmwbO8AbHHWoO5J W+hHjpYMaYKfVgm/ngctmMEIb/gL+j15L7BJFOU8Q1eoY6zllF4KFZNJ5jk9O2b2Pv71Z9n+tMyT ZSmTjs/ZuIVRR8byEFfzMs8mkGR+90RUg4Pomsa2h0DNDM5KGllSpRyY1PX/vuqso+L3pJPDQr6c 8qb1PDH/rKyevDY1Qyd5+/gok6np5OpaUlEzNrq7CrF7XF7au/oQ1lOMqfFa8YVmGeZ+O+YEUOzf +6HRfxYs35B0Klc9jN2TSTaQt6VjwGxVdzXBh9fwdD2T8IGSOFysZYOaPAZVe2wtxwd7vJhY4Vzu ZfxjB2wPtq1BU3n2XF66gB5c/ikBKJwFvq8Iv6VOt0aqkUFqPaivLnIZMx+1zV472uVM8wK61pC7 1rF9DmBOvNxiFtbGcrg8/XQOZ7IZV0c5R8XMYLpc0WqmJgJR9Z0bbHE4YHKbMd8gNo2RKP0iuYNj q2tN3ozoz6TKYP0XF1bNHR4OdTMZ+EFlCqEJzL4p+wcV57+ek1y5pjcR6DYk+nEz9kc2THJki3eP h3fFKF3hJPZybbRFuSO2MPs/Kq9fAjsoQ3CvBNxLZnH+6lfw44KWCpyoddin5IvEU+eRv5KAM3QH ReuE2M3dUd2GJLGGWQkftRRjYSduZfchs6WCn4TG3dbvivqrjp8bqSlHGRj/3wRs0yOOPkb429cy bX6qXBciEiDIza5le+XY96jh/QLNegsn5GRAEHQk3fgjh30i/2CPYxCGedg1aVmggFGUyau0TwMN jMdK30oj3z4pPd0IcPTqqryIn8WCg/kkCtus/AtJIa+ZY686em/Q0pXnVWK5+2mHA2qZcU+ORRK8 k1BWNFp4XCd/lSgApi5pJ4ps4WjvNIwgDYN2iBvDetMq29Y+ycQcON+WA25/LqLcxFxIPSKFyY5L 4ByDTIaI5W50r9XEgniikqf266bCSymmpb9vcLAG930jiCDtTB4RvZNbz2nJ36N48/eeuJZsHr3i LZKMFy5wGl/KtFGc7aMtNodiJnP3l/5FuJdTLHHZkPClSVxbJ+6MDQZibOr7s86FMTDYkFCMd56F l6k8uIdPGsFJ73SgAnW8V/6fTAgcDvTV3Cm4+mVofV8plmCEmMpT8OPYbMIhhjbUdTOzHnFp3Pf3 /T51lXvxijpyeo83QU4e8Zq/AGS+gS3NpUuv92ARvC82vqocGwCsllh8SYS7SypTxoUdOb0NZfFF GZiEX3df65z0kIMDkys6OBYXDv99PKevHuGHucjbhY/ZJlCQD/CwcvzPZD3S/OV/SqtjelwX4t2C EoiOFEsasy8/xIk2iuoq1+eO8z9il6FyrjmXr2ci2Rswp3CtCmOfId1Kua4o8PgIQNjvqRUmT90f 5HKLz657XFnBNIU85q3rejz536Ewr5BwqULHkKoQfoE2l83vH/dlWWMCy6ghHqGQ/D6PNeaQr/Xk cEoj6tSA/5CuJWuZ7A55Qbr2J7Lyk2+ASxeb1lFINn0tHgbTuky+xrYBlx1ersPfRzGm/rMzeWGi /xvIvwoQtI97pmcjpLvovL2e1ypKt6w07908CK6sS5NVEP+Vao+aLqM2SY9C02YsQCHV395e8Y5B NeMtswd2fJgwA6X2ifg5+1kwQ+z1ilLls0Irgd3emqlNt8PBL9n7+CwcyQ77/tFTYjOS0M5LbFe1 WSAAstpwr9VWxg+WXHLTHCral+3FLeUmwe3odnSdKlPwSaxGGcA3Kf5GYMaamZJiy2Gvqehk/r2P vfajAgx33guJw2NE942tUKh9R1CZWT8ASKfopAtPv/LKwBGdA/pvWrX7yrs7rqyI0EbdALiv+Ntw JnTRs0aBPobWk+t8eJowZRivcklSANsCyOCht3MfoAo2vh2dgcnMyGzPJWXgOBTzGA0jmS5YWmBC W0jnM/ARjR/5nZBMEflv9WKSVLdMaHmUmS59WJSyalo1M2BlyJNT6xJAjl1tLvd7E34rjbp0idTt rB7MC/v7vBfbFPaFFuCA/lVAKiVh1jfw1lSmUQOf+VB8fGCP35Rl2FwrvfdMcCbzyKNn+9eaCBAK dKAelJpmfUtJhwWw2MtkPSe9ZoEFxUzTCgQlIKU2+fHWZfJssG0k8KFPaeS6+Bo9g0ennWkEcsXr KmkeiUd0iEIqaDEud43C9KOsnW8iyJCeNHfobLP7xrtMOgkrT751Xccpd5MVb5+MonOUHN8twF4X 3UQYjPUqVpnDVGRBerYnPFu9QUREN9J122ked3mVabHuFkNAW56TV3t/PiIn5zZ8UaXF3DNI2XmW omrPiulN5ixYV32xheWehBODOQeXyx8YYYl3UZVUoqEZVkqMK8RU0AxUVOZsPqRRdVdYuXEbQVj0 2CSjIfNTSGFgqtJDduQ6j+x/hqeD5Snr9skUeL2Q7wEW5k3fvb/B2z5xn2D7OYywTOv2cvEWwcU9 Hh41/GdK1jjXMwQIxJwBYZZKbLGl1VJTZhNtHEXatJ9J76gH+VbDsmIrZskhh+/drrOexIGQ0j/2 VAkFh6QtayX2a1/IXIUFDeBt2D67NY0h757uoGU7OjssqP9fdL97bhZ4Y1yq93KQzQGgmFY+5wjp PoUCAmnJBG6E6waCps1CT2TksfWNDg8YBEp2fmjbBrPYUYnOIwCgGGIzmvRG8vyg6gM2zH/VnrcC 4bbJ5xTp+2LBQQlUDIPRxbUwfKicwlyhKUX04D7pTusKdlOs0krBFoCTtXTV9zYei6y2bhOyL4yQ TbR0BL5VtiS9YexpvUxTWs8PB9ZKhYlCtX6txICNnv6VraO8oA2RLMD5ZZ2tRUGo0vUztvqP5D3O jHIanQPvsjPkZ3qpsrWLsKfij7BU4V5OBYOjnfGMFza1UIBV8yGoLqlDcJB4C8W35nE2eBXEelYT NEj7zAU5oW2LdsEErjkllU1qGKOw1B2wIGbvH6gt5H4c+XzQWxJlyVkzgbdk6f36ApFiDaqt5a5u guGcpuxNhSthyfr9Guvcb2bU2AHmE21S+3L25SRvnlqDqXtFSzcz/KTTc2bNmMxljCZ9UuwmM1z0 BJLuotQA+UXw3Unsy9ZnqTxfvwWE0zGU+oxqmlpfIVjnLhTOknq897ZZwjOL4syh6EoJ9jb2WXJi pdgFN3MRomFwz+yEFgrG3alfNkahA9PtnRztzPn1kw6LF/nsrs2iwQXSFX/yV5N/wqVd2Hwr7/0z ypQTvvDbQRjf6lMAjOTQhtelqBBq/cQhYW3twMKSt1XoJLcGo8jnl0mX0gN2TPoM7IAKCMYOgqmn Yi6zkuSfgdLSPhQwkc745pimSEbA6xi3dW1UsuMFiTeoxHiKwKN0uL5WpIU7EqHBiinLonDjsjFl LMhwSyiLrfkQmtjbKDIjWAvdSa5j96tTsqS2knz9ldbQdBLCRKsvXc8JcPkUT9TIl0ICL+yJHIoE CXLgOf6aWCu/t6Rez6qJ9KX8b8e1gDlNgyTWuEO9lhfP+L4h7x0vMOHMlMnh1wCXTNy3zRHqKhaW uxq4ogHoYa+ieD+XBKHTjeGut84sZ2WWgHXyGIfLoVbT0oOWKDsO1T9wUV5Qm8J4Cny3lqrDklkD D54ZeQ7Sw0gysq7OgAZlRpupCXQ0So15UvtWz8Zprcr7CWV4uqaZ2545we28hB40SAuKYxWkJz/J FIVEGcdcIBpeT1xQw4ijHALcmchETInKLnE95J4+ECTcj3wIKauyRhQvVZ9cA9nKsk6vUmOSOrHr u6DTfQ1r2rc+SEz6qPOSccLec6UjckkZDe7w5nXTttld+CpqgWmoYhU364wUdcSHiKhbMN+06f6l RSrZLRBpxZIiHzHe2//q+9tobNAMRkVFBVRS02WNWlTGq7ABAZNOhH07aE39lCtu/lrmyMMofiHR TP8FIywNuq8HOOkgUOxyrjsuflSq5n394Wfq2KuM2yvzfmnvNXqwYuA12kvNBKNcvg4gRttqwM6C FQaB6IDL7cQkuY0Q9ellI89YWEUJC5mUDhGDllrQMsegmxF+F+mbSNujfNWH1nsDOWRapumQO5Jr Yy0/IKGEveUbofZ5SILHoWLlQGXJImxhSRNrD7EMDayA6r8KmVNpkoZ7+YENxFnomne/XDAEt0ED oLE85hucND7Z5KAzEZFkZ0oPzro1MowWwlAbSk9a8NLFkinfOkvHnE/Vt0gT0xuBadRqMDtj/UX0 IiHTw2QVaBfF+d6mbW0D88hBLouIBuUGqmMOcxzC8KT1zUDlMsELVH1EqHzp1G2PSTC/zMyuYai9 pdqgoirwkU1+G9O5xVGdHImLd04lTrtRMxSms6QupDBWWv7EsZCzgCtwxL8Fv5Y7eYDQ5e4fq2EQ q36C7KdGOTZn735AH2k3xqpZBgFIr5DlFMSwTRDXc9JEi4yF6VM+HltGPxbwu4Hp8U0eR0q3yHx+ iRj01dWmkK4NjU109Hnx+Xtx1avNKL2LXXC1pExmYY1jzcmQFO1sXsB10NE2RiFsMC35dpf+XhLg gQJk691y3eFQvwNIxslF3XGb4dZLmCMTF7OfLFj3LR3Y5S4yBDgMsKJfa3OYT3VUsC7obHzbAP/M uPaeMkSdRyxKhx4v9d/3FP41lkRSRp+aSwwXHbbIfnPBVeO59/3YnyPy6QUgi3fQhFT5njiDhnT/ 3CP0lgMXB5MDE2nBc8dtu4WEFxmE/xUuI+tYhmfTkDoCKaEPKZ3mbPrGUG4sDar7b3W5EvlWtq+Q eb88VO/x5Hf9lrHl2E4XY0U8BXvZDjrbHJrOddypqHtX7gtxNmwHLpSB+kMX39qdVdc3G+w+Suyd mBGkQ6Due+w9TIloczSfqlJKHOJd9cK37PyLRNIVc6sytUw9wfd5qRvKMNP5crjOq1qs5fcT2M+O iz43eT8hQ5stlBfTHD6wxwL9bJ9RFqbgGlhctRpCxJsrrDIpMhhEY16qzO+41fMchnS1ztyygZn1 12jvS7RBigtWkZY4Jy1dC8lG9beMQE9c8LvyC/zaMy1S5DrqI/ZWLgoCN1EWvsYYjiXn2o70pk4k xuqiY88ERcnnefe8HW1D46Qxz6bBlHAalkJyhNefe/p1TXm29qu6N9AHwXzJ2g78JE6lKYLctPss G2hMJbAuSFZQvDwVYlmsbJ6DaX7OCPmJoJONWfjhA6DrXYk6PCK7Xe1TUzv/4LP9f681FMslMzNn sbMN9MpctjabnINhBdiRvWpWP6imo7b8aXpqVTRihehWJ5IJEPXfO271+kUDNBYVUFDqL98epXOh QL3kb1YDoTPdpeq9e4ZS2layyMaeeQezlTmsGG7ySSIhvusj3e2ZNCuKImJV1zaUd55h6O0gmEyq r5oUNKxMkrVZ9Dl95lrqt21jDWElbBvpCm97HK4jti9dJtC7MrFBsHgdFQZA8Jv+krrWkoXjuOap YoN0cXmX+5q59z3QRnZzGtTpI7E3mQ/j7FR3pcQXaKuryta5W+AzkdvkzICFVHW3gsWQCB4FyZzx tuxT/mptID8QYDcCIKS349hOvD2ckxR6taltaNebbZ7boUDsEhi0f+Vf40DBZ0hCo0lkbYlhsOvk kVlgFIzX0+AX9uHZInpXgLwrPR1wua1NmwkWhU/WmYsIKdX9Ic7pUEqcJV4tzDnpwcmScVu0RPlj U2YC0YDkOGK4fUHtu0NTeTRBtUugh6Aq5Im8NiCnj/aBaQE/PASTzSCMd6YVs5cLBXQuMUfuKcwG I5MrJ56OKuNj4NfgpVlJFFDfAzvjzSDvNn7KEF7fmh4ua1NveMBc0+WW4E77Wn9kQiCEhBJrzmpL qrRKV4Pv9ysYo6RRlPwnnfCunyUxmw3k0g/8yw3iEEnfeQCHM9lYephAZ3lNpohVr3epemacfJXP 9FuX4LrC8ILEhbBDpHxAhBmKcp7nfi8VYU4wFHc67OisdRiUdceNdPqT9uiqPnklC9Qx0anzfl1t XhcadVfI/hWU2dZTKVLhdP/h8AR2jFx5ef1pLPJINvZ9XfsHj9a7sC7Q7Mj8atOUNIm7VNSfEZsF RMelIavrZZ2T6ozSs4lSyfwx5j0ekrxgL8LR0kIBu+KOwn/vyhrtrhzVgGPRHLNJ5Ut1Bs/rIJmb xWwd6I+smAXnadlZyTCV/UeNPTd9AUXHMDiuST1017jAAiWO3tn/whz0osyZq7KLckwWkOFmxy/W CpBQkXCeHKuDaDZIwrpkJvsSMYzZt7Sgfp+xfS68gKn3vQqzJePRl7lrsXVs+7mGVckmwnoSPA3T JBPiV5rUvdYvNbnuEKfv5pYbIKikaFh3q2lv3wrUz3WAwydGoAFBuoS73WZ79DByiyRbgusHh4ay V0sSdFCs5e+Xe+8zlKa8w8FShmk2t9adB7Q20ndSizn5KHpYcB1EdmPzlBaGqjqlmjpifRd14vis K727ZfvNQ87yTjeUbOTvb2Vb0Aw95m+5Wvp3k2rJM01wtDo8s9W+cdjlZM8+vIHTy8StLNkKrLGH wpwFLJnfMbZz+9T+i0dUk9hL2wJLDdqd2kNYsh8zGhuRUktbHSc+J1JYgn15LfOLd5hT7wxfqC9l SANnMhMaSO2NAmDl9RXjfHoH5rec+nOTtZPrmsS75zSZO5GjTopws1VEGZYR25rpoAdMhlN5F0Ut 0BjoFKJwFxHJwij0R6bTGTC/iyES4WdCCiWKPkLaIuI13k50Do0LodvL2USjLIlAr/WE8NCcCsK5 x15lRalr8YMUx2psuJkPiHFbjxh9LnGKNmeznh9aa+wfImJtu3X6eARYT06EJSN5qBTKSBUxXBuZ iXJGFsSaO5hKelKKVE7Q8/xCvdPIltPuDPCe95/mdlJeem6AjqcMBQhfSm6j9DsI0dEhotFs/976 Dz9BchOahc5KqEzOjDy1JWTbPdjpVzQD2vSsfekjyxbc+mWQ4Bnt1zDrgepQxcSPENNCo5A7a6M2 53rSkR275tax9ZUXTW5FCt1JV0mFJbC6T5JwB2MGNm6cSJBpMJazcSuiqG6RwNprc4KcD2qjiYnU BO+SM3gjsA/S8XqTxHlFapxJ6DTdGlUtKZ98YqiVThEo8E+OU81QiMgUKMgJVArlK1xMSR/nkS/J 6unHY3vS4vzy79WE21n+ixI3dK3EaeY7DOxBcl/qrgV5n9cSQx6pR2Gf63W5vk4PwPWeoxu2t76X o9olUmylaIu10qWDM4T+58ywlOwGKm6G1Woj/R4CCC58gy7uA8vxsUP2HHieAklXPOKcpyD0spTt HFzaDs9h575Gp1bxnO6kaIS51wgCm9I+FxOcGHVz+BWijqM0asdlkyU/I5oI5aymVy4ANLEoX5OH Gn6TYKPq/7maMAY2nVYxY8i237VpMU7YgYOtTWqoJ+/v1CpFagOu9AB5kUi9hN/Qi23bnhY2eCQh vm0fyp7WYkvixLTd4m/kkDliSfmXrMCuYghwWeesJVxIjPxqMnWPcSEQAjzJsI8l3xOimXWN6gMm BF6H25Zpc7EimUyY31kMGs6agIGMKfJw7RTkC5o3LemWLwliFBGxIYggpJ8R8ci1nQJhzteUunHY Si7moBdnHICerdqfQBo7dc69aRPSuEZPj4JGq4z84Tu8Ayy17cigj2IJu7MfKquxGNfx0jbGdImW 7sUaCcikwsEfjK6CXnH36RWBaDMMqpVRAeYpxmgP05qCSHoXNpfPD06hmXcGKARiZcSoZWJsGWwT k1008okuGCWywcTx97Cf+27MCSOScOWaUAINqLTjLH7ZeF3Y2TKe6Tnw7Fmf8bGU/Fo3lZf9gbu5 6h9iLQac0j7VrTJ/5oRgkBD4CKdOmpx8PW0ro1rqV0ma/jNJJUOASfWPWsHmsyRjQesp0NihA5Hi w2vHE+6RZoMUCzuyeBIWkuyYnj2XdoaSlEuS6dUcZdlFhU01BD5ppyBm9zxEZujK/W7LoPh9/P0Z aynXkYep+7SoQB5T7mebTcuUouyJOVVAI019QTbqjQSiB4AwR5Tnq+1ozGtAojswA9X8ik6gIKiG xlLuIj3lCE/W2fZ6J3GKolVIpcQzVBe461FaqnSmm2iazdjg9a6vo62ABF98oM6p1yTAhzLOJF+w tXathOPke+EM3+KUMYkxnmKyhJZS2EAQZceWV98a86M7ijYut8Ah1hP/qtoVwm/qI4SY0ggKZipK Ny8XPGILZ9D6fsHU/fWaqAf3QnpXWEDP9slYxmfu6bo5MnOLFQEqwDzlk+ZAiv0sxQJjNXfeQATe E+1JAauptC72+PptBL4YLhDjKqneY0yCwHGO4PqEmurFwJQOTrWkNNMJojuyyuHJZZbmB0tRinLn HFQCIyS+QCJkINN9b+exjGzsJZy7J56m4UA/qi+FpwYjAgNHRc9gZVOFJQ7BTRPqt9eIOZ7ROjlg vZgjvzuezgHRxGRVkVM+pBEqQJHWWyuXo1FGJGZQeYrcuV9pt9a9hTfE407hKF/Bk3UOl1JXEl5z lm2buhrEQPz7gq7ukoRYeqAs8eUYCmicps9Tm7WzZeoIvPfIy2NogqmfTNRlmthLWo+pb5hpyHVa Xb3pacjHppLcSUX+QmVQt9FG4AWqTPEpxqm4LOJu6Pcc0DbY8I8BSNgvaQDAhVW+qW9qcPbr56XT /1s2w3mx8S8T9iKUpeWkUIUp1a9D2oM8iyOzU3fihDW5eGpcBak+/eKm3aClWbjeAOPW8rEVbs0n XNclrlBA0wFHMCiXuDDwHz6dC2qVbIR1GG6bT9Cs80ThDyjVp6CDUtKinHYx/FP5rC//CICw0aJf dH6AndUyRRdwUj82dUPuHwUf49JdAGSlb0qIAkrsFDV+8XOIQv/qUPQCVH3hE5DIO/LDwEF3LuhR 2YzQxSA4BgNetUOwhQdPboem6rijbG2SILr56jzBCoY0f/Dk9xyDAKgthA0GbYWSZtMvs4GlPAQg iidHw3ShkI0yzaDatCYkJWMMm6cfZE99hjHNP1Tp/1fPHZLz+LaIXJdnq3trcGWotodOAGmw6Kav s9yX6/ffaBeyEsPMxrWNGd6uHLcICZiGqBjAmlIi89QCo5tNLVLBVFNubK2sj4vX+YG3gFb7gYqq 08cfoylFOgHnPxQqA46UD4GGnrmU8CU2d9RHj8RlYD2kr4zfCLy0YbxCIrY6W8H0QItia+jOi1qa h0MJxwKC1ywURuWwmrI4vszqUFx3DcrenNrPYgH2iFfenzdI4t8qb12C2UXNZYbh3WUR/tkENT2E AS4CrrXwz7TyiQ2nuyO/C0gMVLzwIzDmTL+fqOftbTK1pE6/KmcVN8wD6Y07+pGqqRsvCFS61z/A FJ1CUHLSiA+yijVbtcF5ZZpFUeyfJ003Waz6gkOdL9edyXFOWSt0VfY4AsVvC8ut/ucJklqf2er9 t7UMP9o5iOI+tBxtxKiSJy9SQZs9Wb1Z49q4qFe8iWJxvEh4RyzIF+slsqyf6BqecRuz3VoJLRot 8oIiBAcET0lCAoLg6+I5l+reqvPdpwrZSl5tgSrxq+rjUnElCJ6q0xMvxiAcgNKP8v6GBVPeE0Da pg47MRIXUKgCAm2Wyel6Uw6KMeXSng4d8IuXT2pr+/uOjtBJEZkTmJtyeKlwtyHboGr4In989y/4 5oc3KP/DeTTmoHsEMWGHJn3ls95Y0pclDYnw6YUiiyp4KZENrYW/egnHc3gcWd1poZioKxWxdoh1 WLIvuh+Uo4Ynh2tuoQ6bWvWhgYxaAUtRgeLbgt5TrKCIXOtI3kE2QGs4S0jOcK+vMSP1eFk9styz gW0M+Kry0Qy37q8ZQRerDRyVc0mfBzkKywOtef3DXvOq/AewWBxezkJfrv64PhHjtlLyuwlHLN6w 5t/1xuuwGeDTXU9yUfV8uHS4Jj9rrwM9U5vrZpLNarU5d4JmLP2uDH8xggeg5Nk10JQMjnNLOU/h VcdMDVxhZ+JubcUSPrpayh8QyPcYuuY9I+RFV09qe2UVOUbl39Q6GC1Jz5S0Im+1PA87Ykij3hzZ pNyqkj8lkN4gxJXxy+pUAQN9r0rcBeZrdewoRTwSyOdQV36Cyc2FdxvINBUEqPGs/D5XOzq3BuFq GrG7O/v66mIpvgYX61KrikmUB8ouH5qxZzRb2UbnwCPVWPtnj7wbmFWIXV7q4qi3+GxbuDdVKvGj lvi0SIg4gUC4JiXsDFllYrN83e8dXdP1OtJzE9/OtJuRVS1F9PsJ3Aw5jdx2N+ObHiGud8Tys1fC pQBaKcgLWHHkiPNtPhHg3eXf0Fkt7Os/7qCRKWLY86vB2IM1G2d1kqLmlpU+UM4eopRDY71TcpPC t8SPFSI4fI707a4fOTyJ02fFZfutzzKoWxRy/GzOlQRzUNyiVT9yJzsm2ihQ865JgglfTuYxi4VS bGyOPbby4A+KbJfaFNwm7Ge2tT2sBRkT1paPzw86jM4IslC5lRS3+VHt9wuqMN8Xv9JFgyZ5+AEt uzSDJ+bWGSljEODZglWmMskxQBw0wFFJccVxaOasyOsdU3g9HP8hytwMxrfailCCoJVYwMcxYmsV JrAgyPWEubof2kIvh6Yw+yaTQ0gHfqWfk2JlqnGX814bXkjvOSCZ/O8Nni3KkeEJgz0yr1NvUHwp Jw7Xq5bVXPCfeVDOoDjyqnEACpCy6yO2o+/RGk5BV4naGY1B8PZeDNna5mLijLa633+uWAaC6kp7 2Uc4x8xA7lY7jPO7PO9n1lQnvSQaaG7gxmAv9i0qCk5x1vRcZ79nKztlXMRnikM/JXVsQEZ4foSl qqd4b0rpzZm+SsPgDwRrU/rBOmLIN4ne5Dl7EtYT4pntWgv06ohHdq/eyDcpezJq+Z50uPBblw30 FfJ/mlgaHm9N9HqDrXgv6YgS0uF8kMrFFwPVei42OMdPeUgvkCeP8xylBzARgA0n+WR/1OoAC8+J lKjHFVxGWOQ+DWeH5n3ruXk5+sNtLX0ybKF8a61jOOjXIWZhE1Vqqa84rRR4tLnIiizWeQ2OTMIn DPAWtNRRi6or+Mun80Uj2yho+5QRv7mIzxEiZzCenbwpVZB0zmNVPlef774DYYQn/o9c9iHLRgVs emuXazgWi7O9HiPG2DrImGs7kju2/yyUxePkzF9MxPkMCiIKXVsTMkL7DiTCXwUPJotqfCh0gYWM RMv+mVwXzaPjaogYdtGK01YduoRBCD4dUznZfTB9bi1brxWr9lNOkM46m/7PfCH+HWphj+k22rWk Ogka6GnbOC219qhJDGJKg1X5LCIhbqa2jbmAnsfbzHNJT08X/tZzql8Iuz7lBdd50rH3MlFZK67K NKISXqYSogcdMjU/XCrjiRXbWH6ZZTs3AoWR4meoB6sYdguJZflwLHbabZ9d6fGTxIspTHFCgGWs CARpUsSvH+hM6XE8Jcigecfb1+uLSQC8uCH53q/Ojwwb3OD2Lt9qCn9sHgyL8oLoGRbjJSGD0z1M VWjQKBzIeN7GPwp9MCm0tcXbm0lT8Ki0Q89p5nmmy//FRrXsXTFnQrUHMwpyDr/+UKJxsxdXw35E IcOiHuzgfseJx/vUZpTfwma9VaCk2j5KIwmV7MiVZ0yU1IJuXuMGydH8Q/tgYtmgAkjG12alwH5T AeyHTVRsjxbiyihe3Byqc9q8srgj28Uf7vzg2kOCrhWRdcAYNRqUbr/JjU4mI0L0fWcdejgRbLO+ NPag40rO64CRrdPa6sw+rVUO+tE1pD0yWQkI01M7ZFZh3XLic8THdc/vcEdgUtFQCFfu2Ru9Ig9v 038WjydV5a0Z1NkLze3KdEt5vV4fsnc7wp2Y1yNz7wkQ6hf+vxdjiB5WktE6KM3nOpGL2wzi2AU4 lONMI8dZw9oZzlCgWDvusuYXXW2uYDPmPV9tNBg91URnpz2CibkuElzDwJc231F2iYxLUeLoA96f btactpGt/uNnZWh7xe3EW90+dF52eIXZjVluayz3ZRIyJYb9ahty2IhkhGNPlLj5iPCgcumHo/P1 Hu9ge12Z3ceC/uNOtQ43vAqKLWKjW5xRUe7qqWnNMAYVsa4WgUcaRR6uO0Yj+ez+cY6Sk2twS0Rb zlZ09UJ1WL9epC+jrySuOn3W54l/PxVoJQ73var3FvBTx3ZpAJIG5DADU/1MThHq1tDNRd4ZUn0A q5kH9KNFAU+AMbQ5uzSRVwR+N6u4KIKNId/l4KY9/u6nSY/H3IFv3TghzlXHeBiUJdJa77p0hvux Fyw/koAjX+ho56oo/sfI/kqGCaZZJdDXoIsNB48LlWvWdLvzX/ylCO6iolkJygXl7MkBuE4ZXYoa SicgTeLh8r5IWi4ZClFsZTQD4wEOcpiutKs5vVMfcNZn3qwwc2/97pXMS4F8ZlR8N205Zd1V6b8o gCvwjLeYvKKnjJcVoGoVA3v3W1VBXeCYDUN5IL8Kx67hwbwR5oMukKbzoQ4Vr3w4n70pTJBNy+4Y hA/iEDEfeuIpq5hGJmST81zomm4c5kOFwc/i6siL6Dfj5ZxxZkgsNwsgy8zM1bqU1mi6FUw6CBPS Z3gdZlyLJD+eqgAViyCKSPhmWH33BAMAubO9DKrspgST/GwHkrgGu9j3kLhNkXlnCLCcWvlZ6bI0 GRskviJ+7tavCHR8dsZoKHOYS1Ya+cYG0EYHYWHifSWY8YZRsQAp7cPsErAyhWEBPt/smc8Mj/r4 TyDMstGGUOfHRO0FEUIlNKA3liG7kSY+SwERSxl0bGsdhx7ySEgOIBIX7GfZ6TKCK6HZhBv9lJ8e gE7oksIFR7JmDQLBC8FuYgu/7wHfPxQtIvb7405CZu7ZFgcxDXyHNzHGC13EYAR7yO617ZYzhhU4 qu4pR/dFFEuxwMl3A2wxMtw/yyVKmXO4nhrIyX6gK5cZlOqzO1VytRhVGm8rGKsng8WGSAe2GkO1 HYLNNtZvHnB0tKuqn5aVbNpxrnzWA3mI0zNXF6xjRZFv+L52v3oeJH5UmralyqxTAL3FYKo0bRoK No384SQfyzp6moDjAljcBUEQF7nzDce7xZspIKXfK+gHLuO9eKvZbcY+cwLBV6R2Jl/4Ashy3KTs ljmQod5Uta91WHMG9IPvLheHHvy8QxjqqdirgfseUA3MzxqhdEdIUSjZNONzrhyxg/diepXkyMOJ 6Str+GQ21ML27jVVt9LFw9D11daETpURF30YKUgIuOYks18ix16lF8TaGAqGzRnBPlmbv8RL3oe4 PsXiDA4n+HkqvwzsyYihSnOvyTI98/m0XEgXsjpy3u9XHpBio4nhZkYkpmqfh2Bon6OaPlJlfuGx sPKuFpqOifJ17a5Kfte7ho6m6CQarx+6Xfi2CDVoia55H0Qji6Zs1uqKWk+S/xe90CIG/KN8F25G /9Lz8wkdufxVuaA/h0qCZiEl3jMQ/9EpvBhMnQlosHDYDqiP+MMN+1dkz1bO+vRoZfwey/BCej54 IPs7NEti7Xba+QhuNOgSJqYymjnjfLn4a7/TTG6sB1yVS8lbC5gX4jCYz9F41qPxF06Q1AF+6SW2 25a3weZVmosiFMkhH6NQEWZyNgwuNy0M4lDd0rVYZeDHfRMZH/XtlFe/G7hTo5YYcKOTBQ/OSPyr /0GjzndeeQTvVkNRVcPdekIvmc0U9bpevFgRFozeRsDDu9G1PEhMeFa2N4afm+tO2DFYCpU+SAru Mh3x/oRHqtFa5gkogu4fUINeCqcC8AFzDm3gAvy/4yp8r2di7M3kq/AuD7h/hpuVDUvw1EgwwA5l JECzsXpzS6no5XiTcYZ5trV+P/eIOkjVo9TE3A0a+HN7a6usBmNNFp3x+a53OVJqPli/puahaniM DH4AhCF8VNr8+zzDxuBWg27xD7g44xL2CZZR96RdCWQRcUkINpScTxNFTR6Yr5LKYn3A/JOCUhV0 zz9anZu5DqRbesnwZ990ExqkMAEcIVuSVVmBmLXbOwEwWiFTmJXclXZZgHP2l3qIZJj7PJyftDAM UQVDiA5QCME31xuwRCRbxWGN1Z5RpJm6py4Jo3PXefTm1MK+DqdZ3w1P6ecs4//senOaUoRbcQd7 UItIiO026tPWgxW6gNPS0BtrFEC778mrJgAfntIRZZswE/6Fs7+w8IsdUyY12poa2k3IKyzyt6+z rXwql8HgVDtKJFclSiML+2wCbTsNL+RLpj9u66dDRCaMfQQwUs6o55OmOmevdkLrp2G0vubLxQSn wJinWBet3q/M7LsmyqGTJ2KhwrgyC6GOnhqRu87XtHRdDGL7VuHEY4VBxZcZm8dtfi4FvfrKK9Km avZYtgA3KZQmHFrnc9Gf7vFIwXKmLB7AYaTu27fNeNGWMO1jFBDJa7LDlJxAj6lKH5ZSaw6mLhCe dMkv0GGkfi5k6JunrVyt4JJ7nWr1Eoa75bXDeEZwGcDciP5/eDzEQ12Zxs9W5N9frDiFkMVuFzK3 2VyphbCv1TxFBKkFWE9UcwWE3hbWBTwyZjPvYI5OUKn8bwOBuufHKZyMaNVU9yBDoerIsjIOsCet 5rK0xaUHxkY1ROtC7I48QcOswquQjkfn6aZbKSR78n8peAUgAdwEKiuyX4u7x2C3Hl3lZZXqHy7G JJWgVmIltXF+GU5Z3i4nENhx+yTRkS45LeDAzcoq8ultGhDwQzhvFcEba1x9R7nBwJ1pGKb53UNq Jbt+zMJRW4qznMaO+G3X04esAyYGU1UyLvZh1sk2lK79uStxrqwntUHQW9TUFQWqzqZTJfDH5x5e 7H10pFaPIT/g/cMOSgaAm6sEfMjyr+58y5Itv0ZjBplsutKRhgmb/eVsz3EXmEjpSzeOlX+nWg+b S8uaD+e0UIjfoRDi8oWOCQtS7APs/vJ/V8DPKVXVpuHF0olvhJwqoO3smWMOza0DY4hWNMzLiNjF V9c55x1YYYOfLsJD+OcT+UQ/ZTC8TwtW9QgqC7Qne7mEtgC0XLA2AiAp0SVc9MTuRQd4Tu+5CGtH ykX3NoasH5W3jy8pGAvQhKgd91Xw2p4OCZrEwhwq2oahwzQXjYt7Q7DuFJnqf5ubuMcoXqtomT9S 9ptZ3LynRlx0YBeS01oCyrY866xbEbAgu1ZhBwXXnXNLEDWa/WpnGMEmUcERK49XV1rV/UTNwXp5 mVc3pHPqutabdsJObKuy6cSRdSE4pE33+ptyMoYJx/KqqwYzUHtsbksXOBEdHlqPdnJc/2PMMgtx 9LOdGzSGG3/8bRJ+UhP+BbTnQmw9zMr4uh9CCqf1Bpm+o2UCJa+WoBlnZI4OTieEZaQoP/7wd1jA Igrq9nGzmWz6RL3O7L1NtAg9H1qj//Cfm7HMYXLkqJyiO3dW977Q2Y/7sPYfQIMgexCOepZSIG/F a+tQ0kI48M7bkFRtKPEAhD5Qd383638uoKd23L26mWP+45WudeFWDK8HlFYY0jZkXdcMyqFro6HL 78SEIOkRmrI3Wm8nk0ovoP3n3rkeBgQe7Qj/ThKuKmIJ4UxISlEVZMriGyfCsX47+9AF/+jwkOjO lsvns3UFtorOUoZjC81Ho6wWqBdT1osLKQ/zyaEuxo8hM7MjkzCTVVdcwrwTzYnN1k5oWCQx3hAh RgNUkdoC/B3+jhKOPkSuZ1tKs4Li1HF1dnSxQd6hohv/8pin4FdgCwJA4j6oSpjulERoj2J5cYIF PGfAZ7SMfUlUCg== `protect end_protected
gpl-2.0
d7fb7905d58280c955eb1f8baf996ed8
0.947752
1.818914
false
false
false
false
notti/dis_se
testbench/tb_simple_alu.vhd
1
2,391
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; library std; use std.textio.all; library work; use work.all; use work.procedures.all; entity tb_simple_alu is end tb_simple_alu; architecture behav of tb_simple_alu is signal clk : std_logic := '0'; signal a : t_data := (others => '0'); signal b : t_data := (others => '0'); signal op : std_logic_vector(2 downto 0) := (others => '0'); signal c : t_data := (others => '0'); type op_type is (op_noop, op_add, op_sub, op_sar, op_slr, op_and, op_or, op_xor); type op_arr is array(natural range <>) of op_type; signal current_op : op_type; signal op_lut : op_arr(7 downto 0) := ( 0 => op_noop, 1 => op_add, 2 => op_sub, 3 => op_sar, 4 => op_slr, 5 => op_and, 6 => op_or, 7 => op_xor); begin clock: process begin clk <= '0', '1' after 10 ns; wait for 20 ns; end process clock; current_op <= op_lut(to_integer(unsigned(op))); process variable l : line; begin wait for 10 ns; wait for 20 ns; a <= X"00"; b <= X"00"; for i in 0 to 7 loop op <= std_logic_vector(to_unsigned(i, op'length)); wait for 20 ns; end loop; wait for 20 ns; a <= X"AA"; b <= X"55"; for i in 0 to 7 loop op <= std_logic_vector(to_unsigned(i, op'length)); wait for 20 ns; end loop; wait for 20 ns; a <= X"55"; b <= X"AA"; for i in 0 to 7 loop op <= std_logic_vector(to_unsigned(i, op'length)); wait for 20 ns; end loop; wait for 20 ns; a <= X"FF"; b <= X"FF"; for i in 0 to 7 loop op <= std_logic_vector(to_unsigned(i, op'length)); wait for 20 ns; end loop; wait for 20 ns; a <= X"01"; b <= X"01"; for i in 0 to 7 loop op <= std_logic_vector(to_unsigned(i, op'length)); wait for 20 ns; end loop; wait for 20 ns; assert false report "stop" severity failure; end process; simple_alu_1: entity work.simple_alu port map( clk => clk, a => a, b => b, op => op, c => c ); end behav;
bsd-2-clause
c5ff30e75ec39ae410b94f9cb9cbc3a8
0.483061
3.339385
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/r2_pe.vhd
2
22,709
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block laxJRyS5HJ4VjLZ6SLEhy6y9rQ97V9ETzBs2CD5dycdXfou6SvFxjArGYzf2pKG8QLwYO/GTNPcN 59ceFsge2w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block MYhcnm6l+ijlIA57hrd5WmP9CgROtPL+YH2qO26oI5HJuu5S0+Y0XD1CE2G7pcp6MPAXHXWjU++d ckWIg6QCgeqo8Od3EGyOdoiO3jUyQYycuSvkyk89ugG9zKpf4Cz6m2TodCYFkPhJLkeDKm2W8Z4a 9hihQe5dhXSrzJMRRWE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block vYuRQZCN/s5fjhYe9cBmFqfzc49DQUR+Te2EI/HfYRPptfOaAwtT/WcPVk1UJSJn67kTQMJhB5Jr jJMsADd4UhLRK1PyefmIT7QwW9iXTLAiD41n71U5MqHOd8Y/kexzlnK5QHe9HHY1WcWijPKvfHIk NC1cBDsfdCHnM3gJNed1pKbgGULZ4s/8TDrwdS8DcdjcV9KrQqwOPyqb8WBroG9hb5IZQwjlHmW3 sGaSPVowNj3ZOHbhKjY5vmTvSnA4EI9/CvX6pe+lGuT+IkxYdxQFtMSoK4PKJAKuIfXgPSBF1nuH EbXxlSzkS1Hon+Gc+xV25zwNhDqWk6x2nzf76g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Wj0sJOL/jMfIMAmSR09ZI5R3Bq237dMI1g0IrYupz8bWHPmSQOX/OjEv+QdA96AeTtH+1FI1kE5z 40AVammBYc7JEO2n7RFCd/knT3/VOoo08bAb1mcmpl9Ow7FntGvrrJnOXhVUf/P+2yDNPy2VWH9m Gr5+hOa1FJ9Ste+RGR4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mwrgqgpOMzzfdw9X05v9POtDXZmE219tS/ZkK+Dx62aBwxAC51Njfyr/RWAkUsNigl+yjtuP2LVF vCgXwXupcuwS4z8EOSzv47XmaF+I62OLaWaiUDw/5266HGvDcQs1VAdxWkml/2lc4Vr6j6UZVGD4 Yl0vIgImA2+dkDxh8dM3a01BUf/TXyjhX7U6+lZIbALY0h9N1rhYzjm0NB2MiBES2LxT1W8p3q0f Y5Npjv1d17w06SRq6q2+LMIfczXz3GAlplRW/L0vZIaodgEbwoIfjmvrR1PEHpG+f4E++XfC34jI ph7+Iw18vX+UK1b4nMV0qUrCvMzAPGtccJ5EuA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15072) `protect data_block sfWcr4Jo4G7b5yd1sb0woknEGskdoD2IE7GyxxKBl7PnYLzmL2eVCOroWQfhdnxtf18e4trlDyax 0YAc8a+lMOxMP61YfUbn1+JHQxDiNlB7x5fP6IQE9BRUt1zhviFVsOY2jTgmKOq+txh7JbaLqI4/ LWCufZTfkCjqW2SqbXl66ns1Gzi2BxX+4YQtryU5LCeJrQdvqxFiutbZhTDQ7ci/9RGj3umkreBX F/2sex9YAi728skXg7r+2iuFfFgFsrajHR9Q8DNVPH8rW3ner0Du6OwWrbgypDl4fcWy3FW0SYvI bfi2AsVpbMKH6CR9fC09EAYkI6bSp5JNPN/4yLBNpXCMLrMXIS9BePgPtfO7OJmSz84AlpQ6Y744 KA2idKq1tNikyDhX9GwDY6dEQPkbVkbr1V7Wj3D0qRAbt+T8lSjA6zAwVrtqLCsw6WpsIY6l0vee rbZhjI5WcrR/0/cUykPY5ECaR5Hjz5AJOPZkzEsOqaXSge5uqKyzXP7kSr2oaGjEX7nLKWp607HL SPoQqZfn5LIdrIRUAh/YInD7CC2DqlqftXKryHej30Ra9Ep3H/jgLv5KGNFoDzUkowtF4UXdU1oj miZ1QAleHBekKiWDOABKvYPKsbTcCQrbs5WJVrUKsuZbq0GtkZnzmAoUV+duOSVvNFHgyndU+cXt 6K7hfNFdNOyivAlzrqNnVnat6EZuLt5qDnid87hxeLoizfN4/N2v7crhxMisYbSHccIn/LXynLs6 D8eGnIy6OO0CQa41Ocj11+o9y/n8PWpdrwStP+n1P56KQMQlVajivnQgMUvY4xYF7zgKt8iGgR7J Q56kJ8xLhpJBaNlRKmJDAWd5LtDhr/kDBgBQSnKmo5e6Taw6Gkda6LMOfYPMPgK1ykxP9ima8mh3 MYqeVeWBG3P/MHnlUIp/7D50dfhEqIuikgqS84kfwL/zTgvxmF5E8IREIgn2XIo0VyrvOY2qpzTx eMm5/tdL1olEwhm7hXwyWn5tzfCksEPEpUfPjBaatM4dLIMGcXAwlQJDtP8FIpH94YB3VJTAqwZf pndwhznkMhjaz7K2vgwBnBeweZkz/qmQ3q8zfcW23RgWGsETHVFP/Q29R1VdOQe19TeibeHHX5pj cazSeExEwRzJ0m4AioBfDD4J5aBhdVK41Lbg1m0nagtR8pnyaGkYLxpSvVbvJ9HgV0p1Z9Z2l2PZ 6W8CFs6SS7Zr2eD3SWqVZYobxpeIHOIdqrParqqIILUoKmXLt7WFohabuhZHHefeoul+8L6FlSqQ eyTttiTvwgz2W+nGXjQ3I+JA0qTlNLxGpu0veSRXaPTD3e+xBsXdzSXAcBzyGUyG76m9XUFBDMSV V4Zil9hs/SYAcvKnvJQQDXsWb8YL3s4BY/insDPGzz2vG+dZmLCUe71F8VqQWtT5N8k3v1nOMnEH BrOYwdlyiBtrTk7GQs696L3Q6WLMQQ8gyab//idL4KOTTkbOal81gWSq9fe9XaAFapuZEnOssSSe 5bVkwPOgR44m7hYgqtrB9I7nFbSb6bzPg3C3XaNTkKFhji8UGqv5Yupborl0UGgSMe2iXhDssuwg n07X7eogNRV1zqBHokm64slW1sDn6RXmwzj4Z9FWMh/sqgjfelwiTZ2dsqI9k3WW77kh7kWS4cgZ TNskAIUikF6MV0lpntybTWDTM0adxaOR4dHetPa3wF3ZNwF2p7fjjYDObi/Rs9l9FuZqLIgq76Ni DB5e9GsP3+556Hc0oN5Ya3oRAauvYTdh4IbyBFdOIFz7eMaMPbKfa5clWNEPpmhFcX2dFh8tOz0l xZI7fbZLNZ9Tvj5BGPngQScKnpNUr62VVUoLr5zVMBjZk+ol2rczctIIV5j/aYysCJPFimCdll6p lVNgVkTjtyD2YvKLAd9z+FLzu4VxdfVj0TYm8Wb7Sw8ro83gIHeteSELf11By2mSC0lPyYv63GhD YYR1veHGi1thNq+DM6GfXoQO2PGwK3G7HtQHEMFtYWvw8XdKxux2c7E2dXsmnCy8SD1hmsZ5Ow+P QvZwVPSRP2tTAhoABFABWl9m6rkYJnOF9AQbu2hNSVwL22zpLaks+eR8P30xcs0/ssQRRTxIeHu5 /WeiPpOXuRYh2FPa2ik3/53R4l6/D9/7RrXXtVb/WNnrZuz2FASeUul8zy78Xx5REpkTqA4Mtjil EiXcNcO3MKwVyfW0wsbI7UBv7tAJ2MyMV+VnEP4228avDmIp5/l9kOytFvTeJdBXG1O2vylvKcvv NyBgx89iP9QpcG4BoAHe1LuayMWVq/RMXzaIk9IU1bF8CZhK7T56zqzAbDpm9sQfQPugXgnqiN6l UgHx/CsXMIZjGX+CoTA7PYzrhgDzh5oHh9AwE8ZowmTdhj+ZURA5eo+BpHeKgB/7qQKbDz6Fm3fo zMDBl6e9ft50mnFiC+kILC47KcWr+VjX1OscJabKOzc9itC1WVvhvBcUh0Z7RTGVOukdTaffmwKl WXzyioGyYiCKp2oAj+fMYN33SM2k93nxCdyhpaZPMaB5tyPXdaEyLfhlMSpQBelN82oBMOnKxtI/ 2f4ImhQMPYpllAaGXHGXtN6tIGEF+YsQqJ+KhM9d+M/jffJurFR5nZbl/v7E79oXNJrCehwyYvGS EQcQkKckaVQwUeTy7xGyTBxdRAdS5q22R1RqDTU/jUVw0I4QaesQ6G4Ddwxs0u236RCIGEIiIEWl saNUt9igLX8S7zp3Qxm01ZvQbuAW4oKQwUu8cBJJhuBLgqPC4E78trz7hJWp0t6MLYefnD5RWuFh /deVmrt4ajcKMzTrBkvfO8jQcRUbF619lLhJts5YEn4j05kgUzHakQ6RxxgvNSe7SSLqmSN/RRLj Q2jo6BzKrg3YNkWZIDmYpHypnAdSTM370iUM1az4i0E0xGbbcmHOSDchUbBIZdp/SfamNV9xiTCW nmG81v/hROtiqacF1YWhU2PTfJv0RlwyCS2puZ6DJAanj16DKbbyv/HfoG8W32qFoEcbCPxpse6m SIehFhDiD8s1J4a189mmJTIwhYb4Sa0qOwH1BUWpTB814FZ05Ayh1wkfVMOzC9yCo3/equ0enRdp qSeBnV0ys0/aHii8VhN9qf5GGBSdPn7iw8diugoeFZBYFZeN458B3DUkUaXv6D/f7z4J06WVWV25 PpiF/85tJSf+HUzupemSSDJ4GRIIjJwISn5tyQWmv8WWfoxBzbqvKgkuadGnptSP3790H5+53YA4 TxhPkdxcdQeBY+TS6GpPmRHRYKpt39o91wHMfdf2mg4aWoJ2Wz7VySLsLrPuzeFn9M/pTK3uAlUH vLOj7TSGJ9hzzPjhmg8Z304dia9tm7rJOymDK5YKk6ROfN+2+kZSagXgINEcj2Ix5CKHXhC45PtC q9TSlXuTzTZIH0S2zpqnIZL9vsNMQDmnxYWue1mFLF0/xIBaHWAj0vYXQQ/DMg7sHP9vEq65LOGE SWoL+fma3MISIXOe4x6cWZ6N8fRyQ43eR0VUqIvtcHp69VHuhcVDujZLNixH6DLwmaFAbhjvWnpJ w8vqeMfAGrme/pDLe18w1HrzWDV4QDwu9dcYXYUDAYPzFv9/ngU0VNtyrSgMzXiIDUf1tIt7H/py Z9HaboZy1vILevHiBXCw5I2+wirOU99WlcfvMVRk+u5NToTTMoJ4haAxCZ14nC7VUZEeUKMACuNI hZCTwbIlVEAnr826Q4DKAwX1xrYnntElCR4wEq89MbINU7fXj+lpGGleuTbKCDh/YoOF4juH6lHN ATK8UfvJuCEVdQD6RdRiEczYV4u0QUEM8Oa8pGfiYk+IQSKi9uyDTZena5KCHy/YudnCifLQEHQR 4bjy8qrz10QvXH50QdFlHKKodT1mOu4OYE4AyftoZXgZx4635F3N8MUleqjz+fq0yUbb/kMcelo1 Z071vElIXuImPlZyaq2cljBgCayFAhzdpDIerrC4uirkzWv3U+ZErw4apokGQUWH4DrB6hKuDTfR iklHn04liA4U0A1SdntEPrJZQh0T04gMFb4+ZEqlTFtEyYSXY9j9QQGvhFL2Avpa6FT7JaZ+QTlG BrXTUedkAMreTsJA1SPUqbYreV6D/zVrNPlRlv4ILdrtMbXwKDm5KSKnzuAun9F/jqfVz2cYR3VS ttLDrsQsuNZ/eZ/sedZCUun+540uKdSJwwLobfORu4/d3+oztmonQevo3pVHCw7mekAoqKmsOJm4 mz/ZiEIr3KlevXmHtQmGXxawvLRASooPoj95POIAX7I8D7QqHcbsWsSPSeb7K5Qz5iqZvCXN1pL1 EPh5CcaGnso6Dw9Lyp42go6/hGl+jY0z+bGJGVNMzZSrCJ8lETQFySg0aEA8pChQ8QYCE5C9uhet tKq526guRM/9C+VVEGH4CG1nSAK8t9k1W/1BYw2N2dtthW7UkCesUaZ4kUQxNLHhbr9V1cjyplt1 6hHAnKrSqZEhdDju59+sj5703/evB55hhT28kyOPaYEvlVFkvRBG7busmYrsIwSCCG4MjMyVhSf1 G+seBZNBF5RJ0w/69q5sEaQsjUq+HZYn+HoCcDR8lDj8X8gmrue7Kh31kcXybn9E5MeJs74LPYCn ZLYT28hTFy9IbGWqmnatJR5ck5HoSRUUzTvqsXwYSYBu6em0uTISaFngyVu7r1DErVs2FMBVcW1W aeLWFiM+KKreXjh7o/S4i8ZkhtkLvY/P+UWkOST0GjQoM/cuOM7jglbPO5hbKCWUPBJtjB4ey/rh Dx5xlih2V6PDnNBeIZxYTv7oQaKBa8CHifHWpGMqkfnBSt8Y0BJVbyoxZu/fSRTVKOor1fsmhNu8 yzUYB7AuFYh5+EpmtDxWL36VdUUv2SVzl+rsz1O2j1GuQ+6N1b90t3yqOgWdlVts1EvKHcg3TU9W HLxgoky7w66DCGAc7rC7Tz5TV5h58ihHfBcS2XY9llzgQDKodBsc9ntBhguP7Qg6AlclsauIMph5 S/9Ww3C8L30yNP/02ImOaNOSGyeI2QvIV5DlAUm96fR6Y9Ff0NmnQUplg8VJtOCKhVw8Jo0XslSI B0STEzIocYl5MtLcLrLvt2FQ29LgDZHujZj0KGErCQn2zC+Fglbph/hbbdbzSoIPf3qYsyb9LbEL zj2t7yOBEhBDYrstEhViPUpTKSTDastio1BVMT6HThwCHZHpFeYJKwUi4vhZCgdUCXh9Y9rrBhSo vRLrWwP1++Xcsl0aVvvYZDLAficsK+oArHcvf07MI/16j47s9ar27GrJPq8O9T0n8n/aO6q3Wlza kU2hfZ6Fohov7phmFRl8Pl14470iTTC6CvQnPGKe7E3ODjCj8C0hhpUzu5FsaaBn6XB+Asv7RitZ Y1273AaiYkrgBBRdeGNaguDZzzGubRh013uwFKLvDMkUwIXfYNgUmFU1tiMK7eUT949eoML1va4b gIDzhcDt2Fc2DYzJzteHr2kxibhfgF/YwJ2s6U6tA5OoFg1qFUzNSehhlnnpmbw3MvK5rC0HsbLO 3kiDeBZp6Qkuc688ZCWP8xJQce8I/JXChbadh9wqr4kIPaFyeIXKaEpuvJMWLQTstJ0E4l6jgVgA CCCV56z4ybEJrmM169XM2gEkbV+zXtW1Ipf7QsLKNFIy6T5k061TWOxUOamwL0Gy+Pk07OIl5Uf0 FZMv1JQtrKYkJQ8RFFDO7gnbEVNwJjnDcWzkSAD4lJQ9IStaDljS16EkXDqHrvHTLuJWWlo6p16g aSE2a+jw493eKiQJJ2PJXL4bke+utnbACqXgPWaWFamno3rHQEG7iqG5UYrmYCvaAqAKzFht9uFw 46E1k2zuccKYXU6o3yqwAYmET3Mlm+nWe/+SKL22XPOttaCeUtOPoulI9svKiDk+JQg7y1quMhVj 81VoLFE7GcDSmRgqS0DBxcvFr6rE+ia9YdrASkjKRLSUdrO+ztdYsRAACBN/lbmvXs0Wm8MJ9e7y GSE0WgpqXJ9X9YJMWzlutuoHmvEUI90DoKe3PG0eiENYAMy06CoU0bKeKGoeo9AP9BEBa89vhk45 MhyDNZ4IwUbRFHO0dtf+mtNDTi16HAbZnU3IOJC5/iq5rCZRl49uteeUr8hd1J4jlwJ1EisShcgx 5XCzEj6Q74f6dFbPpE/AcJUqyeBYBNc7LRHiJu7w6Eti69H/o6zD4nDFfVyv2U3dGmwdWi0Npl2G 87ICmo8FJPpp8oJ8/ljqpSufsMz1WLNxCZGnKrnpxYVtn8k2Xtgy5X/bdbtkBZoDOGfRYhTl0TXC Trlj+atGU6YgI8Ql3eUH5UEbuzR5WPHZCDRO/Y3uVtXQsnYHLdoNzQ1k0r9C4qMGoSsrutyR3E4R RwWEHV8jmuYzBneCMewQF6NaS+UvRLEGEs2uL+Rr3aJMiO7wcCTe+jD4ULLXXVsH1j4Gv9YBPpJj V1/irv2cEUMdqapXe1GOQ25J4YXgK94xxyIj2NuselIC7r4D2+RF6VSBb3s9wsbY4toamvRmHJYf 3v42Xf8ZOccxTMpxJTqw0ivqHmXes0wrxMQqgHY8odsJdoFI6TrB22CU0+saMKQJVunLAUegHZVg aFJTN7Rkxk7+f6W2P6AJq65KUEnc2WaTGSRXUflhY1745yQPRQgDk0u1EROKDDNN74jpxbNRJ0st 6zl0nzppyKFCgwaKCqhHXMwJjTwu416KYxIGcqsEj1XvoLK571opJBKz8vQbXc4+t85skRRFlHBU oDRqW1IVyxPbXEvMrz0Vqoqx11elyNLQMlLR0Y8cmxgKFtpKgtwquDDF23tPtQmivd74NZ/7qSOW sF6XwvyKewwpaoHN5iLuoWYBOpjR/Qw5wDAXxFJaKpuianR4lGAlsB0zB6U8MyUcYU0NWFvdXg7n sCUNKJ3Dt6xzd3yuI/gn7USbJwGJaY3hZYIb0CcMzOUT6L9F04Z/30V4tcNgZQ1MjLonQS+c9X5M ekp49X0yn9W3A3jvqimtNrzi1BMGHFz/A0OzGyv4Agzw6STxi8FEo7+mRreJ5Vm3/Y3LSIsXIzbV EFMeM2qDl683gH0o/Gu/y7FrCa1DKOE6fZn68GcmLOBpHll6iHldn/35sIU58L7on4FCc6DD+BoX EBhvCkxko+k4atDI/EEIE6SVoywl+bDHyF7BzCui2HzgF48DjWCPwUvpAk3CMPLoDlIPX5ANKYqR pt3gBk89p4NxWCFWQvJfDE/2+pjfk80CvXJ6SOQyOpmCjGZ4cOhP8KZi634ciXIn/MegbyQvQRVi 6Z/mqqP5j37Ymm7sGJJZ7WSvHAru/GoV8VfDb190FxVT17SdkiGjDYs0nFcX1JxzDXDtoxY/Hxzk LXnR5v18+XQO3OX0yOCdniSSr2yoPqfIqr8apNl7mNE3nFMP1VKc+s/iRIIQNiIsxYBlh/MegnYH Pv/vpswrukAPiFKILyHHS0kHaGKtHvVpzrIfktoVVw5E+l5/AR0cK59eYIB+YV1e1buP144rPME5 nVK7x4rksbuV1zBAn8wgETCPjJuH3T+f9nmCftE0Y13w9neNs+oBHzJ7GWd/ayqVUjCNl96cira1 U3kVC8VzYAdneSHuAr5oQPeC1r+jbEzqZxzs4bdub86hz5sg8ihs3gHUnjQ1x0UpwKgGGonXO3O1 gjRtFg5z/ecOu8k2UTsjxRnJ65qZyf1im9IrrwEbuaaf9D1Y8QJ+ojGBNft/mmu8pkQqNm8TXzjm h1iB1NRWlc7ccP1m4Baxa6KizK160WtF0VbGICSsWAsreP7l9H+CrDYZ1g2rqmdyV1j8zdpeZI8c WT/5W/IpM5bA/z+mHixGAN1Mz+ymMFJNJ/Sc9CuhRq0b81eKzhGFn6dDMoimElytjuHtiA5vbehY puTGFrEtQwDuVAUtQl1AyGFBjiSM74BV49H/2i5NaMIq7d82seWtInivTB7f36HZu2iFa6WUzJgI FMlDzQwQqu2TYsajE2vUCbklMd324kohMGbcwn9fT4krT2WdZrk4rrOuMxuWW8/4YM60sns8HdrK l6KZkpEwShkwq3ftpGTP9zImsDDfrpSjSRr76l6k9nfyIV/sq9muB7vdUbxmNtpSygExaOWUV8B7 Nw5YZ9mETNpoKoLCVyXhjiFaPi+TjiJbYeh0OGdBgp+S2G+x5X3DoptE4mmi4s1KnNjqg6GDDtxV TJqzfNBfrJd1S0xH3rz1T1H0idXGmAZyl0NaxjGA59+8FbCbR5nHM9RvQ81z7iDsY/DgwzoBf5OT OtUnwd9F2uyG0PtbROsnIN00qlVnbvBRY1Y/8cYCkeHMWGKJ+Pxt97FXhHHb9944xtJzNJD2wWVG iBdIi77rBk+ir0nB8fxTrUkYq0T8AfrRfzClwhzHPYa3PTtU86gMY/hKzImWbZK1vwyHnxXzsNJ7 zaEbc5bew3Lt3HW0QtnGbXImluCYLOquxtupQRomxe2Wm35X32YtkKWtBscNE2IomYU5X6brBUCJ UVJgHBN7cL9HQAKcX9KzBzpsWYrPOH694LKqV2mjIpdws2RoEU6InW8fl7lYnDztPhyiNDv9P0Nj IAwgmiLiGGEhdGDMd72o/O7J0u208bHSHkJc0BELG8qm3/cg/titL/YTh8aZ8G5F+LeSZcv0Z/7Z YLUSQLzEdU1aCu7Fg6f/p5Lj9LM06WGUikJxjlbpNN3ZK2mr4rPWXRa04YL/g2iBY6se0BiwTPyZ wEinv+/f35BCgHzGA9aRv1FD9A20nqrtvr76hHPqfMmFrOlQonwiA4u/56y476BSAOuMFK6bTWgb luOne0ETG1ywmkk+fTcWQmE74Yk5Bt+HeuIHY2h5HcE86Yak6BVS+/uSIJfYbQdu/u0xvOVmxghm 6CIrdnhzikewWK7FSPD4UGRyQONU33FprEj3A2+OkNxt7nPXMU1djoW9x1/8YNDl5ujnzQRBt97W uPhrAvSewOFLJ9ApmcpWruDg2zvbPEjhB0DUsx8bb5/Dr1xWCJ/Dr+GUTqR8a3oJSbQzq9nC7aet ErT/rD7R5S3KgpAXaCsrJgp4bla2Ixl9mWn0/Efltfd7L1PR6NT36DO9tWS29cpPVfzH+DR6Xb98 Q2AsCrFfqRHOxb+0rKKTPvI4U2RJVMH4lCyvcaje7rX9ZiE8cLJ5rFDYk5yPrvjWhNduu9BlAzpW 23pguDTNpK8b0/GaNPQRDQLaC6ARr7cVGtFTtlWzRF8Yr4U05Efib/oiNA7V3v5T6YAXBMJ815rv 5yghZnYWKqtqCjgxb2wWr/bn/zp0JMjNxkqry3l9zGenNJ80n5TE8mCyHC1gpcyr2yFLtq65QvHn EijS/7y5St/mswgX1iwcLLrIoZyCisVqqS0OaFC64BrJU23e4NegEgxqc0tEdJOIoGBBCks8qgul ByEjlQQX6ArrPdbs03ufmI1Jd584HWuhzNhomgWDSteNdZn0AQd/WcySKZP+AEH+DMxXiRUEH0Lc nFqYjN7Mydsmj9YcOhcnAO0oHZRjWIhEgmtf3X9doG68v2g9RZXOYwFR/Mw6DUFJzh+O85DiKCrX hWjCtL5HNuCmVkkmYhagOHyw7UWKt6knVjDhpG6GDkG3DGgHZgIU1cXB701+iHwB/BjP2Q1gToyn fwd0Aqtif9SY07FwaiC8ojmFzxAnKBR8+Mw6AdbQxvZxRS/xirqIqQgUDCfTOh5MGnL/XybzSUsN euH7jXl5Y7do9xlSYrViXN2t9Mb6xugxtkeEK8HNavvj9oQrXmeyxMQXKX8bXE9swsBcBjg3Wi+H JT4Qm+R7hILu0YoVHMpDd/bjZSZR5Xnl+CYiK8o5mYWzLfu+F9QkmJmmg0rQKL3Y23HPkUExerQG pDLe/tyqjgZFcTz0XfFbqg33RjeiW/IJjE6QDav0cR3dmtGB3Fm+LOX1BGzRvgF+Qs76ZlMowWGt S+pSt+C8vfOAzcOVKkPoBwrB77GWSRa+TXM4GCgxKkkWZYE+emXyhlyzt7D/xIfUb5/5okwc++YY G2ekmzy7oaQNxyonWFgRmEhxrSIv/6d8wSvLlipieA19uxBQQFxKtGxzr12GDvxbdnxzr738yZxM yk5XsxH4YcgeTR/iIQnSl7mzaHDZqvncd2pQPuyrKs/TuSC07NSgYfAGn8F+EfMifN4kFrkO9hdU CkWmk+4pGSMOuMU1/ItkKsm7n3VJb1hD2TvEcwEuaZk/PYX6IbQhEZbEHVcEJqkAx5pNEltQrjSB p1SizI2a9GTcYny0egyD8ifcZJl1NpggC0Oes6AhDqM0n2YCOqw9ZVtMP8A329Yy77prXEmSZAQ3 ib5qmoTRoB88TJBT67ejhaSi9/JOCfNtbbY9oaOPlqBPJbExm9FznYvclhNbgKm7gg74PBzplrAV xuYGep4+apeW/1zOM6Scx8JG97pzV2J5yKtCLY3gy5NOGykksisy6A66zdELdLDo/mKeoolzcSQm TP68EBssuiqdZJM58afZHAi9Ab0E8InJbQVqIJge14x1j/YfbZ0aBZHI4lq+OQUZ8UA5Qy7lngZS KdHGpYLD1vUJqPIFTEwzPSpiJyLF1NJvcQVg4ThKKkwOCCgqqmQQwJWSa+FN83Z68UoqxGdYj9sh +8SObkLPFrfpqR8iwMkSiCCwSnO77DkhAX2sLC7dkG8GebUl63lwnbDUpYR5dB2R3MUg6FFquapy 4UBmfkVEQT4KkE5VL71+LRwljxp5a8PRncJRTnB+SmntbEqGx6oB7OMp5bWtNE29fZsAS0fxpvwe WnD11dWaSuk8U/j1HO0cZGlGhMErlRI/yfAjDqqwrRwjBdVhyk+Ycq8EvQV5aOe/LbLOL6udAnHX Uf74zydM7Sqas3ld3dTaAbXJcuCZfunqX4AHSowYVm/Pbd6CijYgWW54t4FkWNsc5G+HnZFTSrxv 67Vsalouak2eC1549gvqXd3fh0y+Yq6nlT2DJC7MxLiP7L7ZIcASkoIYZHzpXpdUChl9xdDoA1Zy aTXz/Z3jnwyA2YJRLppvDllXmXGKGsl8YPV0M0pzmUMTUECiclx+ideJYBPBck65Wv5RrI1pkHGz roPaQ74LsJKo3wZUVkP3Z+urvdQHcMyb9vxVhV48g0/u3SlPLNnUVORFvkCf07i9TIOWDUn1QFDE Ghpp9Zgqrz3DHGs+9Hvd+8Iyn5Sdypwhqz9rMBtffjZXu2Is3bjOQeQ19n5DD4MuL6jUkUm21CuO FDFpZ9VQTmV4Y9d09dPzkzRynxEr+bR+YuwqQ/R9glB+d7EvwJNic6EMXdaJwpcKBF9bZ8UsCBVd bTeGEQqgAkVYlKV3nuQcjOR3PELXOeOZnpBHmFZETZogiZ+9l2KXfAWzD8+zBCO1P/hyfWrLW3YD dYGJOE/Sj2gZMJmH9pyyBVy37qbe9LuW/kshnIzsiudPSb9+wpgJlVPmh3gGQWrCY4ez9eRKIV+G IVX14sFV4t7/ov+uzP13zbwXk8+2LnA0MCZxbg1LO27IGX2KtqeetyBv9+iS3sYYz1hZikos1IxP wBuuXBvfMk8HZczddpLc3ODQj4rW8Si/572OgxjmcDRvDoCWqq5YO4i5qUG7WvuAkBDuub13Q0q7 8TRTRQ2pd5AoWSXAKSC+O+t2m0qpf1Rvw57QJ+Ztlp34tnIbeDnjngbhrwcFAc1JJU6oYVD+YpFf luilFod0kKk7x8aSNViEtkcD3fdf8N1sveNtXQPAykJ0AW5PTnmiL+1GEJfX1krCVHQBkgD/11H1 rVjubn51f+qZXfKcMpmBBBqq0yNf0acKhtsT56RjkuZ5h1iv969hsl07OCegPn2zCCyi6r06SqOX JrjeqxhdpE6ibUZ6It2laHR9ElUol7XSQyei86g+gOVK4aRXerUqN/MYKK9Om8sXaZke8IkYI0/V pvBc8r6rDk5bWTJraC32pn8yzH5mO56oAHC6rQntAttdgqK9NsLqJriQo1vMDSD1kcFIv5kNJZFf WcuV9cf8fVYk+0veS7mgf47JDpMrl/rn4i8nA/kPM26JHcC5W0dsmcG9x2JPSaVzXrYWZZvkZVrq 0beVSm+jQY8RXU5f/CvePlNCuByA2zV529jGDUkeqQzKV1MR6OytoMFUTWJP/9t2WLoPDgAoRgKQ 9WE3wbUkiG3SNj8JILiAse6wF3hZ3fgsqHCyGQyh25M57vAAAa50FP62TtMCX+tQVk/tfrvTur0q cjRsEZLXzY5I6vOF+/mP9Tea/GyHQI8mBllCu7pUzKDiQAcjjjPfqWwOIG+5Ouqyc6y5O+JQfVEA Vr6jR9DU8gttY5mDCkHtZCb6KMn/my/uw1ab29BX6Yypyy7j+G3gSOBUJjPpZcZ0KVGh9yAhv67R Bhx2bHa6iIbQT6G1ZUUvQIIkXE+x3bIU6KnaWbZgjEJ7cMDhzHq4MwlwQraHFAZGde47ZWo08ama 8b8fxc39NggALDMLgZVAUzbsAKCN8Nx9MHA7Yl4iPCvRjfHUeOX4eRy+P3GgWV/4DH4OcStdxJZk 8zGkIJiBV6TGDLrifWVDN83RsLnTkjWwEkEIZtre3Mc0mj18bzGAu4AT5FBDjUMC78U4wIhjSZAC X1jiDfsld4EcfsimsbaxbkGL6IpH0et8QBMGQNz3gvPE/XjiD9NvjHJ6DWOHyuk0LiMWKZNlU/IH uPMFpAK+8hbCoAE0Fd8R3GFtQbNkpp+F/ldF15TifSl9SYiuNZ3sV5ZAxVV8LB5OAYkAv9azDnYI byvTBd+pyIaWkDCxNgET7R1tyvTYuNGwF9Hw5blXMHOQn/IUsi1I8QHzQwmgsr4mGbaa51DKe9db e9mCHcZAzLkJIoCvDy6JDmGOYX9UUyVJvF/rhgX4ThTUOVGd0LSrews5T0qZisriQooedux82iM6 Q243PsX8PUH4EsM9IwL/kI4N4t0O3rPTYxoCs3JZaeR0BgbOa9Dz29Uxlaj8ZWqTdmRbz71d4DHz NLgPfdQo8W2Lugn9htF0wol0qDn9Z8szO/QaGAynAlYzr2h4l5zxAaLsC8ET0Naar/+9f9fHz1M6 1jrJBU81M7XBrrIO3rbQktK2d4ZURdLyGh5Vt0Wp+KKv5zAeDAl6E5U+UQJdz+06usEppAjQ7B46 0lwmW12++Lq21TsIiHAawvzXija2mWJ7XRK3Fef/9dj+pRJDYsg6WTSVaGa1sggQl98fTllQkTev 8s3BWhpeDYuBHqueyW1sVa93umcm5c9s5iV919neibg1wes7zXEQPbklMB7S+ME7eS452yFiaRLU EcyyiNTvRtVHIV2Bi5VrLNdg0B/j4sg1v4BMcrbTYKV8/oRNc73V7C5VCyb+SM3q6BqBXjlyLpar TykpywUo1/otewrOykPNvU05ckAWLufiAL+3hOnJP2kdh6UND9nXGyd3i8gNjQ6uyl8TtNLtfIUV UfrXPKRXRIQw8eeDpI2fN+BE0NUNJQMQU8RWuviEVHPJHNVR2oTW+to8Y6zvYWX7YME6oI0jCQj0 rXLN3Yfyrn4S3pGrnM7+hg+Q1B9e64eo3Kwdt+UBHHlUQN+U6GEFjX6LF5C3Zqrty9jknM4VaUtL mkk1SKLLGJd6p1mQT2mqU61c1Geq0RuNAJVjG2HYJ4j8RbpKmOQeGd6t/W8nGHjNbv36+QeY0dT4 u2MNl6xseNxZVSmxbZgXNrCjWyFlBg6CGxySSmyGJOgMjY6pcLB/AH4D9ixuhLNrjlZk21L2Nv24 JiZLdh2AAb8E4AKNvQTyQHM1TlFz2Ur2bJoyY1R03WnzSFdxc0dYnXkFU8fnI17e2kU1odPRNBGx M8lRO8sszjyX6GHSjdAmRqe3TjvkfHqpXHhCPOdBK0LVqw/gz+N83LPKbZ6TcqKapSVhNGmpCNO4 Xe6QHJ/24ZxZWNzC/TL857pLaM115fjuTxOT5IcsqPBndzNDvTK+vG1rRNtqo6iYXy8mrSxn328F gZzSufqu+buNDfmiuQdllarfqEdykgRxw35AZQpK86pKP8LyEbAy5vsUKhcSp6n11dJ4sYmRKm6x uLB8HRuThTx88J1NSv4uH47RNdSeX4WsiFM0dgcZ+WJ60nJqZPbQRDVgh1Td/SItxRjVnY95ryEz HncahAkBhoWDfkM/3/e2twndZmP0F6JcgaFxEhjJ2qdhsSjaRChNh7+cXj5WuDy8RdLXhcN2ERmV uHw4EX/HKOVi16aAfsNlXTrlyO3k6VSAeCi7czlixYk28kj+M2Hu03bbEQ/hO7rhQSUrsWJsW5e3 fV1sJxhfNnzr0k6E42RA9z/0XDmfzr8PnXo7jUsYvgPAhhSg9sHrGhVt6ot5H9/uZHjoSscKRkBE aj0PQ4aLOQ/g36A++GVQkjt7WYAFLs1tUsEaO0+jBRo6WpmKKrgnckMSBuOsbIoT7a782LlpJF43 HFA4EMOAiPKI1mQVXeO7J4Gzhj9tA6IoAjs+nKq9psEBgLsgipuEuoNPJSu/UQwzWxRTVwhyU7Jo Wxvy7DBIYL2fb2052APLTqx+JSYks3VpPs+Cz1tJ+zz2sZ+mt3ikbgZwZv8eP9QV8qSw03AaJMBC 9uW2l0F7JU0GENd7Ho6LrwR3kBhAg9dMwLvgPom3xM///6ZCleTxsfcIrzhMDtRiWYZceXksmIH2 Kowc+19FZxDPvzFqopg7hg6c/kwAkSZuagjJ1mdkYa6/+EtsvXm4o8mUrjEoBYekN5SXgLvqQDMr SiRCCNI0gKKHkHG1gBDri1htluv2cO82joLu0YTg5HW4nq4nwAfdXgsVbGTk+JNSpnUfk1wxXFfc cLF4opg3vLutPAmLS3lbZdHjTooDoDUaFeqlPy5cEgEFVKn8xNo6j1+pia/fOC9cLcdtYFhBncZo PG0mTgLynIHKl5hin+JuDYyslvtKkbIr0Tt89XVgjK4hsGfUfsDivkZ+EBrqr7y9mox+iXPyyX17 vU5Ek897yEFJf/fj/Iv1A5uRp4UoSFF0eoDdhpxt9EErbDdA29P2nuc58CxbT+kk27cKro2THCjJ W15/6LAFP8YEm34H9QpmADEt33LQM0EcsWLnRsrwsmjL/kj83IrY4oC2/pjRWm6Bg+LOR8TfmbyN hZ3NSBKG3dY6uGtVCEcLSbrKhg4PnQAwZ1tRFhsE5eWsVyPOGzHPVFo97zMFCAyYf8rD9zhgN55G XiGp6JgwUJGTha+EM6iyPiqgvY0BwEC/maxzSsWLeRDy5mD5PFO0XbVRRBR3wrL8al+TCJXqVMXq +dyqzEuaoL5z9bsO28yiuPA+rGgwNQrQBq0pgMKXZo9AEw8+Rbq7dAC15WAqL0bFjFYUj0Vb3z3A QU4gHdNZQvfxf8dgAiMngMnX4+Ayxzb2j2/PxZiIDKStMSA9q1ESOMUnO18UbRbIWoM8wsuqHlDh 3STk96E3Imy8Vp3rl/HdnYn9rrJwFE6CqNRWxsuWaAVt3f9wz9nwlOuRR+ooLFJ7TWWHivbSll8w Enyo/cJKperhZkHwSTHkuP2iyS9b3HujF2G/LsCQ+wrg2wmFfmkhlLJgL0now+j7tQr3cijgQoZ+ v4un1E0rz4tIur3EfByZQlMrXeYa3ZQAUBUW3IGFpyqj5FJenyq4gWrlT2jAAz68vnW1IJQ3K9Xa Cwm2FyXbP+HZ6d2WC1n5YBJzS/6OIHpw3uZYcNwk4ldTzZdr5gw03vAHlPTNotycCnIvuQZb+qSZ pA8Q4A9cNe2JzDJotLxtSZASco6ydjrcXPoUEm+DyUOD/uLDwvWbf/yK8U4owukN5lbFIFNGl3eo D7Dd4GJKpdjcpzJi15zspWAAGxlVxc5hn/GlMUhzlWyGAe/P7bAsUcZaLxNV57qhJZVczD7rk6mC KT8OQPU9M7ZL10CStWdAgQlFt1eZ82qOAcyOs+yMc81rnWu7MvhjcTBOqGuIQnwVJjZaasczXvYr Ze9pKf5w9UnL6C3mzIezSWHBc6i9++DHvzOvxVvJvCIwowCWoB+qvK3vkoaUQL+2LuxCd/PWF1+8 5eTUH7JP13vtQxsgl4vRRH+U5QYkTu9Iv67HR8NF1LW7cLYp8/siy+k8jlUkrC53g6vZN196PUu3 um5HRF0kO1BPcdLTYeHulQV3UkDCqexPdS8BUa2csbEqXnS7qUCxAEt8k38RDV3J6OUvLWVSSfad njqnz7Rt8TMGfsh/3vZGnhTsfRKqszdP3YcdcX61UATHe8OWxzDW5oUDGHnPVUZEdAoaSqW87HxU 1yA/6Yl5IEpFNE8v7f5Mt3Ed1w7yvg81mtuMwLu/XreLf5Wup2sM07Wm5eJZBwm/QKLLCpQ9Ys7K z1Vg9czw1xFs9S+iyyN+5HtbUt7zmu9Bjc7Bw3G47NBzGa/Y95MzWsvKNibaFsLCjh63AXvlUekw DcrBNAC4DaP+Kksc7PWgM8lZBwCX5XHvfCPA0s29s4+4etsSF/t1tnOKkbi38TUX/HzKwtE6E9yz e2kVYumRcs3RzHVxRIPzaBTTrhbAZwjm2KbaaLOH61u249vFseJyMQxpQXvJiqHSvq6h2YllYd7X FzLo0ce8DW2AZe/pq+qtD5Y/jF1uyPzEX2s70aGJ1+Qvk8DNNfaTtRN7QwXZ5IqAYSmp+E/aEfMB tQ34ATyP9g0tuWkF4GjQP+kzS0OjktOai8zd5SWyI9j25XpQ0EbBeJIWiTsXLQU0BROgr/vl1ERe BqB7njsPTy64Z5PmHOXj5hxbqA6GiMsdV85MIP7xiariYnGfCZaG2QeXTxaR/P5kVdvl4EuGOhOO uK6o51IjHW+wWxLAB2rzGk8XXd4nq7zyPZNA9pXW+uEgrQlxLkxMCRddYNwhrm9WXuP9h/NXLNuP 8AJ0f2SOLqbhEGJrHTc45WLUxekBE58AayfsJz/w6BWqfJg7LgDrl+j2wzFIqsIgtzO+zJmqUTXW vKl+ZOTRRBCI1TQj9WYmAGlsvX6yIVbkOB0i5gxWRdkszNzk2y83oJ/LrIDvR7o1Fm/XzzDkJUIf zjy7PoAXj7vZqc73mx0skCNp7Fl6qtadPDG3UUS55uaFQdU895Lm5FbJe3anid0unDOJTwN5JleK D0V5t4jx5t7oeJd1zFqHHFlmBWnGCrwGap2trMN0ZFIzQINnMdQHrajjVDWFE+cRvca5mVrmPWi/ DZaOwr39VyUj3t1XrB0POB/+ybcL5nugYLpjOCSrr1vuGdi3bAJli1jqoEBymWqWkmlxr7AIPrOm f5dpgpXLO5zx6Zta2bH7Bo2CF6y6hXyOlyaqsyPR53GvpIOht86TuLuRDf/vmqsx7jZusu4OdIZd nYTSeKo0AeexqM5xfFYhD7END+uxi9KxZSc45VMGP0oaNyJO7WylaU7uDIw+HcbBE1V+5ByFVg+M vhEmYI3geQAqzFMyrXuqvbQ/fWHjrZl1wOY8Q9+e2zvilqNZH7OqFyEG1movG4WsOf+Lffxo4Jhw W110fdYlH+doSKWtXtHIyms8fHOeNeQHn/NZrZQZr3YY5Q7Ru9kgIFDKvqBBdxBw2fGrxJyJeVVa jIh/5yq5PEQcBzLlj0p90vum0ldesA8IQrBJslAEchiiIdb3sF3hZ4T7faSX/qBaB2fBO6ikrMdx kpGvjWjYShaeTruKtbfUK83Sf+Q2ZcyvXUiI2fFyNoY93zPyNTEBPjF71pwPgjmGi2xMW/Q8AUb4 RO+NTJZR8YEzNGO2wU5t/i91LetMSgNCzODExTfBxx5Z8EjDrabmTE1I5G96AgKv4uls0PqGKDtA lux1GNIIJSHE6yk+pw7ZDnBRAfzroPpZD8joes3WJPTsiNWGlH0+Mnu/bPypi/66U4h3RBMjM0Li YSSk1BLv4xsuHXR0eo2G5XEwnWfO1Wi5zTQUYiZaKCAZ9vecEHqHeFWln5xPa72RS9vi2r3fmUPP 3lkd084pdxWaTcAmf6pLTeINeLrxCQPhLljS0pQewBPkSk19dAA9jTicoDStQ3pZJj0Uc9HflLPx mXdrnQbRg3mc45hqajtrQRRS5/nIlDDiKPUc8sZT0SsWE0+Lb+lKyAsGGzKypWGXxUjH9K5egQCB k5WQyskYNI+UHDWIACCK2wYIYm0ICIBEf9URZW90CQyOuCpS2AbQwRqx6s7515txbCtnpEiTrMvX XqH2ds0inETlVduYs9STPLAOnzhXax9AMJF9MusTVswIfhWdnRJW7vva4gMhAarrn3Zi3sjK3Cv6 bJQquMFg8vs8nLyPHp2zKvW9b7rVA1HU407Fx9lFkxiU9g1+4BfrRwGrmJ95Cj2alCtk8vFsQQFt LndnhPJhQA19qk22bucWwriPeIR6kCnmL5XNyA2+VYofdewsMzE409r8ocyNwVRVk54abXahhM9K vk+LrAX5ZQyXGtwt4x3/rf6L4LW7Zx8SJY8QsBGtKopV2faJbKRMuMCVaKHjhYw2hUJyiwZyldBz 93D1pxrx+T+tP2UrBrXq7qgtq24puuispTUL+XjaubpmFRSKr7SoCIXQFv+zCmIQNxIyYV4xLDCe srVpyfyAhOuFwVKKggRa3EIw2KUWd8+HfTK+r5qqWHHjTHAiULG+71FOiaWQGgwn+w5MtPl1s/Yu LifQasSFI1xWmrtmpD+15ZwNBk9+vXkPycKQrGeEvvQEd7thIbQ2t7wEw9WE7X8P2y3iKUoc+u3X ALFLW2EFXFYajJF/bcKJui5caWPxRcgFyXMZoTOKQBpfh+7FOJ6x79G6DLNjlJsZFNeqkStqwfAR naSZmsifbqTssUfgtkdvTsEHyHrzOWIjEVSaCA8Bc9drNH6qA4b+rv+hUWpjJ11jOJiQU2IIEj4R kJEUbfoKGnkHjnyMQ/khQn57Pk8et+Cb+nGxZI1AmUjL/krXpo+3dp3EHgD+8CcGEL2b2UkqnkoE otbNVWQyJu/noK0LCDp1q+FsDII7Y7h6PejAo63SRO6oIty6XApsFMiJQ6+Z/fdsNZ7Ksnn6sm/j YG1rfANuFkTCA1JDhLVADNu3GpjO96j3hCQv8TC/TiCTSHmjDil/KPK6fHxLJYGy22KkL1gqtCxH 3B4yr2U71+/Uc3HZtns+c1ar2eA5czdzlfqkm2ndVmB/ReWDAep6vzfeQI6kjQfVsKaQSHAafbAX kahc6AeP3bwzHh7z2ki/ql+yzD3DBAVrj5AxEvS/yo/Q+FspIBDMJxDQMDZduXFEGJ4lI8JAaXK2 J7+baieUSCgudeDcMHZld/+MXQhxXU21o35n/PH64PQioEnDGKVqbPsFEgvecbe4RkPmxYLk3hJ9 jdA8/3bf7Hs7w7iHP33oxzE+Uw8/6uAkwWc3dfG0Fhiu07aXxKDklyA5Oilr4ekN2G1b98tXAymX 1eSoYQBJ1zGNsbFtf8U61QqMmG8zAu38kgjK72MOEH/kyTOv1b5B+lz2vXZVNguEIKXK8KZmLAN+ oPdZKuPLuHLfnSmoJd+2MaMHODJFImVldE/IaR2Pka86FGB/1vXDLZyO9bFMx/GdKj0X30hrdH4F nwvFPJjjhNfz5Qvcn6IfGavbwUhG6Rucl56Kf1YfQ1lQ2ayVEbZxNM7DQ2hUT/tHKybdJtt+Uvu7 5tUtRnFpti/KD+MWmH3Y3O15EGDQ63e+74y5SiAoqHTJ0vVNoj8g4agegoXG/SFCgZ7f4w6/mAJR mXwHhUkxUX+yodF9erOEazoMGJqzDhPeyRKVb7/uSdH/Uf5CVWL6D181sSZ1R3bs2L0BPvW2u2/7 Uma7vZnk3GGS8Aah6tlPndkIjNFo6hU5+2VmOJiPxuSVi8lIHuHRRG5Xk+y82cMHXaL+kqyfJW8c 6ry1zIZIDXS954b1OixiBLjZbopAd0ILdn5eLX7YrVpBoyc38iVZqJSxmWxaKgrws91MWGhFVvM7 +kHdSxbTyC7EzvkcTm+LFC7Ny+89qtFA0GeAdQoAjUfFfGsEU+1yeUA4YXn5PzVR/1WX2GSilwg5 o+cKk8v7hvfMCVk28d7JHTvHC4fSRWHmEyePnPLcxs4QytkTz6p5/K3JXy4sSGrYhET5KJsQmg+a bUtgCJLmzigsX7duYydEo4eXQdwQaped `protect end_protected
gpl-2.0
b1b1be94fb8354dc83211f60b5025907
0.942842
1.839381
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/c_addsub_v12_0/hdl/c_addsub_v12_0_fabric_legacy.vhd
2
16,399
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block h8nQkooPUFbeMamOuqa/lAe6ghYHzuyjvitEJ3RI2ZkInz7v6eTmbwI/LRDaKUFc3HaxO4Cx3xYb M4SeJme3Mw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block TmcmqXgaLkaIjskRx5CDQNi+0a+CXF/J11Wnoyh/83x/vTPWT0SPJoSe47JNHjDy8fK9D56760C1 FvbrM8oGvz9r1ieXr85JZu00D0ACx+gaZJbN/7Fle9CB8VezbLELkWyLJ1af9un0QDEZpM08UJKU TNKwcisl7Z+U0zQWEI4= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Ik+FtcZgCSTOjrKXDvfcBk9L53ETeFqvDlZKK/inhAUUUyjz5/fBpSpFrhuYs25iND+sYM3wZs9t BlJ1ebYvJvt9vxuKF9hgBevLr7FoxQtRC8SLvZrYC5MEEBTJ947hLyEaPe2xyB0fX3d17PtVBH9d B5nh6vXPSK6e8wFtY+n1CeX3Wfk20T5PvS7LjDCBbDyv5BPqrLx9UQFsoFYvuuTiF5AXzlmA5ojD PzwoLZk1QO5ggV4zRmheiBqDW7+NrsV1QrSQGVibj8gVsMjXjOg4p0b+3WxuNFmOUA9y2E9Aghpf Yu5leUf3nlNvy3vZ5ljchvnFbknWS5WcoNptSQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 1pHJu5N53ktu7W7dLg0CZpSYY0eB9SL2Nyzgvs9U0o0MSrAWKO9z1SRrauxWzBjB9Fk4gTtO0kIk 0EqxbDPk+ARWWw8ZmvYiR2QGkCcg8VWC5LFnGV/zUbDBwecFWXJ5H5wz5E7GeZWLkPMJ1Ewl7AKt 4hPGoItW1+WzPj736O8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block kSqxCqKoLg0LuR7xyt+muwws4KbkNIfbAanYXVj8noOvraFxSP0xpmkXpJvw6jD/nE/mdDyl/2TT BrvHmE/LIQu+PE2i26RngD5h5+viGmQ2uvvk6dP77BCHfkC1QRbFUI35Wwvb6Vm81BzIsTKvdIF7 JIhQsxmgnqwUr/sHQKnJ51atHP3Z0NFJYhD4j/CQh5jir4+D1V6FzcPrbwBb/QDNJjHd64JZr66Y yZYYTFAcwt0pPicmNKIfXOhmyY5naDnrmsbMwtG2OzKl/KtCSUs/gGrqbWFIwud4SNDySiulHvIn 3Kkr3FbHcDje0xcwz+q6pdZJKN1GF2zyn+yT1w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10400) `protect data_block 4ZbZAwlwv7WsutBuHx5XBZH4exRxdII8l9hizMRjH/dP09OdXP8hHTyaQ8UBgbzgsEorddNRrlj/ 6D01uc5ZSNS7qy5z0+Xm7WZltyw0zWFyH9DwTA9P6ok3n/hqKpUR2xgvg6BSBXEgEuz+AG1dE0Jy G79Oc7XENpA0/iRbtRYjF47uKd/EusfIodWC0cnVOvzieM4nH8s7uR1rcm0rIm2GoxtyX9+gbBTe qI/zbqkVc3vfXJ/URd/5YCEgBppYIyGOc6FFzoHrOG7+o4GLD6EmquAg/qaR9zKAlgY1FcqS1fAd Y++aq1c0qOHHupqIn0AkdRrwn1vNFWmE+aFHlb+dG8fW3HcSOtVzhCdWMXhg37GPt7j4ZnpJBCkl XqOqqkndm7sB6QMxyHxb+rL6GZ7YqqLPSWA2GSRxQp2Rap9zlIkNRhWys0tFjvTMHiSidcsagNeO RB/EMlZ53Tg8eyrFBQd6QeAaW9xkLERbS4wkU7oFo9auKiYCHR6dFGmETH0oimI1t1wtqJqerEqA bpF2J9ys8eJu9Z0HanKhKskl5tv7/Srq9gwLDRumXhfD05b5Gw1fDyw3zbjt8EFDnjmjayY0SJWt TxYBXA3ddUYWpkl9DJAhaGQ22BIPNZnl4g+HEpBx64wvjk8/8g53xj0OwNpFD3B2qreksCyWRK8X xcCwxJtdoYX67Z4XWMv5JRvgVC8yBkdRFM9UvioxJVYgDwXNr0pLrBsanNOFEo00f5wQ/1U3dy4r nSdQoVg3TgxdMhWpLBsAnN+B1p0BxdKlXM/j31BOO8n+KJJTfpy5684aoAJUaKhvzAjk3WRRmb7K aoZu4K2CegQlbgHf2WP8e0RfozlH50MOOgzoDmE2KLa8xP2SnPPsJfdFQgIWy5h3znhzZ/6i/Hzm 6hp+FucZdY8pbI6c3fZoQM8mwqLe2TadHhFjwOzCvp0nUmw9lkzoEOfecqUp1yRhr74MHyS62YPq xa0BWLJzIMUHJ+RFuC5QWOYOiRvV3YgP8IuTn8ObZlSd3rtX8fLTeWm70vQSF+C03cXErj7V+Zf8 wbWl4tdDIxmA0yCAAL6U8XCYDrTE8gHIf2YEnTnA1h/DhszrlwX8Ulq/9HGtpWcWhQxexS3IG5iF IqW8vlnbMdASEj72z1vZt9kDBOfBjGv71wa+S8MV6qIXDb36VnIQrSHMGNykkM+5tcAhYAdIUI/V aREa/bONz++9d+HkwH9A1U53XpiCDBu2vrudX+L3U1jq6hwpAvS/RDgKNnUhIc6ReeqSrL/fc39O teBKA6idsgaRPoFbuZLaRl5mgTy7xdeNlbHedqeV4yXPCtB1gRf1x5sHbRzDJ0W2mwwyhF7Kywrh MSQWJ+WIbR66aqSqdo36pj+gW1jP9kQyjsKMPG4EMR71O7twhotww67EJI4K+fALhFrm+UL6+GMA lbnJiO5U2R99hEgW/m3SGajTrhT97PkPeAc8aCeJVk3vdTqws2ErrlJydo5JQ3q99XT6SP7sXOOA KQdSaCZuYimyMJW5FyUUnO7nFb1CLVZr5+FRSZItaYuMaz4DiEHIedo7GO67YVOaGYi5SvRiyknC 5ZA4vSMjzHTkbF9rqwcywClfKFppIRo1jz4k6yZvJwKY71yO9zXVa9aLi9K717rsl1igVXX1/PGX +x/vRh1CNz5Gm9V/vpQdtw6R4KJP7+bUYICEiH3gLBwRfMafMkI8qqzLIPniSV2f9GDA5sbHjHZb YAbU7joH+5SvEnpwChR0u43dY5rfR0nmOBGhhJ2ccNALSauNopaWeygkA3KhurWeYWlQSeyPAXWr b5em1T/mlYbShapOhGBj00VYydis3jA98EHDVu9fyokDpTb4ObK4/bIYaogWB2S83ws8AUKIODUh /nwfDftmoyZFKnwk303mLBoG3cyXW2IZHAtYRDu5Qyi1nYaMa+NOLUlLAx0TkvYbjqbfqD8bUuuL 0bO8QijPXhWD+6vf95+uWNPLEwGOG3bP62PXQGS8QL2Paxxb8HtPo4V29yh2z/4f7gvk/ZwIzLPm 6VlfyMsEjc9JzTlcIgLHooio7Lt+6nlDh/vWXOIWj7q9WdOIiclgPHQZ+2Ogeo9ZhpwO6GKe/Ogn Ic7RypgAcZs9JxlulyO0xdImBVYC8rKfw9q9SR0WcKL2Pnoi8d278sL9ru+CZHRp7O51dKyd1VvA ivEnquBTeTmnFrEoYeBy5pf9UQ6OU9plITUo31wWrPQkZtdn74Se1jHWGzVh1RvMcNZPQmYtnPfj x85SsFgL+2Fr7iC4hFfykioKFL4JnjBYgpjRMH9nvgjz5D6/FkjO1mOZu8YhHKsdfpqig+sjZWGc MsYqhFt5crs7IJEYOqPtbxAQ/WwVN9z5CHhWx9yVHaD9Mvt9IZsWK5gIrRC2T3qZVniqj0GLsTHi kvkCIi73WQkt0et5hwbHTN4x4ZRHfNsAQJRsNjbEWhSAFjIlaJZxjt7NysTWt5nNRv4XBZp3NZwD /GEQoXXkXSObVfWQlj91RJUigIAae2R3jXjFaIckfcDEWnKuaYPihCVSllfOWVLwD+y1Vlie8j1h 6PWfFwVi/0uB39IjJjAW/BsssQx8bOevmpzg7bml5Ebi2YbqR6i9lk0tgrtye5cTtjTO8GnTV3h7 snBfETGxdB2Tl6gLsC0lwN3eWfOlv0if2LFZIqc1rhhM+ue8uBvlrirnrvE2Q7Atn4fJO8MyPhhZ z/GyEfSziBZ2uFeIq4F2lOovHbqv4YRkFSzt7l0dLdbultbRHcwudGR7BZXROiXq1Bu6yJYiU5xr CG8sB2qEyEq43q9Wb3NdKylF8V7QySopzVTRYGd5p4bkHURajQ6p+WMBcHTusLFZY3VUBBTMGHkT qU60WTM8o0Epcja+8TRBcxKF3v/wR0OOPgdTLyB1WXczIS3E+UDWbPmleSpsJP8ZUmVUtCI/ayl2 iLaDld5WVAWVNpuHrJUCNoRDJoILobn3KcKWO2Re2iMlEZ21Ctle3IHp1bCmH4cCBa9mxCPIOS5W jqVUysWlJy0jPo7ZY9LayASfT4vKUd1rgunvlInX06pnUKbC/THdTD+pZ8rxT5YMj4A4Os1Y64BJ OUSewN8yBWslTVp33kCKgGvyJ2UFafHCkrgaFs+KMOfnbgopszxeoJcQLl+PVc27eVdXb/a0+RGR 4vM44Msoq6wfPBLnbU9scSWl3ck8RECfSx9kYqNKt4xOiRnI1YADHvolg92n6OLp/ZzKarlVVYfv sp0m93MPYi4a6Kkj/+kvjh1qnVLSBwlGvjHcFBK3LODaaX1LP0dHElOS0b7LuabXVHbd4hlG04oO xqR6wxW7MN64NCH5Tug/t5Qcwp50O4Zgehb4Ao31b3kRJkzlePZ7stoei4zafGBlkXIK3ZACZG62 Tl1JuOjQSmK6au7tlx4pCO2fliEiB/nbIlzKxNXduSZztVLds2rjDZMiCCSQ6DUe8+Gc3jKgupQN MdEbRtTtZKhyHSfmyB6ARe4k6VyBSL/scu78U+0CCJsUwsIMEl3emmXIkl0ql+/wSVtjL803fhBj +l2B11GwXuLc/WoO2ARoJ3QW2HvQNXoMRBv83P77e1Nm2lU0J4lUJU6pFBH4ypJybqA/QEqNepPO dIijmFj4BDxPdIJaadGtwsCmVwUz2vTZRgUfri97tALJXjYcC+CFD7dSeQqnWUnk+Nr0pUUSfIOm Pq4pY+mXnmZnQz0L6a79eLSDjL0N7WEC7cZqcVzVXD1LK/1O1/7uWLMTqkwakkzSyQiwRgvOl/0z stFW/xH166CiCtjRCkCtElbDJaIJkvNjV4tf1zppQi9Y+bZOov8afaNkqQRTTR0Btj3d3X1KmS9v ho1UC+mqWqjsuIxqq1r9/omy1JIwWdcO9mT5fPs8yHVx/l2kAQHtsRYhS8D2n1GiN+GanH4XXjhS o6Nsd04IZy9oehTYqtkZCvm3SOVrKXlFXd3UFiQMre0RL69JtGwD9Jjt3wPj19QQ9gLWwVr65Z5C O+NPyFJkI56ksj9Mza5ffnL8i+lqHgM8o/oryNMt1eoui4ZN/hgnBnvdmCMm761C7uRrPrg9m1Sl IncLBaw2bfAJpa/ctBFfyv+AuEjHz4wIYkltlOlJ5Hk3qCOG6QJc42+GOTvPkgC2pwwDEqkV4hEx gSSuP1v48x+gndpsQ6HEWPpX7triWwYGSRBJbIIum/PNb050bcql32fXwHq1t1lyg1yiYoGvS+46 FwkDjMhXtK2Eu3VIjuJcxS+RCRmU5Znm2jsAg1cMYVU6qjtVymEV9Cw1STpfWjFWJ1ljKvVeytwA iIsfvAho1/bW7tOJgjixw/GjtMsMw/S9d8O0s7iV1OxdxG0BkvuxhOvQK0CVIDpcj+ZOPENRQa4u nV1WVkWPZ4YzU+/nK/CMcF4+nB4lCMvQavDJ1G2tategS8TjV0fOrhIvevTe0dc4IW9Liz1Cc8Yw xXdYX1E3oHBXMNV6+EAxbvNbs4nYNcDb17S+GhT6vuH3cUTKrU6cQZ8br+N14EgTH+yjFBmBl35B vaUO5x/MwBHFpXLYnxN3Wg+Y9kwyzjhiQMIrEkzPvxfNua2eoNiqMXNYHVMyNAQIIhyR59LjQbeS fEWBR3DzU5T7HKP5ury8P4iycxyi6a4ZRd6BGOvb20QkAIGKAtNIYzDBF7Ql4dJwxysjrdlREcc6 CCBB6Yo3sR1tU1lgj8izaGXjC8gSF1Iw3SlaGxeQOluvSPgzgsd0BDzhHjt1CnW6fuA/94UC1h4k Mg0HPhsRZA9McfNvq6iwwlhV/2IkvlNlVWeInErxH4623yDdJ6GrrdvmrMNa+HhRtf9dut0PNq5K xE00bsNXh8khmRfYowNrG/IQKzhpNFxwGI2x0CdApb03zMTIVaFSmKlqTmrNJ+8tflpBkgVP5Pb9 1RkbNaNs9c53bYrTcjjsS58Fvm0jmcxiXrakYKMAzsiFRXRfVRizL2NV5xG/DnyRuYTGIX0G9gyK df3z+pxbT8kV/sNzZQhAtEZyi0HuOS8azXr3qGq3d6ZaqhRx0G5yNHrlGoinnQS22tRha/tT6FR1 QwT5ZJSkIEEWTNwmqKPxTk5HTeqvqqOjE01lgtcxnaztrnnjnjA3R4L5lnuqUwjhb0W2DRbPK3Zl 8t19QKemNpYF1EoWdpGf1i3vN7LS1Q841+aHo1SI5/TdOk5IM2OGZWGchO/lt74eNwMpyHWEQJll nE3NPcnGo5ltebJFviMTYiFyqmNO3zO09QFcTI+oRCGaHnVMXi9xdsL69oCzBHP1F2Nkdq5xAj3L HO51dqZd1OzWQWq8UTEj/jxB0+VmiF+QbEVE0pyqoLPmDqoo96gRZkZeoMvhBJcg9wrQi40KlrAg 6JKqvbqxOJkoSCORRMNPgI3YUTYS0arQeFfGKnJBue/wOx7a8+cQu3o5y/zF3Tjt/bHnwGvFjZuf UPrK/IoCux38vuKv5kMftaC3xHwNlGy09RMGUOLV4KtAn/RRjOvouz5TmmiVddc6r2tQz3SZz0Lb PkmhJz21PPpP0OrvLHsSb3cK0Eclufz+jbAls8QEq843wnL//B94SotgzlJbRUnNCvT/QfAUULWG nwa0bHuSFT7M3etuqwRSNgo84Fa1XNc/Cg+g0ezF34b0e6PKrqI9UN6RBPd35PAaqCan1+qv4KWe I/uJFk6UOTeHmwB+17QSWMXRqFKJoyox7CLv5Z7P7hH6JTpTUuvnGI3l0hTYcDo1IYP+loY4A5OZ OHtkHPdlAi5kXXis5ZgZSsXQpIP1jisvvWFrJSxehR7EKdYmEuaFXT0on+i1LQqS62/cUBfPx/L5 L/C3LG8slwfmJlr6/U8mqyTTPcix0dYZcjBwnQk3n0xpjdfvHtNsWCaThUOOlqG9p5JRmCppCPrc q/efXEr9HBQRtsmuOdugOQ7SyPCEFueHBxbXkoyUgs2FZKVl/UE2x+yjoCwhXHtR8on4ud4Mo3bR XLgu72vaNjrbcJa0vHiCkdpyG1TJUpXHmilrHcN84StCsMn6Pokr9LLH3yMwwB5iHe0hqVk5SEKc +jXNboZDaVyA89lQZ3mw0Wo2q6NkgCilTJNk/RDWsC6bE7AFbxqgT9/KIHoK2zkkWytUD/lawhYE BmzZ0/DwjMciISNnPod7BePgp9iNV/CBAMRLJd4Xu3oQxvNzvhmVcKNmA5fsjnhUmF1YHOILunJ/ wsxlOCLFNy+OifVOimw65+psmiR/yXVUTu6i2JuRE2/LlG3tADAQwOfxGXJ8q74EIaFJti98fxXK ESvEOvKvZwXKEWVaTKJSalsO8y8wF/DbGJ1s02RKvj9MjW9ZHW3ady3IorqV2oJZ/ZaeDDWPyN4p 0AOs4k/zduaJlfRR5WrdZPsOdPUPIReNFDG9819Rn1riU7DI1IyJwsQw9FmabI8AJwHOFOAsmQFm XgXz4ReHfb0S83TxkE/WTG0PmX6+Bgy8GUjUD5x1uD9BWrZu+ydyH+2gOdLLVWfq4ieUBNcoSU8C +BUcLqR1Zr3db0flohueMs9PdRxQ0ppgL8gHfHkw76Bkn/h0Bca9s7XHMy3q+8a/UpofesU3Jd0x iYvh6P8ugF1MLFMZkDARg8ARbL6k7K+6i6bZl4pJ1hXOsNojmpobWkbs2wIphmtC1koeCvqkpGZZ aXEgRKPcUEC9o7KJ9YlQmMCwUCqinbSwYuvbDt6z5h7lTMVqofDnZosOgLC9clobCI7FKVGJwDje PYQSOz/jLaqnF1GwJILbCIZm1khRFZnX2giwOt3YlWzpVfCtXUuRs5eZVOVZqzm9bEhlZqOFIZLm CTPJEp2WfsUSASYwVydLuZb7fvFdwTQSFERQTJqabtRRAcP+ehf2KzkAxDatpaiWbDGIJLjcXxOB a33nVQ8jFZF2GEeGDCRdVJziUJoltGZyd4aMqK1ehp7dJSgRFnxgPvXVWaYRXrK6rAUJmYUTQfu9 EncGaCr4yrYGdAVUGv+WvcK8nfBRkoldNK85VZ1klFWwVFWk28k4BIq1K5y+vHs2o0KhCGgdn3J3 HHq36jQwAdzq/ypsA0PicWDtJze6vjVHXPZDYeXqz79arpP/zS853mmbqZ+oghcGXeYF5CAL/SSE akb6yL9K8mna5s1BWTtuOVd4tMIvB5h11AqTQKrWUDwanSH5OdylO6m7/4C4DXi8YrG0voFmLlZu Y4jrhEH8EAnaFfzoj+a2yz8+bg4ntm+djcQNM9C/BaRZT6FOKFCIue0W/jpnTYEUfAYnJYTAn27L IyCJObVNI3QwWqPUwz5hn30NHvV0sb/lUfOZuflBffAq4DuKb0CRisit06EoQ7IjaqlhHl1ZK+8e ke1tAHDhzticSKVwQoGzY2mwxELADGLHeEcsHW5322BQ9IJuFPAOWGvcuoyFhG6GvKqhh4a7BJyC 158G/BfC/4pUKm3bVa+klCmhVV+FaXJdmU5VTjZq6v5xDcdVji4BS7r2cvf3eWzTeAX0yPCkjGeG bLoaVO1TPpyymnOAk/Os2AlGfFh1XsgnrdSTKmdY9kU5WZ/rxR1iPRqH+qgQW0hINo8mmPpHgPU0 g9UCZdFGnmHBQz9EGgJ3YCje43vgljn5ZtHkdJyXwYx6EVcWmjKV0gsgkFnZkAx5gmo5cZoWOvly zfdLB0orlXreWN0jjzJfZq5GRlKRJav6v2WotsDHxKhUptUKJv8g6+84ZMB+dMYNVej9S/QUNwol cx/k5OVhL4q5Xss1+p1T0U7uXT75ftluUAtlRhxJqBRjfYRfQrHXO3dscD0ecUDiy+l85tS805pT gtFHMyZfUpn2cHnnFQkApZRRRaib0MJFlpAoc1htcXUnta+aYdYT4MR8rRmdBsO8VxL4rRJ0omlX cfRXVhUemL0Pt+40Qqrfcj3LKGeGTL24iRQ0pL/ICewgYbU4t0VnuNa2jvo3QbteSoJwiOFH8GTZ XL5vNWKIsoSPGbbH7P+Cb6aBO1C4BGRvwbO5IZFHBJHMoihhgn1G88mShPQHupmCFlmLriyYiiwy GWVz6K1/z8t78JPFJ5PVyh+B5mnsigpRStaOSz5iEDlrAQkQIsmEMwlni1Yx2gLcx0/b4NR/w8n7 vYzikROOOIB6Y+V5KJ71lmNnIh5ZkPuFukhF2pp+MIiIW6O31PbrIgSygwuGS0RBuKMImCbq0VmF TiHvcisFuTF5+zdoLHtIJjmMuc1iuqx+xZGbJ/w6TUzd1StRGgsC5jJRe1IsG1FStBmdpBgkYfHS JYhKEzavXFMrh1cCZ103wtzZ2qeUNTS1hTjU+4Dea8p/KGvgW1Pr6DllmbmrjpqkoSjKpXzbi+6g 2HEGS8y4TzCULo45pA9NGm3R05iv2bl78YPVrVNmno4sUgK1YNGGq1F0QAMyLqnJTIgkLzGdbbDh 2j+8cZb+YTo0pmpWvL3BuZCLzHewAu+gY3Yh8w2PgbSuuNF29rbu1yAT1E7oUujy/KuceBgVpHQi 7micDYKg1mpp/gOIqSGeVQKIOR5pWQzT1Jwjn8SlHaLBjGXLbiuxQb+2qptVxf5hlh5rhpx8Wedi b7mcByRtxl5d1xmzU82rv4MD4KKMf7J1EoVtZ3txC42y/6AVmO3+zkTNd7r1teF/SBewJl2xd1l/ oZPJK0MT7n2aBTKy/nu8nF3REdpvFoLoIwBUPszJY2QxxKP5HELmqELMgwXw8RC3DGUVzJju37KQ yIVGVhO3auJP5DAFbk/RdJ4U8kwqqLCMMo7aA2PmV9t1wii+S+S46Kud7IFkYdTHX2h8LiV7cTOx l/Y4vgEmJHwlcbWwTw5K7d5G5ZepAQFRZXrfnPdFzM7zr22jo1ITlSzuU4FtM8xvyr0/bASFvZwr ciGjMXK0N1MU8ZzVggZbFi2mlK370baDgw15PLvblsoO19XY+l2h+gNvLfGY6qqWUwUUqai9faDt 1+DFvOJaDKrpnnZP0Evgg+5SCFDNI8HCq/ZwI0v/0x81Jgcr3tos0Yi+b7oNibRPsNeLFPfAijaC Xdg212L2dreXiTjYKAnJrB0Ii0qm4a6amG80DA7iunwvQagxVavvhu2iwbbIKzZ9LI5QuPBh80FK alPb2Taz/yI8oN1nUHig5GzyMerofBD4ZTG/oPFbCmfUSf9ul/K3cRJj3AVjq3ojMSnsAELfI+X5 v2SmoTC3ldjOMLRR3zp+j3hD3Yl0BFmhF205ZXsqmcryrctZZ1bWeSHwUIGL1wjybnS3S1Fesd3e kvgbtyya08vuSd29KEz2MRbx54UwgGM7007GVIq5XseTa59CUHOLn5F/z2R9WpgJedG2slnHbRJj 6O9B51SmbCtYoeMoboZjSb0QVxrD0HOXCnUf2b1zaVonK7nI1kJUaAC0/YGuJfcfpHKg29pSHqM5 khCZTR7pCJknrgZvN0ogPXpdnBA5Fv3FAqZGQMHR6Zl+UOx7nxgJ4d4hTJqb5LHPQ0uzoyPVejnn btjmfTDMc5yCnOrhVGp4EqoJTtpzISgjT95W9P4IUbMbOAP5bo0dbymqijCxxpZIDmss/UJ179Oj pMiwl7ioHY/uXYuXY0KL8tCuSRsfUcNeBVwPNmjCU9c8yvrJ78Qxs7X7jvR5q/9+Jz1CunqZkNZa SPcq+Wy6NA1Myxa4iDuhoNdbPVUNY0bfX72LTKq2uUabv+VGW+8s0BRmY1Au7Iu7x7G76ONufAZf bFs6GWnwoEeKRumSt1qeZnfRkstyxdfl2/aOmzO6Kngxh9cuP6QBidwbdOU/l8gDb9Gs3YZyWDhw HXHvKZ0+Uc9qaEepZzQy4DeE6Y1uZc5xPDxfneNkm0Dxk6U+f12FdBlIt2pSUvzz1WAb8g35jRlx v/43R75dwOBT4TzJcYl96RH5u5zUvHZNTbSIniqK6FT3U20+tiDfKwXBIzBQy3SC8RrqyUh6bYfw A4hydjgaKOQBSIDdz5Ax3Mbx42eUkEHFldFwn1f4T+Q3/qHHQoqhBW6yX7LDtP9+/TU6tfbHQwJz Kb4o9w15pUhV9ozM9/PZVZbKdS6e/DUSBZFYuQUtmsZVo8X6P2thbNX23NljRzR3ikbHg99WtEZM OLHX4bySQNX34xK6pCD4EVn3VjnkcPqZEfG1d+5n1bH4P0sD9Nh6ch38o2Ttq8F09sODx+SHDeE2 +3lCBq4vLU4/TEb6SUieo7Ay5B8OHjQCGMzCOnlZh2vS8t36pfGx44+ES6/BDJM8u9AFRG3/tXaz PqSHWOyJjaxyn1mgfighZScIWJgj50gOxcYxLLs7JaYZYKolmWx33Q82z5mTcC8wb9iRU9Qu9ErB GEWtn4MNFj0FzI3a3JcaGYJqQyMRTnFqn7XsDqkJ6ht8Tovdbd5hwVyfrVEJRygR/rxYBKqAL+kU PIHcPnETtGnrh/5btKzWC16Jetss6LDdji9C245+KeKNQepQqOYQfqHqcIP9lH7s9sy9KCBpObAn PU1r1cOWdURfggTprqHL5KVQdL9NP4RMMCWYLQUqckrvRLAL9j9o266ZQEBaiSkexZ/80rCFBezb kCjpdNR1dzhi/3LdWBy+5WYpN7alGmKjl6drxa3gwHFlbTycLoNk60mQnmaXXONeXJeAhiAqIeWv dWGOBqr9te2bK3eEMineOqibObQ8dfZwtz590KOC+HFHrWkb6bkz6HAZALNqf523EURF10DZEJOz HQyWaKHXrLRpFHVQxWEVjveNTXcXyJ/Uj+uTx6/NDesMpnMAQ0jnEBoHf/El5LRTFOHKgzoIP35v WwVTNFKOtlXUKbXLYHdPipfseSG4YGWdeJvJ+roO3vg7ItDlVA4dEvwIAcIpQppF/fjpBXIpJG7N ln4RPC2I8wIQfGWazRbSUcWCewrLE6yvyji7qbavvim3PdA5i5jwt81ZXSceG5+PSrWDFjtq22Pp BaBAPimsylMSFuh+/00sAIa4zZjWTd1V6FhDs+lCoC+h5Pa1zJU7iJMooizSmf/R8Z06ulDAonvg T5KkSbSnCd/BiVvUD9mrg96hNHGwXL18WOuACZVHPHDnk9i+Gwb50qQopYudRcJbpw3Lsx4hO8fc Ht+E5zGLUc6N0bnwDHm5JjcOr9cfX1MVKUYTRm9x5HLW2+b1R76hSws6XaF5v/c7YgrQ1mCInKdi isiiDhPdqds0p3OG7gtI0ZH+Kj+KLlh2YLZmK62B4XmMtwEEM1XidHyTY1omCgRNoaT9pd1oiHWF ugf8d7K3WpWv3s64PT083RCrXsSsFfmYX34xJWXWpcoFlb1mJyi6JOEowY0lUqCbNygfhqyjC7Gt 3KoeaxU2BGS1YxKD0GubYXBKd1kPEBJ5iKJmiLqDPErl1qzW/asJFVQsNxStrmbCZ8eYNAuhHwJ8 OU1nbhMQaFvOCdaxj+Mkz6rfiNX15yR7Fnxpxc2YFIIzkrBmT+G84pryAM+JHP40LYF3fev2PTQi FU0caaTPHtpnWVj2dwuFL3qywsMGNcGrA98S/TfXEcDHIxmwEvLssTOqWxTfiMTsqpVmein0J3cL ScF2dDESWg4pVm9ejELO2+rNDABt7v055yNjjzmUYLKd7gZ0ceO6CgI+454+jVKJssg173pO8j4t bBc6KyxyUcSeT9AaMzOHzdrQq4gKK3qTqPC6Go+Dk2yDRpTk0DNR58lXx+qXnDKKUNHiriBF5WsM aePA3Z8dWrK0vLiqVIjvxj+Ah7u+qg8+++NX019ovcQvyUdlyMzVy2vwrG8AwrGt36FBNYs34Ya7 DiN4Iwr9nZUK/nPWo6JEmGM0Tt5pCyl8UK3eqarXFZJuFsT/X/oPRgfYi+8I01ERL9W3G8lrUq13 rbjJolRN3Ps8XFs/PzJ5EHyyzEDgLa1gu8L2Urg4P0yK9QPagdNtZ3aNr5LCLKbdxYX+qHI8y+QF SSh293SAX39cAmnWmh42XdmjLWbeIFeqUxZ/sBFOt3JzZXptDdxn3mHVhExv9oa2VGLtDCFlzFBP ezmd7rNHPDHFSExKF9xMGHtqstfPJ04/l8Nml5yvAtGm+8sFPtdNLq6loZkLBGbBIdLuypAdVEYx ktNwBOJg1aBnx3MofYWc36fMeUL3PikJTK2iQ8X9PfH7ob7lf7ofsChtW6kUcU3JZQQPWvI+Nw3j +dye2tiqEU0v9fV6NphoA9sPGlJLPt4QeQQFIcsPV3k7wgtab+Xm+hz4nFg0P9Y3FPZPbEb+iBPS HWLJnDJ4k2H0UmkJa8UEvSLCBYQ4sZu5T+TYlety8QP9qSBjAjff4kZgmTbEH6QZl3wErXIv6ohL atTnuVwO+NBJwLXIBKLDMZtQqZLC2PTxUFDzoQUNDhVd+hCZl8/K7woV9vdGme0dDWXsDy4Nx1D3 B2kQrHOwqYLAk9tRBcEjCme9iazx64kxtdCqOo1R77GQYZsqjj28WDKlczpmGKar38ez6WWsS8IC jIQkk1yF6V/NVV6dX7IIoSEszCgqD3ZE6kEMoPRQcSavfI/dRImeVs4YVjqQZGAwLrJIklQTtwtj X7oN02qtb5isd9ENFqzM0VkR/NRzIbXXbB80Tc2Ws4vqgHb/qvscjWkcTFFIUsL4rRznm6bpGKQd Jnt0Yst1UPpANlqSObUrEhX9KMqMIkfxo+EL02HG/9+45mloEvx5eKLMqPy470q4ocsgOes1qTSd DQSsTymG71TKkfszx9/YKtsmm/QeCIZmX6ryi1Fm61jTSBvuMNie/FMYsI+KRaBqfu+bov+pKfPJ 9ZuUCDBPSHumAad5BvFrnx+/mGgBgqFZQQn4YiQL6R1o9Z0DgRUU3swwH9CQiU0E/6so+7nNzsC4 gMkrJHdtdfehWol8AjXRmCFFbreX9ttcDJkmifuVnWN0gZ6cZvqG1K2/w7DZzjKg+mnn/hLY9sNl bQPUWJbNZT2Eux/EMdLD5jNP15OK6Mf05xwJeS9h/GnI1EnXK0BNzXMxsRiOkksmVAKeuvPUt/IB 4eWS+vudImcFmIhgX83sq2Shoyhushk0eJDpHuGucjMZ5gaOqjlr1LUPTnRSN8Oo7h29jEfm1Q2n GvH9IV4Xx91GobQ3xIww9fkaXHF+27XGxJxjWQ732fabJjBqbIw0xOiLwZIy9K3Y8xmOE5tT1Y/2 9bpxjiDITG60kpygi+WVjaba69baGuVDZ44QgAbn8k6ppb7EKTuUjFRqZyx6gdIIXu8EnCzIg5lp tVdbw5/C9YIm/2UScK1j/FgucryLWwsN+nH20dPElNyCB4Qa3fXThEAIlhEiTnNeSMv/1YUNYPCU ZPIWL+Q65Jt+AhZCLFxRGS0Fr8Y6H89iGWyLRzLmL+nTjO1qgGYW48X492PZJb+IxPGeyeopgrJG x3SomZIJ/e3ygh26G/SYIKb5ySNeH3KpgEF2HlHqVRSLxLp4pl+jpd8/ydz459xpqz18D6B9/Wc2 jAtuspO9AWVrpW6PAEPYE6rBqzsbITnnNTSEgZ0ZpnutA3QC3k17xbLQbvVUMYELSr9Lr+kkqKvt NSzxzWBjVpdnyuG+Mx4bWQDnhMqv2XzZdJ/Wkt3qe4sbRqdPYEfafBh2RNN14OLYs4jgfjqq0e8s A0iFbOFAb6eg0/hOwBE2ry2nNDIsKI/QNe1p67BNzh7dkOtaCpdP+S41fMHN7+DDTc8ZJzghpAG7 qVc9XQRE98tlRC7VcuhaWcBCS/756+9+SZNZiB4esRHrn0j0msxa6Mue915rA8zl/NbtbLnHk9rv B8L4VwPAUDN3kf1GaRZsTNMsFVf66fwjruToDaE2ioKnDUFemxVvud0kxNnSdcRSCOv6ozSeoFBF BcVHO0JS1u/4XsH2KZoeg9tCIDPgThuT+2g= `protect end_protected
gpl-2.0
342819087f57d0bdb3df0e04273a1acd
0.937679
1.860985
false
false
false
false
UVVM/uvvm_vvc_framework
bitvis_uart/src/uart_core.vhd
2
13,942
--======================================================================================================================== -- Copyright (c) 2017 by Bitvis AS. All rights reserved. -- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not, -- contact Bitvis AS <[email protected]>. -- -- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE -- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS -- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR -- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM. --======================================================================================================================== ------------------------------------------------------------------------------------------ -- Description : This is NOT an example of how to implement a UART core. This is just -- a simple test vehicle that can be used to demonstrate the functionality -- of the UVVM VVC Framework. -- -- See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.uart_pif_pkg.all; use work.uart_pkg.all; entity uart_core is generic ( GC_START_BIT : std_logic := '0'; GC_STOP_BIT : std_logic := '1'; GC_CLOCKS_PER_BIT : integer := 16; GC_MIN_EQUAL_SAMPLES_PER_BIT : integer := 15); -- Number of equal samples needed for valid bit, uart samples on every clock port( -- DSP interface and general control signals clk : in std_logic; arst : in std_logic; -- PIF-core interface p2c : in t_p2c; c2p : out t_c2p; -- Interrupt related signals rx_a : in std_logic; tx : out std_logic ); end entity uart_core; architecture rtl of uart_core is type t_slv_array is array (3 downto 0) of std_logic_vector(7 downto 0); -- tx signals signal tx_data : t_slv_array:= (others => (others => '0')); signal tx_buffer : std_logic_vector(7 downto 0) := (others => '0'); signal tx_data_valid : std_logic := '0'; signal tx_ready : std_logic := '0'; signal tx_active : std_logic := '0'; signal tx_clk_counter : unsigned(f_log2(GC_CLOCKS_PER_BIT)-1 downto 0) := (others => '0'); -- count through the bits (12 total) signal tx_bit_counter : unsigned(3 downto 0) := (others => '0'); -- receive signals signal rx_buffer : std_logic_vector(7 downto 0) := (others => '0'); signal rx_active : std_logic := '0'; signal rx_clk_counter : unsigned(f_log2(GC_CLOCKS_PER_BIT)-1 downto 0) := (others => '0'); -- count through the bits (12 total) signal rx_bit_counter : unsigned(3 downto 0) := (others => '0'); signal rx_bit_samples : std_logic_vector(GC_CLOCKS_PER_BIT-1 downto 0) := (others => '0'); signal rx_data : t_slv_array := (others => (others => '0')); signal rx_data_valid : std_logic := '0'; signal rx_data_full : std_logic := '0'; -- rx synced to clk signal rx_s : std_logic_vector(1 downto 0) := (others => '1'); -- synchronized serial data input signal rx_just_active : boolean; -- helper signal when we start receiving signal parity_err : std_logic := '0'; -- parity error detected signal stop_err : std_logic := '0'; -- stop error detected signal transient_err : std_logic := '0'; -- data value is transient signal c2p_i : t_c2p; -- Internal version of output begin c2p <= c2p_i; c2p_i.aro_tx_ready <= tx_ready; c2p_i.aro_rx_data_valid <= rx_data_valid; -- synchronize rx input (async) p_rx_s : process(clk, arst) is begin if arst = '1' then rx_s <= (others => '1'); elsif rising_edge(clk) then rx_s <= rx_s(0) & rx_a; end if; end process p_rx_s; --------------------------------------------------------------------------- -- Transmit process; drives tx serial output. -- -- Stores 4 pending bytes in the tx_data array, and the byte currently -- being output in the tx_buffer register. -- -- Tx_buffer is filled with data from tx_data(0) if there is valid data -- available (tx_data_valid is active), and no other byte is currently -- being output (tx_active is inactive). -- -- Data received via SBI is inserted in tx_data at the index pointed to -- by vr_tx_data_idx. vr_tx_data_idx is incremented when a new byte is -- received via SBI, and decremented when a new byte is loaded into -- tx_buffer. --------------------------------------------------------------------------- uart_tx : process (clk, arst) is variable vr_tx_data_idx : unsigned(2 downto 0) := (others => '0'); begin -- process uart_tx if arst = '1' then -- asynchronous reset (active high) tx_data <= (others => (others => '0')); tx_buffer <= (others => '0'); tx_data_valid <= '0'; tx_ready <= '1'; tx_active <= '0'; tx_bit_counter <= (others => '0'); tx_clk_counter <= (others => '0'); tx <= '1'; vr_tx_data_idx := (others => '0'); elsif rising_edge(clk) then -- rising clock edge -- There is valid data in tx_data. -- Load the tx_buffer and activate TX operation. -- Decrement vr_tx_data_idx. if tx_data_valid = '1' and tx_active = '0' then tx_active <= '1'; tx_buffer <= tx_data(0); tx_data <= x"00" & tx_data(3 downto 1); if vr_tx_data_idx > 0 then -- Decrement idx if vr_tx_data_idx < 3 then vr_tx_data_idx := vr_tx_data_idx - 1; else -- vr_tx_data_idx = 3 -- Special case for idx=3 (max). -- When tx_data is full (tx_ready = '0'), we do not wish to -- decrement the idx. The reason is that the idx points -- to where the next incoming data byte shall be stored, -- which is still idx 3. -- Therefore, only decrement when tx_ready = '1'. if tx_ready = '1' then vr_tx_data_idx := vr_tx_data_idx - 1; end if; end if; else -- vr_tx_data_idx already at 0, -- which means that the final byte in tx_data -- was just loaded into tx_buffer, no more valid -- data left in tx_data. tx_data_valid <= '0'; tx_active <= '0'; end if; -- Tx is now ready to receive another byte. tx_ready <= '1'; end if; -- loading the tx_data shift reg if tx_ready = '1' then if p2c.awo_tx_data_we = '1' then tx_data(to_integer(vr_tx_data_idx)) <= p2c.awo_tx_data; tx_data_valid <= '1'; -- Increment idx if tx_data not full. if vr_tx_data_idx < 3 then vr_tx_data_idx := vr_tx_data_idx + 1; else -- tx_data full tx_ready <= '0'; end if; end if; end if; if tx_active = '0' then -- default tx_clk_counter <= (others => '0'); tx_bit_counter <= (others => '0'); tx <= '1'; -- idle as default else -- tx clock counter keeps running when active if tx_clk_counter <= GC_CLOCKS_PER_BIT - 1 then tx_clk_counter <= tx_clk_counter + 1; else tx_clk_counter <= (others => '0'); end if; -- GC_CLOCKS_PER_BIT tx clocks per tx bit if tx_clk_counter >= GC_CLOCKS_PER_BIT - 1 then tx_bit_counter <= tx_bit_counter + 1; end if; case to_integer(tx_bit_counter) is when 0 => tx <= GC_START_BIT; when 1 to 8 => -- mux out the correct tx bit tx <= tx_buffer(to_integer(tx_bit_counter)-1); when 9 => tx <= odd_parity(tx_buffer); when 10 => tx <= GC_STOP_BIT; when others => tx <= '1'; tx_active <= '0'; end case; end if; end if; end process uart_tx; -- Data is set on the output when available on rx_data(0) c2p_i.aro_rx_data <= rx_data(0); --------------------------------------------------------------------------- -- Receive process --------------------------------------------------------------------------- uart_rx : process (clk, arst) is variable vr_rx_data_idx : unsigned(2 downto 0) := (others => '0'); begin -- process uart_tx if arst = '1' then -- asynchronous reset (active high) rx_active <= '0'; rx_just_active <= false; rx_data <= (others => (others => '0')); rx_data_valid <= '0'; rx_bit_samples <= (others => '1'); rx_buffer <= (others => '0'); rx_clk_counter <= (others => '0'); rx_bit_counter <= (others => '0'); stop_err <= '0'; parity_err <= '0'; transient_err <= '0'; vr_rx_data_idx := (others => '0'); rx_data_full <= '1'; elsif rising_edge(clk) then -- rising clock edge -- Perform read. -- When there is data available in rx_data, -- output the data when read enable detected. if p2c.aro_rx_data_re = '1' and rx_data_valid = '1' then rx_data <= x"00" & rx_data(3 downto 1); rx_data_full <= '0'; if vr_rx_data_idx > 0 then vr_rx_data_idx := vr_rx_data_idx - 1; if vr_rx_data_idx = 0 then -- rx_data empty rx_data_valid <= '0'; end if; end if; end if; -- always shift in new synchronized serial data rx_bit_samples <= rx_bit_samples(GC_CLOCKS_PER_BIT-2 downto 0) & rx_s(1); -- look for enough GC_START_BITs in rx_bit_samples vector if rx_active = '0' and (find_num_hits(rx_bit_samples, GC_START_BIT) >= GC_CLOCKS_PER_BIT-1) then rx_active <= '1'; rx_just_active <= true; end if; if rx_active = '0' then -- defaults stop_err <= '0'; parity_err <= '0'; transient_err <= '0'; rx_clk_counter <= (others => '0'); rx_bit_counter <= (others => '0'); else -- We could check when we first enter whether we find the full number -- of start samples and adjust the time we start rx_clk_counter by a -- clock cycle - to hit the eye of the rx data best possible. if rx_just_active then if find_num_hits(rx_bit_samples, GC_START_BIT) = GC_CLOCKS_PER_BIT then -- reset rx_clk_counter rx_clk_counter <= (others => '0'); end if; rx_just_active <= false; else -- loop clk counter if rx_clk_counter <= GC_CLOCKS_PER_BIT - 1 then rx_clk_counter <= rx_clk_counter + 1; else rx_clk_counter <= (others => '0'); end if; end if; -- shift in data, check for consistency and forward if rx_clk_counter >= GC_CLOCKS_PER_BIT - 1 then rx_bit_counter <= rx_bit_counter + 1; if transient_error(rx_bit_samples, GC_MIN_EQUAL_SAMPLES_PER_BIT) then transient_err <= '1'; end if; -- are we done? not counting the start bit if to_integer(rx_bit_counter) >= 9 then rx_active <= '0'; end if; case to_integer(rx_bit_counter) is when 0 to 7 => -- mux in new bit rx_buffer(to_integer(rx_bit_counter)) <= find_most_repeated_bit(rx_bit_samples); when 8 => -- check parity if (odd_parity(rx_buffer) /= find_most_repeated_bit(rx_bit_samples)) then parity_err <= '1'; end if; when 9 => -- check stop bit, and end byte receive if find_most_repeated_bit(rx_bit_samples) /= GC_STOP_BIT then stop_err <= '1'; end if; rx_data(to_integer(vr_rx_data_idx)) <= rx_buffer; rx_data_valid <= '1'; -- ready for higher level protocol if vr_rx_data_idx < 3 then vr_rx_data_idx := vr_rx_data_idx + 1; else rx_data_full <= '1'; end if; when others => rx_active <= '0'; end case; end if; end if; end if; end process uart_rx; p_busy_assert : process(clk) is begin if rising_edge(clk) then assert not (p2c.awo_tx_data_we = '1' and tx_ready = '0') report "Trying to transmit new UART data while transmitter is busy" severity error; end if; end process; assert stop_err /= '1' report "Stop bit error detected!" severity error; assert parity_err /= '1' report "Parity error detected!" severity error; assert transient_err /= '1' report "Transient error detected!" severity error; end architecture rtl;
mit
cf060c7be452833c8e4ebed7975a4807
0.492899
3.86205
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/dds/dds_compiler_v6_0/hdl/dds_compiler_v6_0_eff_lut.vhd
4
100,564
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block c3kt4vln/B8YI1EDHcjxoqqr64qbRZR+jmK2rleoVZPwEDNoU0v4Q8oSH36tIPNwEs03smHcbFXH 7rzocKID0g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block gnyE5LxpIjViHaj14+DkWTjEXs8vvrfMk1lQGpPau4d42ir9EeDRw+vvb7BWvQSxeF8swj4GxZCD yzz3jfPH90BM4k+2Lt7Hph2QcxAxbPIZRwk4LE1cdE1FQ7f1H7CBGfXYLJ3KBruVLBY7eKFK9L1Z xf2pmvFbavkSXtUW4YI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block e7u90o3TVbx/mcqLdoUOlvaoxvfI5XhqwHSdo/jwyibwFT3dhEkVx4MBsOpryiLLi75SSzlVmfEq +RdgR8aitWG+QUrREBp9TruapIq3tnQOMyZgv+p3pGP/qBgsNPpLiEsABK4Cg1Gt/SC6XhvdzYCJ uJ9FJEUUJmIjDhFeGag0i7IMUzvd0kjYHJo7XbJlEQy1cFcYfESQrlcZSmgr8huuQ2IXvADgmCsH TPEPD6v/ju6/TLfzKPuwwjRfZQdTK6XA4ZaUe5kPPRmk7e7/LNIEna6Roys0r50ciWobn/hMOL2E tg1+KyhCMJ3EBLNu76az9TGwOKaSXgbiOXuorg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block TNjqwAoZvMXcg6IUAwz3xgZ2uMx2chh42/oAWsESq3nXQbKMz5atQ20mJfji+91ZrkMN31jMX9mf fb2BGF8itsOlxf4ZOHySB2M00/61LGCwGwlivy25qYcPhb4uxim0Rq2lvhNp89v8r1GxtSQDW5W1 WbpWcEC/ZvbU7AAPSzI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block biG4snmqnrgdB6MLoxbnUIqCiBWaP0WbAjB0QhAenbd1OdIQVrWIzkvWe4RIpcroi75/KnWxfsJu SG4Q/rhgkZmjpIHO7A+L1TVYBXhg3B151rvAeEyiBIv49memww85EwLLTu4NkMGWKk2Ef9U59sn6 /Uc7NwSQ1Tp/raaMDU2FmT1TSzLy/SuHeIjOEuHrVfJ6QU7ziqw5UzmNhuvS8SRPKIRUaHEeneEq 68ImgJh/40EdEG4RUoeHdmrs/8BOahl03j4EBlCmXwSICb+kQrnCocvzQML+31rXGZ7ZCCkhECCE dHIcj4rOvj2O0HvH43xTaAiNMM87S3gnaWp5Ig== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 72704) `protect data_block JUmQ4BABgB76z2eHMFqAGWpNvNXCq+J3pHNdN/MEC4e3NH5DtlpF6wtY99CK0LSDsO9NT+OgF3j+ vBhAhUQTetFJ7OZ/BBuWs7t5TadZLyzNXUZ8zYJp+Nre9uQXkdjIm71tOndn0ZICbl62YFmt+rIG IjSx2JSisDx6xxaMbS0dfXdqpi7Z3GrlMG3FKhyjFeEAa/i7BpugjzDLhf2I3FdnDGHcq3dBiHoQ o8ZgOZ5NIwAA1nCbLV2oiITLhTH26Gnpi6Ba1vjUziwxsV18tOvaJnejR6MHz9VRf7I9Rg3BTV+K cqfARqjb5U9zpd4Vv35ZrcilaGeF0VF1ue9yZR8x1aBFvUMMGx2rUEKtNZz/QD8P/k6Vv3aGfdLs NO48b46d9WhLKUCQ3bnOaRWmlq6vPzNVcxWm5pQb4l3ksGKgY7joMVCWsqLRdHrciGFrVPHUA5gr 9wmuQ3yW4xANktbxO++7LuQTSKhLJ4dKBvdwp7JBT6XgX/tAwZulWt6b9puPCC3tU3xD/mbU8tui JCL/xw7VRG2cVf4hh4mFQ3LV+RinvREKNS3WbHd3jBaCtFFoFPj3NvdRSY6GrgsU6A0KaY0t35RU JtJud+0RAJru/eSnP1OpwlJT59FhYVMkUamfLCchbivx4HkiIRFYc3Pumi9hIVZpJDSCAMljwI9y Uv23eSN4qjww853AJhqm3AxP6eGcG0asvIpzjekNoIQ/wk1iuZQYMxBXHu0o51oUrRHeoWqPpqc1 +ElO4KqdZlI3x2jAFRL7sWyjmKqzongdqhtEvIbjsdvUtLkHzTiVEbDBZB9PZQaOdqmW/Eg2ydUT tNzCUCwC8Kk4F5XwqVPDpaFCvYPA8EkKRpsNl/jI50ljGBfBDcXA5mYahM54ejlteukUWHm8DwBb pdFoyg09c2X3bfGb61ASyxhOKGzD/QKm5maFd6snaWJuFxLP6op6mBMRjl2rviFtG9qVhpl69/Mg H2CgloXK+tBbEDformb+UzSlL2ILsznwy5iTHJ4s36Ssh92TrpISbRDPs6u5kmZIo8bHUEeDk1ay TyoWcADJ16iwFWaQcVyJJLVagu9m92oKlzfdVugxgc56eq3a0FBU7NifGnC1+XmY0676h3CGoO9R YjNyRIsC9v4KTNCb6DaxbpHzSXrLNuwE90kkBJSWQxpinVK6lQn5a94qmSTBqgHYhzAQxqYLG7kS bYnJg61j0rLxAwHTk0qdqHQ2HAUiJHcALkzo2wuCl63qB8lEKOJRjpy20n3UoOQjlv0JhzXwx4QY XG4lOUfMCeA29enKmVm7myBVrhkEGN2CwcO18fAgv6Ia0D07U5Bg9AKaIR+ru85eglrDmnnZvlrU 6BLiGOIU1xTFJLk8xiQ8z+d3FlISbQRb6gSd/M9kmGqwbLcAIVKpL9bEz3tGHAq+R6YBFrsabkdj VjFBxEQfgtuMrohHwWSNcvgJgwuDfkCkv9vPwoO6PX/p0W27oUGZ/1YiokgBXCzSunMS7A0L92GQ sODta2z2ZjZTR0xreuorEEaEKetG4wlyWtdRcNRNkd2h6bMtjY3IR8HuWRAPqvgNDDeyIjuwjsjM fhs13nnFq9VYvBqyKVBFjO+2u2smESbpQEF/Vgen0qdkc5y+IVXPitskXpnk3L4I/I2SjPW5gY2R EnU3Xn0VlgKhpSfotJqJtyih67Vwa/+7eRR9ZwgMT3q69XtscBcn0JxZ6lc/CoU3w+0y8VZ+x3+0 l2MrXo5xBWokQPvds94Kf+OSDmpI+N2WpKlu3PNzLGAIuEclhOVBGhd5N7Pd3pqvkY7M0+CnWrFB i8oVgdMbn55duA1ib701MgY7A1Y/10o/wyBLx+pc1aF0VYQmVJf4LcLkQ9NPfIGjh3du4UD+yqMq M+hSqhh3JnWVQShcwhYYmj2qXL+Rq9Orj0a2c7Pymoh0o/ihtcHN1cv5DVoTWHnDSmyxYqvhfQze QiZWbXeSQsPE0V3NC9hdK9J+FYrSaJp3Wsh22Dy5WcAnaqNb76vzJqp0dkTQXJZ2Jhl4bdalC3Ww SIXkI/CCZWolcDwnP4x5LBbrvn0njsop5SEReMECtsv+dqjaZRUysOmwxyVSytpFiLoAiZubUD1K Ip+9VFcV20DmjseCE8d76IEazhs6IYvYqI0Fyin9i90PcedCFawRiKgKvsAc+NvKu3p1XCrGa1YD rfPRRCBhBdgcU8PIhY1cbuBJQ8qovCd2SEI1Hxz+cjhrcd+wOuGS35Hb62H5GMsNS+f8e8jqGxaG VFzOCSxImwH7NVwyv7C6V9mXMHsf4W0fDlpmMpj/+4ZZz5t6Wf1iNTedtwgqTuxKJKYpIQzKRXwU H7S5qk+Y0gWsIT4fVdHSWuGkdYUgBH63zYixtnQ1OHbWEjYWRuUg6I8MvhFG2rGyM3iDGDL5ul9J dqvvkMa8GJfgg6VFkwdg9VIcxW60B7HQ8hvL2ZYWlbXSXrGcYEOTNd9V+zZ/Gd++Q4Ess7ebMSiC XYeyLZGDs7qAn4eakv4fFrspmHSjgan8bK0jPPqOmWOB8J+BAA9fXjYQeHj4TRIYBHjYnR14a0B/ ugsppBhvfryxVqW04sHW1mpsvtUOKsxrWy2PI3hdqMn4uDMxmYEyXYl/cbAdBvFu7HBdnM25XoGE GQs5PGHxZfjDAS+q3dgp9/ykYWOMPJgLrBh31N0MLKeBbeJwph3LwUo56YvgamVm6V1MWazK+1SW +04HIQWzsg0hlxolibbFoBSVTzqA+YGXp2kj0WsLW2v9W6KRUuZ32cTIBv+7ifjle41gzqjreqbQ 2rdd3RnERyIsIQfTBNI+R58nhewezTMZ6jWbBPo25/UBmIv3F2gYZXMFA4Z/coKhK5XETLvvi0eK 9bg4+3b4WVfM56ESyEt68QmQjGdrV5iU+Ct91Xf95XbQCBu76oVsPaSkuiixrb6759YWAk6sMvo7 bzmkc/0QrgPkr7mHZ05tBxKw8pOycEaujY5HwN6swDJTKA8AqVVWqNgfPiMNQR3BqnpLkFYCvLBs FX0kDZS8rQsxePF0nmXKDcSMPIPWdmBaXpMmN6am8IRld6wUqkvQ1H0A3tPYbxHpbk+SV8Wler5C fZmG82LhKSvYAeF4qDTSqpMKi5A3nQv/4OanuybDk+b3Fhene7bL94hMFonLY2c7/xkOBl+bBzLI 3yQp4f+0oMRpov3+4kcu7JgRiMeXZzYq4cZ64cs93XEr6LsKTXpFYZel4JZsJMR+M/tFn+D8kqvC mVgnwoL19Fun2+72xz8dpWFnXFms7vbhw5Nn+JNAW3r9W2JIv7i4y7wSTdEds1YTD5m2sg8k+hAX EwMR3z0F5GUg/iGZu5RWwiS13qMQwTGVtI3LIvyrF7RvOTVPb1lI8JnQv+zfD9LWTG5akqxsrS2A mOLAhoILdhX27/nw/wX0/vNZRh9d42oW35W/3M4cXpersUq9k2ZIZZpWW04zRFngQN7uj4hVHDAG FJPFe2x9nv2ss/W+SMcGFHSutFh8ihaXbug0d2HpSs29qwfXVszrOh4E7rSo+NxlAWHgA4kfIk0N V9tzATLGgiyHlsC2gqwiD3HrX5ThkpkSN3A1ZD9Y7DkzVvXZtR/c9bKUIKwgC7bW/PrdF+P9O3Uo aCWHcX0F/wjjZ4fPZ7p2N06zkj/Lu0psMk8rBnlShbJYWMcn01ppxjcg4NZbvB0WMepN8AqrCni+ y1jUqwpTXJrru76MXz05oVMncYabuWVICOfIoP//z92dIuTvkuqQC1vDhvCgpa2Mg/RV+HY5pF9l r6Y3K0nCEWD05uoDAr7qY4zUIiVZm98xfgrLMMqJDvQ8UdK/RfzN/z/EH4hL+597aAsfJnfIlFtR 6NCDXsapdgjFH6SLZsahgAC3N2QChsKTEKsS5XDjxNKaMX/wOkTPybR3mlmDTmqvzPQFMsQijrv8 AX8cCnqrjdDEqI/BnIMnctCEsqV7c33Aq10e2R9zlMW3Qb7nWlF2z8UjAQoylzbPfwdaeAI2pkQj Mt2lDunu0GoD/Fgug2kermwZrWd6x2DcGWQxL80kavItgJ5CFdbfOYFiaTcF9g1bo2HoqQ+Rdzbh wHwwa5FeTVUIDQ64wyQnF5v7oFpTL3WkCyQ9kx8/4N3MdShfPrdv1YzkEjGBjAQgTuPXdCALOhKX VPZxFVW9jyYcQmuOz94i8VUAFDQxjwiM6vNIO0JKPemLU7XGftB0ybNCzt6RWO2fnsoMpMTL4MIt rzDyj0ez5JdNtsxqGdXaswFlFDOGH22W160LEC0yjscIKWO9ql6bh+nKMDYdceunGef8a+fWaKxU BaOGeR4dFjGFasy/tqm/kESGEn2j7oDnUgGi2Tu7REQCs1ucGrAUI5N2xmfIauDks4b6ko7Wj0as wu3GgOVQxW0HOFZLAGB46eM4v/xrLxdBGsVBH7XncGrn4VnotzgtQeNUH4d9URLbXwe9BcLGyZDE 9cmXVikajJ7OP5l62qY3JSUmEpSIKOM4mJmMTiCqR00euFf71SnYOHKcT11WrgUYR1UgcwNCqd1j LCoZHz8Le4cYf4waoI198glX9Ca0TAnElDuKE/93fNyHRh4H+k5seQ3Ata7os5RPAcJr82WfpCmU uSa1LRRJnjZWeJd2ISq9ekjgb58QofiZql/DJn5T1IX6C9mwDKWGaYrNx0PNjB7D5eJyrgbyPb7W hTFYAMfvqr1sgEu9EL3fpJzmxgoYmDdU8QxjLYCWqQC3rT4UJ4z9cA6vJgEILCZPGxsa4lmRrtCu NtE9273j7DkUbmzRkJu3Vo2ojJnbCmHCw2Ns3tSr5aQq8l0+wysQ1TqEnI6zExb/FrffNOE+2ZBF k7yQpz1VGOQ9o88qBc6mobrGZNgDC24nP+yYK7IEJTD9fhHqT04M1LQrydu88KPkxinxsEEXG3tx q7/CgApVytyvT3voPwWl0fgcsn9GpR6tAC5Y/mz5JY5MIUItELs7hPLf5h1yxrzJyXr8yjPR+fx+ 6UIFayncg3PSDpUEIY24j+Mt9wgqGiWmdkNy6wEnnmjo61Ht7MPSf4/iWd2SzC/qOi9CogG6Wax6 jlM9GDvxTrq6LfdQGR67WgSPSdHxCZNKNYKykDpoYR0+LXwllshKPCdzk2JAUFsJe4KAZPv7oRiV o0Rlw7aUu8DQv+jdviKPSWV4DTZ9R+4jWij8TEh1Pgn7EZrNgDBReNy+Se9eI7EJ84CHn8knsB27 M5lZ41Zqbz9x4vf3VCFjOojdy3Fb5P4et1kCeJoB7B67Gj2rgron3q5Spj6AJ2oe/EPSZg4IRGh5 1nqzwu4i9TIi2maNFrZ84nkZtj7XMik7OCHbAQi/bJX9+Mh4BSlvgy2zgcHvKFq+kbAQZYcr6Lkd ohpwhnJtJ8RN9imXTe3rUwwtv9Y64KsAeKEDCDzl7E33AT+Ri5RibqKNRLqNyXH3BDsXlZ7qfYe0 oR3u9nGB06868HAT0mpW2IAYbpoYKcrroLIJspPh272NKGx00H5ncK9dqJVsZFgfLJ5zLftalGj0 KRgMCXPPm1gKTrg44qU1wM9CVCinz4SZaDQw5WpgYPaXzA/D8LNzTuVGTGVubYtE7iF3I/oyHkBh tUkTtRo1wd3pL/SwyuDD/EMH78dihgVfPO2mS8yGal4ZF4lD7weSpr0lZ6TdkzQyCQmFJ9/rXAAy MLLg56EtuTvFn+FEkl19DFqdY9CSsb8J5c04i4ZzIPQPdgNxUaLlJbL3aeF019fa4ZNbVpIxZXbh Y6g4e3X0PryIEFGWaGxdGlhMI0VKmzq3MyFJ0r1O5I30DnUbLOw2ThtjZUvCa+7/XxdPQEyuIt+G XgZ4SsbNgmn249hH7HQgQpTmWCumNEGMJRpbe3p6ZErUwia1wzFNKlowGmAeD0NAscz0YxmZ18mI ZFYvix3BNFm+ibp/K9maHXdb66XAbh5lsruJ19ebQ0Wyg/I7xXYk5O0kPT9MDeOOrhieSgCeoCa3 PWs+J0klIVxDWv5yTheepDzuMC2mm3mIijLcXKMfBdicHjFLeAhpuLlIlBgCXfXWB/JgxmBqWQKV 7N/3qnYRupGC/pPxzkmmLlUxRsVZpSJVP7t6k8aFw1muKAcJKvDJ1GbFCRmg8ZKcM33P8lV6Czu4 4vIF9jpdatgU3TNmN4MLwz1XoqvgG6pe3/RH4EwHBhQJHhtg7nQR7hj0fTCf9n718bcx/NlT8T/v g39JIMV9yWiHZ2Udu/pSouQKWpkiwyUdUgjW5Z59uZGLuHiLreK/MMSwQLkbvyl+tRcVu0Scxh6W q97v3uW+yVy0dvBGszrLBQwV3pfPsbta3d86R0AQ+tNXp/whUsR8nP5XtZjakwEQT+eC0ezgvsYS Zav2vaggUmfPY1JgmuVXe1t9Kwrsyjzy+EK/UIBzaXTWTGLnjOGZg8bQRTCztU33zEWIwoCefDAB QFwrAFdK2+POu0t7CF5M+hvd2Ipo3qL0sdmTx5wujmrdQGEju6XcCJPQmAEdMFSBZXtDWwbLkmdA lM4VYq+O3TYAMwrp6sRG2Y/VwWu31ZoWkL1isBrP6Gfz2fgcPuKII4NXyfke6rTJ7GC5KVLQ+4TC Ovy2/rE3VPUQlPbY0aWafdHVtT8upqTV6nM6Mr8ny6zx8WZ8DtmbA+kZXyQbJeG9PamASoVJqzBk kL5uegHKsda2qDzuccmUOr9RsGA+w/e5L9HoSFMiu3NR0udkz7pwxQBaWoDTG34HXqfMwP6EjdF1 AEksaLDCE60wh2b0qdDQ1+wGSHFy4rvrYcR5IuvP2Zu39GG1xEo9q2hK4ldWhh/TNO98hDNin1lx 3kol/mmbQk2LmpaF+9BrboEkF/YRDRoAQ9fenkvwd9k55bTTmz44NosRR538UIOIaMt2qNQeD1Ys gmkgEbwwe3fdaaLMJFwyF4jjvxnHv806VW56KKg/mGcl1vkdWFBtfs0qZtWGj++k4ycozl7QnGH6 Qwl2bP67mXGJ7QkLO8Bnxhcul5p9jY7nTEap84FG6eAmiz/DT37mtJXh70ojcADQi++rKXmMK04F MCqE+m2ZR5sCgAu6EsYNm3a1v3AGFGv4/dE4++UtTV+RVS7IWOcr8q+/b28x1bcxmTdqWJ/ooYXx NZbQcizkKi/SUzMIsgpYmAldiQC4OWiBtvZ/hqDEKzxlD5zUbF40OB60rTFOPVNVcx8BDvUfpTQg 9FegrQq818d3JM8yzxW+hAz6xiaIKtIIA5C5c2xbQ6+9bDPFNXBqgA91spvyUcc8SAPKxEW+IRiU 6UNMomqvhibvlm1pXeHboBenxmQfODu8P4xnG4rr/9rm967wAu86sBH4brOXa5jA5x3pmw/Pt/BW EFYnVnDI18+5w34p+6tsvx9opuXzhhIQZNS1eqxdiIujjfSpVDehN7uvUBUHFsaqew8iQMFildjj +UWKt1m2CmmwfZYx2FXS4ukS3vBfDhqK4fFe9YvqtsrF5R2gM/huJVdTPRI2XMndJgHJlin5ofUz s0aD2aPh/LHhtv4zboK4D7vOnONVgfmJDJlkxdc3ruQQaG/8Pzm2jOBqsAJORPdE2gr7CtPAvrxB jj2RxJhtF86Xa2xZfuJ36fvV779j2NRMZKQsjbZDJM45PVMFP+hvaFH1LzvrvEJRDrOTmJZzRBGK DA7l+e2cUl33YPDYRjr7E9or4PnUciLJJWRHYoLoJfrbiG6Vdpvigv1qH8HzXrccuRt1VjaeSvoR XBwcvair/wlDXXmxgLhb9Fi8MXgzAC5UAtF6DocH3y3cYgN9XQL1yU01jJyDTm+csMBnaIBDhx1+ 3Jy4xpPLXpHqaQG5FSZTbBjzXiVJ+pU6Uc3nVk6Z9TF7mEIfxqb2lCFQKwgQPUqk5UTEIwAjrAUj M0HHvw1h9tSk64d1bKHt5ZIQ9+hMIny+LaaLxcj4cnMkXfn1qsRGrEwjKu68TxJefyPxmqAPs6sz I+ttSCnYemp8zroUglfCzc1tVHkkWttrXqBTadCj4wSJiAiHGX9ou/eOygA7f8seJfP7lw1oTe6N eu3oXQ3QthlMs7QSNznBfIX5yqPfwk9CUwMBjvL5WxAjfo2Fn3FNlzkt/BYY7G4hROovGWWYObWz gWudAlc/W9mw+sHqyjwIX8ZAo9DHAzZPOMf15IUG4dB78rfS7s4IhzZmE3SC4v+KSip9kN0ziYUv XXqKFWfOsvLWICzQuEN4aY0AwZWJ/dSTA5Pk+2ofmbnuh+Rs6dJGFiruf/WuT/fsK5AoNEnNnEdp eVnMuPpLOz7pTt4gkNk32955a19lmIo5v6wjq7veTRcMZAb+ejBHPtVuXF9edwlfH1USjkWDvgBN s+NjSAyZoWzVSw027ORy0CMlFzqMWtq0ffNpobtb9TenZajuRZT+wyng0QwfGuYKCd0eiCYsusOS XqAL/Uh30ePG52hjXf+3pOVV8xxI3vCt2+a1PNHts+j5gMFno6u+Bxq6a1bjn/RK8XApnFYYA2do ublWX+I4IpWNjn5REyQVpkPm/ZtRt6QoXGIVEAdIbmN40Vxyf3iodXg/8sl7XS62hogtFryFXUM9 91oM/rELQGQ5h5hrFcSCGeD5kxx4NOUSCKkr9bCatWPLprTrPgDvoKy5rasO4WdnQ2grgkeJhG2x isrpKMsLofMOAx/MWCWgkh0DFFF4u8VI+n9ObFOvyFAZCisPFTjv/OHv0kv0lG23OqhSWSsYCvrF aLuB52iJGFR+fCkxz9ncHHeiRXaC7lnMshtc7JXzP8gq0RmeCApoKSyOxLbafku0HIAl11xh5RFR rGbTu4aG0lPnR/m6EEXvMxZ3K8MYrOrQgS+kYB4vDZtK9oPOCLaJzpvzK/EB2U7h21FSN75JOkpf ZTm2VdvwQ83T2MlB3ZpNDQltP9Hfe/2k7n8DpX6WYfuIEYWSImYFwP8QM+9RnzZPpRs+wzYHuMHz Y41nmDChm492gi5pC+NsTtkY5HYW4Y0K3Ls+l+ij10NHOuGXPy8OKyLy4nlKg1RFzZrTX3CwdLBw 4C3SEi096COH9eCMDzqeblt5AR+mEdylJI7uqUMPquMmPaeRcng+FgCHpIXt4brTRN2D5VxHKuhq u+h+mVp/HdFhTSpexNOzM6PFMlCCdpDoILuypOzEtaKIoo5NaxckOxp0ZoJTLtQcPuODky7cU7ls gnrIR7gNfw5uuY8zqbWV6k9ZyGJpsaA2VCucSxfAUFFrNdpxBjZxQbJkidqKVZVEIqaG6SpS4k60 JAGy3HF1tHn00cplBYXVGqXqpLiRPQIP7qovRNfpREogSWCj3706OFdMA6ITHuCR3fce1rYw6K+0 /shwtu2B0qb6HTVvFxOxWLd7TnRwokQ+8xodpa5qAznZjD3qTECUiyPEkm5624niPrJ5gvBjp6yW +P3KSdkkQ/L4cF11jo08+ZR4RZIbSdTqjQfi8bqKk431WqZWwgJw6s9+vtlcCZBMYMLM5W0nVLFQ l2sYvhcsFPWSUi0iV4Fs0WQzoPKl5G2mj9qWobdMOQqSofmu6IeOqSPFbyJEh+7khoHkv6aSyuhC aVfPLU4xA0sh/bd9aZP+gCxMcJ8zMXuy4zrb4W3TqUKlxeAWxFo0stWzhQLUVAUpmCfHLzN/d0jf 9OhpgfemwN621gMVpEUsY0yeKrqYCkFygYp39G5ZpucM7/5v67jfwZA8kTVBtfV/W7jgZ1ZU17WI GT0YytJnvEMOt/o051HTy7E/N+ltrBfbGVrV54lg5LYkO6Ana9F4jkCgZwGnJ4ktits0HTp3j7LS 0Nn9F5ooJrPhCXpCbJhzTv+gMXoV5MUo3lXSRKvgrk2dgz4kBR2zF5c5U+pRArX8FGAu3FaM8xkD vrmsEMsTJq2ZNV6LCVBBowee9pO8siPgAD4V4TsAzTr8Ie15Z0QuAxG6m3hxyuXG0S+vcTC098ax d4Y+36DY0RsN78ZLbgGZ32jCO95k09ubBnLzg5CORLbqxCFK8qoYJ9i+gUXdhq1FJ6XtXDWcH7JN ok7y/rjoC7v16wQy975F3qXsBUXYRQYb4dzrhtB271cGSbAsbaxGA971tO6leXiKqq9x/NgLw+2J 9oC26LbWLFCfAsl3Fh5Mkz9jW+JT3TSFboAmyCe+pbBwuC3LcwXUPa2RcG8F48GZCeQKSWDUMFdY fleBICdFQsjRy+AYhGCimgmh2b64EWuXkiALJSh9uXvR93RGfNijnbtN3PScwzsMvhjScCPw9r4i 8CuLmFvaBhsDX4vNGnoYoAaAl+KFqd6i8knjViXBc9b28npOwu4wfkoP4Q7ELU3uqAuUttlUF8z7 AQOs1carmG09sDOeDpgbJcIkZP9RvOe6hbLL0LJNfiDBDfmyCr+U28zipljOmubHz1hpBOJO+oL4 QWIhifwsAS0XMyCDU7fMlf0vqnwBg+xRgfVxElmwlSB4i40gr7QZBKvbcLh8od+OMwlPcrdjeMLe gZsIAiM/YnY7ctSgeQ/Hg135iv/uakcvmngRCgRChxFvjhao2FhTLBLpFgQpkriLy34Wb3AimYnZ R5QWBZKadi8RgikB+xQcjNtBQun0651lip/tzVSCOQSLWexCB3YJKdx3tfqWUS3IZ0xF7WGdccn8 OQRvsKdvMHQyZEzIg9j+lre4KnQ5Sa662seRt1MW+8csiLyDk8GkfpMb1hQDPHKFkidQEBl6JgWW AsvcIW2yoGxD8qNOuCVOy9XSN7Yabd4w4qQn7iqBiea9mFD2OYkHvX4q93CSUVR/F7I+WLlNKpYF /RtV2NicdGWfzJdY88SiR6BdPLrzE3Qgr4sAh/IpLyedcDKIhCyh0srmeVjSoUG0XL6epT9u/KKT fqDiG71dTwv1YEQJwsHCOvO3POHDuD9IaNk8v5lQS07D7nKl1UJKqPijIwWAYVZK5IrRQYV/iTYL E8WXW1Z6NXW9abaFJSW3twHoDESw0FeIlu8W8bUAjL+AeZVlhDND8MGKKel5xg1QEy5rnH+y3CvK yFOYaNuY6DPOellMAfFOPSU9CpU41PHmGC9UyOhFB68LAj5Z5m7VCk9yxHr9cQpnq8kSo5MHEIeo HOPtu5S+LnVbcnuIIgDhFQO0q0753KQ4JVVBBizjWq2AL2pR6POjgqW6ixEGT+/JdV5JzKK83jSr ZF3T/n+XqVRQ6EyPbTtrURF3GEG3BKCJEsGGiNqxI7WEEtm5FwFFTjEMN04mCzPSbdSS1iXJKLZO 8vHvAA8ai3hmLOP4tVM+ovdtU4aSb655zdbPq9G1O5j26zTMbQ+VNLQIMpVAdKoIFnvusIbsGEab 7yg1vb8pSCFOWUKsa2OJvCC/2bP54MQryeQtEHnOH1NtJ0r4Q7plLE0n4sa4CEgfOUE0UcFRq8L2 FqjGGcRoNlzhIqtGMxFS/9eKDZhhQ3WUF0HSERoZ2VwKi+irfh6XDGUaLD4Mf5wQZxEhjvnkEjhU RfEpTe7XglkNWTvM2e4TlO7mCoU0a0fJLiAnbdGdtBYK839gdY5IjTdL+RD2pHxXTea+HH8NLVaq BJs0xKLBKv42oEa+SB/dYYUNvM3q51nBlKa47JKXQDNXIxnitCKCM0K1jW/BLZ4xXU7tv9t0zhw5 adEYmyIgT30hwA4UMNNGG4WKvUCuc0rgRLcIYnBIG1QDxxXtWeendRD2t9jso7NwhvH6taZs0lrx +f1x4BCNnowQVgwhlwG6PaA3S+vlfEmg464hL11MElf4UW9XqccNIPoARK+c+P1T46H2t5zHTNtG i3BRffprAMv1qmIxxY7e28JkgKImRpvG8OFVGKxl3FhFiot0/9S2/6e6K+JCI1GmG0TxLBMijJ9C P0xva+P0CGjdtjdhVdMJwuhjsTfREYsZFix6Vg0a4k+rT38Mev5MkthwUh7fh5TySyIk4j+tDm5K ThVujx/sMXdhePWvSOY+hC94NX46lZPMQNcGwdLFAdO5/rPudU5HxPPlGSd8fKWBs97HXW7pVbq+ 4OHnQkezZznybzFcMTh4v07D18sY56bNOBC0MHSQ+hiSiOAKJWaBRvvodeiGa2JTbQCgkKUS5OqN SxwAAI79UHV0xV80qIfx7S50UMamE9/6sSmFw4OEBxe7A1mTuZ08EU8DLGiOyctNPGDv1OSPUT5x lsXuwMTFEwpMq3G90ngVPwos9yypxZcunS2zGdGq+Rgb/qASwTaPxt9gXeiBmoSqSxUl3tbCkUSc kuNMeXJNIRmXheYn3uxPsc/ZruVB6L6LeUmzX7BJbR3rGnE1Jz6zEajPW4Ey6BreMyaAQqq2BgbN MM2sR3tCpRODeNCNxOAnsSLiFllUJliocbDuxpW/AB8lgiY5hlxwTVcBtvxfghGQm9WePKehI+6L u/VLtGnzAo/47wtVlCDvTAZAaCPM9T5D11hyJn5g5wW4yE28/yZCFh1S3LBBX04y93YC3b9tVW0w IWRBYHNX13D6D411wA7ECCJJYsLAdY2ETbqW9crVkal25+iorhAbl9wTzR13PviUMnDmBZVfVn95 T0MWGsg4p8j2DW2b5AG2V6JVz1PSXLmtQmW0V482PmyhOflSQvFlgOoQ6YOTRSRBSP+YwU62hru9 kBcu3We8DreNQCKSFHm0tQdNmzc4t88iTIzkm7fGJLSAUPBVWq1q6GenhCcEbBTtc315g2sydRy5 WVx/BUvrMoVlLpCHUMRkg7Z0PaNnkenS7Vrnl8riiTXWRH0Ejlp8q4qWTz2Hf55EAZJnbZMfUlAK 3gBdaGeQGl3v1TnycUf95IK+IO36TyEePrfgqUKqeoL0BU+SyEe0RpzwElr/OoQroruX5VSDcjES +2H/3NUystYicgS6AwXGdyNYCKI9Ycmlfte2c1LQbnaGCKon25ZafxXam1sBQhJT9LPq0Hr+j425 EwydUQr+hQUUCeK9hObJnPGw02J5vOIcHTQoxHyBQj5+jnB+HzJkJReAYrG5Fjxpw6D6mtVIsC4U jjZLzeKFvUdPq5YEX5bRsE8TpATf7asxYvAcZT1VYPlo15D+QI0VHLZTDxvxstfZIqd6963CPFJw bwftYXs5aaFajYZp8vnq4sSoyHfqtLUeVPTbB5MT+TNT5pUj36/7KBhOu7AfaNJvdyfNsg/stFWT 0wfDJ1ZmXU+6JDq/YR485HshgoHAJqlpwL+GXom6WZ6sFPi8//n4h6U6TEu70Aoov1/3IPjv8L7B rjDDks/AUJkmxIi7svX44sPEudzUprvu9n3uBa2SGhATpzy5aEzAY1k9hiQnh6Gvjl/bpmSyFnsM x4vL46EOxIG/Fddv52hhNHpfYuI4mgEmagOW+Xew/rsuPWDXMKZg2w6FEMzee4TOigD7oSmGrI+N qQ7k1xjd2TUNxdjlj4otBxTTd+P5qzihz+NnyDYEvm9ucIIlbePWEAH8c3UQNUtzAmIotkobFsSt XCM1LSF5s8/v4pgNmPJN37BaouI8uPsQzTtvaAh6fWrLirVrJJK6w8bDMqfxCQVfbTu4JE1Y7Sba RXR9nAz1YVsFRAehJR48k+zEkRrlitMCqga3WYt6ogAfpP5Xp1VRzZzGa4dDxXYQdTE7L6BRhvxA +zLyqS0vLj0MGo5fZ2RgBSG3nrjeYFFDQh0qXPeOnjNtJcvfOR9MghuXPQykQ1gJ+0b6yK/lGXx4 UdzQT1j1p9iP0WxGx+Vgr/PEm9/arthDV4BitNb/jwik4QxBiKhX2NTNWmenGAwfxUjjJan1hZxw /mp5L/Y9BM26hrqH06YFBFjE5VlBWbH+XfwNbhPpzg+1tWNdJwlEpUsdm1W1cFnGeS06oeyYXxp/ z6eqqn9fJoLx/uZCSJiy4KQFykK0kJUQPPQONZPOPAyl73yvXpk2lCjys52oqGM+4Pn0w+gOh91l p4WYkTeVnNN7l1xP6ZjX+T+12kW4plPG8kodzOSQkATCqZCooqndoH3Hts+79zvusTOhcFqV27nj InxbFAWJKRxpW/3NyfS4g17EjzgH3V82aesZozDq3AuzhwTj3F1Vneud4hC0BmGgIi/cahjAG/ht XAPPaHxKld5UbSmxPZXPLwT8irrrz+RJgtg0RVgD+KP5vnIZm6x8LFzEPIgJkgfbxMwB5Q0Wi/ce DtmFk8RSj1EF/x4WEsOvgRPrLlwWdTTCbddbwQ65dqzpZ8tfNsJxTQk9wdd7p9I9pPwMJL0dtwSv p2lqXrrWFK2ap9F08kYXIA6FMykSUbaXCejXVo9Dd0ek2T+Gesry5PwiUjmzqlh3mBrdyMfdlx25 0VfMFhiWdTqdbHW6TH4PGPSQBCH02fcbaRnmfnefffKalkwGbhJiFIpeX5GqBr6Us5iE0Zj6Ql7f 2iviXpkN6MGQ+3sIBjmm109xJM0Lc2Bejz2mo2qxv+7ZFu4B2srHcgzTRaySY0MaJbsbIyuoJMkh qmLqkJ2PgIZKk1aCEeADIziGyYgG2e7Rj33/QQUBRVGgsj7fV5zFipBIDxZFTGUZKcEI4c0XLFlF kuwY0vk9OreS4Sj6ZxVYVC+ntIYfWKL/mW61ShhJVZ15jydiR5LeCir1RToZJ25KxNDwgDq3ac9S 3clRdYh0nfFd+sw/jSeV9qPWV5Pq+dk8+yY34/vtRaaWW1SvP7lfr9tMEUOfnHyCLQFTZJxNOd0H 9uSIH+oRucIlOCUpUgZc4cyuUIKH1RKcK0ADB6G3yopUkG0RXjpKf65bNyc+M3LEsGU/NDAFO73c 0cKrm4l9nsYgDojajIxpEP0CxuABZAQcRWS5cDPfnWVw1fOHm4jCeLnzS5Q+8zgVY8+GyfMyqQZx PHUfZ9jJiPGNOblIOTWYITMBf1iprlL1q9XxjydoS5tZBQKwloQ254KgktUk+hk3O+UUZK9qOcEK akf5KFE1NRsFjzWU73/qfQz14wZ8Ktl8p+VnD9z29VibC2q3/HwKXT+Nl5aIuyRw+Nyn8zbdqIVN aEPCeItt2ruWP3/VKHXEzqqqgv2WarWcwjV3mPUaxreEKpus3sOLAFs5TS207FeUt+5IJeGSY73b xVZf/sIUIHCgCRrPjS81eDuemykMJOA3FY4VIxfklzYaf6uwA1s5ndunvMwkDViTLCy7GNa3x9mx Tbk/V+DtCH4Wxz50SDaAnFk1WsHAZZAzfd35ZDW8IQOoHxJlWOcV2kdFsVV46u7oiySlsnrxuJe3 jZ8QG5x0zxDqzs+KBmL0Tch57dPWmFzDH+vGVPbOpPmgkv/lDxg2KQORqyEOonSIqqM8Thk9jdY6 mdgI3XPfwCeog5SO2KlhpWxDAhXSh8LrfKAfLPt4p5dshkXYvAXNkwhbL+yWPDZy5FYnh1B6ShR7 X4MDO7gc28l/lCBd50DvUY7v63cByE3PVjEZfi4eLHJjgyswtrMRyC8SRweTt92H+sOdo8QBzchd HXeRJZ4yM1pRA8x1dsqbaTJmZc0yx9le6j+ZWsMPtTEfNlFURDDmxNCkTh8UgOW/c3r0FYGAfKVj iUJKJ3a4Ue99o6AKb6Q9lzcHMIaA4eQDJkFK5/amn8Bn71yYfnGzc5YNwoi4xOBXnQt9RGHYnPF5 rNu2NUI31H2pGi2cab9dIHx2LAKMpQqcjRRpkHYwwR3TLz6YBrycioHwG8YlSWSjgS2QMq6IWoCX kLTAVuJrh1pYn7qDkGVXFU1BWjCdbkIewkEbp1c3OohjQU3B+zYpI9Grv3FUvFXzMhGCVfUVUIAX BUIMCAH5bVBS1vAeyX8pDHibBDOETqGQEYc0J8DxjCRQPnkGprIhusgZ2MEdiq185fpWNyugZ2If fDf/raS0gAFyJ+9i5+1uNXejlxBFaJOYEBFiOJ+QcWvangawyw+yarSUthXB5mxLH3ZGw8c9yCN/ z49v8H5GXSNoua/xIg29YLOYi/hfO/pxaFkRHEiSCNHV8UeMjkFJHey5X5YR/ZR+pBWqMxlT0xBO aYr84nNyy9d9j8EO6EkYVIIZqOoLxQjylP7Dk8u6KNkT8R2muhGs47Ii7eEMkKTCITdzbV02t21b WO+oTrn2lhm/aj8DKlsrbk423Jrh2NDzRMqUTzGDIXzFPDZmdaf+e5fjp64wXudjWsyTHlkKckQX RMeFAi71aOBmRPpBvNdJiCG3SW8i5i+QThpDATYXFVxXQJz71zekPThBOZamF9k7w7zNMej6zBjM t913hY2s05GbV8P0K4LMIV2vL47YEpF6jGhxMEwC6ToyzMTsfYAmPaRViJGTXgVVnptmhsqXlmkt sjhA0d475at8Jwueve8lHvIxJNU6t8U6wHZe0bQMga7p0tyq/DKtxEpIGGzoeq7bo930BLvavhJw e0uuuc0PO4nDTqTfrhE2UL7bD8J2aP4QaRsOWn3qeHPBGcpEivPcbBYd5YyHarnpQhlHEJQY+SLp 0PeH6LuFFARvC6n/Vl+qOxreT6cy2uLYuKUOc5ajcMOwF1PmWsUFUcCVJEtsbWkvdQ1FoiCUiHV7 29Ih/bFNWaAUnh08/jarMAyGGPGfeoKkBZvc9h6U1P39xM8DctB3+z2vevDRA/C715NQbTkjTDmG Vua8Xsi17oEg0Mowdfw5h7CkB/taHECJxDW1DPgmCNv12hOPf3NbjiI8GXdmAVuQtJYPGSbSiAyC OVoAKxtNRLYU07esZ3VfuThabu06ZRNCBjoRN0B+IBHQ+iUdZdy2mak6xAlvHZK6R+Eps3d6XtvT vCYdeRpka7wMl4rT+gkPDEF7YjSYlZ6kpQ076Jj9LtF/UMtoymI5lZrwYqr0ZqClBY710pacPzqv A5Ntm36Ca6JhgYEQiU1M6KNWzfwS1injhaAPeqvuYOkOMrZjKgOjVSieVAD9WgWM/E48ZLRayKLM xNtazfBeL4+MJfyKWQq5IlE9pfFsFwV3cboxdOybKTfNwQCaJ5aXU4gkOhIXaDVLHKZC8+VjNHTh HdIXKukFdn11PXBhGOs8q3+0ilmymHSdBbZuXxcMjt99kM7oTc5rgnxFWOMsLmYaSqulyKi4Wylb NguiRjeiA6m6g4LDNMsE28c4Mia73lTgs1szJ37YgY/0PAemEaIn7t5ZtkzxvMmdtW3+gOXO3/X4 iqdGSexacSUi5bu+aF3y8H5b5RHt+0Wmp5Io+SXefPtNA1yoBwKQgzbv5GQ9RJI3fHUyevCfhaK8 oyNmBfJPWZV/csKTm2sjQzhzLok6r7gm4xNTL63jqrACBW+qskWxqqUy1Kj+UuonQ1gBYwI2Ltun GnPFUnc6Yqs4BIe4jx1q7Y0zy/cIlWdIgWtgOziG54dYCtVDdyHDstifiCt3JttlhYcX9vh7h96a LIax8/6tfRP9QLqpj0C996L4+C12ijxgmlJz7UwjwCGFXrTbJnQ+oFQ5Xdm/JHYHMM0Wl0s+x7Sk zC3Z2PnDoNQdAzNoRZDUGc7VS80RpwKML5heQK7YDuziUA9zPCNJ4BcujxJ+BCxpCdgnxWgrzWoL rVDTtctqgfonr/8mrSzN5mYGVE57af1Nwtingp6LwSNNHHCLX3gcWyYhCGBfSc9CD0nZoK5PoChq 37cmnAYwQqGEs4t3hHVvWjZLK675qI5lAI8yolFR0EMuScqzAEp63B0sTuhyhpD1h08KZH0LKkj+ IzJVb1bDVE3yviDDy15cJFKYaGgJpJ7oWrC9OjuZf9vmDGqHiD9GAG+xck5oNBTe8zL8OJObhtK7 6L55rSGU3PUmSrUVBkTZNqzy0hfS4jiu17riBmf4Ur8YGBSDL69EHfAEy5ODPZbhdg2DHfRQ2Xad sLAUcTKVbldCkyTbCu8dL0zQbzr+dAoXJnz+YrZdwb+1elPxm8IPZfWPnZGp6H4tfVMx7dw/QAKf /h6Pv4NV/B4PlvdI2/2fi/mLOj5O1Worl2Anen53jiJbYknIiCikt8Quy7U08jXF1pk4q4wEKMXp sHLeaR/Lfdzo1Cm1t7yOt1wRjQumhIG/e571Q1vzajW3omQZ3JzM4YgvExs7eLWaSGFVpgeOE/4n Lmy68jyrzHX1nxpTI8OVQSJajHpRM7l7w9pzgy/t9gRQbK+sfHL8AuC0J3RhorAcl9hPEU4oSn51 P4bZoIDkYX+QoBIznchiVrywVtQTNEKxy+Lz0llFUwBzrOnWd0RbEIcUw7RvCPzTguyhX8Dq4cJc +UpXjPeszPIW84jsZN/qLmNa+xlamlBzomUFZF7FNX/1Q5istClFZbz7/7PWKkzgmMHo9D7KMZmq a9ixtclrg6/gzbugW8AhOHli5xeRnSzUBgoRU9imem0fqmv50yE/GCdqNwLiAIE+I6lZDJZyIXmR g2UVzf9ywJDLc474RmoFuzpgQH6frw/0Qz0V5XlcA8FGyO9McF3krj3TESgZEcT2Z1yBegaapaN/ t1qcAsadvARW5fW10HBW+/0GBM1nfziNP4a0GG2B/B82LGWsZ0aqjNnNOjtEwJ6wnerghoUmcn/y k51yS+XI36dAe8jRYL1pyF9rd9gppLjRhaxVtveOvIsTeJZ+Vcd59e+k/8LnwiElmmeuCgsN3XSk XUSyg6XpSCl/m8D7aVdXty1Q5GDwu+qnx9keYC3LgkPebiBmGb72gDg9Aao/Vim+s6yX705V4znP GbAlHvqBX0sUy41BVYwHfFzZZO/EWB3QCM9GecwMD7m2NhKrroLaTvoLrnMUz5OVBuQL2x5IKiFF tAsma4nqiZbDhESPKUnd+uBrPSd4v1mtkGe2Oovs2LBqYh5NmJpOkor1E2rEgx+kvmcHFmu1Dmx/ xzZyiZ4YXe+9uCpz/Z2TTWIhMQ9K+tQ+A0pHTFYAUvGBbdtFjwKFNo32SosKeFkaLSDexC6GWyVw pVQnvvXwo1c4sUrPrnnFtEND3ohhv2+0OsKZnKxZ+qxcsfR1Zt2MMS8dgrwEXPaEbyAVtKkX3+I0 mOkcyBelsLBrHS+EarkuU067Wsh7NZhALtk4A0AVZ/Qcczmlpyz3LxuYCx82iucwou9/ARImAfen ym9NduVmQtW+AzjTJWuqZYi1+Jr0qllc+aPLFyp8mkQYTfjRTB/EPdOuDVGWZ9+bHTOwt47Na+rV 9uyLF64BYaeyi83Dv2VO5lF6ImHNMBij6ftDSOm16sVxAw2/gyYjmhDsbyvfea9EYPbaAAf68o0N uXoxILxnTUjhuJ43MjJm6WsJtvPEtHomhiv2ssRcUPADEq4AqRSgZG0//QPTqyGbOX+O/kWovTnx uiGBlAaH1Cau1PVYC8RknZdbwb9hoI+VnLC4zHZI/L17kUxq/vf/Ct/XjilgPB0SJQnYw02Cq5cs t/sECnzyjQN1/Z90mGCITCGwJw58wTT69bam1aGILeVtVIC82+15TQ0xcS7s/k7yGEcio4OEe5Wn Ie3tZLTINjVkLsp8cXw0Fnk/3ljUYScj9nd3rtKNW8abRMY9AlSE0WuQrvTwlo1fP2T12MYDlGH9 7W+gYGo++pb/ILbXhYD3fTkSy2y10UpGnc0GyeiXwQeQZOUnZV2yJ2/EOzl+yxgkshAq8P2LlkLh 1jWDO5EFGn7fHyglTpLaZ83j/TbEFG7zPQjqLqIZnCxB8LVYhi4uZnI/7bcTGAZasCTDGLtKtR7c FEO/r0v9s6vB41PjP58p8TYDwcX82NWk72C0VyGcW0Mnn0IgMiOn8Sf9Yzvyz94BDi7eaDEaGGKz S6rrgx1p71qybuMBDBI/wUiU0DlU6DzGkydabgsks3hicDZ8n5enM0MmnDs4/aZTMAJSf5YYBqHQ CNEOADdac0IYBxW4URMvLSTNslr262eN9hUH/5wklgR5bSmr+jQqJdH1KFKSLTFBTPWYhDUfujt8 5Bnm4hx7vo5flcFLkqmxtDmtXtycWjer1S/Jo9R72wbGUOjOR+zC2hKXP0uYXzp59CpeuGgbC2RF WF0dyhbqCdTRzUW/D2aq3Hug2qZcwpXCMODlckLH/lpbdWkIC7kV1wDYHAX6TVY9yGTS4UkD/VMz qoBeT1xGOFqhLpiTSpwwctBS9B4iH5boj21/DgMJUVWUGlTaf3F/KWtMtshVSdK+e9Rsz3UEPVju OWlw6u3CL3z/V6xrpwr11uR6KST7HuUCUfSd6QtPg6jREKgugmUNqm+k45jHl5NbwHFnFebUV63n 3v+mIx4l4ClwN96SiQuWLAkOu0dArBgrpsqbrH8q1rAlkvKjxjR3bCCQNpDdYQHxsxgptDGWfHOu IXP4ZfvoOsQqe16LnJ/QUqVwPQdfDVpiqQX3dC8OPK8DiWk/I2ixYRY5aIKXmm7BbzxLw9aPyUWW itfghYFpjxJO+3SqG+1uKZ3eWRnb8+bictzmRyU+86FynbPJhiusKBNZOfOoKOHdgIi6DZQ6/NY5 N+2Nirgk5Vmkiq+TlU/097ffYl+iswmLoh4OseGgpk3Yw2NeKzYaC+pVQ1Jb5uLslAI9cwQA2Mxd 6qJWDm5NASg5P4E4WWu6Ff1COJtG/lvRIFn4E5ExMN9Z+EcFOX1LcwpTV+EefeR/dBoD7nvwYxBi pLIodQo28AQ5PA0mIS4neazloVV7qLipC1Y0AZALv92S1xJxWIGx+/T3glizFHKghosSgqFzcJkX o1f8V/XnzXZjim/bQx5smf8FJ0pa4Vj5kMAbDU9pXQA94YtFJ+3sYwhK0vcqxmuaFxP6pLfRZf09 5YAn5JU/b7IctxT7yf9qxHrv6PyQ/GfZ0dDFR9CBc/LBBSNGDKUXwj1Zdz/NlSYltlqU5boZ1zw9 SdGRNnkL1jPklOU/Bum+1k7tub94xJZHGPGCKxMNzPr67b+1RTG1ajZYSG/nOnIZzgJ4ejekbVoi jAzBsDYF6ce80aTcKKRQqN9y0bCuOw7GybEF759CawbdMtX4rBx/OclyNSNJPd5gXWBEZlCYPMF0 /65xtkNWlb0vWgqCO6iFZwRy+gb+51U0k/otjZGusxllrLIeUGN+OI+yTSValsXpigYooRAdgVRm ZUuh30T37Ggt+4gyuW9ccjtb4uvp/hhO/7jL7lZ129laYNSWsxLLXkgsU++aM6eTGl8Zi2KXHgQZ wfuR497UnVLyWMGxZ95lVAZb8GOH7s0LGcKo/H2j6GdeE5dQkEwHe236FIaOyNi9E21daQAlju/3 ZgOzO0fvsjPNReyVKxW54me5fNe+dmk8oa4ajXGn7KshbULHA1xy4V1nvJoI7Bjx0dVbPnvd0Oij /iKMW2Z0NOD5AUr6Q/3Chnecsd1yPP+QtJD7jID1G1PfIaE5uXdeQnmnB9VA0917kv37wXC/mJuf LD0v1Rm6OrcD/itwAH+HM8XjHwzuD7QJFmg+ot8TQfeQYUwTKGWFbpnvxsv0khpKZjIrVjSei/mI Vx04DjzFZgZnml1bGg9mCaIRB/61MVnMr8q5vD0gp4Q49LQbJUDgNDbzre2MBZTZ2mxlqSKgCXMN Ra603ccTnWLM7LeEFQFJEIizWcyxR0FuXJFu8zDl5VtZ6/FcDDIWB8aahUWYmxV8tIZOMqI4boZu rcE89sWrV35QqdCykhNJWFxckSCcrcy7uBRkQ+KohtHPtkXR3KAQEwI6ku+BeIWT5K5lKfaHbQAN bqnMQwnNQmExqmVRkIhr8uFAT3gNuq81isX2QtbvY4r5q7kBXRSWtd7104WXagky3WUSzdf2qFpZ +5mw3Oo0o8P1vKuDYaDTCg9+64baLgnCCfQuoPYcEHhJ7qv9GoateMBnJRNBbMMu1Oyx/Pxz3Cnc Yqok/bLwILnKoEMQRvMBm2s8HzkAPV1d2PK4MoqkS2bQXihEipv0nPY3KCiFSpuUQeOL6m2bKJPy dD7kLhE8prnaUZYWPe8OlZCuqgUnQaOG73nP2Cf+CND/iGwnomMMlhdyGhDviNML6yD0eCoRH3UN +240q0w+597ySLUSm+p435WAWT/+FSRb5fHkz5drze3wO6qyl24RMDnpXtd6P6jiuhqsTq3ttNeM l6FAR1WeUKkRgkRKP5Y7k8IWG9Cv3Ojt0ZAtEcQmWuC5MqjLBsPs0Sajc1RlyUl93fnrKt5c2rCa zG81l2cJlyLFc7i5qccA9z13Tdf23l/t2NTCLyD3yYe8eb3VqfXDbPlbCQSu+3GrBzlpFIETn3F1 HEuKPvDuygPvpMi/OV6/vMMBRb2HuKDGcezeDAheeVHhk49c8EGPB1i8KjXA0K0qDL4fpuewf5+b GlE9l6GIhW91/DAF4HgEvzcxGqw7Q1HJQ7fVFMZGMFhz5CMYy78qI8MTfPY9ZiQ3px9N/xDOnad3 HtsEgHmaxjdgnG8GYuhBLkCwZp10C6S213s36c+Vwi0apNDRJ6tkbPBipfWuEVYm0KAjp2rpZPyX rv5OJluOerwS8FnDsuqrF0s0aXMLMSVtZMwSl5gSqUp0UZrIevpF33ft5FV78SLNl2GH4faA3HWP 77VnLSV0JbSxV9e020/9bBEs0grGodoXpzjt03adcf6cJjYIQIUA/86Ic0/3U+eKWjBocVqu/THh 97awPFWatkX+K7Vq+nQeB0fQhTeSH4mjRQ8SKbfBOwwLMXOgSy61Tg1fRglQken9KAItaAztxt/5 JGfMuHptpsuHk07oPNU1j2fuctfF4+LZlJT5uwC9Jb5anKmr9Q63eSkxaK/B6MUREBidWg9x3xpC W/yCOvWEzN5uFMqixYlnHAaTotPuYNQD9rdVli7Il1b6iDAFHjCw875p3Yiw7c0cCtLKFNOKkOus JnJ71h3wga8yPo6vcK7+UHe8hAeIPLQvRjkrYPaxl+UP9gK1rUkhwjHVO0sHnaU2Ky/NS6G1MwRd f8WMT6pKPbEWjmVlpfXRPr/Z9aI+jeUyVYNWucwPMxmwQm3wmqhSjzSN94ummdhSnFs+QgC6nZrz pEhjjqYQtaHARq2IP2/o1V79cJJ11c9yhFawdVNU67jnL6MrKsS1/bwfOwX3VaeBvaYScGf6IldK 8uX/8ToborvRLVJcZaOOKl3vz6oy+7tlxKt9iINLBj0+SNjsLF69CsFZlur3z/gsKE0DnwEpz75O TZTbrOdrrJ3qt9owcSrE10qCETyrt2CNY5KML6JzibY3GvtUNOs8PuUMTeos8VUvo0ljvwX4os+s iEhrGvhHedTYKr0159FyAjuA5JE/6NjQKLgy6l8pyxQ1u6j/iO33UWyW5hB/wk1hxYB0lByZC0v9 WZd2nFM51C4F7wfvw14kQRoV4lwKPqtgDKsrUMW+dNhcVbd0iN2oTFViTXaO0hAw+dKAxBLYw3tf viGuT2f5CS9VKHpTLb+pgTOw039PsLABK2lAmUjxmCMqXDIeqU+I+iP8CAHnKSZaAlduvAB5ASa2 RZviTZ6/7eyQwv4ch0P9ObgyXRYLJMilNbY7tvsHlokp08kzs9K28N+zlv1Q6huaTekKBPdcms8L njz3AAut9bXSZtcQOy4uPJAH2ewNrSgc7RsDWfW6UMXY4GrHVe2yxHIOnE9/sYyzBVylARQnLvjU jGlEqfcrl/YiWLPtAIX9s0fjQhK3uWT09W1x/FlW0YPQ2pX4C9IEBRf0XGeUj1rjuthOo3GOiLhb g8BoFMbkXqXeiFIANxzxbWqd5yChlCTiUlZjmat3CmhaZUY0wejbA7r9N9XI9oHI91P3cNMwNx9g uWANcxuUEnBlv2q+CnY92B7Ej5Cee2Xa0NmhqelYD3YG7NMcuXPx9A3JDV+zdZ7+eNXs1ubqqaMB Xu8TJp60ANU1YTUxFL/QiUCouARW0pH0Puavco2OhMMRi5DjsOuW+gm3yirk4+dJ22XiKX2z0VNv wJK8CT1qc9kQv1mi974lrDgb0tVz+mU2aK7fhk0/cIT9Bg8iiYZk/bVFFWamiY2pBUzN43V7zlhm B+fW2+nbZ4HDCZHG7dxEjHUf1o3s/VwQFEtgU83pTcureiQAWS2YPbAqCVQhtS2ctHubEnYavxcj dRxO461or0g+egzVQtQrFDcjGAQaNlj4tE8T5twHg6iEbXaKFQeOHIXVfdUzeLv77OwAPV8rW8jR l+IYdXsFv1ILNBrjU206u4JntzJpI6V1mVJCANbubt6356TlJmrh2V2J2+d8uCMBnFqdQS645UAO n99wdfTbxn6C04dZawALot7CbkEF8lgSPIkeyLrzOnRAR7ce4g3fWzVz4gDNtHi1UYEY3Ewj1EG4 wGA++DOW3bGWnwj7dK2iBpJyuleWx1Cxdi705D3GhvdNVLbEWrx6RwUn2FrUGjAf1cqEYD+tswfT 7g7BxZ+3HbRcutF67FkXqQTi7vqa37GQDHVynmGXodBE01Trw2gOFnWxFI5mEcPErmnbUW/EnSCQ OMRkzaVnyH/m/XTlYDr0sYw4DlKg9vJnnT+7oAz5OvfESrfelhAnZm2mzd/GQSBHxX7fl7dwgBJR +qHF7eOCvSVHza0MS8pNT7lmH5zu5QS0NiNF3+JaqH15m9lIkAgRQ6eoqF6fLUpCjRCz3NWiq1+Y R8pgZHzaUEzm4tBArVH0pxTa2A36lQmzY477cnnEhHh6couCp89ChNmgSfyTiwmWr4NzbwMej/rc vBU0ed9TOgsBwA1/5ufEFS/aF5uJqNx+XH/HLLc/frNUAK/vBGIH2h5aBiXGvLhzXeBliIAdERKJ 4YoGiZE4bdcEFbDPD3eFGQLgp4ZXieLfgtdyXyau/W5lRW68mmP3UfqlsY2FAwgwuomv6ljoPeqL /uDuLN/VdHGY0+4QB4gF2m0kwoWbdLRSPzo02YI51TBWlxqQgxtm1haAsuhdA1O8ypEdGpo2bi0w sDoCX3rKfO5ZNkcl10SuPJJvhgwF0H+GM40eob37A2CnI1l2fA9ip0tVk1Hn/nJ0bt1NA0dUhuk+ QYQTrEi13izbrZuRCBE+tsuUXTqSZZkH7PRKarRta/KmjX0XF4AHi5OAudQJVJY+JX0kWBoHkJ7z HjO5KYaCFzaDpu71AypXVAQ2G8C7ZkwI7O0Hn+KP9hkpaUSxfXLH35A5/SrLUKyPgC4TL9IE9NLY v7L52JyRXer1tsq1V46U6Zt8uluZy+/VFNnPybyiRox7NdUKTriKCwe0QzpoVdXCodXuTAHbIJKY KV9UfjqM4VBJHSFTzYpxQgulAKEXSYkT4xo1fjBzRmS6+Lp5iyg2jjnb8M/I0T3E9HO3ikKVkxyT K/Q2gAwgKSg4ESBp8slEDiLfLnKUv5IPUf8vnw5Hkx3Vy7+HQo2r5jw8+TEjftAFUGCOloOHip/g Jb2nfGYFza4QB9kuehPjGro2QBLONjSOA1SLveprpFH/5FWqO1QV0dgBphQ+miFSDsW4b4rSZk5K 76SZyx+cNuP3cmTbGV1MzGSiofDbniocBm8ULfUtwJ7aHLrbsvyg+YteYe0NG2Sj3BFYDj54a2RN R14ykrENGNPqRiEs3v2/WRcQyG1u0/1+hGO3x+SJM3kvxCKbkCkogqQr3PW8LWItei04MVJKKgCc ihARSOMv27tR+hzduI5QA58tjSN09mDrl6l5bD4rBdHhrSpi5o2JDAQ0bqRTP+b3MITbeXIsRnLb WmrW3hRXBWeYU6RaFTZWa9brNj3EtjrIuEGIaWlA2bFBay7ELQYZD1Ygdp65giA2HCnQbZfEtaNR BTLbW79gzxAaqkJoXKJjAp5ma8PsZPLcXikC+g++5Y1plVAxl2zTFqwvqNpo3eRx3oumeEu02sFI qFZJ766SJtl/VhQo11MplqcqTEJR7ZTO7jVetpH0t1hVQDIVBNKxDuYKuI555REfqhNHDd/IKRAg 6gWMdetgysAEpz3fN8bRYR4ysRo/KcW8UdyiSPVo3aVExLEen+Qmi5WqcIUz7+birPBrBvlwBClR o8q/Jl3rHOZ+/g61uPhu0EILmwOmkOVx7IA/X2RWnmuWrXO5Br0HpfgwGak8owiZU12BIZiAu5BF cEIbRABdHSI1O1h3yqdsPw8TSYcVYA+dkVYJ05Eh2nHD0M2wTnC9VX5X094dI7ZyAA+nhKxpF1eH 9DF0omyYKq6kQf4P/gu/jIztTKzaMUCx3HVmZxhGjBixYniX3AGjrl3WsWqz52d1wiN0yved5JoI 46PkVyRapnAOj9ZyIqdEWZb5S2vCvV5ysWW72RnEobZ2e+SJcE5+Jc9S0uxu+IoA5Jz4aEpRP+Z+ ITg/IHGapx+NIfH+Engj4n/JZ8hAHn1jtC+4X+ZDFLLz5BgiLHrO6ftAQRQT/6IZ/Sni4IbdT4pD jW7HXDg+zM0LMf74s3xAa1UHoG7m+JFoLudg58dBZQ9l/MgG1UW2hkS9ihuaXSGdq2VUkKuNEaGo 5bdpSpPnkMhubeLE7RLiT34BhAwExjtVAhgmbqBEKncVYscQGNyexWl+5gffk9GHc+YcKQynmM4T igr4An3VRmXRWIRfwWpF2vZ8R+thDSUtn7Ghsq+E+HaZ9nesbot1bu1O9rLxs92/FlaV0XGD3IyP OxGuJi2Gl3/FfwT4REJOl8tPjbyDfUmIP43Ws5GXRmswtzK6sdueRncWNoVYOM8PUZHS8OXvrWBx 5hAtUMl1nINAvLWffTv79/WNqTRYqkt5hmU6R2d8CTzRVcLBR84VWIi7bPemA9D/0lvwsxVdI2MQ o9ORlDogFiHpIGAatH5p2gZDAoTXCoRXIrbyyXn6eVZVMZnecEbnxfhT+zCeubMbc15RtK8v1yTx T7ptDG5cMujPCqa+E7J7O98IJ1cwBar5VaIlSSSaAH8iynpVhV2Ik9UC+MxEXewxjiLa7OZBJ+EB tfs9I/kuFTCRAHC0B6n4/+wRzppWjYucNvJlOq/z83Qt4P7iLNcQMEAoLLamt39zCyuyrp3OL4Ed zMT+ipg1zMYvejuHyITaF9ljC6M0GI0GKUOKp2uSLFmowY/vANf4fVuItWvZRUwTjqQGVEnd3N84 mRCq5eBEFg0MQAGF43WqO59yYmTUTQnYme7ow9Ma9eLIZyDJvbxnB74nbP/lkL2aiZNMExe53AMA x7gG++DdUUK/B3z1EYErrj9UkyhY1aqRSUuZx5zXCFc9ujgMCjFx/QAeSS3yxi8c21t3x6DGmVhA B7H2WVFZ5hFrUQi6jQlNAkY55FgI5fiZ1ua99kN3LTRGy6CcyWmBk+1o4R0BvrqOzMJsFEvfPMEE cOvdk9yTr7B4BSpUjhN4LQYH8mcwcI3UoEBeeW2uB65sJmlBXU60hJ0emkk5NS063GyxZEMFYrX0 642s1k5Sp3ZoK+v8biCwxVX1ZnXMdDsE3lfOGTcIkRJPkB4tIB0eInTAUhNCw/gqfPWYV17H29LM nbg6U2SH9cIk6NnmPfUJWEt8AVu5/qKnB7RyDS8HuZ2VP4x2e4hH53zwozjN6kpJ1RhAGG4XI1C3 taUy8qmIhrvIzzoCzrVZncVmbcKjGEazZHUJL7cPBlGSfF+LyKsws+FL3tiVGsn6llN/Ulb04S7I yLHnUejw2srOR/PBa7L41lMQN0uSPycQ6CNrhW2J+3QmhyoWVc1jgPq9ckZH7c4JHPZq1m/u7RIj S8La3+0hFeoWZy9NheZSX7LrAGBOHbMkP1N/jXhZrlyw1ARI17fz/71A2hgcMGMxG8WPh+rqowPI XL8dZPbnECOaGpWRBK808g7rC4PQTKMFTjglRdQBamE46jEMvR4aXIet5GO/fK54qAQe0n4cg3ff OYAEIp8zEXKFJfzw/JDkRCQfsodMz3h/lx6N550iNmONnDBKV8e2ExZM6BqN5V6c7PT71O7afmF5 PlKEzTumQpQDiOaFEMw54X9jCbnnLZ6Oa4cNMYeLK7GlB5YcMWk1Keb++LsKRYoOYBquMvzippc6 Qw+gbMJxTA3lb6bv7Z4JlbXUcC275qluCJRR6qGIQEBk+w3X07HJLr34T5ipUhVfwnS4HA2FEBjl kCiwKwpO5zK4NagVmXm/LoarCb2kCWjsJMuPk8tfeBHLDPST2t5Rtab1ai+byc7j7DvcnZ7wc2oC 9iV07D1WSCNZIB3IyRHZfQmg0WbGvAc/CgCyz/gpjsz5sKMCtQD8wKAMpDOsU7yWN9zn9xRZA9IC ml52sKH8V3TlVG8RAUfks4sKezFy2ijBXRVzBJyCBzlHGPMSq42hZSlHOVs+h2FRDXpxRNXF2qOj zFYNnaHGYkSA/NEtHBjK6oGXrwePfGW+qsKB11Sclf/EXHYTmpjCuwe2dEjbPIkeAk4N6Ugiad1/ 1Rfy1nT/AjlHEP8W3/EcbjjdbCBKmxBOiNyG14ffeLwqoSDHN7jqLZN07jf9N6rLIsVYS0rpymRI eA2ExMJdpFPr8HZiFVpXaMOdD/lEFi3Ct/drytvsWqIvJdeKycF1qMIP9OPZmuxqFlzKHHhMGzEz bBO7TPF0N4aL2drGZaDZU23qpmKb5/Mdb5Z4M74ojzOJjZTcciSpboJjKAE/ino6fU84bn8u39bQ q68RsnhBZmygTKiMiu7JC5G44XgeqnVvLUPwhc6USbOPCGo1hT7VkfMZC6+4t5Oc0NK+0nfEZnXf LpgBoBuPxl0ZYSqopkBEi0fa/29h6kORLto+Mmm1cpIV7BBMpHt2SGw79jXKG5zOXB2G2LBIXfeX v0ko7Gb0duQQZpHv9ecMCBeLGjs4kWirtnpEP8Ylczkfar3BSrqC5gkPl47FBmpp5z4H1x3u1kQQ 395+BkpHR3ZbRlaacZPGHSL0FS2BTTb1tRl1J4s2VOzCDnsYpapZOdBSBnKnBIv5JJAaKcEsO7L6 /KvMJUXXrLKhK9dkNe+EAOc824ocRkFnq87NQsrtZWYLqiSepRecVKhp1VFOOYGNbHDVfjWAOMTd xuquHVcpgcuA6pCU1z2MUHMlUh6yjDrb/aOI0Wa51WH+pFCMPfoepfc9EnLmKeRPlvlDn14cWEQN 03oOwe0tVW/o2PyVPVCaTge6L24QqYn595nQ2DWfPRB3aE2C0ENXMCIdFWFMCq/c1djoMesyg5Ai u+siPZeYRYo0dwc/DWxJ3EPEkservobXtL5hhflTGoT40fNF1YXakiTmoxXMrTPSFb0R1gjAvqXg 9mPYa5ng61iF498f84tRfbGzNOkLlaDhkAd5wpirA7eAKyh9bd49lDDHx1gtp+9sH1EkVD5d665/ nnKNME3ENFvgwfFtUhVsPnAaY0/HBUIRtZClm1honMIvCVJxPnTqpaA0gfHE5bNdsllzjBstzuUQ ZbhMiioC2toYOHQ8CHEHAG6TvTTmDJTZPm1wgJT7CYuPfOTcY8nNnZEMC2EiCdYJ5EqHk3ufgfhw ajtNduC/pdK0NEA3Hz8qvrjO9Z2JkW+nzzZnK6RWaiSH3WAN12m+q4hS7YemMoPUs6p3WsvELIOK 2Q/3sAdo1iWuLmqHOmiit8N3pESgHj/uwfhpjtaBV97F+RYxY+gwCDMQwnV4okOcgg+VxKNrR4r1 3fhc4JBGjwRz+ZMTVbyQTJaN9Avcpjv+KPBMZ8AdKIAnLjgrjRdTYGSsIMZitq1sJQIIKY5nnGa3 OHyJcpuRVKbE5IAPCPhorW5SL7rcLX0YGHx/EJD0dwEnhuTA1wrUDyao2e5357NmBb0uv7D62S50 kh+3VVkZF4vSLj1e7k6X8c+5Gx+wojT3NBJHfvihM6Fnlhz40LkG3OzytjXK3VgfDoH6T2y67V0Z DIvrkjYtxNo3tl9lhusKp6UnSctkkTYzgheGQreGH4+/aXBqccq2XgtdfjuEagR8PBKOmAxCxFoD ZAwdyDblbSGOKCv8etM44jvDslqkYmkhQumJ1j51vukr7kjVlJwYgKzEWq7CAqyLqOpFaS7g5o5v R9SIOiRADoazWhi2EQFnG1i58sIMzq5Vu++oFvVGPHg8+2DKNWHnmt30pHw2dpQXcOYI8ZeW5gG8 Oliq42H8CfhO9wzlp66+RyU+22Qg0cf8PJa0oqFdQriY2fL4HGS7qna76Oq3gphwaM81mG4+ZCdV sSLJLx87/vYJLNHrnfiTBIlpv+KaMKXRAKSCYRmgbrC6jsU7ZQL9RLZeZ2WyX4T5SL8CWEVYP3LU +0NMd5niuLPMgh9WvYSkvnRV21F/Z0FwZVABYR/uTpo5h639GqDI4c9BXmbvCzW2WFzNWQfdB4p6 5Autde79mtlFGovmVSjl0PkX51cKoZQ2fFMzcD/D5l3c3rWqXLDSUfVHoTnm2jM6Arycj1jBNl6x HH1Nh5j8NvGJgPyTcjR2MgOgX7EAztEAlqNrJt4RBoQU4z6y/FDDQCtSzmyX5Vv3yhuX4T4FALfQ 2ea7jz26Kfh3WPmM+XvDu+0job0FTWOn6bo5rtDs0WzxCyWyYqR6Om0An3WpcsP3Xvj/K8cHAswT S3RMlQ/UMR4VRu/OdhvTsyw3hT3VDS9UVmR86VVW5eU+hEAlpK9ZIBmOd2A9DSwmuOGgC4kLPhjI rN7nFwdr4jBqJm3wP27YjpcKuXFoctOhP5GDcgcCL+2qPfkCzLDC4r8WQUzKq90IUCbh4zYqaGoZ p5e9JvqPzfQHzrcnxFW3VMZRBARbuzKC3yq8uu9V0GRX2/d+dkrWtqCUrfgeN0AsHEYICQ1rY1Kl yuVVdIHbB6vKgI6DKMGf7IdAd3Ov49AVQaWAazF71tscqecl/C2XMsjDuB8dWj18pukZ0btMIYXQ t8f7HgCXAxnzDw+JLHMFPv9lEfMFWureJ1OGp5CKAxO+H/aT3lNRN5Xrip/v2NXe7fACwTkAfslS qML9I+cbG2fDM4yAQTFAmAlXaSwkTj11HR7ObDnm2x2o8p2h6lupRqtsxA58wUcP3B9nevOVhz2S NAGh5kwigb+fHZsc092BP4UzqTvatotyPE/e2epKAYonOlKZTZXLocviJfSpyt7XxNZOacyS0ibi 1ZA4BZnz7mvXBFzfYUIS/lK0Cuk079G+8RQCGqNhKVmJ73DjdbH7wtMNlhs1WJTriSLdsjbjoaV7 931pudBx+BVxXyjref7PGU3ZsF7Vd5/D+VV0qw1hVv80QuduM1AM2MtD/AzBayH1JS3ZVJQZEwk9 SgJf/DWj63hxSOysGTvOnIg7NgrveGfgH5VOlcMFoPNTzeEzY1TAOMVjkPv2IDbyFu0NPdw5RfUr gyk8ZUupV9Sg82zT0d/GXwsyJPY7wxCsHJpp1QTW45Am6b5qjV3OQdAXGZ2MdTGc2+ZELECA8lDZ qgt23HV+WF53Sb+5Wbc6q6gR+4mm73VQ9JCye8lgNV7msBU752Gl+5R9VjTTxf6mhusFiYTIWQvW jOqCzYGPvVO/ioCbuOuc4Q9zdHf0GxcwsuQaLNAMm4X5g0BBasA2ir2eQnXWf2KfYAKe9c1o67u/ mJrutlx0UTz7jQzZvbdCDlqmJQQsLd8iJeLAQ2+XifWLIdVirYhO3dIzVEOqjrFVcxLnV3LQXTOW APxCV+Shdhq2G8rF7jMBdQjU8oC25RStnXw0R/7jsSSe1Yx/Vlpc/lQ4T4+A9xBZBCHuG29ZaENh 2sfHxiRITNqsUOprXDbTM1M+uUujieW3Z4dZ7FI7zFdenZNvXR1lVRuuCwZgznTapek2TJGSyzdS Y/lmoILd23Q3AQTounZ1LPhrDXdZOhJMrIQvavEz+Hp1qIRmqXPZ8kwD0NvpQkUnHLLPKKgHHCzh dLJWQRW9iQ9QBhdzoiAjkaJs4RLUTyvoxXzzP9WL0Rd1P4d01Jb95h8tmRU8JzX3nLAd0nskELFP 5zb98sjh8efCkCRg8Ws+LQupw44+kHJZ17gmspg7ueJ1kBo4s1+qPZnKyzHh03SdDEPguQQg9qCC a4ains7jv41030uM3H2p2EJZmnAmu/Vx1AQ0oE4eZ+X3NrC5piTYiIWNxG0UMJXhl7chzOsxYzzw IxcvmM2zjAeffQjKvj53xsVjTrqKr5T9OUZT0L7dXxTWcmoabNIRTpaA/jRRCWNjoGuAHtTnX6hP g8sD8VrsGFxJ8DCdTMeanKpu8+AnX6Y8tQzFRayAlbAn5ty/oYIgjqwkf1OZiyHUA8Q3msG7AYrx w2E/Y665ntyi4Cm+AKE4tUJdqpj+ZGATbs+u2R5Rc5ikHnkZY4pxhIimQP6OZP2DH56u0TSLdL5y W3NWfFtYM7uQ+uk/tHKrf63inJ7fKZbHkEPco0RQlU9tEl32JYWAqy+q4W04z8BzyC5gBTx+hAte NNhznc3FHKxt1tP7cdnsBkxPe7Y+fLUxovvYJAuRk+31X7NmNCr4e3LBxCdquZBWl27pUko0Dn8Q nqqIbi9N6YzMFb8KTgfIAGrYC0DqknKZN9u+pr/mI+u91I0HZrN6z+9fNDslJxjXN2buSOPqx1rH D5urr9MMYll0Wa/b78fyMKUVkvKDLOhQEGF7ZVCg5VJxU0x7HSGtQmevin//Eqnc8JddrbXyrg7G AX2Gg71D532ACjXV9uZ9DLPZlmarG37FoaL2vUmIZri3cekMJMJqkvC5UhTIqejdxyF4AyB71tyM 2dRCZzO+q120hem5SQeAM0iQjE9HmNCElmFOYqhmdBAXabZ3Sj9hnrGk8hdubFUpbDONfLOrzSqM 1BvUh8TNUJSOpitBv4Sa3bvyHRMxCxBdlc6dYl5+yuQP314xxs1ObHJJcZ3DQnfkZCVrS1eet6au qh21ZJLxIGs4VQXT4725WH4BC5A0BYpBU9uy+vQwTQOkICOxtnQXmaP5b5qwMThwVosIJp64t8ju /+HxOGf4nHp5/ELr76vMb1O5IOHVjWmZlYlbqYpIHoMuSCEx5YzNUbtwv2+SsX5LfcWEVl5zxm0+ 13Q7XqaXxv8UWxeBzbHbIvsDSfxLdpkAUODe7IhNcLqVrET1KiYo3RxOrMKcLAbcufpruPKwjqnQ vgIjju/Vy1gUS9z9wBwC4QQggXWa52mObJ1EnJ8Qste4i4bPJVeOvD5P/TXsXJuJGYvvxK2o9jPv cSMNS3q3AOZ8hiA3MyLJlwgI/3lCNNcU/1HGkanwVzHKSwnRNHVlIp3p+v33/eY+SiJKNdaSOTx2 jOtP/pXtekTnwsAmvJT7/0bzG4A8NHfbqtZBcIBq6owuPGNDdzg616iIWlKnPYCsQFpp/biYB93Z ZFLIJjOS2+FsN7PhpkxwYbMAttaSV4y0CKe0yjFXhevGf1TqJ4Tf7NjAUlIQt5wgVE3hye/ukf2l 5HuHDlrZCqana9VkVLmlGJAaPMTTC3aCVIogZai6yFZmF+0CbyApbHs6Pns6fu+WjCTbEigbwbML mTzEBZuJBTraiuXWKdVAKIlCciUfIxw0Kl+y8dYvUUHgqI2t3ABCn6mA20do57sVBfVdxsAFo/W7 syQHycHE1A+dyoZsuPtywon8F3zEBDe9v4EObQ+YHrCHhM53CssXeiHbXJ+njDYIyI5Ruhrkw2Ki erGXXw3nQgxPRx7IWibjy0K23Jbk6m++ypqWEx8O36diDi5tgveTe8diyGN8U+PMWJo2043nOflP SWwVB9O+NTyVtKLHTXRR6GycYADOsJlo0dIpc3Moxz7oLFxyrnXvY49u6iWLoDuHYVwAyDAhco4o odxFPdO1ixfH2howcLAEJZDxJ/77MmA9iDMuNshIJOxcAzzqAToYYL5FF4eA3gqQpXB5z8tfeXgy aUkHSO7c8FoG1fhkmRqGg0FuacEH9Zd72XSXyszoPGzILwhGf7D1jdZrA4t9AW01k25wCjOy4jXX v8ldCYrJ/r5omvdGuskshNptu/+hGgRXw50OQ4eaDTHIK9+z1tN8iZqwZfAFzCg3tFocJg6sG4h1 UgrZogOeU6LvQvJXD6wLuQvdFZz6BdG4V5OrI1njbx81bZjcet+oCy+g+tBI9oMuiZo5tszbc+V3 i6UeYqopGkINk3kyQh+OUKu6IYJveIuhxE+6VMxNgibFYsE7gTv3J+NJ/euec2FcYrbAvSSUIX5F 23Q+bI9QJKlj/VdbP7jRD9aCCje7Bz9WqWHpyEZyAjowc8oAWr/dN98CzbvWkpxcTZu5d4ENtum4 Ojm+WiGrjp08fNPsr0DS99irGswaM1QPlGgwEoK7Ue/h9Pkgq5s9kMLYLYnRl3OL2Dwy2iv6sYcl Nrt8DeNfM1KgDi+toULN2UWOHsyKvlBZp89iTeU0iLFeKlF0A59Fl34xfNoyxLIuFSmw/QFVyibT HaxDYzDm2AubMaEBhnd7ExpEXwnGPjsYMBklicxUu4S8Jx8AwNvYewkNRRMGJr0sInG2cUE7dETh 0gmFJ7s/Ac8RmSFE4XjnDdq2DeIL+m0NjT6fgADLi+hnP1Ie120xiH4TgZ1/9ylmmgjFOFNVAChy Giv56YtGAxhA5wzRS3B53OfTSgZFCQqz9eWPkW6n9gbX4PXOCYLt7eTMQ/A3sTwlmjodTzTgSMPb iRWGESt/yF6VEkHsX3Xy+0t1SIaOecaFVl7QEUielkVTounRmQ4kn/WYvReaBWFKDtvEhDU/6xrv /pHgZomqCyHumy3SSpWKRNb1CigMD5InU2dSX4pONU3vodfoZH+cFRwLcEadDO5P9RqRT3wvBhXu jaxIKe6zqju/ZWY/NujyiJaEmLkKAzT+bQidONQi/m0m6T39woLlS20Ar7DymOIy0wjwtoC6GHdG ZyX5gwS2NYKwXn5prTOHANaQbGVibpW26PClIFroIg0RRsSazkjA7QkA5Dk9NyCVd3im313JQ7mE sCh2+Kr2IXqRtraNw+OWs+AOQbFgIxIpj0LT0OuqUeHWkoE8ATTPkqT2Kl+RmRH1kMSaou2uvtMm BEs66QWyPgHyMAuPuwwGh/Tuox/J1yc3Jc1nXjRG6SDxWvf5iI1yInq2t4gQWTLmHHZtGXfEwx3N Vgy+KMSXtia43ZffJAAVqcsZGkrGi3dF1DSurKoG6qzpGhl29xq+N5AZfC3fELSdUneFbKO1gj1P +6mgsyxX9g+jF2ba7b5zxaqtjEDc7JF2SZZB5LpPAH2FlDlx9tME5cqp6SxGRARmC/f/9UWxAyGa DaAbrgKc35TxzjCPVuKfnxO/yJ/jA6na9wTa8ENcJ0yC+t5qeo3eFtQNU0knKKpfcektkioZ5unk StldrIR31l4Wp5et4tAXCrYIlxrJrO9J+EoG7F4/Cz55h+4XNwHBnvFEamN8tYKRnnH2e84V23uG uE96ugDX4pr59x39QO1pJjlISXC4IEiLZODwICH0SO3lmN76vfni9TytXk6SuGAgzyhWG+1v10ww s6fFhX0kgxxI87TvXKeOQQ4xd04zwz1jScCCvsLYWHFpdFH4uDZ4ZfJz5GzVeO0bxPP8Q/dczJOR wO/5Iuk0tA30bmIWhoSwtJjwSP9rAPlP8BBg/hvAGIuHYFLkGtxf1oU8wSHvy1Te3qZR5Ws+NiVN crzekz69tGRK3o79hCBJVQGRzg2bmzRtG8reSN7mcVeo9o6YAmVtqLN7nmINfhpxf4v5YorkFfXU h+WJp9f/YR2t/LwDeNJ5q2mgz+XHR1hV6uSlq6UbrmIb77QPqzodBVwURtWknKoy0p3jR6/WP2QI CLGDCTBJYdVrARI/sFyNmKJ2tkaV+PUfCyoBBFP7DVsjLNVTEYM+N8dGt2BD/7PHa7fO5fj+rRC6 zqkg/znmU7P2TYWNT9keAgNS2iWQa4ve5GnvY+dHUoKJllrH3guRwHQHuXAB4XmRQQ1PRRzDtAH3 xW8XC2bmoMHQSIPzDpi61siagVppGGa9BYyf521nrIVCTOAAXiGYBBzfoaKtfNoubesrkQjN37IJ L5UbZQqYDymyr4V+YR7hvRex31lWNKxO/aAG7NWL7WfiCogBVtT/wJ6INXaQ1GBkS6gjsvgRkmrw LOYN3ZBHls+g5OMLCneTxr4prPf7YYJZtZZ/+63axbnAIQL5N3HfgN3bSOLgtzklRPYG9JZcP8Xe xnbBoT10HB8dnJL7khUGQ7mU7jeXwhulEyzcu1xMcT6GXmBsFZj0jdxnd90sqUf88vepDd3NMPDo 8lClbd5sP4IN67Nv+c2PWmbP25xzJFZwwdUvaAxa2O+92vjmCKVdd3oBLIuJcKHMqigjv024RF6O YdOGRwwoMTZ8haft1wjI7vjseuA54bDyY98jUHqxNYzerpwI8MsG4fIj2EozvYa5S/xXDbLYCaLF 0QcMRhRe8fb1eN1vGrbpgiaw0gT2WBhjlJ/DZkyqrpcqtkkJyn00dC89o8srtN8PbWXZMxx96DKc TaYtXhIvf2sU3I8JAez9/eB3+GzHcbh9uoSEq67I4Gs50vSkvbQKcsr96Iuv60D9BD4zDuMoZfNm TmSSZF0pY1681Q7QMe8TNE3oRixXIO7pz0zzsKFLkDrHWwXg9uLfonHIzIeQE73GxM1aNvHQ6R95 C5nkwZbWDX86a1YC0Ilg/qLKYfWXM0Z4Zkndf7fkGxbkr5ZJSIRsFCIHmr+GAvf7RwNgYawnGKUG 2vH6EI4Zj2vyT1os7yuSoPgTzYuyID38Vf72/K5joQEbsnTOkoXiXvu4eNYkOUwBPIB6gO7s13Hy Yn/JWfIVn5OMqSi80OYsEG4GVvmuEFTaF/mt7fPUd+iXZpcudSh6WjlxJO3ybt+54/VFqVt6qwxU 7/qt1z51Z2CMyXr7dGZF5FhrQjlbmj7CqDZD8AIoqJBIiJFGYaEQjtwSNRQDnx6DTd/I/5O3K5eS 6syKBR2g/kxYVGyt8qtep6uSTVmNxIqYJD1H9YfLDThql6LIOEaK2AuvqHz3IzrkBzI095uo2Vnf FADGzt3Xt3N3oPho0e70rKj9AUod31laBmPD6XUH42ZhlbjlTg268UrMdpGJUBZ9l8VR6M6GXfMj tjRC/yRO7GYbbY5p0cNy60TSw9gkD08ShdQnUyHxxU3o6AQNG8OxbpOcqZ/h+zDUnOsAhJaa5VBL yz44ZkKuGDdkzLpW+Zp2zgr1Rqst2jslJPxWNdBxewOWpMiRImVvbCzFJ+ZvRbKeX0dVmI3QiqLI wLGEHD5319RIK+46Kp+g2dE54zYhVGclemGt51P9qBZqyIbhX3DFX+rn2yvUFv43lmjBtwAMi3TW QadBbqG+Q2wM2tM9NO93CT5CkudG4nIcvDn4LjWJXIKVMB72k1xhS/YNTyDki+C5J/0bZGW2Mfe7 kiHz2ndDCmMXCOIG6jsGuc/9ggiWCm+vJ9aB/7lv+Qy3MwBTTNDXqh6DXe1VqwwoVhVW1DJJ3dXw 2U9Sw2URGAtqBsfmvB/GnouaSE3qGi1ANUbNP3flpzU1kbXfA5nIr7fOPJxu+Ac1IWtszbeJ0Ioy 7VQYxgdIgTPhKIwjrvvjFlfmm/G7aUZ+Ou+Mh8ffp1hzae/w7kgPllD2qMN1EqaSfQmKQHTlRqyD JZLxwUan8iVizuO6fH/S3K84yHVZ9/dWhH/rMQ2Li0C9iQNBvcYx5DYl8AnsZFLnL2g3a7/q7Jfe SA7Xunxui66yNvZxPgwx/lsk2rzw4gt5Nc6E77q8zweU2JdtpRjFV9OtXGDtVBecKFocFJpXww4a 8QdZ/YwthCZMGu5TFryUwOac6dkWpX6zyAwVN5C8qUrsbqhHt3yH+Uo0W/UDzHbYbaCf+AHSUJwD +HOFdR7CnFP5JqYrlhoIMpxuKtSwRIJdoY19kzFHs+puN1RumrSWlhZNUvu+GWJMxOEPNzzfGM0+ bh3Vg/+UFTRz2BKInFjBHbbck/ZQ9Pt22fing9xDFmJlVAd6tm2sh6Tx4DpWVT+0fqkt5tKOU3or 0/BH+5B1pASrx5LdX9jhliKGA/Dqvh2c0JM7LvHuQMzDM7OMv2DrmKLu9VBqw6SykVbFXX+fTzRJ Abl1CurBDDUreYm9MJSE9D7j1kBsW2VABgVg0gMuFlppJxjRuoB5ltcGskClwnvDgqmiS3lG1V14 WzXxz1OayIb5tN15JnKMa5RBLgCOO/VTiNVdpueldLAEJtKiI3GccNlp/lYGXmnKOIDJhx5wBZrM jUEXRE6NkpESUGmn/i7QieeUVX+iji38Fjg7GLLpPCXjuZ39bHXDbWAkD7ctBGhLn6UxClPTGXqF qUZo9CKnhleXfCBl0D8Y/erEFm+WaxX2E1IrSZYYqfl+VHmhQVqNfJ54mrSmj0uUQabTYvNev6ew GwCemFDGROji6WAYzNhonmHK62C2XnIBFssuSZt8z0Roa2Zf6uX0epJgZ2TQCJkKc29j/Zwa05VX gb258DhqW7i+VmxDf8mfiZmy/qVP7jzDoa0/QKQCw7nibWDROtBVU41hVFaqr3QOzyTorY6WF9ER Mvikn6ArTOFL0srwoEoTScyyhaAwq93ZwwFsSQQOr8YIet/1qgrKtNuA1vzjATzVERo0hCoEh45/ 5G19UxdxKrddtQaHjMiLPrsY+S2Xtv2FhQyffKCns+Jomiw0ENTn9/VJ0Eiv23fv1HuQ5/YyIkkb mvbMepsenH3H0DqLOjmnwjlQYEivOSKM6s+FrVFu7z8o/OpUog+dUcRdgRHITYtKJJqBDQpC75J+ kjTyyqi8hQBxGAOkHiAjFy7kHIuwgkM1gQVIvp/ny9mgLeZTrd/LA+3SiyFKfCAbinRJLBi8HbHV 9uB+UzLws1vwjHFW2kuN3dYMzcuUe+sqyzSF/U+3RokWMyDyHvlubcXZ47+HkRyMAn2LQgFjLYov dBxOWDsWRUcdQ+c8kZGxG+dZfjjNvA7uJV4pMfJ7DhccyADYtA4u+KyeLyUSz4KvW3D6fIyZs+cD 10ruJ3IR5p1FoNMqQo7NQxZXkczHw8XTkxIn2nHZiHaiNvL2lw9RoWd1AEPFkQBg+IqY7IuVrBKz 3xqabz0G2/XmCkjcoUqQMvnc61niBXNO3z6AY3mtC+62TUlOpG3uNqThjXrQ6l1lh3fLedarlNPS HWJni7iGrUN5myHA+KRhYaVYY8rjOGmx8Jzc69u4iBoMt8FeI8v6id/O6LfZvVJWt7S4x+TTwI6d 1ctaBU6M9z5TsMQHmnJDTUjBX8QM+FSgxXXknZb1hxEH1tEiVkAKHnm37D3DiChSnrd6rqPMBErq ep864OyjUnJTMGHXqjpt19XlNwHBPIOVW/NHdANECVPTD7Qm1pQF7ne7GzHE+Au8c/GndFPZAmkD n66dSd//RWic7omJYRmV6ra11qKr8a2lUH8LvHAlP1iangewCqn6hbOkEJnWJAYyYGBFTKK6Bvmp ukmDbhE5nqjXA7orqH/bt/RHUvErC8eLAQRXpy0aH6Sy+rhbV07DkYijSXbUdfGUc+is2AfbkA3V 8Rf4wDKFYHMzWuaFUhIO7T1fbhDuUGis2PgI0PfRG/VM/9ODv7MQDvhs+mPyT25FmuCp+oGHM4WX rKwH0Sn7X7C77gW8CtmeAHxJGpEQDHvwZuFj9AnXZPKfg/PimGCbYHkyUiyWe5QOYqePw9UMN9CI tok5YWDGGiEwDqJnpAGF/7SmdWcta/CEA0NcAmvdj4rq7mgMaceJyaXY/L1lFSooc4yKwNyFtR55 9wmk8FZ+QYa/NGVlJXiFkAilKXGYYeq8VRQMK49hdBJ+OLKCOKj1JzXFzdYR0sRDyFnEx0B0hLPW GeZIxBV9vWgUVHU7Wp/kaRnapBvhFHDOuFu0xTcywiPSqZaDmXMKan1+nxskJrvzYDQdT4qAHJEx /AuXWegx1nJkfSFtkWrZiip2a5qg7QinIGiCKarr1+28bc0KquaWpGKp+Dbinr+ZMXlxYoM97jci ORNkZju1Zc5BE+U0WR8QGFaVJu5RGY1kM1/TeJMLCFenCMiZ5HQ1uRgQOeozgAxplYrHgq/kDBAN H5xNJO6nnER+v274rvwL+isi0pshK9i34VHzMdEDwY4jbrro6CS9mA4PcoyyN+p5LAKwzDIkrVVW v3+nOPssxdFVDoBC8iEEoQTYCmyKWMUXZJpBIHF+j8ntdzOfFyveex3R9upEDpqudWeGGL0yhwx8 501MWm8I5lwWszAvM7HGNTBqNeXCCKVwH72sILZf+B5RobTUECfxNkItHJeJFVp+4GO1D9o8phzf G2E2Fx4c0QN1CFfImHSJY4ZMd/xbHtjI2QlJnfzZUcBesDZEKR2hstKg7sCGA2SkvPY1EZ/ykumo 2EDCEk8yUPxITMj+bFXtTSlKGmb9Tr3xZFSnLsL7M3Y8BtgkcEPbH9CjGhD/hC+VZx2tGxLyPYHy xiPT3p19BrTpJvPG52u1oTF++9dePrlxPMBC1bGN91rhFNO/aBD/c8IGUVugtiy5doIRPJOxM2Pa cxy39qMfWaAskf+acZB501WrgFgd4gI8urj47eKNnW4RrUORASsj2GAhj0vPh83JS2awNMsl9qPI s+jtQomS3c/FWsd6OZvqayowLDTzdkz/Ney/6OmbR7+MWx21mV45cJ72k5SRe3mJ0FFSNTW5mu2X +y6MYOIu1bc//YwxAd74wNOyZyMv4cXi3AI0gryitw2BASwrq2gyORggD/5Uzn8KTLM9svvnMXdL VZZUndnTq/Zwzy8+eurWhu22LjDs4J3b2701fesGdRcRbqQxseShBh/i0yj09HCjQRL7tjQ+1Svq 94cQDmNMnsdXz5T1+RsCRR6ba+aUhDp4KgiWSXKQ9tVioico7N/7jkthXeXoxuR9ShKYMuorvXpr HenNY/I4mQkdkMwQz1ILq+EpTFIVr8jazxq69xJmwN3ZZVN87kGEk72ywKkZiqodhy1lZfINK7xG NAt3QUXF+Of0hO+BMkNA4jdG/x3ctQk1X69CkhjiMnaSpVq18LFNLN0P41zdjDXLwq9vIyiXa935 1YUeg+czDEWVlrgHAY+s01XX38miBsCIOHsAKAEQzBGDTbtLhMCAqdgrPBxKvV19El8ePMEQWAYO Crmq7FJKO5xV0dQ3hX/RdxZ4JeuYa59OoJsaEVFqP4uAhgmCLFudG1iMIlqB0jUQ5eYuXTuYDx70 cB6OKn4mX/G/plnCuxv1/EwpSD5fvupkIOg41VeHF35wlPVjtO8iVEGgPDANLKgNRLBXU9dV5kKi qPN8UUY1yT2AwfQeOY6EnjmR82poMsNnjycTzMrvO/PKJbqYYdYsEFMqyxLXAaWQ+3cOjucvkwc0 Eza912S9i4S7fi6orUmCQ6ZFD0m/pXeeSNhwTOpEyVzsoxMZJsU9C0b95Dq42q5y6dbZmZ6l4PJw yqhuxqnMNR7uDToLHNDL37/A04TfcMn8y1KkE6f/gIhJo7O4wM67gxNriQaDnYMIKkzCAvWYJgkZ Ul84IbL7fAF90PQSL+pykxczYAwb+NJBmUJEhBN0Maia4PuCr0iIyz9tYxsa99XrX6B0s2+ewcyS 0sB2bVZam6PxYFCtGl/gxm9fsr55lOT8xVWj0Crq0S2PPTty5neAukcsdGSKGrMQqFl4HMzKPHYF VpSTGlqRduxCE4jX4wqkpzSdYdpCfqXIbVtMQIEfYzuzSvANgqPVZMixqD2oG1EU2pUNJe9mZrZI +cA5uCBRA7hqUtyHCrI0ZPzIK12DN8cbI1RnCpC0xFs4ynsFmDFRDgVowQcFjeN+mkR3UhWMiVIg w9bxCSKd9syKXAq8ezkmpkS1Z775iWzZsJMY0YueQOa0uKtEp7EnNGtBho+klGj1gOsbgVeCS8ka +sb9WFHkO6e2MGWPyDeWwal1e9Z9frSDjecuXsNatVE17y+LG71TuNlnKdIvMbrsEaY6TYBsgldr /zlKglWKn9z6fAIk/LZmbctRrCFxcGYRrCvSk6x4WKKh8MmJUEGz065tKmz8PVMBRZZ0JYrVW57v l4pYLDc2p+KsxC2weLh5LfmAeXHYxNIpGUMVyfsC8ryxqal6kP73uN+4+NCi5yTgzZUeORFavsqn g78fOXjAbVWnTbPJKSdDTBD2+cKodWG0I63wDCFRJyd8S50NxL08tD1aDXPDHTA89xhJwQud+cOg apRDhG3cIW+ap0rrb1RW3U23aZDNJxjlXuLl4MXrhbIS9T020b3FO86TvphBKqTWFKFyXzQqPpO6 tJp5d86S+DnCGID7iDM8WI3NWPBfwrBqDBLdGGoIJ0T9Auj71agEaLguY3g9xO54FamfqMPp82h7 gBFbTHoKnPdLvNQW8Ng8XITJm4X3D/4MozYcTdYFPzZnCsYsTCGkTj+LwaFaQqFW3Q0ePPUPJx+Z 4EF2zFsyOISIDKNO96jDrM1Be47zyNhPPa4JmTw+h+X1jhkQPp/ns0kJvs0hX4iTzwawJKOrDlNb VCdEPL233omYrC00AtTREKZgfvBQ5Rzfx/yaHNDK8Sg6ZOcqcLRleyyrSoH25nrOhZXouPNFnLpd K29twQLmuHp8+3N/Slp5LIivWzU+4nE7c2hvAD2RFDpT9hOXl8QebB0wlxjpl6PFWmkzFgqyryrK K0Urs8r2DVnsVZ+mKASRu3QRkaFgaQHvenLN9HndEf0s3EKHjJ6udLPlRAdi1ytQGnmysCRnmrgG yNsjTKD1LBJO+AZ5Q9jP5GubJq4XBMSefxhGXvoUv2MySI0yT6kZXzOsJoL1/GPYzGoF1YGWwlbc JqQOzKwZrAcf9l3Kb8Pfwvq70RCFjWYHKc+JI+64Iqrw10SBQYrik0JYCRStA7PR0QxV4HvSSwcM ftrd66W92l+O5YlT+RmMjLSF9eGDXre6rMjMiIuxqzb/fcz/rfVL4ShGwBcUyns0d/T8z9oitIk4 fLRboAAe2jfNcpnYoY65n6sxlK44eO+nm0JKr9Gh7Ebz5DIoaBZP9WIpeDp2r5e6S38gz0h/Zj52 D5w9YTFiIPQwRWWFVd+No3iiDZdFqcXOR5rBIH5m56JElAFsUfTq7mf4IVPESpRLFelL0on9dKjj 3T1/VztNmvTDq+Q3QNB2LHsVmWRdZW9R3v3y7fJvfvBDKSBGk6IFFKKpss9DiVH0wpB5eR4atG1n 8b2OtUszB1OHq8HSegT3E+zON8bOkxB+togPVehjiMjd4nlRfGEScN9kNBRFK/mNw/bXxAZZjmmn sYam1ktE0skv1hwFeCiWb6z4Bgm2on1i73zlSU1Bv9C7WfJAjJdeceXQqoRN0TB8CK/nXLDN33gM ZbJlSANTqoYhtQVxqDRdcLv2Q57C8+Hsyu/FFzYlWJPjBFTdumNbfANZJD0RL6xkwUDE0M+DTYDh nEvD3VhBwBmb0RfJ3b65419u7bRF7DJAhwkzCW+ZkDIGdwLBCY5tUzJICNkJ1xPy8f+qXKVv3f8r tFu252CgzOifYxNZRM7qI44/XdWVwH8X3+uJkkWtcGpSMd4bn4a+Lpni4xcbRuw8Qpu2tU7db555 yKJWTnIj/IKEexBS9kgA4Kvo+1X7dox0AbeoZbkq9r7bXeZxxp/4JcAM5WFMPFOwuNEl1tR+3Xh2 tE1CZylQnz5wRwIiuKEbFdr9eJuvDmflfyFlC569BtnbVdSfYvlDWtGzeevLRAmE/1H9cqCDVY3g DTP78MHCHmStyqp10RNbAvZ1sKSSjjtQDQHJwU/aMkOzlp1Doh3vEjAulA2rsCMvwlPxGYrWIRL4 jIkDZDmjZMuUiYazGuDVKJ4A9uMvp2XmAWRSPFCZJFNkd+dHbMb39rbZIXVMzIRuOFfRiyVJidYq EmcxWLZ+VLxvM91508kgyI6Toh+ybOXQ/XrZl2vbMp5Dm30WnfF2zYTUbMSwW/O0ypXMDYM/M7Mh u8tSlcX2b/ozRPas0HlUlgpLPsaUXngydZjCZiHVFxyiby2qx1SgCCbsGZcwJlUCprR+03ZS9cyY FB212HgF04k0G4O9vvRRZEp5GcHwQUAzzeZ6Ga3U7ovwoU9yVQaJNiaSCF0C9R/TXyVbXJhp7Za5 kKwMa5ZRkTXHMXqoPKef4q08EwW3gfF6m8yguU+b5gnzwyavZcYzpXsGsA2UHBJd4zkNcfX83Mnn RA11RO9hjNGOXKWe6eLNtk7ctaD+jOk24z0tsrqUcJfV1KMO4MA4cXKqLgGf2R8yjSGEGg+ZvSqh lBaADqLgrd5KRiR8UIVyE821O5BLhWAclX6G5onPkjCgtd0tsLwzft3ny8ZJpocOm5dTpb03CTY6 3C4Ey99Lk5KH/I72PGzHuM+GrSna09FhIQzAcGi41mrdTTn7izXK9rbqgQTkmAywi0N0DiXPyQvf PH495QKlTUDXa1y3U5bYVUrreTKf8nB0wEsT8XSQozg0Shj1ivTw3or/SjeY3kApPJt8AU7aBgtC 0tb+BIupvmIRWG5k9eM7lTSC76M1b++Ls0wAI6e/6dlUU6tPqB/YgLIJN6sLY/UwWEpHf2t888Uj T88bQJpgXU+Edn6Wszxaa1i+W2vFxxvo6DeAtZx4G9DmjItaOxa1XXuUswzfpZBV1EeRVmWPrL8D tCW8iRtHdKg3YSb9bnf3qB5w2tufJPtK3iDpbeyNU3KeSSf5G1OqtyhGJ82rPkujnfQpPDR23Te3 I1EoemmXfpcnNtqGZn1VO4qHy/l2jzCPAMIk3KUi3NHcAHQlxNIqcNT6BlP9I2Afoss90eX+eotp 7JYylKJ4Q1qGg52F7v+BnbWcaVde1WxPO7QC1XXf5oDYL0xdLQjPq6BBHCYMuABT2xTdnmyns+0j oQyeEqNws/UQcOg+vniVEtVECL+MWN0xoeEXi2XR0J6ninz21OU13ZEunXJkuZTb2cS3fFkSAE7h ywxulzuT0u8D+qpsBpW23sW9srOYVmbqjI+ik139yO0MGrm5n9ir5N1VBwsqKnQBZiqXtPkhAh2e LZCM6/ivry/n38pSEhXlfWZq6UWuHETE3e+p0+iv7iUCG26lSjgJvfVhlDhetVXPza5nC2gHDnQs P1jgcuHVpGZuBqrZwXwC94FcPCFx3Y+OsCdNBcJil1NGG4Zub8Z3z6BilzZyEVG5WaSY67uV4RMy cLmwZx3T+tgtxuPXtcbKyxqd4AxzSWeW22OvmBZRY8Dhz2l3rGFh49BDRAVrr5/nePbRqgcyIYlm e2Dx2lqtKKRyd/WHZC3uRDO+fDABrYLvxlvTcmlRuP0Sq2n1O75DoD7B35a1IMShbzjwM3fW5O9b UOEJ7kfwvx7//mYnVbSHlZmyNMWCfuhLPp9RJL9JfW4B6skhp16P8IPg82jr8VXoU+AcH2RlqVQ/ Lrc0qPAgug8I8KnVywzwaH71Y8sodEa7z+at0NmzWaXU2EJfCBMFCsJzoarkyhty8HlcrjAN5AD4 TJOdzfVsIpP0OiH3uYSLvFQnW/C1wGor8UdbnU6kR5V5uwiIGqOcolmmmQhWT7s6dr8PZ91wpGSN AR/XIbXEM6EDe0TtgQk0hil5nsD2Kz7GzgmxPK+q3J15a45mJh1fYMpw1a2rYjTxLHYyHmRcyshS 1ZF0HEgM0dp1MN+uW7oeDxCripFPm/ZQzdhyWSGuEmWkuL8/zDDseiG1dx0lZeGLcngYJKS7iFxn KNSvtoXIuioA1Hl4xE5G7PRq4F1rhX/N6F6/aBFqDC2LuOfJYUcJl6wq2qKWp9nalrNmwdYYf4R4 B9DRfZcley2VRkwOWBKhCKzvYP8fS2LbgZNb5emiqp1YUa9O8WdVd1bxiLRpA4VlJfx1TpwQe7FU 0QwLbHri8IQJr4IzyK2GswABaxhFLFuHyx6MAK9kHklWU4P2iGyJaMRHnTPYcafPbgJjAlmiatxd R8euMuIniD0Uojd9ZkDAmuB7UjEWhJYAThKmct7XfFZkaQEYgGOXA6cRi7b0h1CwgE0GQr7KuYtn csKiw/R5IQxUIbbv8xMF++WdHdnOMcGdCClf8SKO5BqInRSNOUhKtJx+t0MRFiALCyYYVZVqutd4 pQexCYnGMy7aTzmGF6IVjmlg0KMkQS0PRJh6wHhHyW6RPVFD5voLqDLjTnH2tHwq/5FNMnKolvMo cMk+VkFm3GGhpoH55zJ6hE3bOvKoQyb3z/8kl3jhv6cW3XRYK4yhJDmshS81nGtz+Amve9j15ant Ks9Zv+nCoAt4HdZ2/DcnegdoO3X21sb8XEiqo76ok9uv7R7HchtSaV/tSBsG6oZLuVdMsZfy7441 CUTYvBuyqwixAFO7D21a7Y8rC4Chy4GNg6loCRbUtE6yPiMX61YByEXKE4Z0ON4QR4KD3MIYFhil pSXXLG1WuZulwpXKZlkRio4dRmv2Bj8b9xVUbvTY/JFGdr90MNmsgLxYRt5AMBHLHqHSCCv+PRFg jyRFUiyRbOGFW4WFZw1iExvvs9zJoRF2Dq4BFIkvGNPfrkNEb6vuDAgLNm13R1qcTxPsMCfX1RAT +7K19V1WVSX2hl4lTEIhH8iI65y2xZAZVucxeVMV3X84uNFw+hXDA2q3G2ixBlckMDiBuwyZajGH T84ciKkzl5SQSDPG0+U+//vO7cuE6DvQ3qx9PKl+yaXexAWDWAda1bPaK1me86ygvbIdPIKj4piZ ruhKEEZDnMQa+OxD5l1/w3HIt8w8/E7Mo1IAC6INiydkBKcFtjyMlhrh6Urcfvi+3k2HG/Oo0SXm qd6+ZusyLtOEKD/j65+O4FtZJnZ3j2S7LxvuF6aRsRegVqyBv7gY43HZ0nErgtBw6Y89SDfw0WMt lKMBi65zqVzOmrbQqb/9+6NrY9uVX2N84Y2U2mn0caHlJSaRw1Yupe22m0gA1OY+RjLdPo0HtbVf K9fKrKxY+h1i40PV76I3dp+U5mo28n5C4IsoV5ZVX2yM9q/W7wibNYpxPyUDWeFuWQD3OzeyrNtA f/X4ti4pUPz3xleSJ9hpNIicrnI92ZXJE/HS8J1udKonLhlzHhW+zKmPCvxkxKHsy4Hi5JN5OkYZ u7WsKt0LLy5W5SHaHewFO9ZEFIaxMZWJYQe/6I/3I1m0CkqAcsIraUS5yn77Qu/6V2LBjMaw4JLc o5kivpEssS5Q8Y+QOt5ZGxOx0ydQhvuQ1osgtdA5pJ2RzWvrSCC4EabE/+zszYL+v/75QhGYyIch CHDcOKGESK29wJvD0RgbC0NnfQJqPMQcpswwOFRc+zVQ2836yuECvyTXJ5CstOLBmEuCvcEdYPhb QLZM1hngcAdLLTqvGmAksV+8uyAVTwqrr3T3wQyzHCtyYDEUVUXQRFv+QTT8YgPuXwBbjxu78YIp txql+0P35cqwhhV0MYB9OxYGzn0QAwTPwuTcyADmWoYiA47qQBjgIOgUVeJ3S68WIVowtnOzqlQq idxdpibUYx7L+P3dC7p12MdnrUGzTUXt9IibJqwtNDH95vq7mMqp8tp+MV8MpVnijoyd9rp/bq+R S0CIPD3iFvWo/UgEIcPjlfk4GiOmBEmTAR8PJN2Kp69DSFThXajcbv6ttHuzxva80eR8by1x2mfR vWcJb+TNAVSNBnf98MPNvi5H3iHfaaYqc7bzBj97MCGtiM4HLcoqM2JGcXpegqsevovW2xdb+RKq KMFJdNh5U4cHRR7yuIO5DAU+j3sbyjjSk8OqR+H9zpKThImuKrOPW9oUmubysyKYuYvIvGV1pS9r iAjVeh9eSKsnbO9MwyPRa9iMui1Vr7rQuNTqPWNWiul53j2JUJ/d7x4FCBD/qYAa5AxnjT9YMqHt Ce4xoq5TjpL/8hnvG4tHbx3UKQQ9Y9Yzzw9xrwfxlbf8Ef3cYu4GFC4FunUeJ5Zqf9P+fKIoZT+3 Dje0LxjEzR3ROQyrvz9z9seqeT6We0aRsX+cIxrQJqMK3qeoNpSlEv7Nr0xvFe5q9k1mDvDhvrcu V5+9F5wF4t0QTfVoT6battOE3dbHKl0kUJqOdNUy8ywLEllt+l+1kpH7W5qEgPxGcaHQtob/jiUA mrhNcB/P/B+TlKJpCz/lKXS2AdqwWw6ON+o8RTLw7sMvaELQsXogTCy11KAmWJ4UH8ojiZ5Ni9WG beVbEEjcMxRgXG54fp4VHw1v9V3OZFLhLttBs6uvbjl+JK253Van0j629J4lI7uY+Jw/dYIWY8aO +cwcDRVKEzSw3P/6Kqm1P5qd4LW4raP+basze590tNqlQ0+fWLxsZzwdN7VOY2NLXs5Bl/RRSHft FVgvOb4r3wr/SLUQ8hIyh1KpRfKkWkhxBBYfqDk+yOOBzSwKFpcbNO3rAfEH76eCW8eQF3AktMXQ bBhMjNw9mgvrfd9BOFi3FAB6/G4sfqTEG3qlmvzQ191UJr3G0V+Vx3nS4CN7xKzmpHsGFkDFO+x9 l+qlsEVhUR90crf8NpXKlBTc1sgKdu/uaAKzdXjwKPd0A+KNSFq3m63ALCzOZsivwyfQU3nFv/bP mwoEiwPm2F8/PeEAqFUZ5dJB/INISp/Oip+Qrayc+G2ceINaoluSde3lvkwwo2ntvdVeVkPN0bni zTX1fRKLWqIF0vfuCrO9dtU/ZSDEI8xZupuMIGLMmLQ7PSkHt1xGQSZ9kF/G1hQsE5WwfledXMlU GtYneLIe6/E3fNMW3l3a9cdURFxrU2EmusqMCNiA63gNB8WNYMARjPAlnVRwWhB6/hwfJn5vr8Q+ 6oDz9nhREZTBPDvCiO+uEUNg/ULOFWBawOKIs3nQ/wA2N3BZYhPJtWEgDDhEhjdpA4kacTlds+dx jKz9MlloFdcvLSR1p5tu2Jyh6EmRGVqCIPOQJ86jsXnqYm8LqpDMkjVtzsKqlsF8dhmhDV3BQLVj v11YLpxB8TsGZB9MRGvQKS+9Mir/j6cRAiZOyBkLVSwYqWRG21+LRLsAa63R5dJw+u3A/3EFdn7+ CSJgLiSG/G9qe5CV9U9C4fgZSXeLWVB6b660C27D2OzBTJf//gVaaGcNXHd2SQ0YMgcTiI6pANIe RzC1SNhI3hu8rltwb4vtqWbdw+1zD2+dRBAalHREuOQ7u6u1lYPQidsfvzqkHMORPjbhslpmk4nH Ux7zAjWAzSE4J6Jsgh64p3snnfk+QtI6f3w29g+SmM0FWm/rQwTIulgyj3pd/5THazOi5/tXJ7+N 22ALTkXU45YOZMDFM3gbkfVPYpHCsdvn2KBLsaZf9JJ8luN3KDUPTunsJD2OY9hjC/j4yHiWkgB9 mG5siVPfb0AGUSdNS7afLrI0jNZS25GYdGsjuuvE6ZZ6IEZ4Dq8zoZWcaWbgnCqRF4cyDLJEHpCz RHmWeN9kze2klK+2pGaM4EV23XijX0rTZ2wyX/bgEudY85qLNOMh7I/MtooqrnV6fdBpzUMCNczK RhjnY9NKexRveBN2/TbLdo9izajtPW3uZG8t0nZBfjq46vcBdwiD50loJEiJagu4wm7iux33JdUI UohGbOfd1M0jxn2J7dWstP9ZIriWw6jrkGmWTEh54XCkV91+9wO9wlC7kSFqpbdVqfDx5R65nZIT Y3GBM2yMl9NwbUd2y57BqRypVxvzOHDhjEzFkJzY1Msw7bloJfigUx2PVURiahMtGcuhK1njoZ7M uCgv6ZPs/Qd2mFXFsyncmj1GfbrOtA5GRemIfNfWGTvx1o8/Oj3UUdb8As8rcGP+SWyzZWyHE+2C bV5gHIXj9SYRXa9BA0bhp/0Vr8yqxRI5+8p6dIhsy+X+/qOutTdj5HJhWbFJTkYIN9Ao+bUZJVlf vu1zyfXZATqh6a3uLyN9NBrTjCT5g81CAsZ1JnpawN02zJRoZ+9F6pnTUHIAx3aO90jiCM2Sen5v 4cc3y1GLy9Gq9jZqPkRTVJcf2LsAxaAWJxehBGOK3Q5vdI9hb+4+z3boRd3Si+X6YqNXOdpPQ61b eC1IbTPxf/hbl2HTR4mQ/5dewClo8Om4uEXfpDVWNYSuSu5uC0rcDEPETJwPM6oXWnyOtDV5N0LM kCBE6HEb9z3vFjRGk6OwTA7P9l6cgFuzg0IfH7Wv3Sd+A+dHXAAj4i/UqHMorWsV2tyjK8A3Gq9s tzAsLQTv+DX0bQDVX4RH66U84tCDz7iWHmH/BGqcTcn1h4Dn6wQO4sfOJ4bTfSCIXbPZHn+qdiOD Hd/UJBhT/jhA/7863cHWPlrMTwqFcMyUXda4a77gcl/u8ater+jJ6kJrLmreeKiqIg6G6fnNZ4R/ wGuBky2oOxwpiFFFdXDvH80DgdI+IBTlF63ziAfX/2GCmwu9gPK0aLGyHJAfDx/Z9qBsTnQbSGi5 pSR/DhX3ExVr4N11Hk7+8SMkpkFxDJ/Tr8UDV+qi906QiLGqFU92CH+I5hwQB9sUn9Sj5sKkw9mZ NRv2dXtBUqY7NdLYpQqxlF6VU4Iva6dOb9E+cMUTpboSKoSWI2gFoJ7/hhdldzlfGsAl+NTAnOxv fcBtmNoZ/W63GcsZCA3TxsQBjKp2l3EJmI7gbfgIyx3Bd82UE/TAZLR+wUejE/m4JsJ1ypb+aThD dllgd2iLEW6JQkcC/X3kJvl+AQFmct554FXSaKE/oJaMmr3tzvTBb2d+gPNVJBls+tQss+BOkRcc JpA6V2ds/XKe1Z/yvZXBcIDKsToKoEA7rM21uXwT9CZLBhVtNZObp26Z2pEq43u001fFR6WGPEVE 30/7xCWEsdE0x+Gz1UGvwFtI6rcBFSouQJR1YjErMwzJZzsji/vMtKdqLYn9gDD23TPi3Gj8JW1c LQXHHmuxuZ9qnIqC+u2pMlGGgfhwKn6OxoTe5080TixyEuEzoPIFtlzjkn8GSdBJHDHOFZ1+f3Dt ifGr9xaXPMa+DJSL7uTAycq40ZrlvUdeDRTHNxVQ3nvm0nn9Osuj2J6J+Sr3yPQZ5x6kXGqGhxir CNRWVnKY7kycgdni2AwGCLdZmQyFRRESpeB1q07Nla/gTswMVyErMGLUNr54sil/W+MUmjhUHWwf t1iqUCD0Vp1xrTZx6w9V+4A6ncR8/rey6NPbw52tgP3lqZZfx1WwbKiWNrSkPWWfauYNfDHtrVka K0EhfWWGcIqIrRXH7N9g8EwvuZOsrRXlP/75UhA3q8Q9xllSKPG+BHfdneMO38La221LWn6cO3uJ kqOjhZJMRcPmdofzOwmshASvjCE8hrs/h/SiTvhC0iD1NoZz1p53rQ4Aes7AatQ2qhn46mx3Z9pL 6ESAW7AdULQMQj1df+uiEe1Sty6yUp6eEPMngG7BPI48CY8f/ddbRUMX8L/Zd9olBPzVR4QOUvwC mTvR49auTjIMBCBCmt75PteD0HuQXEMtFbRaIMA+tHq0L6yTMEiyRYiaSbr4ph+yzErLSq0DSwsh P1NyuZLDFtNV0kn5PZQ1n5D7jVZPWPMxuSwERJYHhTUr+EPeKLI/euY8dd664sFFVO+Iw+ocX61m 40Ao8Mgp95KXYu2vSrBXCdt50gExEFZ714C2kI7FgwEkRAhm+3rq7pmeUqIUHR46REwskw/JCPPp 7Vbxb81aFwyMo7YdGCncor4355uWhG7UR4OzcdpXqJ+T5qIljuy+YUApNNuwZzjdPx2jjOFOF4AO V2ijGmr/0ZgejeXVQNwTj/XLuvqdLUuS5e6c3TLOQZQnIIpjXswsXVNGMJ0xIxrAA1e4XTS7oIbC KAJ1g7WypKjJvJtOF0uMOwE/sPZXtvxi9SbJcgDbvuMGx85iQm/AjQJVQJOfsAMVcfMzmDnt+yk1 qHYxCqvBHE3yDqjZz6bbWo0/6gxbunEpDdhAkLpjPMAnsi9HAyp4FQiTTNH+GnBCM8J8KNb+YW9u AdFNeB3F2C/DWntpzVAaukWpMo8epBIB1wXO1ubsLQnSJShjwmSRjzo0wiPjO4XfN2SRGI8RcHmm obMSNBmYpUEg4lAIgYjklpXiZ8wlk/g4XJWOvSz3IWasmeXqb5vH+vJIoZY2fwHPDePN89LqE6ht ieAaBMJq3KjMvo2mxszavv//cQZ94fM9iCqXnm2J0KI018QqXpGEoCLxhIloyWmT1dbtLWci1XS6 N/tcAJY0uTmQTSrTBQgWG8hqu8YgKQD2C3yRzLmN2I4XvtiWA8bEUqDEs0UID2gtQzYXE+CMYAZF qyR/O5IW5SppjY9PBQrGSFublwsJVjhYtNcq7y45d5aqoG4LMOex20XPRhsqi1pj4QZMhOBXJW8U 06ABTXbuvNZAC5Vtcwr9yUJWz+uSk6Vjnn3WgIAyJlkBYuip7k8THxz9fTHSHt1V0/c0pj/4b90O DA0R838ej19jYorU5IctdWCkKlypqJl0jDlBKXB5e61IHyJTAMnLQ9esNBAkSC/8Nc5ovsOBa0LG ql3TYeUhK6rKYQMu4QeU7SyNx/HBgWyB6dHyI2AKwtKrBC5SbbLOc/mG/KmCtPZ1BRmX+n3PM7Mh HJQu0pCIvV7I62krd+UpwmQq8EzOD56pugodGBxFteGUHHDfnOVwERPtEVQkizHOpDrmWMy54/GF x04KV5rEP/XHbZNGVbn100EiA3LmwYe1zwFCDhNXjJXKZoc3WpWzS541Bzu7k5hqK8dBUqlDcZdS fdIPvMUis+GtAsoUjAwmj1yJcuZCnGbm04SUYmnHbBZd/HFpGcOerXjpKAi5TfIgLBxIRA+hABYR HSK000UNlw+N21efQRmZEBkMaw8jDHktxsWBMLZlaneg1AsUUBnj0F8YwU1fFtP1Wv8G5UEnGd1C ztI7t8l6tyBVyZA4uEjC/JRyGDClo/Roa+FO6L55E3ihQcox/HuHbCNBVQCAyXh4Blxcc6ardwcz bhoGgekNc9oOhry1MV8N6UV5eIjBiMy+z9At3Qzec86wBbv146ipHhCvt9X0icvPdC688xg2zTlG JtHbkCOYMl8AD9yc2y7ckDMkRMi1K9tyolONmDRoqtDUhtOFer66frc0aUa/tNY8jWcTeJHxIcox ykF66Q81PnDViCdoGNkmTWmoNdjTYQ2iObfjT5CywgtTwDp30l+taadXhPrP9R2d7E2JDm1MTU5S EUeHIB0Zuf07Sa49eFh0EznB4wKteyjWni0zIR3CaDHDN+WSnTBHMJaetC/X7iCAp3JqjvUR9mId t6w3mdOCk7UOKwMQOW01eWXispHNnER4wobK8TQyjBYGWjHsC41S2snQgGn+lUPZpKy2VcT0Nh+t J0lL20Pf7tJXMBz4GAofuAMDLAZ1BN22VvO5MOBQ4D14zf+0tc8tB+UguI5SKvGyHsG1hOR75ErX bun59C0buZmikPIXTivmHEv5AU7AvAXHO4Hd7OV6n8dlriqwTDD9UZK4V2s3SHStI3C0VvmHrElA peELSq8AqKYOcHqZ6SNqBUvUmD2sdt6uLztPO5YZ7MOJKcYW/I85odeO+2iA/TH3wi+XLtu4G+ww Uu8FBJ8oHld7VJsvS4NWjwe6EMKfL1rOTh1WfVVb1ux29THbGC1YrlZoVRvQK/dKICOv2qXmnsCd PjYgbqFQI/GOLtYb8k88862cMsFdwIv5+CczxuPVm4fqaHuR8506ANwScg/11S9r1n22JNLFvcvU 3uEbdJuD5JrUZdd+3b1duXegKZpLfD5sKuJzV3kGiuHH/8vvw0nT2PqL7uPTFL6BfxNlvLOWlvRX XKzr4kRh/UokRB2WQyk4NuLr6j87P+PbPqsgcdRgGqbiAbvCMFHMDIiMWYzunMBcUYZmVKolVHVo ilGzg9WXMhvpZW651KpaHH7StxoqBuAQC7YpYRrOn2VIzxWgrp96ZbRrA4eZauTWCvMQ7U/m6iRn MwjZTsnxdGtpuIlcVyFS6HYxoHytQEbXL3BdDDBf5XDXMXflZVEl90PVxJaqJcDXbCQ0NufCGupN Bh5dIUJw+s0dV8h49VOvOkLvw+9Pmt2By+qbFxCm1h27C9CZ6pR9uRze6nhDu9U7jETEOxSueU8A l+WSQPM+37Lb3sHfAx+F7Uz8uFfOQoEOFhhao1ENOFo1HBdmaozYrpAwJL0iD8gu48XmfL+c4Km4 sngjz2dcywQwhkUv1rvHZkiD7WKdQkPUf1tPqe1NZty4/CTnd2DSh9vWqWeZskADulPeohj/Vyud L+HgxDib3r5zZv58QaZqpwf/Joc73+Figr2cVtDZ0t0yvG145HayQHBEtQWH28Zz9P4QRCcKI8K+ Nym9kJsmH/CNnV1e+Go37Li1JznOD8SnyVMOIXEQ7C2NFKHWsE/ezg4o2A//bxv4wSs+t3rrGldR vzcnaGTZYycsa76vfwX9cx1QEhKhUOOmt4eS7PwvXVbtatAg/KVdKAI+C1lzciBuKJWphE/0oLXK UWVYP/A4Q3uahDz9y/tnd0XHYrGflU06W77Z9zzdzrU/boIGVHEjwETm3B2FoWRdlwF+fsBQDz5F ho3G8Y12uzaJ3HrPGEi/P6d3Nm69zmS8tDjxGuRu3JxomeFhQkWqYoMl4+/MBrjMm4UavTh7GOr4 hZFi5uzKI1mywon9iOgdoiwr6QJcslax3+00MU1uNNg7RvKwL4+mNyZHH4+U5+Bdh4ZXdynjWaJg Egar+02p1nZ4fVFO9pKXHoWo+LCztqbZcUc8lBGXY8FrqhMKxryiQTlqKCiNbO38l4PnL4EqnLEb fEkHQuCoSPftc0M0HzZUjGzYhJuOxf7bPGayPNOInLGFF/ODq9SWDvx6m28Kud7plg6xJgRLv8dq ROOu/ZKS5X4ukuTeSrs2INzDNiK9uZfLNz5+Nfjpjx3R0f7Dapwy/lBqAITcMWoT41HJk3uN86z8 QqUcpTe++BiRGl8hLGKle57b7om6ngtT4QrnXlgHmNmw0IgJWhFKxos/fYRvQSDGcTUjThVhnNI5 IBMxtXiwOCTwfmeyuHIbjRy0K26+TN5kCqbT4AFzfpZ9OKv1kr0Gvt/vbUI7Owq190+XSLWDq04F 8krzU3cZN4DTh5q0CbCW7lu2ZXVyVMiWpFWz5lpngrGCi5FHwoMtmrNKET8TypiCwrzmz/gjZV8K tRSU/h/URZMRg3gy+L9X5kT+/trfkKvM3+gCr1TF2oQTV/WrWJuBoDOwe32NMy4qKwzzWUAg82Zp x2vPF4AJFYYbNQfZQB/Xpn0Qh6hRoePnsw1ch3sbEPMLNeaC4GhRE6vYw4nwerJ4xF2cWc4pbWCY mvty4VHvzGMUtrzMv2eP7anO3PvcgvP/GC/3Ybop0woV9KExgCdQr81KANAxotyIPVZNukDRrr2y V3BT0lcHMIP9VmfhQ6zEPOr6Ow/vWkhlbX4fb6+4gH8fE9vTH687QBZxcn5ja8Y1PUc8TajZnDJB oXaJpwn5zTI62k8wdx9+knMfIAKVXXPsrr6P15JPpwi5mbJkQpYisg1YCcha+dgnKK+yYxrR5MLN 0jYPdwRPBXbJmhDFAGhnaRR3Y6370EGqmBmgMR+gC1TVSkajZS3AQkHnjs8UzPgR5qovRRvqcfas zH2ZzUlq5yzdD0bpx+0DacPfa8YIm5AfW0WBzzrTHf3kxsyic9MEddKAQADWQEwNkEdPqyyuLinJ cKCULOz5hXOj96av6AQPBbndZEw34Zlxqwah+P5JPgKEV4Gn1u2NdAbFDutntjDCrXI6LLVYRKCw k62aFfXVDdQOjvfKehyOOTDYmJJ3emDWfJjAUtZd5p0IERFZPji7KgpJAttL8wA/1keqjIou/LoM txMK4xaJdAU+v019KsQtSNP6iQNOtAxuBAa5ts0ldRNqHVZgc5ZCrWn0yTexj+nQ/NLsm79cPg8i fkdznSavIeozbyxnYw19t4/cprpECNemidG8Fd8BGqAy9/hooYQFs4Bfv5u58Sf7/Fl2ilAJZsq1 EoswXZXXNiFXsY+yMEJrQkpxrMEEEMAIODQ03EC6obeHPgRMGYDFamcMnfMWvYEg19IB6YMBvgCV S1i7gIVqXnpshtQcUpmibfWOaToPf2Re0q45lmNFdij/Zfq+hR1Y9YElFZhguPim3Lx6WyiA9hVB Uhq5G0IfTF5cHY2J3C9RLBzKa0QbcXjtIm1QlaSXwu3T9ysftqPrHmXcU8xueeSWaJEe5qNA5w00 T2LRtanZ4qwxKCsoiwTqJvf8+Ja3mErpOOy03VPF9RI7iDRWDF+DtqBkgTCI3fxBQ10pDB1JSPyJ EIknjAcJK3Yj8b7rZVYk/p8HkgwKomTEeyGYjKGYDRpMpCHafUUvYhpqKGT8Lkyi3k/kNpDX3phN HFNitNcCbAp206kY2n39ob7VbalP/zMFyNLDhhVvY4OwiFAbKiljC9gQAo6pBHCCBOPWFFCVZWKO +dGn2mzSTNnbF81Q7DOb+xXsibD+t2lVT9dU0p7hl2qGdtQuCFAna5cejiYaHP1HC1JVkptc7GIG 0EoO0e9PNivydQa8y4fi9QfWWbbsgyypsU3I9gwxur8fX6m+pifb13q1HgwrW46WptWloDfHo+bx lTpsD2B4FFSjgTlDjQo506l1q+eP/41Esw5pIcs2KxlJH43tkar7lHFbeAuDUXSzXFp41zsyyFBI gZzXnLO+lHaW2pT5jrvYdEcGMMw2tTTniMGHOA0gO/xTmX9Y3QjUp/g1t2aelBF158lwUlPeVFZ4 nO1ar1Oq6nIOxSNu6NljlVapKaZ12AaKWKz1X1flDoTasaA6xyF34BcDkX2YOl6Wc2R268meRR0+ UEjN2hcrPONhV3Wb5VPlCva72iwG4VpcEBZ9TwLLWdoac2r7WJwM2EoE0/3qTt5ujXM5CdeLTzPA uifHYx12v61sjSXGQctuNAtlrMaTfcDCQvXaEC/bQcPeNVsZewFaYxBNOAx5oK0J7jHbVQkOlJ+i EpaYDemNBHLOBptGqhOzeoKwvK9AevGRXYhEYLkEde69mmFabtcVEU4i8Z7mJMqO/qbRb69z/Q3i C8n84mES3heRaj2AbfgfDGPFnaiyTNUsHmyUqEXiPM9oQuV5xSFyBy74W1HW89TsPVGuPEEsbI1k 9QGZknee9RqC9Z3J3EiXKeOC5toJWmdx5Fdol2c87aaFmPoxebT8Q/MT5VK+GrdaZ47yPyhKvwa8 ggoU5pOFpXX5lZnF7IpZa3BRTpTWobjjgM73nD2NV1nJuDzUoh8bZqWVn4KwzrPT1j7ZiH05LMnm RpVawrzaTwg23TE9gvlaG5sGVM41Y39aoeuI6Y6pEIWVLvZpHzQ9NOb2Gy1mfN+zS6stvaiQDipn 4saC9yr6Xzl55SRnJhtC0soRCELf2ok/XAVBP6G7zHD6/Lo+VAz5fhi+2GwAt6pt+GJ3k479Qv4m oOPEe4jDR3HWJWgzMiGSnoAn7ZsJqs7mWCmFzL0JPPtbzTWBWCf7Qkas8T3HTCD0mjGOWJg6+ZnX IQd86tfdnX/Oj4lr1COqgdYRMP1+YzwY7hE2xddjZ3oSJ48VrhJs63Ux4qxLQ76rQNXriM8RM+NS lMdcZ8Rd+D8LPiu0Czj4a60R1FgaehCCfZu6tZvn5MZoG9DAJs3GfqIn07D2M0hwooyrxw4+dP22 06QFfOWCiEUQjS1lM+l0OIqmMxLhEmRjeWKi1Pgo/k+E8dGGBu2+8K14oX2bUzQF0yxuAKHnjiZP unDsfsBWN4nrh3eKdcW/Fh7xk48cBwPhTLeeb2pmjQs63ppSqsdmZY7XqtnHOT2PH76DpcpnAYC/ a93yhgt7ZCXLYYjTLov8qBRmosX/zlN4PA/kX8HT8MhJJHggxgqwA6XKJ2SmQWcv0TFRyaU//Ccd NqQuBB1M3fbgdNa4NkubzksaqqhsvPFDHKdqF9KyIf2lsP/E0ii91OoZv0c3K6KMotbY1V9JAXO+ rHfJJRhtYVxOLhpoLJuMJP/1EFFCDz8HYyZguRofkP1RuRRpTh8rT6ZXvivR8KnncMWx44YjSgAj NOueIS8rWmhf6sPRP3YAnForkwdyL7bwdzeAMkkUyh2bsGbDdxKR9zFB2r4HmgqZ8bIsYlag4Wj/ uukCTFQCSOexqU0667VKA09SrBLxZdDje+e0+Di273P1r4e5jc/shawGxYLQOkHs4ecG3kMnTHAO gCPyq1W8CLkQFfiLQHCk0exI9q/+BI80165XIvXrykONl8v8ickcCj3wJh3Xt7YFzUgAKek//ihW znsyvinx4SsfnuhSKBZshEBA0m8AR5h+WAEs9pz8t03Ex31aXJRwANMfOlNhpV9weNBfwNA/Bb/q wkugGndpq2fmGsYOslRvAnN1wa4Q/es6d0oAtqFvKkK/WLP7ZAyB1Dwke8lCbo+Fqt+FejMlR16v kQgQgsoK/QOlHEcka0WI7ou/B/DMvOPKWSAJiM4vpB3K7G2Q+FlDNboEb+jgrvHZrUPjNygs/yXf 7NMPCL0q+7F1FBRm/X4mTs+8iIJgBGDHcOUNzjlLyC0PnGaruiMmcAJ4qEfbvJ/xcOzFvoIsogV9 uZK7v4rIqj7yrJp/ybjXQuSQTkRJRHprkrphF2SfEhg2E7Km5x9jIYgTv+3c188ju2eU4Zzf28rH dBxhuP5LKQLrdHNHnBZK2fsNkxAlcoRddl4jPYqJwv8u2JgscRT4LqslepcK/sVJfMXjj4ldF8nr BJdwG1FLi3jisaTIleAls/tef/qKqEgL7DETwKUmRfHfLAYimBKQgTNVZ+d3OZOZOd0x4eIoC3wg pXpgI1kseVMorOkBueOmc74scYZQXPvEQGTEDD98X+WfcA12XFxPJORA3vOAniqawpiOmMUMRIdS 4tcq8Mlod37gp8hA2oPZmdJislJU71Kom5hwpwBfcHxnwrI0fnJOqxpA5eojcI/At+olZPae+b4q P14KWzeaOfAKQ66N6W7Fy2KR3ofrA3EpIo137PKF/9NFxdmoenBdt01ubGVz8oVsE1MBxDnwbGzC Oe56tI4Yyj01UZt5l3Psmey4xJQ3tO+WGpPQ3nHQAgvdYVsiP95I7ISx39QR9OKLZPg1Z3FZIUP4 uHsFkZAeok7Pi5zPJSQTu+t4WdSffZkVF21+Bro0HD2ELro/Ue9VJ+8Dr8CQr+66N9AI1Pbi7qZZ mc6usPL2dSShGPqjYrmWoFs1EFL+5PGwhy8kc/hNJ7gVXBq7uVIY0N2yu4dMY3hYsPPTLa8EsKG4 JjlmFCaVf//wwW4xLI9WoS+teJBkWYacqZrCJ63bCO/yAm5vzkA2qMllwEFH7NnoCfIpuLOCm+o5 j9xdPh4GARhHhXf7wRmLUVy+QFNErqP1yV0hfLlkfUbzPhG4h9u6KYcKNnCxwkL/ZyKwyy4YJ9hL e4U9Z1LqIU6sg9MAHQW/2ihZUg5xjkIpjd3dga249uuzXDAWJbwPFiXS6tQKzbVfYDzRlqIKzj4L wai/3vsDZ2hRZ/fGXXBh89LDZX5y0jSsxwjjBLfbmZ9+yU//dQXtm/NGu0L1BaUmwyf4yqVf0l3b +Wr1aY+ueSs/q1WCSxN3bMx43gmcc6U0LFZ8gf6XJ2nIc1tc/g/EIVtRRIql2q94BYEcyJ6bRZZV xLgzx3Jh9Vx4K2/WIcW97VBo2ZPL+neU23goJTa0fADFuemTMpsp+DYWtJj7vCF/xHF5vhL0T1LJ SvaeB0FC3jWtgafNTQsYvF1flWrIQCIUz6YO7aELKJuQ8TDVprKSzOF6TqRufzBuTpGU+EvDGxaj IKnYlU9K1glTKMGDEFZrYvJ+eAMcxBRjUysaIGEc/xXEQRny1DSiphhIcTRkpEuUFretymwHTg1V 9bLk9bSj5pkkf3avCZjKXSDXwviafmNqLdKewZgSgJvusgzBOTobKRn9tZF3DIZs5UqjC5VEH/GF cFXM0I3tE/HCFjuYMiEtymfasrnf+WmC7kXzDdYid6mJWV+vZOKQ36TXV/a/n8PGnhYwS5PHPFng ZJvUWBFwDJpnPlryl5TLM2E3KJQQ0MArun8ugpASrznjQjcLWW29BjA6UToXywj53d2AoFe438ng G5gkIDwwqbKgn040wVrxa0Kh119OrDuH8XnG+VelGo7onEsQ9Ij4n7V26VfzBxmx9Z3hJ4ldugVb 6DU1gjN1P49JQ0ifO+md6B0cX5qiT4b9rCoGNsGZA5B0yLb32NQYs2nnXPzxxCi76reQiJ2QRE9Y wbaDs2J74PgsShjwFqHinR1oxYx+iOXWDwX+Qnylqel+uNQjAy8guZmACOyzlB2wVH4Bz3LTfLV9 dQsJNg4dD+VPnc0ghgAiEzpumAawjcW7fWS4nP6U4Hh7IJYsIwfAYWd7mOcNAKD4J314/TF7m3D8 i8gk+JQkrR8Y/7RGOFG+gGOWRHzgcF6st76vm3K5HRT+wfQFLv4bQMlnAOB2Pm20QbneS1dC4FM7 0Z20Ouy4x5iUEv2Xu0zqEoJrjNlpxihRWkmQ/390JLIpk2JedwMEOvWm34WVlYqywrkkxm1xg/O3 NsOs4SfKUsCLdpVoSvCuky4QTyGJJw05sJ7WlDitSxNe9q9N24vPEwu8YFNjcwuFcfeD6T+/J4xE /ya16tSY7Qsd204UG5JlthvC8Lp2n4hujZ+zZx6DtGzH3GAUlZRI3by4hSzriM1rfAAZf5n1z+Fl Y7TENJMDLYaGybGYTuaxZdV5RYbxfQmoHoWYZ/itIJfIK/1lrVAnAc+zh+fCIMOevrNWRmA9mF/y PAGOmF3t1tzfWTZ/JneCGkIi/Xrz3flTY0Ef9BtAtw0gkVurEU19Id1vs7DlkYM6ZNSD0tGr5FOQ x0U7Ge5D/4Wr6t1ou0cCCZ/BFmGy/qKwvjOB1ki43wd5oEVBncix4zBU6e45+ohRw7Azpvc9spoB ZS0E+SJFu8dcufPbzI6gqT08Fugwq/3hLGevY6y2Aet1r4pr5w9PEjSbQqcMRWDZsgIIjajJJV6o RVx+OtQJKd9EYmY7g4H4alfTA35Ftrj/P7oxJEggPH7MYDNhYoLThXGWZTtn6jsv+8kQIxxfFnR8 qx2rKwxGjOtKRV1O/YoN9bW4+WCPS+GrhmqVAtwV0EpVVWqIk0s6x8FutKTzbbkEShHtyzt7V7sl M4nv72dy3ufvt0Ey81j8nczpv49OJWvM+GOadKTnfiLS4t6gnwz0BkUmeovBKEZ4ZtcH7uuDU594 kGEuKFFYUOdXZpa1MLbOqbOa7MhAxYIyIawCVhTt8hHhWEVYtPL98LQyf9bmMPv1asf/yzfEf+PN 3kTiULqXLivoVt2U1h0VbEKPd8HJDuwcZ86tfTReZw+2lZ3u5jBSUNEs2eGuGq5muCTvfMYmI2pL Ccky+OoA7zNsMFRilRrG/d/bj1Ur2cpSM/FQWJtNDLVV5SO3YaqlbmbNN/yR0NF1odnlFykSqCdI uonzzGcS9l6VZuesGg2ZoJJhTh1nWDQCNtOxpvbNGI3S8p8fMbpEgu//j9dpyrJ65RkVAuWnAnwH OLkTfOK9fGHftLguigElui66sK+e9Z3ARdmheuEo1ClrnP6kMzPhm0oR+f5z9Hp0PtvKvSRVFrN/ m/gjmg8tU+iV6EJ9OkAMcrTt6UnsMfU+4ARRDoJgshlOa/FOmqP9y3nQpOh5u7coxXKj2y99+9T+ R9hKMzhuCpopBWKAmPKgMhjcW3PrranCNiAO7H7k7PAK4GxCqYhHK1/1zLId/eyhtubmOUKDrMbv m5sANuBsB5IDUqGSG8HWQYNOc2bVhGRGvojxPmfb0Y4IokmXKNGNGgbaeVbZDIKC3YXuCy26LY7+ V99rZyVd9Z101YGo1/fPyfke/GKm06NZ0dWUQ5ILFLTC1EXLeGfW+QKjwW2+ydGRMXxkSMDUs/5n sAQIiNnMRcKXE10NnnPCosggyQH6LSd1uOJbS98bYo4pByKjccP+wZUIdM2p+48pZBhaGcwmPt3j u9C3VGPj1biMAOJ4rsLbuklK9zg4bBJL1h1aQxRinMpIN+b1b9h4Z8kfli2Sn22UFUHDr75h3MLT towbSbVERC8EOU1xuv3IKJER44joztiRKhxkg4LdZvjeeIrAr15hhmN5UCfjPhLqtLEI14aRK8RB XakDONPSsFyvyBC8egof7AxZm6nZPJrnXBqlgjJFT6uQFbVnbzLH8r7ia/gSPfUyN5KlUW5zSHlk nMNI/ZQmaqZvH1BTnoCs+aZjSNBJSJQ3gpcpFYvUJzT8lB4go9HobE0Y19uHoaDytF6JSFT9dwWe cfOlnvom5wsoGDcykUq1u7/wS19C1r+JRjD2B0XxiJrxhY4zeOU3VV8oEBQ/XFEf+Ar59Gt4swL1 KfBadfnIrfL+Ya54+kitQ7UXIHi8xgrWJC7QRu3T4+kNbsVZJe7lbZ29wFnbo5du2B565mEelOGO eFJ1LWzzOgIWWWg/DHtJwOL1lH0WYlfJzks7k7C4Ywaqbgb7c6shwXtMQPQnobm7SWoEbrHAh1W8 PG7a76dZ0hbNQf/6egdQ8+kGC8rDsTn61jQ852k//EJRabpW/cojOU/dtnMQpsra379UIPi2PYkI 1Kp0TtUGzYak9RsQJ7hsaR9072N2tWdUCCxjEGq329NEeJg1fu8rpTH++LWHGpKR2cGcAWIqO02S TNQHwUTCWw6zbOATWcOBmYob0AS3RgcBKx7aNgNSnbM+TVT1D9nDOhjYx34n50to2QMWiPFU4pFj EtLhy3bC/9eKtRVh+PzfhCE87ctUh59AuFFmAum+B/25uby6Hj2E93VezmP2EGwO7Y9hbAYhWbxH TvMsw8tXWEj5/oYMQiSfdetWcQqsMMzyfMAO4PBfYKbNN+bOJHPl3OMlDqU575EDyda145dnI8LT ed/tR9034X32qTFH1aKwCoJUX4snLZtnLxvnnhruNhRDD4+CVZ4p8Tz0HivT0zxUPKe6la6iQm96 QVh7lCe1TWOCMobWwq+oC4y1BUnJq8wnJv0Bqz+/KhPUZyPKYJMFi/aFLpYqtoQVAsYbFLQQp/3A KIvMcMPQH+YlqsAsOmE14cRCLoqZMaQ8Zj3EUqACW2F1w/X+o9IzWfq3nacOFV8/InT0/Pa38PO7 vViDNAdrZFtb8a7c5hB+z9kAAS9FA2Qcw7qFPEHZIjMXMZ2FR5iEIDd9TBElCvmEcTSlGCsd25QX nPQvjwdkas6OGCJZfIKYpu62xwpqvJOufUxTryVePQ7Psn+3iPG7TZUEurUHqbc0QLFMnaKLlfDc uAoqF9NUJ/pXOidtiRt37FY4Q8dywGV+PsSBUvyM+VwQbvt5Tr5zcNkxrrRbDVgBs769Akfhbk01 CZxmIqQJWI7knzjzXMVlYz+x0187p3zz7pbTh98DP8rkK6V00wQuEkj39gZQvK7sNNHRoSYxwEEX A7CgbhmT9S5WeWpUCdACw29LNGszkKnkU/X8jsJJOtW2lmzH4eF3/0tSz65qDm5KYZnobq8oVyHS EdqU4akZkD6V6PHeIny6tTuG8Y+3SGx/1oDqOxIzmo+9QOWmerNhKM7Btqb3jF86TA/ON7fCMxw2 faZo+WXjloJ0r8Ce9lVEERj9Zh9JYtcC1WGaTw3B9eFLinoA/lfMlmX68IFAQ53wpQ9qmLoyopEB uuMGkE3ZdPFkJ3yazl5osGnIQpaJFiGg/KxP3N+MNSd+ZByHxGOQH9mZJz8eDATVUjv+tp2/KcqQ iGrpHCuZhiTRmikNoZU8owWIxBCgF8AFq5DUpBhOSbKyClIX+1kW3QJzxTGdqR21EyL5KsDjyjAR kVyBdN578QFFBjjzDZRFwu9UjWhrTFCg0xSgvB+Op++YvfePYP4aCF0lBjj7ybo/CKqPYtqNcytA 3Ljqegw4efpXH0GNilAQch9OxI0XzXRlYnYSC6m+5YynMMnpvQi++uU7UvGEORelZK8CfeAe6kdB Han++lgWGcbCm124DBneZWyzQSNXBZFzz1okdvpt+Lt1+9J1Y+2H7aplGDVTEwPXhgEiouW7Gr8c dp/hHvIzIuwE6M5j9/ocNNuw1nlE6dZLS8AY5+i2risaQI1R3xUWnnF757ZJDTeOgC+U+krJzt+i 64bI7cLGaxomW3CTgURQAj/JeokpThn1BZvv2VSohPKyY5Yz0W2STkWN8f1swrlmhg2jT3h6HpY8 T+ktR94CxGSKrseskcMVXSLWDjx2P3Jmw/bZ7G2SyW/wTcMo8zdd8oK0H57KjH/GnreYGCRtPzdV lpilaHDd+oyTlL9KOOmHKBmEnFjpmEl1iSXGG46ZTfPmFsIO03XrYQkyibGgrS31WEp2WpiXAb3r c0qJAhTMxbmxozD4QUHndNnioGHmyoVwVInCs075VcapeSoHhrZn2madLw+NO5XBRHQtvYi0uuPw jnY+4/hAb/honQW+U20X880fHbnFV7l5ILjpUf3fbhpANB3YtaIYSXDlJ4ZWSITSppt8gwAGQ03U OXxrNHRr+8XJr7kJTFwaiDZVatoag/AZ/S54c88uKMzfPuV5obs/0hSj6rSFqyGf97+l7Kj8uAQD ulM6NTm04Dhzh5mRIvCSajwqSJ+9fe/h8UWPRlbGJkaGF4c2EgzatqJGurRtfgPwMGQZSFBnvnBR 7d64EXMzrerkfwF69qT+Y+Dkkkq3zKMeod+y6ac5PsOTMIzkM0D9QxOVEsEsmpNS2NWz/3Rz2uXr YMz85IxCFSI8TrxRAgWvyeV3wkZv8g9Y3l05sWZwQfhK6EmAw0Y+oGPDLwvLhLBaXf8rlLngD4Ux RbeAyJE0ytK98nrB+xMoQYyNnZdjN+gUH+H9COaNqnw+1AD/PikkQP9gG2HIZqC3xzBEpcnZF3YH i1rGxFx9ZpaxuaZTjvGa6wDWqbEchLOced0tDFka1t/li+OCdLpBCYN1dXMPV1YgtWyJ4r+MHjPO ariHK7sX0Onpnz6mR3yzEPUp1a/ljrsYtVxm6HUonrMvIA4rq39bKETH/GrIzB+Y1NLSvyT1aBZP tROSekldUDPqftXDFgRnBfFDcbHXkvOYN0TkPNfOs4y0Jf/Aciv7ZW9AVcLRUZBcWtfFrStQrZpS yFLC9lW3e6dJ3wV8JwUxrvusJaQF8mdTPOCccHNo5VsYqwdjZoDdbB4QqZg55+3oL4LBW73/d1ug ln/l+EufkWeqBcO0LAvzHRIIkZHsi6KVOu2JFU14AaR7tfg70H/6OjsyyAYnwviVlw1mTQZ3rJeP WCWTYbzxmkxy3tsrkVV2BqyaRh747xE+Zv2S+DKbrHQmJ+vgl+Oy0FhxNHTT1uN1FSBJ5iRme5ym WOPSgnLgdtRJmhxNEv3oJ+9+3QDM+oFfuR6oepjCCNWs1PdyguWpT4zdlEoAEiUkbhTNmSyHuEh3 MxriCxFrLRCF3r2gR/IUvUiiMBCbtcLkfH4y3jRPfF8Ezppgac+RStPiFCWSIA6UZ49Pn8ToHiBV yU4UbpMgkZwM13m1V4eaavNyFmW/qRPDJk0JGKDICpyidMUx/ngjRsgRyAH1j0OK8q679FLgsMM2 bvzdBqtliSAaI/i3uDBlk5cIb/0wMa/IECkq2X8kQ3l6w856foTdIoyIfgnZ/Nvqbxvo/n2W0IPa UWIMcWxQAS7jj9qFtL5+mmMr/4Gj+e1yoYsX+mro/OZMEmal9XreEJg+oyXwhnhHGgl4fZP/tYtK DwSOnH5drjaVYoRt4uuAQYsc7q+OJI4vED+UnzlIW5/EQ7C9WEXsaayXGd4b5Ll9VnoL+l/l7isQ VWxUc1evSiN+RY3OmhbLZZBCOMtgANnrC9xYkTfWe4PPWBLAgaw96/IriK6kTL8BNqIY7QjYDGLV fDCLLzrOaQD8ZdXxIW10hLRExFC9sN/EqhatOPk94b7Pv15QIAz0BB5RZM89vE9zzYhekr8xE998 h9wNt74qLEo+jEirJDHvay9X2hzOoCitEzrnNkgcy8L6ncYpuvSgE7t45mfR8g4ip+4eyCAzFp5h 5oyTkbBe9J81sWP3YIdtvN6wdiTwSxgfyWhNju/lk9uZPvoWZdtn/x5SGUk5BPpO0zoCPUFS3OHR xnCXOTFkqqWxQKZqATYI8ZMwl8wHi8KShCRuiNVsw2LcUllIR6oSZYjXTT1VtIAbRfyVjiScS4RI EEEgespRyd83E1gEIqiyfErLjMDh712PBp+QuRwPWDytepSdz5A2daW0lQNIcDPJo79zFzoQ9Q33 dmn1UP+UfjSzvicpRquSBv/hWzLG6EPtWm2yXmJo3y0ymUAbCt+rqzHgSw2BpW0ywe6iMOpnZkYL J2gCbM6d8wfxNDCn1jYAQPOEZw38trSHDw4fdkgO2/xnp1CzMMRIdAG0A36XoJkTe1RUB1FEhRFL MpNRXnxR9btnzaXwG44jKord0eNOUG4IbjTY4ezk00wF5+55qlBeYNZAUHEsM7Q7ibmsKr/60dBJ RKtCZFOnOq+XNyUoUbZRBnRiIxfD51Siyy+X2g/xOzkz8YtMNQIekgQu8ptrFLVkXKh7TSiF7ayf GqfE/5Pa/BChZXLNwuFzqE6cVX5oLKOmUkYNSXW8cP5H9g0vdJhDX2pGl1mRKc8nLtM9Z6b5GHjQ DZrxVuxlvLcJiq/rlMxzXHxtK787NtqjvzN04IrQdS029ACii6qW98nN2oqk/4x7lsoLN8KRCgGa EfbXhI92vYu76C2gKntby0vvzmrVqoJmnWUPb+ZoTtl8piVtc9KhH56pk0kvQGl/idgJ2MoqXtjH rKmW9xFeUspXvG2rLke/b3vrqPKrIoCtTnRf62SToDAOW4jEduGZMs2Mp52zU7xI4k5V6gQDL2Iy DoOcd9esxtP3l6WNuxZ6mu3JwNHRdK75uoQ5j+EVU9l30OslV6mxmLeDOBK4eqMK+E0Hq4cQeynA l0zhuET0X3Rje+z63oPGt1xNLSlzsvJO07nkt+8hI2dfVHtOpyIYdCaV1fwAR4G1cn/bKh07tVaR C4PbHRy+dPUPShGXdXISbatGAVVVDMJgljzRTBIszW7pAjze19RYj+YdbTIwkH68e1b4nXt1QIyV tvsEaJ3/mCh2XXHPMQm+BfwcUl0LKtprK7kCEz4uk3lDkYbxxZPM4YUmiLPZPBdpfGWfLSyMfVGo ftevF+JJellXZO8XkVuDVghF/7Q9mdbjwvGDO4y74wP9CCz88VP6WpTqsTG+FY8p43Jp8XVAaB+P I3ZRD5EbQSHTzcRy3BjyQc8L7bWP4g+8fU3SCzlMCJvUr1VvGDndVa3XQ1X0afI2XAFJnPHa78VQ zNOZB0P2YIrL0CLkwXaWq8zafbC8ZgAnmUzgyoegQJsG6FectVvEEXj922LxcG8dB7/ywqxYMp/h UAK7nyymmvxMkcXsJTPZ1pSGMBsnG2stEWgdPIli3ev56hJZbQ4t8Chq6/EMEJR5t3TfljU8gxt8 9zY0y3i4fGmBhrgSwprDEMbScQ8Fj82zvVoL35K4rlcHDAio1hHtBkhyE5x7EaD0R8tGpZIqP4wP +1bhvYcyN+UeJMJw8bqda7yxffEjPcDkDKngPJoA/Lf0GuPUawOQ1PBqTmlV+9scj6EIPnGJjH6Z BA16XTLhdtXq6vUUdsR9acKy2dtIUAyciSJ85ajC//ZsQ8vmWAq1bHvz6i/+1VS4UMuLTA8OOoPw B30hqyPUJLaSvk7CtdTEaOYx3ENASz7oBSnBJa/IT4dHO/5vzefqw88IUa2hu4wJ7LDb8Vw7NQ3w WlOPTOimM0a3I0e3ubrYLrkIGF4L5jNhgjoTNF/I6BnDkrdvD18fxsR2Mx8utWzoJT5ksPWz/xTE 6tXkdHEVe4fvYQl0EUaOAc185YaN1+0rU6frqCxYxyh+/GbfBS/GyUyPTofo7rffLPAiLCAbQFUi LpfuadB1rmWI0IgrpXZ7RmloEzGiAUq75sGNryvvJzQRygvf3HcEoxIGj633gwOClq1GVhbm2UJj Vr4NnHuUoMuNFsX/jOPJVgm/tg7o5ysLCEpV64BASj1alADoRLn3NPXK70C084fe+Fq7TYF64jPO 6vYdjqbXnM1aBQGn+lUApR+1e3f5FzkZuV/gKOy3aH+PZXpQ5Dr5DBsCZBb2u4c7tJR7kQxeFCte DdYWEod86eOBUj7T4E2mVSuV3bX+9gknoOSWZeYNG5uSDSpLF0lKu0zrAguf4uPMsJWKrvEqBTR6 ZwZZLM43vhTgNpgux3h5cH6kJ2qJkDq8VB2W2OlGXEjYbEer99UiJusXt/u07vrHJeLO2Vdy1mXn +T/n9v/5ugzJMBjoh7+C+bc5uIkJ0SHjVtSm716TM/jXkNVKaieZuX2W5RjT0vDblBayortqxVUR kfNIQMyq1jRcIFLC1dVTC491KPiHa8R5BkvQg1RvfbmRbygunrlQj99UZ97Qgp2TL4wgUeYaWWUB wj3d+xyY5z0LAIeRN2FJn+ALGxLlbOLuaTxl0SbdppmDLPGs7bJtHHB7S9sCFATm2ogN/JuVUojl Gid17jcIw8UksxC7kNwSjIQDcntxCpygyqT6eQX5fmvbgaoo6HEngpHGP8eM6NOyZG5/F2OX5dY4 9+PJyR6IUnT8OI/DFY23LixqHBpVbIEcELTObDApsTGbYeQHG11V6zHpq9yJUuWcYRjQwiHF6eGI IZ9BD/YXxYcN+eDXUP85uuApEr9DBzBHmaRx1JHcLaLCWLl8nlqXlngPCgZABu5fMWD95mxclJ+B caQarS76AJpsKLGXrT5aLRRTZu2Cd8zRr/5gPbWiRkQP4h07zxz982z0IioZE7xjkKPkQHsFKbcJ tLKEY9R1FMcEJu0t5CONbkbKvirZXUdfV8dIbDhYZ2dZIxFyfOwj+vxaPYEPXEEy5PNG/vpCx2ZN VbZu7BA1AjTzV5L6lsjHnD1lsSaTVHmRvegkOLsG8Jch0AJlqcE7RsjBzz86sm5CRwAdB0xbQcbW sPS3ECc2xKJJhhzUMJFssVfOsVo8/tf4Z8VPPHvWLg212GVW5UY7GeWLMse8Pn85b5/Y8LdEMSb/ LenDO1HhXsWJ7kCpqFRLLLtPlDhmLrwdX/PhEsJx5k8tM9+sbxeLb0u32Je9XwxeG8HEuwUbMQDV WST7OlIbU/NDyW/6iOw0Y44lw9ndNCMykwvmrbXI0TN+fO0UW2FGnuwIZqVWxHs69iloBBvbIMi/ 5HVa03qtmsWxYseVd8YNtS1D61Pq72VCUuJMWzgnBq+ESwXp2yA1uzhgwX9X/x6KIACScRNXoU7S C+Gtoa41al2UXBPQLujMnYeoJA/2aGF5Nw5bVrCNWPpKmTZ/BEXuTJMstQIDIq+2v10YhsNkbzRk IRYRafJUfLmSRZGkVPPT5mJjbmeTtTnsVa3xqvelU48YHPzVQHr8GRwpGBrhi6XHmZZScbnbNrWB NAYjSW3rn7gKGBBHVvYDCTSGZPIutXZf0sq8qmNOzOp6NBCtzGCwFfcfyzsAVX4ylSrEmo5wUZTZ I9LPIC6wj7aKWUQxl0cJdMdn1ni7hhd5aZO46uHj7sDcw9esU2xQhT4dyeL4dpz1t53dtLj1XY35 cRXaMnfTQvhVeXv+ytLHFh6ebFz+4H18YUAmzP5sgOoJ9moTBoCEvAKnaMu7qM2Zb7EuHK98hK23 VlAN4yPW6lM4YD1Sf8h5tFiq7cZIMhUCILuSVxyCKgjRax0VDoGjhgDOwujah5YtxUmq8/XlTLA4 xpkPofhZGafn2NwFjY00if3DAmC1PnREUsBIPZaw8/aCzX7R+It1gOEJlhNWMm4ueUBljb4LdUwN bj61lIC0HCoBE56d1CgvjYwE50FwkEohHqFT2zOrHdXl2Vs6ocODmNBPkrs7wY5dVW6VF4cTLRfn Kw+wh4l69eNgCaOyt/kbE4g4QZj4YO63O8SEKUF+/7ZyQJuoif+/yNIVN0YXf+P7tW8cC/2XzxBy LUDR/wzhwEj6K3mQ9Yw/BLaYcbYQztyyh3+rGe9GTGD8qcNeF+7CFa0zr0nxbr/wHJgRlfWmBRt9 BUU2cMYTJKxN5G17XJ+PDlOEDGPQ5oKsOBGP+S+nDSDXQDPVE0kIXkgCl/SHkqkIS4pAVy1NrrSW FB2RdQqELfsp+c6zFiW0ko6Bk/auzyNRgfKsq1HY4cC7prRwizsFPUz4NveiaodJYuM9bNUfRheA lowMMe8b1BifRl7DQTrOHS5MTHi3i4A4CnTaI8QuLwYjX6B7eivf3MOZu7bqK35iItlkxHg11xOn IXG1m+e2ip3SlYB/2U8YCqQ5+gxzcGFSLhtD2xCwBZxeFaGyBSAEtlQwRobxbR7qnDaL2KwfX2jZ Auxpj34cLo6pRJyaWV2mH8k+IPBRHQ5hTguoOMe2kXKOZ9og3wDFWY5L5ShB2+IM4Yp/IjKgpJxE miR4rloE1ESNbHFSTLoRZlA2QkTVrnBAfeKd6y041T7b7XGFOhVubOEB15ZdJww/KHFbyJM2y4QA wuVpr8v8s/QB7KKaKJjyN3Sid3v6hg45wztfyjCWH/uosQ/ny3khJxzCTXg8jGZ+RsOIIu3ES7Br VJxRPtJZ3SwyBPQYqShksI7w2xpQ7vwS3B/ruM1fbexl2ZC+EWlcCWkTxQG6DeRcn7qleykdAhSv p0Q6k2AlClfFtNMnD1hXFPM+eRTh0BHslmMu3bwUt26aXvPLLfei5s7Ri07bhPrv2DfLnN/IOt5K YZ/Y11EEERZCB12urNUdHEjQVCYps0qyc7OMaOl9k487i17ZADJzuPEzvRJBeBZvPB5fAyg6xP0w j/09VOlQdLWouf1zBn526sKmT39eZj4xWxF1znGM9kkX5dkFEuopN/7YAXKGi8CG7f676z/guQoq rln0Zvh3KFFcYAi122EE37UmXAYdJXS11LXSz7JEwG00K/V7rkoQyQErZLJq7s1AFHIJUMospnP0 8Q+byM+fVQ0rew0VW+XMQBaZAcztSPGP64FOgn8XNxyaZdBXscILwLgqJvWDkiyYcEJosyvNl39n Lcot4+ufOJjS0cTQlCAemChrR7u7vepjcu727eO7YGDfUZxgN67kocbvBEghafmvksUYHJW7Vi8o wO6HnH6J2S+4+EROp6euSat5gYfPw+QsF/sfUlMFwCbuvOK/2KhmmTz55TChJ1+r26d0eWQjjfBf KiggeSdpctfumdeZneEXH5DuIMdxux0oLEmSwhkHQ5HoDsQGNcuayULHE5ik4QFpMA64BuWWKgW+ o6qwZLJHDNEGoobiA7imz7Jy14k4mD0mEWrk3mK/ZFjRvyB1jYxYtEBmICPiJX0EbC86wY5sAAeT jF7MveTgGSOD+gPWl2ExtpGw3FMr6nJ6NhJHGNHjDRCbvWU9MTqGqiZO5SxPBox7fu4nibfviq/I pIqtfMPZztRkvj/WqFGC81o1xcJMekzESb8GCYwMX46K/OEQhO68xeMqIxzzG+0r1qCkYtB/fmE8 GlSotv7Wl9DpVpoB+GHqrKlTlH+EZoLy0JJgIjTajza7U5vomJ73S5UnLSam05MV+sX95JHDq/cK 9Xn6AMuYVxRUtOpGpiLUWPpGCi3DyMWNHIXmUW+HmgfdaPiAjg3dW2Yaw0L2QLqkvATnz60Rxg9S Khp7bjW6IA8/ZBXwgYKA9um7G3YyzPkhnk42/94iaQiHg/maosGQsrPEOwRok23lx9MKcM1q18CM QPXm4lIiUq7X9hgVPk1Gs2SzcalndYrixaMK8ktMrUEehuTNLsLVHpT//W6MvSHvEevDNOEnZzFN 1GEihvbwdaN3epNpWSEbzJVAJXiruWGMUQlyM7zeVoaI8aqZ4Mj1JB5vQ5wxAToeADp1ex/0BTDl XojWkzycHiyHOfsv3zgda4SHM3GC2ViBk976CW03U/tJH3e5G9B4fg26Pyu9KpcfdMg1fbaODXUx v/hCtyxToLfE4TFUBJlj0y3pW2IfNsDkIYn42ImQpfcElJXIK8cfTc+jUBvsMh3VRERMWmd2W+u/ TbM0lykEw7+EWMkl0n5k3NdrR/rHQmumiYomqwrjdQhbERWtAu7+x3QzzMR4JpJnC8HkHMBdpq7/ fxWGMzfcbA54yK/UHfCZiPpZmTUa++lYZP6N8pG+KjydomJ88ilTt99C8fCDF7CN6v4TDqZFSslx QHLsPLAXLDKPahbjIbIpczgdnJRygZ/jSmouc7Up1t6VeGEoxsj6K77fCeP7x/o76ELVg4r0TrHb 4xb6T6JvgMZKZX6okkSL+vwQK++wigNjjTocNSZyNaJqqIcpT8dSCcfOcnEKE6LuZnyp87tQZUzS fSLWUAMTEVqVNsuMpPcW9OwGxvFDjwqSFQsNTjx7tBrPrpw+Sfp1bcr7MPlz1kAbkgaKzl4CbLzY aGc/Z46CgAmr0/KOp1RUoA96IU6//9G0Lh9FINyhCSwBDodZB/lrHHeZCuYchIcjnxoIbZWI1lgE Wg2L0xB1NZPxRu9VTTf82SekHEqg17ylWqYETD54SeCQ9ijjRsrLoCNN6Hzztf/U7Uq0Ao+0Bl52 xBiypmmvdOAM7Gpa4SpIVcasW+CWEJ7LAh+lVLVQ5rgw08/EFCWwTYoo5cgIhQp2egevAC42U7Z6 IRSjG80KncH8/lfV1USMLmsQ8AbuVITxbsBgnKNDZoch+9VKebwECIXorb6eqLRB5ZAaApxzVscS BeM26EN1/CqSeePlFkEBc81HfJCc0DhxHImLGktJxWVQ13vziIk7AA8rECrz7ot1OMizBuSWTrqT /R73hqClP2iny8whB6dU9BR+Joy18gv6itgoynr5O6P5U8O/UIPk44e+syOOfTIzc+5LABSw+mfk 9EF+1JzMi5T3mVUAGG60VEmCf5rzMuN/MxuCYGrsjpILED3X5xgrNWIvYmn7Q7r4DdutOxX2FJ/n GHdG/warT1Xwom8tQd+5nJf+Y9XETghfO1vGrI6EWbWbzrpA4g8xrtb7ckbwPD13uhlGoOC2r78I qXE2RJnOGn4kQHlpL883sCZ6xvTfuGbFWZp5UB7GFgr9JXCWJ7WdDc/fQ5RpahlmPDx5SHWXJcc1 +AUSgeusA6lOSJmSXWZLSEf/TRljH06Q80TIBrrXrW7qhdVzmUAd7avvqpu03Cile5aqzBdEzp3N W94vf6dxt3zmWYNuXbbLCTXs3C5is84AU9G03U8ApS0gO3weBDvqUCASWUxBX2RhGjleyhp62Snp zS0fTBiajEcACOyKX0g8uWhC4cGeG72KJGrWLHRXo20eGUlwwW8MMHAIx6KUwn+ZDiHLu62MeE10 DjmcLeSZYHToBGurb/5RluEkofofN/mv65K+iVDFFnv1jKqncnpIHtISaYvxPlw/qE229QQtr1Fm 1IMofM/51O5X7Ai9MqWCTYKn29plWqL33n83Ul9E4XcNAx6b82KsXIChPuLe4ZpRaxmydZ77nnqU RlRNc7ZTlNLIhaOHpXvNjr1rMzubYvVdkPj9Ka9EBaA0tTHofBA9eS1M0rhTsE8B2M3R7+OOPjlQ GYImDTmbtArrR9TG4RsPoXlkwERbuQELR3/ZG94EA50CDj4Yg+mMeg2Dj17w5T6qvNXG3fJg7GhZ h0bBkqCdY8irm6/iJx9YjTV/IHf5dsRRY8WfXvr0vK/fhH3LhFpZ8ubke1IxQEjLEeoctT5RIB8J 2aWJ7o/vm1C170jHX/ZYn+uJLJfp48LvJo70aCzF1vsfTEoetiDD9ZIf6C6xzrSvXrKnBK5gzGmW UwuiqmT3YsVx3mmDhgVlxU7+8zQ0B3BPlQEw0X5m81/LyI37Ww8Qkt5cVT1nSjLhi5Wh4nXZBzCp PVZS/mnh2MD77uWtG/mT2uppLQvLErfTQNLtkVUXIc4v/9eDUblRGjJPUGjHLax0jYytEA3aUTdX bRpjfqQAp351c6FR4SX2EKBhV7lPJk398L24Ypvkz10gGK9aIkSXW7PL3cgJX2YfFE4Pm5T1OEji yEHtVXClvVxHNBUVMLPkmn4f8fovJaYlxXzfdIjDg2FejeSmAU7Av5+M6bReWBbu4zpRzh0IQguS z3ouT7O++2BrAiRzX/es286klSG2yUxNjaZ+V6KxyAc7TPYTR4OpwOtoKSDfWQWbwS13DJOLzwem GZKXGJifWhn6gdawHcAuL43oIhzhABNE7JYbIPOCfsjt+i/Bo/8zLyb3XjpnKI+tx22Uq8x+8GXj 5FsFQudG1iMpwDKIyfeTOdaGilZxZqa/IlHcb7VbA+w8b5V/eoy7qXUf+9IbU3Y6mqWnRLxb557p NI8dl9tEVHO9OplF2we1y9tbeWxGHI9VyMDO5LykzENreQl8WP8YLrXth7BVl0F7zoxmA4eDq9Hx Ic64YXpsN29mlsyGR1yOKBhyOY1wh5XNDxNoZTRoqEfkYxbY1OoG695ctx/fm1Bmg7b9o7ASoRAA FMapV04F1bgCkwh41nJhMZKKSiJ3BXISpwAsp6ewZTBJb4rUcvr7eVnekbNPEIcwRJ2Pzv4VI6D2 sqMls7ckcuYpPx7CccmSVcYL1xZ1fVpqJ+ihzxbluucBmkUL3bvPiuQ7X2OO0qunCwqlOiu9dyIv xXkVEQLBPXLQ9i2AE24suUi7+xxyGwQfSBgu6ZHVGJG9KMUey6ZZZt9A2ZnGNmWjKeBmqO5BptVi utDMQq3vne12RGlqFtxCX4DcfIGlxmhdTTsolBoHf/11ax5g1UafcEOydJFlICa8xeAXJ4OJGFvZ nAzvV3xbqzKCDEUtSdaoMrFJZMI1N+5iWfrBQdvwsiT9tIrkFi5YdiYnV3sxT/QCMbxAoUL5zivc jdD3K4yUxhhDJtdWiRHQFWcGwNKbzjEJivHicAZ8UBZ65ngq0ZiCpTUBSrXYMb1Glw+HzcqrBcH+ FBm9sYPWDJxP7BrUj8Qs2PeGZqJWnFtUnkG96BGP84/sS0Ewb8MGXCEowaiZ4PVEuVQCJ8zOKPaE 6zuwwO/KKCHlbmD1LCqR8IuIavgjypjieS1Py76YlwXrNrnSYf35cojJCyQzSSGdMQGu1W1bP6YT 1E6nfkUwU51/+0EuWaqTkBeBP2x1JCv+Jp4HcTOtQFo6fRN7CJBvGIo17N02+1NVIXA1uI5G8cTC SyoeAaInMF6FAbTwTgdT+7Kdi9BotF58AclobCiAai9qgzx8KcHW8o79dz1XT50qxqTB0UGaDV6o mFze1bBYGPelcXlVnTkxziHgAPDIhUogG1lFUv1zpZTIxhL2zgjkBcBHiJ7q4G2q3QRBGm7U98nV AAy8Ws9pmdnlsWjZbIXU00fDNmNljO2boJLE4szAKtar1w0dy8fWhK1P4gOT/o4sgQpi0gs1Foex L+9eoHF839kbfFLA+K3NoQb7bXkY9xnmeHaSAtaQBlwmZjwh6nkIYB+xm/PyJsm4viUSRMmz+819 suDFY64oludzufJS81Vfx2o5Z3EkX5KZAv7To07rwW5jWIkBsQNPKolS3aw95ps7Tglkrgs6sb3C 0zFMVaYklnq82MeiuIjs7fLomDVXE7mXaXzFBZGTddjrp2IeWGdITO/wpytulHBe/Yfru6l+C35E YIq9CeDcXDy+peX1BSfN4A2XoRVOjj5RUO6uXqH/1m5uPGdeqRiIH23p002TeDgusX3IWDAAIQ7e icYH6OwjvsCUaUbhJDnp7beGnJpRpp494qqBggUWmN0RGpm/eHWEFc/8wZGM/ED46/TGEKCVmob9 3yq9FQSmSnjQUTGTBUZV0qURZc5booR6yN92hIJ4YSb+lAKtzR1FqRyC6TG0CtxksnsRlbbQQTL3 Z7KWT4wBm2KPp8p25122CGgX8pvS5AvQkq7enUVSaGyVO1M14BaafmuQnYIA5EXRkdBrp3dIhVOo TXlhEu6WeznrCZQTiQhwT3ka6lqZhxF2Ctm9DiVWCsZH/swuTrV9M0mSEQNxPQFDEeum3V5JaTxj LOF/fsh84x7foruLruWl6S6mNxtnYqFwHeBraFexp4zT3Q2bBdk73xw4KTrHvPoNbTCcacXxzj9b +PB6dN9WDrYv5oxUkXwTRZHqwGAbRIjkubm7Y7dlCT7pirBRintFlcwwGnxPPH93taAkLmbYNFDC shdgIMLEH+c+huUBfP6d8Gwt2pT/DPCv1l1qCul137nN/lyCtqEtzSKmm++llVVAKBwMrydSCS4r 6WqaCsb1+e7DiLIc38DeqlR9mkrDdUCiKZyiyKqUjd3Jfiteu82PHFwHbqXr3+7dwFRIs8Gasyn+ ehPUnAtiP3tBvebf0aA0DWHPcMQA8kbnuwg1hMGKvDvgCHn0cOu5W5RDylQhEuGI5FuXUk19uaMU tMXsWxeq5A7GFkeWlm2DsBl14mvj0q7d3F9z+w/cpgsBLABzX2dQQPQ2ZKHxChPstYiqzfPPvrcE QQDXTfaPyw7eyc6hEpMABsd0rGGKBNR4WN4C1CNLaguu8Rp7j8zmGK22tTo3kmuth8BL/dWF9XGr CJ1JL8nVTbyTBuPnKHMh18H/IL9gvoiTyLnPPLtUH9w2MMKj/gCF7WflVXN2tgT7D59UVZW9bZb+ Iu0R5MAhprIDzuFz3guKKqULMrSFTIyy4u7Fzn86vWBogGoF5vBkK1RAdbxs0uqcp7DlIaWCUt4+ RKCpSYNPp9PjxukAzlC0H8+6XmKfoIjWxre2lWRcG7OBqMLURZMsP87hsAWoH6USf2xfv+CgrJhu dqJITI8fWVpN9+IjjR5w1HymfWJNEDmN3JzRS4Y23lCZAY25WmxtOkyaA4vPzmFqM7ypHRlnHmnB fevJj/QpGu+DRgJIjEvWj2m1DNpSRZGdyZTyc2lC2T8YMtLot/5Y+q4VOd2EQ0THMuoKl3PioYTj 9bIIK0XcQ0DNK7TvwHG31mst/PK73ll+4OIWMB0hfoVLRJRWaAYG7B4jpRYWMtMx9cVpEduHue+J SeOfTwVoaHSL3Wot9uoygeLaeP8m0wOdc2j9f0/bZdSr9hjUM1a0oMhxX0cBjPJma5qYOp7Fr1eL kS5ol/Pf5U5chzgZHA+s2fgi8KGB0KHrVMo47M+bOSichnEobi7aNb2wc39yGKtGQ7IfgUGt1n9i 96chzc/4izCK2y4GXB8/5AjxFd+0WAxNSh7r2eqpZ0OSrKlzrHrP27OeBG5lYsr4aYbhrxfVLXBw OJMju30gnR+YYx0ik3uR5c/Q3pQ7OM8MfuEO75dkfDXjxki7PlIVlYE3V992kQ2ZihSzKFrrH5R/ 0qLM3gN/mLNTRiQFq0ubg64kC1to56xt/t2VDS2QBSus8PeWaiKE16YjNPMPOP9+YvhTZn9xIO3K kxq2xwUcXhIhqS06Mxvr61G6XlW0A9ZMebJUCgRtam8ClrxJb8ANgAbwhYrRWQI9WexZF5c2f13t 8B/hpEAyMjdfFxphKDW0esFPBR5SyU3Kj2w03zepGi5S+K+ulpqxlbE41yEz7FmNkyZck68gdjKh JLaC0/aNKYbs2euE7zP7pcOo31UVBvbAlFallSfpkd7Zj1+cbBzLj06VAXPgplWtdvkFZzGIVA4d lWU4Xgsm98dKYV6c5OK4ONEy96hwkOF0D4LSMr3gg1IzDlqc96vFCUorFrp114XOR3v8zRQ0ykQ5 yIZhsQLgk19Dx3zxr3l6Gq1NxRRHbTraRy9nifU9ocXSg3jqJaTKWCEFcfTOoIKMxxJ20Y1iQrnL JyJETHUHXC//Na8rJBieKVxkurfqS9ITAbpLlzLxm54cOg5yUO1xkWvj2JBv9yFOvjqXEjrLOpCH 8CNCxn4GenfPgzI7STuZkGt31nTt4a+3/gvYm+obTDfipouMR9T1y8r5MsIdRf0/JcEwah/Z7+Pm pEpvfFsyXOw4fq3yz9/OSj7SkmhlafpfAUSE4iQ1Q4q77EdUglmnqp2sHpTUnJtY1YjJjJ+4Y3Yh 716QdwDe9Ww3wQBMSqyCEt3fUrOpNMND3v6Ka9XuLwbALUM8vT8+AqK5qD8oePADEoshfODRcq7A roAYmKngUsgUL/gY2YIbnVKMkpw6dEqi38b7Ge5Lqs1ldOwlRghLMOjc0+oAXC02Z8IqCf6SWbh+ oVg6XrN2mpzqQXbqyJjRGmgzsKVBk4j1KHeh1gDAkdfe++fZ3tBzvMpwRYO4DkhzsHUznM+3XgNS 8bw59MnQeABzZyTjheBuGp5GopfXwHvMBRyuQ6QiRfVjaw665CbfY+8uMzS32lIhZh+xEGOB6KwS 5VtHRCFKm92v6vIbvq19gWErT/SB6+cYZTotKoJy7FeXr9DuQyn9tpXe7UbIewKjmNj/NrX/3PUW s/RVndggysHpze9fDmN48Mpqth3oxMXyFua2+av5+NIfbhEXz2xaANUH9L9Jn84zxKAAzGV0/7hD C+ZEjZmHb99nCuN6nsKGoZ5DXC1aNLyLGWVlEmbth19DnAqFtXmmiaRhO/usawDHoQ03YFu5zdul d/9+GndDVpjTpAM8e/tsmDN6MM8M5ctoUcHPg3AUGRlugCufeL678lIHKWaCHJxxQp000+21RYtO GedJK9qnJkJLE93amvDVkdv57geBxxuLWNcYE+56GztodxAldRl1KXZyHU/rUel8VU6rHpbx6vc3 5vPZjvWbCv/kM7EuUTMRuZbJIsr+sLTaZ1QnlqGLn7TqB7k5Y3u16u+YxOz9JrGsBSXVfwLn5XB0 7GwohThnYK1fJtN2EFNbslgM15KH94ApYeaAMZZ6uxdbUsWD/oCqnyCNUJ26Y8g4b+a0CTkkTy5T vZxW2mFPweBt3C8FICjM0eh8ETrGuW6JWxyw/1Uzf1yTTJMCaSyIu4ypMZvZ11yErFZe68U8wKoR EkjryPWOYtvqDTRB+G+tCQWYdtp10bv9TGH3bVobBu8/ppxOUnum8O0G1GtAteY2m+32tcPRoe// I8NbIEybGq+66fHuNEXPifRVBipnY+rLFz6Fhx7+f+YGe1hecdvlmaa2Hm3c0vclAYv/Qub8MIwG /ThAq+5d7e/TRiL/gJMl33DSV0mj7MF0UmTyfft8CMp7uDtOY/16vbmmlGEj2KEXSAL04jL1E6ol 4AAtADdn+b7GWHUrvSY5qTm2V4x3BK0OugVC+xXdZWWhaVe+JV0LQwIpqEMBlfU3M7hDHjPWAICA gE5CHnzJflQA0YtYb9prGjtlp6WAPhjIV5oVf4Piwc8G+zu9W6d3/yeqNtFWvL0FW5rEMmCvi+GA HIcxOIY7EkXeMRYSN2Al34O0O4hImCwNxkwUQH5e83b3t3XGYigwzGPHOk/pE0hzX4/Gj1feBvrv 98d4JMHGYL+/wLFJ1z1aIpZ9pnrLJjqrYeyYOhgXeIg3N1YoysjOVd/yXFrpg0bNZh/n2aEJWGFs Ol7DqOEw9TG2MBAxrgqJPxEQqRoaFC0TwgGC1feOQN2T8Xl1SPN98qGhaZz64iwsg4Lr399aYQxU Uw24JH8bCgSXnmB1upq9ojpuUi7xy+dapYa1LtpPiGAmTIna1frqwZnYA/4hKkNW7c6VLXA16Qzz +KK0BXGMR1Du8K8c2liLZmCeT34vigTuHFCuOaT0mr/G0txrDZUaE67TzRLqXumtsWqMQfbEWZ/n nLI7GI8MOt+gVSYqmQ8/m9j3Nby5RZdweOPD1EdqcwyYSVY7NZILvUFo0PeSKH9KgeA7O2R2Ry68 kpwC1brv2nyUD1lU0GlsLuSvD4sWkzcy2Gy3oHeq4qU695Et1YHwcPc1tCzuofpwuDm+uqRSbEtd kILScR5UVlGW+2Cv/Tf4GpplyW5mclPIbSUb4LWxtQW5ygvPkX5+LrxbCS/8cZycxZzib/v9sfA/ cgbhd65g8Ezgmmyquh5u7AefmDdlVtV/voklkD4ORnBulh9NJ1Y9nbINX1EUubv2l9/wTxw8Nuwh F0UCkqhioyzmo7HSDVI/9XIwoSsnAVJtkR/NGBZ/kDw1hKVjVFTQch8ara4Gso5hoZZ1fNtltCtW OEs2T81/iy63Fz54beU1q+dRb36SFyeTRX6+VaR3gqbHW6zSkyy6lca8zYmZ0aZ+VUu7D6OFbwLq /kOeDWlauBj3OBrreiZ5fQOERBZDZHPZ9of3qN/qbBSziGnvFAtGvlUsR2wCzmtWpUTKErrao37/ NP/3I3ESY9h/oxPyBsRusNePox27qdgqsedgLUD73MeheCrs/hqDLMab2+f1v0vDFT74pT2Yamiw 6dxuKgOYWrcV0qQ77JgcKfKkVN/rpaKvO40NQvEdkB4tWwmn6VZqAFFXl3LMe6M7pQQiveGMRnrB ARBqSGSysFHdd1k+HuWbV5pmQfmvl9CDuQSzmB0lpc5DpR+eYE8+cZxQRizke1t0JU0iDQTMUJgh oECQeNY3+MdEQe5h+YFGmhhg5Xvli5tdlOUVxUYNgTSYOy5/45L1TvNps1zu3t3IsY8uYsuzkuRU IlNPzz4H2BKAlJb554PdTeDSlqA9g4E73HhZ/HsB8BtXcHQMman5TbTQ4s/KZbIj2vPEbe069LuM ZKnjsGKTrUt6RoI7r/lLF4yGOzEVeI46jefC/8cnLYvIsjc3tHtaXZf17PmiBfm6VNbA2/FsqhHE xL6SJny1pzONQslsWDJlFt0CB4aSrbQpSxa+g5NQ9WvstTNvdIfqzkPYCq8a9YORISB475bG20Fb /WCQ3b/cUAU3XZ4GS7ASbbt2bn+kwP1OdsVK+6X4ZqqOH1DW+SDR+vpLMKkPrUfl3TqouPZJMctP 5TMoXpmOJ1/jJwCVKKy/NCKJUYVlenTYOpqDOa0/BxCyfmHQz6ukJcxmdXbmbKzjjID8P7EI0dnr +EeagD5ymlw7mAuWiwtAB9REXXul/pDFFdgzxCn+2lN52rIg9Gq7e3LKKEYXqWRBZ2PV2pEDFwrs 7OI5JbbUUeicCOjs4RmUpfL9t/9YZCsU4N9HdDz/oS22FLeJmY40WtgcxKBCgejK08rlsrB763nQ sCpFTR5ks88TBZxmiv3cb1FUmy3EiddS+JOdt6fUMwH4bjmW4OcNj8OlkkM1F8bLltW53N5KlPAs TTRxawtwwXDsWi+WUX5rGiWJg0dF5miTaDfjQbFKnAA9ToEs1SOdFyYIDTDOorTgK1QU80bMrGOS GzcL0KTjZLnEYMiiBJP47LYKaHQgVEXXmMagUpy2NQ1/G1t2WznMzqyn5G08bC9WHR2QvPaqVP+Z 4PJNckzg07zO8bppPZgZBBL1+vn9rL+b2VXhFEjs6fC18L20bfRHN0VT92oOidj+Kevzn1w7VkBK yeE0ZupopeUnXc4meKt6sFWW8lKnnh2+lLdHByQcs0H3XeIV+MGrLCit8Rg5RJcwn0leRXr9cCMW MgASZWsKvrfJF+lhUFJE9+yk7H4at3Vvcp9YhkImKfkowb3qswAogxjWB6MHKJLytkBeL9qHB5Xa 9Z3age3ksYm85Ibho5FtwkfqthNbnudUzqQv6EtAH1Hg4a0vObU9iRODl2uF8p9H1iuQb2UaWCVK XPZKqtpzboc1WJ9UlxuhTJr46As6GiIXzHGtirbY1K1y7mJHx/rlU/Zp0pRirppoTaqZTEDroaMr CGDeV5cwzsv0Y/u8/uYKPf+3kXC+DE0rINLNBZcObPrKK9Rfj1Jh41kRy7/2pGvE2SLeBg21+nD2 sr8k4gccGOQUJm9fXaf0BdWg7yC3e4X++n7dGiRWyw4VLy6rSmy27svr/1Sa07FUQrZoYoqEt//U sZE9oLi1sYK+hEL5H+4NHA694+1IgpUdImgYaXuBUHMO/GJar9XjXeCyhmq36xr0E7dQnmv/pulW BFDsDRD/HJ2p4Ak4cXAVOSkqqchXqURObtIuEBHk49Hsy12EK2A7xajm06t1rz2N5YcrxOvXM5NU wHQdwBch5YoraQsykLEyPv1AF1i+NkLxE9XDspfMxIet450H2f4z93ThP65gU8q5TdomW/Y8YuRl W/dWdpc3p56dzJcBh+1/LOmR0HAMNHruhMoLsteq6u/ee+U22OOYgeuiXywE3u61AT/RnURKP5lf KIAadaHIQ3sD0VZu31IUexE1IfKE/NvhQLb/2D3alfjbLdYqt47mpLbfKLZ4rUp2h2IsbzswAZYi f1/ZcGu5pxxQIxMOE4uWkTc8ehLLbcRx8RFSBE3yip0DqsOWz1Adx82XJOAIFINco4q9a2dZQuJO 5eugJ1OuxyvbIjPsbchxfc4r/0O0TQZ8SOL6XsfTsyoW4ncZ0SWFs7eT7Q2MpdPwPO0g1uj/SmF3 t3lK1AW/JpoyLL5hJiViK1UlOJ9g04CrnGtvPd6hVUkthQG4qftFfMJh8yixVnfnWvc0uLJGU6g+ v3aWI7QARMjxpyppqq8GJjKTcpZJGcSW4GCTTAUROIVWcl9KkfRKTe2iTovfoHdB61J4fqZaDsz1 2qSoXFz65eTBPZbsFaXeg86y/tU8tvt/jG6OFLD21n/BLnxyQgN1o8MMPu8Ent467Y08LEp7cx8W QzAKBiOvr5iVmb4lE/K8lOcqWsrKXGWo8BmOjRUII1XagSqg4Ssr19GkCX3vc34qn0xwzLJrUCpK MZSToO1x8kwO29CXnbMhKFjVkAfUHvRitikxekof9eJzcj3nYb4WkrtrN9GW5UUxas4KZ431+rdp Mgr0Ytt+jEs+btATmQiQvBgMDZturcZT6KLpv0RdoaijItR9orpigMvUVKTL/bMbbAkAVP3BV7gO 1flmrnyNX0xte+rmxKShi5TTr6C1rA2CkIf15uxxRMq5x/2OIyF4uZTC/uZkd6VMniHS3SF2qytn fVKTaM1u0MFV10Khq+R9mV8L47Rjwq7MBv+33dPc2UE5fqDW+LPrkpNh7K6QAT4MQ3Yf1xWyHtuZ YVCtM2BdlabHUTyCjTwQyaJVHRxC7WPttc9gGa7Kz4eZWvsIKojsGfSoGLoPw/MIFgIE/xakpHta fijpd68fdR6QhHxHXb57WtrXzwQg4VcF7XgexEMR6ZTC9xyGcq0hBMSW5DZUAhwFWNMqyeSBwzeE PeZj7GlDQyyCD7S59YyC9/lWBKAc3vbEPd5y7+klox2L2kxuhh2YYJuynQEk7n1A4pjLaMiYIApo S789ls62tIJ3qsPsZb4aWz93DyVOJaqvMteFVcDGAGKDIhUTC9kMCJUYgRvD0wQA2QfejQBGQ+WM xP+CLBZtIXu7dEQzPw8fznsTBO3XekphDox7ptgYs9RD/6+d1AUYpQ7FBwHkIaX72moAXkpwRJ7h QusWADLtD4/yZeF0Ljxsnw/1jHZ97CKWk3dxWWIDQt8zF2RtkqdJ+NNDGqLQuD0R2BVKaig0WWDH G+DEEBSS2f+Sq42Y3XMEbrYUAKeTbUWr+dKJqPXHZhIaaJC5vzAVHgP64qfcz3CGIJkYJPWkvR2g khkOj4dSCCTvKlxzfe4T7EM8h8CkmquwYdHx1NGkrf+0NgN2OG8ukoigb4ukNjYFAtwiKdsWt8+0 ew9GnX29WtJ8xNHhGhxnSJQDf2jmHEpJ4cusq4eqoVFIPKzv6SrPfPzgbyg9oevKczt2Iyi9280m zeYniqvGtFtK9ONtVmS/YGH/YTuGhyb3y9dWt0Wha7a+mq54Y8ij4Vi6QZlaATNsuVPsabAE5UJJ FInkjv7NoX2L2wasq3FLHc3YwgpIvI3PWOt2kkf818asf+oyvn9KrWR/6sHZglD6cT/GRX/Pvh6D qPg3I53rKh0vJh0xY/mT1M8pNgbBJKaNR1PdE0G4nHbvZRHq9oTu7dUNAEdK4XsVxsF6g8uT4cdw 6Jweh+DduxH+85qToNgJe8yWm81WT4Wju3v0mnUdYMYikV7tubZCoGFq6oPi7z9qt7WzRJh23m/7 6f+d1GWRQ+crUUj5VOdTfA9aIvgyTusp/Zv7F2raiHHwyVitMQ8awYtxiOD65x8Zn78ZZZijQm+E /njU1zikHNkAULsLQ4PYoXObY1XgeiIBJ4wHP0vvd6Jxf0RafQYIRZLpFNogW3ePQGve/m9dAPJM 27O39rNE7/4asW8ACByla1LF7Rnp6Q90nMO58592rgah89jjoqmLVC960Csl2OTCC4USdEsUlt1+ jpgofhKIf9GrRIZtL69Fy2uE8+oEUHkVqvxX0ncOzWvtCOrXQtCT5pjEn/FDhMIxP43p94E04Q6J AKz0DevZxGXEnYagFCxi1uc6J1m1cL35dHT1G1nGjXrasQTSeeR10KvgpcyNfI5OJLbV0a2bZluW u5uXUqCowm5tdd/XUZe8tvmzGG+irGngcea5nLhaetddTGgsTf9ISRf6L6bF4wHTzTt2O0G4F3FA Yg9rFsFxUsFDdYtinHjPn0YN7aOq5G5173fijw5fS2wixH930LHoxAW1QBlndyjw/23JC9YY6gpp 4/sVmyMOIngmbvRfyw25PuNFL6fYJIIiwPL4VGjOrDc3jMiqHS/Dyc7LTwSNso7dM7M8EZSoyS0/ CkLAa21vIsO4zdDk0/s9/nH4hcHcUZ6HPlsJvjLyVj9rB0+YIAd69XzAjPFiMmqKM+/QA410TI1e g+xpcIuXLBYHCtz7BEWN9IhBxfJqHh6A26mgMAbc+9YSNDBLuZ0l7JMWgy6oEwZ9CLfMwcyaOi1r PVArM8rsMNtVrNcb2VQ1OoXxI40Edm0dI4SinhXTo4ciNgJguIsfk4XemQLLnYM+RtK/0kJTWvtS PfhKEkt5kIJyOwSpFV/Hedl7Jdo0CVfE6Rz8Cfko27UwR4SC0jD278jZlB7L4xQOXrOmY/nl1qqr Ykng8D31NMlAbTIIRBeXhRoIPse9QZAcrrfRN84wi1Ho7BWJgg3XjL0k7VLRhKi9vqR1tWuG08jI 2SiM9VNi0PfG8Ni2vm5vg6uGEQxShBcJp7Jqg0VvkwME71lcZb5VhYTWYOfW8OdoRjG5GYX7vhBB PRy94S5mB3uL0HPlmJj9wUIv56JbwMmUGZDTU6Ro1mEk8preo8LkmiEZ7JR36OwTsqUZzst9IILt 7pTGSutQoXGuUET65VDFCDJS2AWOMtTQfIY6JH1A61kP9EaL0T8unNzY1YAtpsKWlUgsNsk0SrKo IiSC2seGg3B30Vk/AqMWpMqklBUoU7CcW+naRGulsOzM5t4ITjC7wlajFbg1XBr3YkTEuqL5ojNp zS4YcwhPOMgerO9B6aYp8qkNNURqzCAhOnvh8TVp66WymYoVG6USYC7oDBk999wXLQV00+AvsyeF 2vrstdtpMxirlmH6FrsPLtpIKhbemqBh7djUzB+CblfBec2tEXWbb8ofB3Uup8WeVXqoZR2FYvBB zUBYEHLLDV6w66MBXtMN/9scKLS67PdEQvjqih4WgEYwzZgx5eXvqueNUeR+I0WqlKaMR7Rs7JAa Tiof5MiSr+doVGtgmVIVLuKQt7O6kEg+ugkivIh5Dg6iuA+twRsEwofAypMK3akjM7VvEr9d/MB3 04Dscw0kvAP3no/8Cjl5PWCpJoZHhixNcbR0OmLZzsVussWIpr+q1CiN5lGQPDe3xwwbwAvjN6WZ gWPdACKFm0k0KmYfYglwkIRftLY9lwdboQgu2GrCmUlwVU/Ogt2ljgiUwOLtzDRiuUWazrHvFBuS 2JktkNvmAcltT9EmCoihMaN2mBeFfpaBza7lNzXOGmGQ4wme2lYXm7t+bKdGcXh8sZjQdjtYnXYH WymRNilgCSmD68ArbtyrI1bpuAkSn/CsuQRMomrkAk3Hmty91oILdTz1Roe4jJVKXOsBapawmiCA tQp4lG3wDqeRaVxJ4Eu9VoGm//iJRqZwXihV65PgX42STTJnPFmowfcy2qKyHpBmEi0rmLx6GGb2 Wv8uf/zK3LXdLsB5igBkenDbl4udQGkdZIpXxHq9W2hsP0dOpF0u4sqoQR+XJb3h1EFr3uSgOg5M 0IWCec4k1K2fJY3+jvigHHrrR2/MFbv+1TDSULbrbmsOeshVJ7helaEQmDp9SwtesJbAVkljn4FO hxQjYw7axqMZoYF+m7YF7LYqo1o9jzXgx/exkJnxcJ/cAmYzZmPjTQ4BQdG6RCj+4O86rF9ob73g iyaqz9BYOD9D19fK46vDBHh4u4ldhKJZsf+Qgc0V5MaSOdF9Qx8BO/OiW8/xwfYKCMGsmdVujx1K 6mkdZr5N82NchXDDYbVUxgBE8nq8vZh3ymh3JVtWeOSM2nmoej6uY+fBxxoVvTrGX6zd75T2+1p+ isuEwk/TIQ/K55Df/B4p7zVUXb92LagLm/FQU/kr46qA0qEZc6V5ASLgpWQUPy53HtstoyPHbDPS ZiDGOX0EaDMyOrCPNNrH1m5x8YYsY9dDuVrfH1b1z04wFLd+XUhRTadzwoRzeUpgCcYrQN70xKZy HtB/rnSF6ClGeykBZhiGbHcQretwAEqgGKLESt8QU4ah31QGAFD8XuEzNe3s2WmGM+beCFue/UST Ofb9kBbx8Nxp1vGEDHTN6dAkqXSxDwItvlkbgf5RwHV7Vc1IO3NPrlk7kPrlJ5j/eUIfyu88hlCn 8uOdYXn3sHMwThGjodGYzA8ClS30hMbNq4hj4ZOk5dRP9yvIjgBi78ttXZ4YQydfPGtmAl47ek0P jWzEZvxoeg42scfO1pvTGnLeWIMtgEXTDfxWdFvlUlrG5C9j4u0EijJ77UJzbv9nG2GdgGn5TuzN H2yLbKQ0m7Ie3BPhZgDbMOjY6aViX1v/h3otKGoAw52Q9jmhsQT/myeKjEvC9g9P+UJV9ajSV2vV FTFqsQ7gXVIyBmBRcaACVi8AzuZvbgGsUvyVsrIwh0Wbd15ZKsizabAIvXP18TxLXRsa8Qss3FFl LeLr2mgzqCSHbiLxqtvAlLntkPCcdkSwUflzwmvtPuPB4y3H9HJPBaxWEBk5tH121yfZ69K0WCKi hHsIiollbKK/52AmC6WjO02U3tl5J8c1khp0z3zAc8ULBOvN47nCUiUeWEy2ZiCKFxEe7ZLZ0we1 SCdhY+LD0Cp+Gaw4EbwihdJ8JmENxxAdej2y3C8DXX9CB81T9vBOYWugZQrSQ7H6wszlb4ude3kR IqoZu2adbaYHwftKRkvacjWj0sUmgIJS7f7TdiHX8aZl9QxDzsrbD/zKbUl0swjX845Aq09t1wyK ZxewISpJm3swdkty+cXnAegJ5mTwjY54uPb44kgqjl96D7+mqmJ9Lcid8GY2KzDZ6/b5In0jCSLS 9hJHUeZTBek2kkqXeNaJka+mTSL/PSHfxh9cEYjPxTHcFZzYRSu9RGrqt+7QIzd7puB3E5col2Qi sHI0ncChwdVsSe72wayur6ycqLJsLtYd/RdYO+byyMtVl2tblYlOjE5FUPGI0XBsF3bK5XZ2FMeC P6IZg2TPA7vVUh4CbPFaqstgS2VTVuUP7JMQyzHEDDJI2CNuzU437ZrVszjAzEjKvpkKk/7HuYCJ YN2jmnQNn9+u5VW4AV5K/EkWr2v7gL14XsnVJlecexwP9uz58BaiMH2AtQ7Y/AtbJdhw552VYVVx /X+3DdpiKjn6bPvUcDItiB4dSbkrgRgZTH/fx7IYZWJt9E5KvuHWRbPghB6L0r2b8K9TqD2tj/Fr V8y7yHouFJOadS6QLdmt42MrMPdXVHD9qSuqpTQ69S6BF5whEIm+C4wCdb3VAUFGYiw4oO+EFgMR qJELLRvYsIEsqKqp2o2bbrIRHroqODUz72rFH73JFc1ZwlqtFnie5Rw+N+hbTAEmDs3Jujka6z7E J8UoZ3J8vxD9si5RiwspaA3wL0j0FaIDqy5h3ZxsIbVn+IJZy9VdVJ2uHqY55iFeX4LKeLe53s+i 9w4uUSNUfmnfPRH+vZAAes1JP3JZyf3fq685JH0x9ftpRd52kGWLozoITvjypEe+vCmaKUQUBMA/ gPvQvQQif3iOMzZgRLIOzTkaqzLSjTllTFKNqNlMbwS0Aq4vm62DmC2UazNFuCfp0s/IPHSNO6RL T60HYvjM31CdF/BqehvPSYD2aAhst35CNdhjhQR5tdPp0VX3f4mMjZzZYOnxxyqPA0yxbeE8Okxj Ng+DFn24/D5t3D3nf3u2bgDTc389r8uFNAxiClSU/SdX0fI4mRs95dS4VsDCupY2aDfJNqSY6QDi VfqJ7iSbPZv+rSSL2ukUCE8QSZZF5JokuQ2kzinOLUDcrdm/skFadVAXQrOpx6F94e/hJZ3Ujv4A 73/pATt+gR4QlOp/YntJEcRlfVCPQyeBk6UpetVYc6PLJbaFeXwnJpAKaTvyHsdjYXQ/9/Enq0kE oEdkkwsprD8uEuFJ5f81aS49Xk2Dy6He8awlnuB3+4vgocJUwaGY7XlLGFy9IXeQI67kvZ/+WZiu P5DT3+nq3P464ZJYn1VuSi6PE+lc8NjNjfMex+bO2uY3wCGggASPbqVEs0zoiaCo55uoUmZXfeSB F46UOEgdAtnWyg9ypszoGVQoGFbCLNQSBKl5xmkNLtIvWTAuzyFPa3aEMSZJisJ3qBiwgZwdROTo BM5JmX8RvDp1sY/QdqGsL72TPSqAtbJ2bdOXE2V7BeJoD1zgyneJFAe5Ax9KUJ3laxDUt1nrzMbu XOQUO4NEdCZEhhfIXnrh9afJLWTnt6LofncXvJXCScNSUPiFw6AWf0XlYB+SHLQs8rJo1M+nG1JX VDspFfb+VGAYrh8Y7BaeFuf0eDP8E4ipXxtKiNTSn1kDEuVcOH0p6T2O2AKqYH93tLP2GYaQg19W 5dQ3EplhD003h9wI9iFbGf75HEZkboj5RRKb9TAAzTN7bQBE84HgW7MwBIVcB+PmGIez4WtFlPga 07ucOyW2NvJIUVzOhlzjjFDhbTOe3v0ScN8AnfekgQozV3Dr2Nh12E32aszJJKQUm0M/sg+cFJzI ykIkIgU5c+3s2u1CprYGuC2nEiaCd9QREG7vDlqe7TTaUt7v7KPcWB9oP2Hk2Q9M8bfBJaKjD2n2 zF5Xahbet4+nx+M96h+jPpybk4ShQHcAchJSpfSyEU1FkDGy0gorMxGgK2ghKF38EriV/eSWJzm7 yIs3LKKC0BMvfH+HwGXy0hZziG+SIrmYvF+5yiJBZKXMpcyV1e8uKOplpz6Eu38bJgAjAXD5pdZF 5YWK+cDHUMUl7+DPCoL7xwWRLbwg8FfLHy1T1P1TSKVZurQg0PpTuD3vDYduPaFV2i3m1TVfRCWf NQfc6iuF1p77fQupCi3j/rYF71e9uSXg77P7wEY5VxIRXNc5vWJcRUaV3FvO6h//2+Al6xIeXQJo 3r+0Dp+YMG91Wws2iiUb46P45W4l/3TEbpNY02q/F7cIYZ6ct60lLLgweo4O8s1fhbCy8y1UlBhw 1wcPwOAEe7x79iou+Trt8tGrECHwQBWJElwqSrsuiKGXd8+7XUPIdqCq8StTiv56gXQC3sAWHenD Zyj/2fpzP4NvW3VvKNLYiSTHB9kS5IE27GWmcRdFR69dug5sWe18VPYaJwxR4NG2gWOAp4NMT03w 9g5lIfhRwapcgmuBGzpLZRgCh1IxZBDnziHaq2zB5gnjNz8MaR5T1zSwINhsaaAc1hchDSx3RfSv A6ojDj9O+Q9Lt3A8hUMexhLdbFxNj0pHXX8e//emX/afnyIMtO1GRNxmLKOOEXHAJVqfNx/S982s BGJyI5zutcoOo+G9P6rklTcTGQtRXGKl7G3yCXSh8p1OwrDgT62OaN2o04vCklWcW7MNbAgPl3y9 VpIz6B50US8/FNudOtFnbMiwkA7JJ+XoVKdr3nkkHZ568qpXtPne9sonnpWEokU+gQTZYiPQqVog 44enYyealR1yIypd1v+4PwtnTdVRaQmPeolwZg870NqzrncZyVMGfCaZV1EU+lB4JKoCa5p5JodF ruLhyi/QjUrSs3cqaH7SSfpl4wjfzFRUZmgtfh/Z7m833LSqrMVKXrH77VQ4KY3ex2R5tJfcahLd glCd8wOAdVUUDXj6xchJYz1ckOfqqE+wJLL74PYQvSlaVyT680OFtT7oFdGXyEWH2BxoqzJ+QmcX of/y9eNFxHoAy6lGiTnE44eCmNlhCbeGCKiZ1zskK98RmRm84c5lu8RtqDnjCJrZGOdyIiTcR+5A kPJ9tijR5CE95FQH4c8ONBigtaB+NeXtU2GIWvxlx8UJnCM2S4iGzzexPpIcqPrZUliu28H5srKh gWYxOJvxqezoAhpIaZH7cqUVy3PQVSStaJU9AX6KHy741swyYBrOmNxF5NJBw459ZCSXYZgUpaR2 2yYCTSeCbn7+SsyxHIu1uo3WMXEM/XaoiELns1uCHVRSen61NdpLXq0DvDdnSkGBMc7PfFbYAa+S 4WEphsxvaxo0kTyy4OeNxmzsxl1MdUA+Z2XaxdXfCQCqnmZVvpckyeyP82IQg5zunqiovzppvWJ+ w/r1jEdjlEtD3c7tvhs6OSbJ0tnAGEJwuooEjKBmPCn3ZpHVWSFXAqfybxL6IjQyrNf1KBEbcjGi vFT3bHHa3BPylLulflCggos3Wvu2TmDmzl+YitDKiS2gxbPoWRuf/ntnCAUURb+RyuwUn55e+v/f nWNFIv+A/fVphnfUtBaeNWqSGMXwriKMNq1vfTJre/cQk5g24COiYlhdMMPQJh4sSxwFr3ySqShx xF98MHdgbedu3Xd3tdWLc4UDN48+Dao2EEcG9W0/rtrWdWT4IOZZp8HEB+42MD4mpabFMqLyz9j4 o/pnX6h7Lx9FvfJq7TM6nBp4MuLV8OcLgQytxAu+n+0g8JR84s+g0csjX/uncq2vcGD540X4cCer 4BbQ8BF1mOLB60jjVwambsrIDpjaY33F0+3MOxg/3mCZOkGFJI6m/laH2NBnrr4FxJI5a6dQ0/Oi jy2hH6nxa4DRmeTOTu6PQDDhN6vZ0aA/XbPq4IdUOiyQjPcKLZUcn08wZb4zJlvmEkclMgxvAp+X 4+LjEoBowCtekp7YZllaZqYbiMkXSEwZHNR6m1EWmB38rg6BH22bcPVARN7uwzjHgJ+/06UqcU63 P51lHRWehkp2orQ9LVyrm7+nyfq+wBwpRJnUn3fBvx7B33R0W/gk47K+I0+WCcMKTnRDAToHhILn x5KxsI3ca8FQ28l76oXYCmjZRf5hM5KYBHhbUBSB/n2yHKJYy/YIm3jIZMWsmjTp6/aGNK5kGmF5 5gi+rUEEb4Od9amWEeuOwknvLs5FniRyTE4q2zGVSaT9nazJ+k2T2ptSlRAiOUJdcp61GobhGkKM bGTvY8Cdo4yMJcaJxE3r3CODPT8W50zxwBZ4vvwFMx1zg9ZssO1N7ItIOBnKAu5Apq0tDtMiFIg2 3py0zZkAFfv1SoqdNzDbz/7nseXSSbRVmPNaxi55DPYy1xFKyOtk8C+kbexgj6c4fEZKm9J1Mqgs D0ac1yGBNW4x9cQGg4OXdyxFmcP2xF3toCSHJggBd27DF0GEF5G3ruGEwPkWvcQzWg9coNb3086I 7fyOyq8slS/35pzwJTFBkPjawGrquAarqZWLryQP6/MYB5e8PVHcUMZ7ag8jRup3B8il/YOjd7dz ffhVfvPFKqSsZBHWooUXaOftI1PDSQ7DwfSRC9fdSIlMe+/+za3tPS22WGR4wOH6RA8byrlANtFs yN67/VY7y7drI+GMlHeQOLycrNrdo8QJhCEJgQLyelsF/gyNcFqasQDeK5uEbUzeOaRLqsMU5lf7 acScWoMTrmSbJ/W7RM/kG2ufesDZw/w0vuZUYBzL25Pak2bpgxSHbu/J2Zif4uOd02e8DpYc+K88 R2toZK495ymYFMuLW/YQL6NeyhupS38FX0gcec4HhezTB14DBsN94vxoDnj9+nKocdjg6/rUl4oc eIBuVenEw66EfeBk+rvJB5710DKcjeG2MPO1T26fyZObJ/vsmasTOqDbHr7DPx0WxMxMJ2JLklqz Dl/9NlkL1v7TsJkoMJohw6a08eQVMREk4zjX6IA/8aynSmYrFytkJNzDbQoHIb7dmi5TvV2kbqeH ePxlVomd8iNZQ9NA6fxc8LM5Ycg+jknD+8VwtZHXH+SWujdbdV1Xk6DyQD3wTtpJOWRHQ9SsbiQl W7eMC1xvsp7uJHHgPu8mlc62O/egrS76qq9AYEmSIoEJq/I+/7GBcJMDn+CIE6A/mZY/rEnaJkgd sEOz+asnS1URuy9+kpY5ZB/c8SpvrfRkkblTeJAKZGtDuS54kMB1vJ2hVMTeIP/OqOMRHArw9+Gm 4kKFeWbWOYcDvzVAu1u/pIEUNQvzX6Jt1TsxamnGpGOfjFINU7DEg6LB9vxJp39tcSvxdo8vTy7y XIJpTJObRhv7NYlxjBmjFB+uHdNQAF3CwMyqPOlatxW2ngZmtkMIoULlGkqFWiN6l4EjzROrlh03 /8srXUK2W9Nyj4iQdL32xvrMb0M4pnUApnup+GPvuPZqglC/vWtUzmsOM9Fyp0bTiJy42iDcZriJ u84wBhw/0/Y0sSIIPTCRu3bZ0jJ50B/rD5RsgCRlqDBXvDXX23ycNuwpQd7dL5EMSWAQr3wa6EfC MUzwB83LckQD2JNXHt2juTwcGR4YkZXPJS5KQQ80NW+noUvXDkmMUXr79gMy4FWgc9ukR6pSPjr1 EwhmWPRDuyyihOLjWA8T3gQthZT34ArHcjaQM9qV8tER71EfTivxSypYgRjRyeV95JMwXIqHFBxv EHDJwQkWyZShcHfusgeILegUIx7AtClDGUGKGiq11EE49+fnFInmYLb3rpjpCszsanKu8qh61XnI LIuZVHBLbWu+UgNqIVBdtP8Z54WMzNjrT6vPC+/rJ1yPvSl58qMSH9Cmv8t8DxhZf2UTTKcSyS5z acsaBcrq++6ujZ/ZnrKCBGg6bAkrlnzf1gDHcZCrswzp/evMvpJQbygVQKaFyaWMgyB01mojGFl9 8rz/e72xj+EcNp4lnhvlDG0pZnHQTPxeuyMyFZkNyA/vdM9eBBkgpZH5yYkF7MrUlYjZ3A5P5t/+ 4KTK/W7/FIRp690UpKuyXSwhR4hmF/F1Ky2rAj9XbRUPQdv7a8xtOVHYScR8IXp7PgZKkg6aze7X UVSgH2AxESBNL+SQxr4Hcpud/l5VStrMtLBmrliVsf9qyJ1qq6m7juYfPNNP09PMxTznst7jjJ7Z 8Wxyw/gF5a0nx+LGhKCqSHIa5HR67CJWvkLu0OrcgnN10GVsVa4/O45C4zR9x0F7UPhj9kPpW7VH MQBvKRqjR1973SoSfmiNQmEA15z3eLdfre3dsE/7902YPOJgbC7R3VbPn6Gf1ZYC9DS2s1gTfeq/ TZZ+CPx3GFqn4sP/ThU9UEqSwZKgDhbK9Spw5X0T/IT+9flPPfWvYGovuANuzxn1lcMLPaRkAaAg NywrfePWSWuqKpsDOdP3vqHtkQSynn9utQ5wUgJwhTk5fmlZT/wsKCTjEkD9ifpqtyY2RPaohxnu 0TT+qoOHGldKEmbwJ8uk/JS2vI1M9mtbXDcXgLrFPAnDzJLQOuHCL97bBI1QdNi1a9HSIFNtbiJY 8gve2B8rTFFWs0TGWmBIo8/9jarpVgdchyE+hLq+MJGD/yy7305EAMdf3/Oqy8fhjGoTy1OQ5MQX 7JkwFkDtAnyqRAhKZoPgHfopNRTF1kZ0eVjXDL1g5ZgWYbgo2J/HCp5roAmrDln8atJ+2YGQFf/W 6d93r6lVC/qxCNn1g/dfDEjJ0mmOhq1PX0mMCdRTh3D4sT1H1X0KdQ+x6/cwY7ipAGfdyj5kguu4 TSldxwGQJRI/q70HTZNQ3wBfrn7RRoyp1Ihja5VirPuXDcPggFJ/IEOOlNT0seuTsLPithnIAMG9 tskiJV/NPRR/m+4Pv39z9e9Ht90JPBlWxUJlJ+aSc1buHKoPRAGRhH/y2g5iRtuGayZayg3Mc3mp 0rqC175b+CyF5jFSwHRgV9mh6NkxfaPd8zNL0hLbooU5cjZZxMLpN5kF3OMheIJkEMww/q9KNJ0m UFHiiJkxvgNad2nPKG5T7+NqSvq8N6u/97JwOPmHGnqLi++zFqTW9VIl6EKuoXBLQIyTkNSPZKVN A61VhEUHpOdX/9EOZZqmH3tpxujz/8XFFjvYZ8cwjVV9Wu+0OiNckmNGdnP3if63cJDXnQ35zVQP qPeYdT0oly/0QalPzfC4RBUzVRMdZdBYT1noa/jlc8M+CJgtJ61X/8+pON8Zb9CBUaRg3Lbi6wQf VFmUbq6ZmzLSzEi1awap9WAt+yXpu/Gs2Ym6WH3uxPfXgcwWcfdCJVBS9F1zJ/52AyesHdl3261F T4UNUN1EU1c4Y4+HhRtBPJmHwLnQ8rL5VpKF7Jl7BcJWx6zoi8/cIh05libdbTagHUjU8Nzih726 nyjHf1MxlO9WSpl+EJkTT97+1fWkgVkyDkgo/GKBYK2f7ayeCLtEXxFu/SaxHchjAorVzhOYyfMM l7VKi04dIcyyOH1wyPsLTv0sjGAqBlgyLye4xBJmLoiV6MxpiDU7GmIlUOjJTaWCQPhen8asJwX3 Gj2vtER3oIUiVi7kv8pGkcx3mISvD/b2j6baPpZWE0CCpm2XJ32jPW3QQ5EM1MM4pqYmrvdeMtwK HTezNtC6u2Y/O+A/XlZfz3Nwx2Fx40KAo7NIBh5yv6EFwHt4D5UtakgD/lgAYXKWWsVkLBxgJE9G TKtuRjLycFLXJrmv92tLlSANPi9UntYpHy+xw2J4WZ3PGTK5bzaMtjreiVsPtw++KsS9xq0KEBII l6MI2yQ1xjRwhng+pzdL5vZnryF+pl1Z/jmIOReEEj53qli/fEwPEL+ITCZwvquVAP5cc7AWuosS WF5fXWiuwd5YbH8TIGRktd2jWmNHmPxfQrLHU2G0BcoZhhlSGrwYL5giJjByA9JJ6JqVxXsc+dI2 7SwINdDzXDpN00PTEpIR0xBGjuEY2H4OH2Q0miWMHG/R5CWhNAyxQV9LOozzv4SDsUSQVlfs4esJ 8+ta792Ffq9UhtT/UxqXfaRhP36xG0h/SYpzug0xS5K1vWr8kYpyW+WctWwD65kWmxj+Jcx3bGLt 0A3vNEvJuX9hQxQ2uoIvFwxD/9OxK2qJ3cFrkJYzYDZXbZGTAWUn2r2v7OfO6eFHWRhWRTLsR5Ob j2RnfasQ2caw24g3QYabfNMGn5b6Nlr4fmDvyIWbf32KJY4mNtu8tNbk0OguNtXrBc2jQf10Km9O FYvQe6/bzccpW+1UGeS2VtWByepz5tOs+wqGEGg3tk33qHc3PwH+B97+xL5TDoxQIZdyGPFZKTE0 ok8oXC9GEgCt7t5EmqU8QMuOD9FF4OSuGiQAOgCVmecPqXprQ7+OyVKbQxnPjOMdPAe70EAZtF2e K9WVJHFx0fsWHdsJhKOQCYlu3yFFdvmsTEn15kK5alaRw/vnty9H0aC5tNfUXDYi4/ZSN0dtsBVx eDkm4eRLKngguy7wFRbrJI9c2HYMd6WP5RQmY9zBS+UMWkS1L1twMpfR1lNZpMqNP2VI+UHSlSKT 7nGbz33G3BeSh/jZthJrq5bCnotzZJm2J2FNHCBC364xX0S1hExYrQC4A7nQkngTmHmgIjZSZxK4 tZWrzNW0EE8EoV8HhWymRz1fKnRcgsP3iDEGeihMrNoqgkmR5JjSrRdwmNrCS4Zf9ZW2bgYa2p6t sF+q6NPIWb03zvPNSYv8zSe9N227U0QZ+t8th9oeDcpOovi74g84EIXxtDd1u+559TFdwPewPEjp +7Hv2G3od/Jv0GMv4FUn+KzuVfxVu4JJKHMR3wJBo+hHiDABCLEp+E6o3tex8ommPm41XPZWSeDi ooLob/408No6rXvEZemokUCoEAL1INYqQTRb3sPdrd2278cRVfn2oxgncwk1cIyC6qaJhcHJPIO6 463VT2qr2cVQd+m/M0JUILVJJXE+XJTLBdHP1HIS/xnjZa4pMscV6ezL6sRkabi1tCvK/PTyEDdn 3Fefoa+kBqT2VCKirhWGz+BHg5UaXlW8b0+/K5Tb/PrUQjPmbLxxqbTAqPnXE92ur1cpI4l9zSbf LnKW2RFvCDuVD4a5NuVce0zi5pABQa4pTQQzkPipt9QAc3j0/AUbRYIoJ+EkawxF0E2uuJNw6EOT Ql04kk+kSnyJ+cwfuAG0MfdAjuA0yIJWXOkJSc+gMz57JWBOPtv6xAksg1Ge5so1r5rQBgotL22U 8GEdC56+S1LlLUVSXRItCpcefMjwYbj/FM48GKYOuoW/fCzivOtnBiyo1c5ANTzAFKr1p1fjVsw0 ymGcR+GEOLjNKJLv2ds1HpQkRiU9pjbVaQvzjlE091Po1bg7gScLeJ4GgpnmigIgdAybjLq8IJH8 EbFupejtL1bR4FJ7LJ83i2FexO8lR5vqpQMtn4TMN9r93r3EvajMhbrobt0F/Q8XDFf02cNG4Mgk /K5cqo7Zo6XFFnGqcyB3Ck+wORcwstptDdOMY2gK1vquLIJcs+Z7mI4UKjRnRm1HwS9T/oGAepnL bc9rtnP5k1rJOy8QOGFL4Z/lE/aGQ1u5SfOTLumb9Y+qsvqDkwnrrXhgnSVIdKyz+ZeKE7hAgMIj GhjSYKDHCdjcNAcO1+b+cIyFjAEBgXvJYqaQZ8t+a1B8ZgWqAdOnwFmvSd8jj7DYkpEUQc+8/sle xrgds8PSIc4CqE34yOdYPSUychaTkhRGJDT5hVpsDqxIkWbvUQSN9GnzchCqqyovKEK4PiAU939n x6zPQcHu5Qfxa9nji/gnvUd2cE5Slw4MqoaE/OevPnwxlOPUCzHo8dY2W2rMyaQ9XPfTWUzN+5xu Vl/UsQDtsloptiKdZbxsLMT/rGHt5kyizPsf3sxKRCpCPnHRRxI53DuocsQt+aFdnotir8qoQ68W nNV4p+6dWNAi1TnU0cpWWP5VLVYMTd0/U/5VNMuxJi7otFAD8hKJOq++aqgqFFC6CsoV+6u21OrQ MHBlZ6pyqNINNB6/duivwPjJGZ6/H/fBmR/R3JSGctiYb3wgBNfqOkpW4l/1nLDOWUk6EmvrkWiF YKaWO5wZUIgRg1TYVv7U1UuwVMm7AVqi0sJ8guWix84isIv5b7sPdC4ZoQiT1uYNsAz2DZka/O83 xXcn8Maj1jO8ZX5Jg3LXMI/Sf7CL97JUreY76Jy6TwqUGCVXORB/Qgxg9Ib1zpKE/1M0jz44py/W bGPBk7yIvCKt7UPEpsBuMdiuJ+DKDchSTOiM6TGz1FYNaKSkfvvVWbmUaMsKTzCLkCsjYbZVbmGB +KpivMMsl/3/1b9KC2rlxYBd0IUEgxntTcW9k9bm56u7O+uUSHSA46oGUH36lpJM0qmK/uUvuauc jjfJ4HQzJzQr4qi+SVMO9dmqvcVlxAiwqgcosYc= `protect end_protected
gpl-2.0
37074de55e1497ac2b9cce9fce59d415
0.953045
1.815169
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/vm2/vm2Utils.vhd
2
103,093
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block HNAqCdj5wCCqGuz6DdmwAh0SEBBbLHW0laaq7h6aMesQM5czb7SUTKiUt4Rm6DoX14U68bn9JZmM bP0i/cwxSQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block EdiDLFbpIP39/c7a/3IbHlLIRUui0D0mHkqcqvmNsemr29Hf1KSCzUIdjkb97plOjuUB8efmqBne YUC50WmnHpeGgEPuswRyN/A87BY3a43H+0+sLn4HaHubaXbZfYzZHUrhDxyIoQkQCYIuWbKQsJFt xuNLVLdsMyQea7P4NTg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 5rhIRr2CtYXL1P6surhRNxvW/n8Y09JcUcqx1Rzm5h0Ih0Hk2JI3h13VFJ8XQHQU6/Aav9wh+0hN v7PloUsTOy6Ex7D4jVei+Uou7jjRnXBRF5PhOZQXVSNyYeqRRtFz71KWb7wGWoXOGftb+DsLa8zT SrTvH/TLqsVRW/A5WpPge7lW8NQ/+h8jy8bp+IWk0CZbm3h6zUjbaSXQmOhhRYvGI+vuUWQOpJii GZ/EXiYweRuP8JFx3MpR8Fpl3GcxPkJC3ozuDaZ5GC1L++yGtXb7ILpKsGXy3pAzFPbsHsnKgW/a n3PHaH4hhryL2Lc/3eSULq1VzmNvL2ERXqaSwQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block T5fHqq9mc4mKoR/pibF91OB4pb23TZlj0tdMzlX/fX7JVipJViuQKrWe2WkRSNWgAKzLvCcP1hQo /sOVO7+2KFDbkFRoMSTm+ReELtsoj61Lg74sK9NP+me5Y5rWzBItyH5Oq6lX351cyetGnmtvOG64 Q3VN+uRaomTnU8Hc4yY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block RWC82L6It2vQVkddPg3sYZQuOQSstVU+JCHbg9aR+11ga5AeIXA3DMMcoL7wtHcDQOf0/e7c7h/L egQgvPpTao180ZwCPaJyPi7S5MqFxwhMJLvDiuMScaRFOw2N1Fo7fF601L08g+RHdUlIB1rwvZuy Q9tfg4IN+e+1F1C7S2CUM6NeRgfBWFD0mSsCNRKCTYciU9TKmtmbg/Lh/c7EvVd8l22TVR/XqIro Tug77TKJSvlDq5zAwFL/qAu67PEqbFxg7ybBFjzXFvZ5piOU36uuHH8/Vt0sSQbqNfn8TgMG3pa7 JwY64XYC7Jb/zbxT6MuQqaCs9yOXJrcoNOtLxw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 74576) `protect data_block 4s/Otl3v9qdqAybsij8pYY7/s977w68pf4D5pCiWWOWgzI3VQ6/rHw3pA9dBme+n7kEe1bgv5WI1 AwumeperG8FGRUQ4xzKB0Ca35sqL6FhtCI2GTRJAn3VZdupA3XCTEHApyNEEy5KwDEMnRqPFq344 WQku92OHahTQSfhn6/dZH+G39+3qFSqGBVrc5PelfDxBMs7R0eQrHsEl8UH7iVMWh88hZ+fktkjI uzvS+IQOnvJvEOgSyjwxHyscNPudaHqX/Ronb1WRMvaZZnFetR1BPfMYIUSdPwuKnhWTflhdM0zf ZRKkhyxy5qy3L/iK+IsmrGoOTvXJhs9eyoXFjUli3C0p0q2jG1S9TJf1EPyITp1rBm5AALeGMHaJ HpIobjQj1PW3h7s+nhOpBgSjLyQ36rHYejmGxJm2AFChCSQgcrE3PmlbXZ+byRTgBrrdacgddymp VqfOIiDWiaabxMK34ipv/nFe1jNdFAsbZr6jmWUF3cb9D2Jwx+gElKOJTKxmG0jSvfKNipQCVZiA 20QiFf2mRVst2iAaONGD4/A8XKCs33bNgRAmUH39ab0iJBv0VEAsl2h5BpR1DtNJYsvT0pC/KYVk vxzPwBoV2pbPNqbQw78LEyIoXnl/TleysRUTc2XzssqF3k2jhgP0UxRx5vFkZZNd2GQGyuhoVgA/ Q1DIYhxy8bC2TVPQWjg0sALd0xPezkKbB02VBk1a6ML4vz+LhtbHjqVzXmGd/M8TA8MXDl/GJ7vw 2NQs+5KwOk6BXHciJhrXUwfYHU0Qcb7YoMy4r7i4b/c1lDteyNRAzQDmCS7z1t1W2GtafRaKZnuy etKM0Ed+noSMdC3DiUI7KwaKs1C/HFNUTk9/qKchWA8vTLywJH0XfLWcx1giUI80tcNC8sSwZiSe WJ6zvewws6LdVuOUXkJ3k68MZ7oSgjXkYwmAjIPSjx+hk3tTliI9KbdmXNmc/uj87jquiPblsNlq GBnNgPmxr0sFSlWmrtXpWXz5VzOM45a/MSfgFD5qwRTe+Lt41xbM0Y55+1UE2I4WYTKd20/o5+qi pObVFbI5i1xOp4J5HEMeM0jgbvEDVwonrMJep0CqTLvRGRuYBAB/gd6XcZOfewyXAY+YYfPTSNqF 5yAOFx7MlMoXPRlKqLiiVu2o1Z3j71l9oRhA/Yd+h+490mT4zU3EHebd5HuialzdNgIbNLC9B/PR Vi/5v8HCoIKLbjIw4D9KDl+IkT+owfFwrTAlZWvC1xgedRxrpcVOpQWmSbEbp0xvrlHTXn2ZME4r NxOs5UKs19lwtdrT+4rsiF4eDrL0qv997Iw7TlFdsiBPxXZ/OrfVtdAIZz3edNVRiLIw/ywXREOj cVsJ3WrijcRKfKg3991xGRtQ/Nsd/k2Fugvdgzek4efKGh7elsOAIyURcA/mKiggJ0p/9rJS1owW PIS+5KnzMoL2dzj3mqF7hEB8QvzN2g9ssUiLEyohty4FK0x4ws/QrUjlooC/6hGuZcYJaL2W3QxT 3+DpAB0p3sFzeatp7F1obksaTDy3OXx/d/QPMMzwEYeDg89B16F0nQcATQeDRiX9fvCeABuUUDmI wIEodQKqP08DFU4aKsKVUSJvx+X0mALRxC+RTzmb0mOATg6JV4azIIOSy/gEq0RAvdc7nH18HFPN 9ZJmWNhB3imbnkn7IzlsPYJXmg5f2A5/nFI6k99e2mbESoyA83zNHklqS06cLMzqLpKLV68t4NZY tig+FXEtdpKKd8DiLTpqF6hSOFHJ4LZIouzieGGVibIIg+acXAzm0/3qAPi5LMwmgpJ/6VIhqMCx momEclXyylq6zxBtTJ4mzV7Jo5fQ/qbIMwZrTCFvAzh3N7mRyKR7Gf9kpECkugkx3qsb7w7hsHHU jwpH9t6xD75rcpzTDr3bM5pV5N6X9N5QUa1NF6UuqrjphxrYRj4qzSdZhFLe4pjMBtoRqaau25dp qW1/g8b3QtuM5V7ScelI+YOjeQR89fUt0MjttENapMc871TdjohiE3v4S4GAy7kdj3oolmffBMeo cTTDs+kQmZ2OGUMZRve7VHxjSxUa0r6baT7rbWR68GZUMct7ERGkEzonHj2pZFjpQdqsYk57BkhP k6iKhVXH5d1eV9wa5/pEJUs+naNkNvaeuXlGPMhPGj2EPHdZ7jhMdz+TNaRowpxQJHqA0zQm9EtB MVDHBl0nsCuNhwHTaxZGy4uawjj6kV/8KOTGo+F0TUeR9uBINWkhjPTkHaB9lTEB1xMuxgZ9DCVG CzGViPavE13IHMjjhH0v4yKeo0M8Ou9qyYUk3csjuKSi6n2AigPEgeGYlUz4ngNf9Ms/OUOQDKM3 rZmCpbItbQEJRrbPPAIcjEqJRPU0JgH0OU7vRjcBn4+RFHvo8KNeqSzmAN240aKAS038mV27Wa6C dPFvPWJsgtytwE3y3NIMAQ4/QNRCXSaKtffxi4bEpqRgu+2ID47b9afhJQokT3fQua0I65Ky0Z3W sCoZ8X79HbzNZRz98v9HseDY+/lWy2JvIJmK5n30+4heD2qBHCzGmKep/mrTJ/ac75y+VwvqhW3K 0/HgK3jJR8Du3rjM33i9OQcZxjdJFGnes7Z+sdR9DAZVSMcNF7rCBR2I+ucSwtjIi2FUoJ0tun6s 5HH8RzAi07NNWz8LsVZDvImvtjkQSbuRymHsESW98AtMvDOKmLYefJugEZ3J9rtV9pFV6mvtxdHL MsaCB4YCNtyjRGcNDFUyt1181mGliFEqbWzumdVmnUoiOt+9TCeBE8aooZlvWW1AcJX9ORtJdz7X slvnrTGo/zsXzeNF+oHeMjeKoJ7y/Cm4nrruAX4O78jJKnFRiC8CWfOk50BRUMqg3IjLbuz8BEqb T3mmfUwOtB4AaO9UbJe2B5qRf/PHH6wfxkQ+/reTIItoMVzroG73Ck8GDVjnoahbkJaR0Vhl+yG+ PazBjoSCKXFb4D3E4jtbhJfcd2vHf4ay25T20cfCqXLvW+t6UrOX++DTQcU8eFxfWx/KoDxHrblU PCh3yD29bGXV+FBRPk5gD9WsfVSzfIzKl5S6V1UbSIP8FlpSB9Q5Hwbnwk1R1Hsk6muxhKIlS9A5 qAaaeJ4VyvnWxIwgFuSwY7ykY1DITrNvxUaE07iAtxtWcVMMg2QBh2juwlv8AvpmToOeyix17RxX TnQPvkYF0YuBTMb+Il42tPG+8G4lHZ10gGuv9haKVB6b4vjbNXVtRE8IpSdwUUKUKMugGIglhqMN r9Pf7F/qixgAb01rf25DujmBLVbp8BUs1yyQdHPmSgFNDdYNWldd0VTBYDq0fiwJ3zCOWm7cPLkp abFSplvjruP/OX4nWL6GIJyPj1jzQqaewHq2lGi5qtVMqQxdV4UiORK7P984s60lMQflJkecGg0N izbkyF7jTepafFAJPkVuHM/tcE3PHyJHpV2FKLjtetEk9qLhg7yeL1k9TpUSPYEUH9Q48K/hWpLe bp6nKYhE4/mZ87P0qzjmV716QJK5W05QYDcVaonAwiqKJOIZPeL0C1jyU7vVUAN9mMaivaxRYHn7 r4CWSpqmFaJ6N8KctIpz8wlvdOgetXzI37fZmO2K6K27CPb/qMP9aK5e16amJmHjiMRs6ZDBtzLa gy0tkKsEFS9XOpXyz/gZfD8welMC5BfZWRktqqPypc4OzP4Xggc4c9loRiHhbVsEHNBJUIsubI2S Yg7fPNKuMQpOHeIQ2WjmvmWLEtRDMQ8KcKt9yBxB6oIRmSluD6LxXoWYyb2+YoO3CTu/526ND0ND pnEfOVZDL0kHIk5umV+4sSnltm5qkzXA4mXZXmZgoUX2c3F3FNYlODIfZxRvYAP68AxMbB104CXG Y623gmuZi2/eUfT75A24I0jsD8E0VceSmIAVQlp4HVUYDgvE5U9GxguZ86I+d26mVfEn6K6+9N9t MjoVAmFgK5JQzMujKze1ZjMypR/AT270jE2HzI27vakwgfBpIRqanHlp+Po7Miod0bdIYVyWuJ8r pgLaBomY3/9lAEPnx3OWPQFoaSVSyefv53ORWnnAQ+z7AKMesg2Zf3J0PC7mkmrM8n0LRKkIMqm2 m09iL8jgIaIjE5NJnlS9yt7UtfUcWH0GPQUIIwiH/Xl5HFep2JS4myDXsA+t7KW95CmEtZV9ax8t GARTV5sWjWavyWeyNljRcsX8dyYxlz7EvkvFRqaGG1vNNwfjlL6yVdlqxMpNjh8Z/yhX+iP/F5E7 4/0l6sb8roL9BoSPsNQeP1N+yswRZ7KhYqhKNr/HFsiEPWErrACTJZUfTHkrzKbrFEA+0zsbzUf5 PLEeYDdwgX/zTQFzd7Zijjw3FQ2xc7IVbEU4LuMCSIPAu7NBoHAIrxuBlWmTNs/lyydwExRJM4Ck 252iCs7rdkB/Of1DTRgfdAktFqIQM4iWvcdroddDO7Wru7ctPHPnO16wCdfxColmLslgMO2XJ68n MUKOei3gp9GhsrAsY8/EqKe+Jpc76T3F5tM0GDFresrLiUSXNW7GZ1VYRDiE9G0ZCRoS3tqTdDw+ joXQ5XvgNLmP0BCmYATG7Wlu1hikmgyEPl/AldwjnWyQUq7+g2hc9NbDW7VT77RcWnkAZid+SF13 YDYYaQj/u6gn25xq2Z0jBx07IPvbYZjjvOxt3kh00+X/ZiLS50pic9lPlB32KOjpzk6+Tajs8Uho vzazAS9i68YXIYat12t7Zgq3ctjIhMm5VZZCAs3b6FESYxurgAX3gWKPCNxI/3OoYjY3dZ667B/G 7QBz3zYJKdI7TT9xoLdghG4U3KNFtPmUtfHgFpKwB3+vFcm01esPYDsnBnpEd6EZZpzJVTWrBIGQ ZEa94l3f0fuXb5OsbREdGz40WYHCALAcKEdE2KGy2tQxMWm8wf4ncA0FySOcp6eEZKpzMqE0Tale R+1CbDvz2dk+GGs7Gyfq2HaUmqf9WqLQdhh+ehXwjWd9fP5vxbShyqmJsFxe4d91DBUz0AtHrVJI Abcf+8LqCRdac+jViL/6nB0Kr00TkvR0fNC217zYleTl8jc2wuhli0s6tyTmhXuiA3f2EHuI3rn4 8tp/5XWKTnbCSCGDrP27+1HpZzr85UwXcSAPBdzzByWi5Wmh+k2X0c9STUdl3oKR1yM3lJNqWn+k Ta84PyoEwsOqOXy+d4yS+AYqdlckjgsjvjA22IqXK+VZYYePdwjQHgVjXFKIJihiiAb2bhDaGuqY 5YnV2JqONcIeC5sKQqqYFiseXxhcrZDlfZKE0V+UKhfWUnm8JxZ9eiGzKMP58Or0u3zZFUghjChI bDuhDq2eN4GFW1cPq86T4q86ewHrXBNBV9yj4u/eHhDip+fiAg8bTRbedFT7RIS3yPCVYFnn0SVL UQWkmy0Mx4oNGNxR07SAGbN4OLeFJ3mgy4i3CL0vscrZl3l4qbijLwvpFhvHSsoBNNdXhDLOS/G6 RHNC1k84p8KQqUJ93qPMjy8nOQVt5mHPB6xNGqNGWi3B+m5Q17lQ8GskRkC3ob7XWeuqDaGl2Hiq +MdrGkNru+kMQgVRJ1++iVajS62tPs5DgAvMujSRCXYiw3kzCfYIDqsXxEEqipKOTgPWreYCaSCE 6PfP/92oTgHBQ3jWy8vEUDFiaRuv6337XUSYNlmIgVPXb6BnCLYipRdvM4I2EGu9X+e1WLqgqbb6 YSNGU1a/k2WadWCDxra08AmZVedM/ELprTkiVZF51Npmo7s/fE4BKXMLZNfvDYNdO9DuD1s90dvu GSdTBD4fn+vxAbqK1cX6eJrmdyOg0PYdgblJK8dLqlcVhiNQAbQ40X4ugDJ8ZKJe9YRGJJ8bEHTh E4VVGKYRmBKXvz7u+Gg/hl6CQzQIGPq4pk1T7CylGxwN/42SAJHxEvGAKd/do8sVgiT9OjCTIgZG G87a70zWX3ShZ0bhwkbAPQ51ECEWDoi+aMxUIIEoceGjkuw/p32bBPN0UuTclQxInQTd8L1MJSVi qW9OlSMP1qD1yZg3gywSgkXoSMAmdevzG1ZysQsuvHIhKZhPfjJ3qW7GVbV5tnxuoPryqus8fPe9 nfKr7MPxQPVV6w0KdhDihXSA7bFiKwyOl7zD28zx+nVT2nWCrq9q3aHKb5dtdMbaS9Uy4NVQmnPo stNne7aL2OF/+bYu+Oi6p4+l0U6Il4L6sOz+nivL5Ah+xpIm6dR8otesg+xV1aPEF3kMnAw3k+Al NvjxwU9Hfso+frEuykyCcrJGpjhWmErfbtj4rZY8lPx97P6cvlGOVS8tdG5yJP9MzEdyKSJJvc7b 3kcafS0FftSdmYM1d/3uroYyFZrF+Th7cqle1GHVQ1+xWtLqSMMCH87LlSPpJlIizuw7o64g2AWa dze10BcmA+TWvKcEY0JaWgQrfX7+Kt+QIzfidq2aRE/MTbfrBSv5wB8bfbQ92MDTGeSHKLTrmW5v l0TFT4eP9/HO+jOpy0pL8oYEPQbghKkRHFm3l3nNbZwsKFro7jLe0GYnlyK/uHlf7HmGEnupJ0r7 d7bzKD9MXDV1p16cACQuvCj3jqxtseB2rTGij3wCQ1NaS/zl3QgYEUbYHYuECaKop74fb7ByhUdk v3E0RMAge23vtjoy1kmBIigs5z8gRloSRgIEyLwkP72d932iZ7UyQEBzdrqyGhZOR7P6bTklrVOy i8CAHWJbGeuDXg7EqYfjuZsHhNzPy+HhD/yLu5XNIJGRqLPrKvpjDORgDUOljzXwWsqqI1jD0WBb 8lyBTW/sa80JsPe/9DaT1Stx3IQOCACmQ5ZkpeF5gbKYiFmJ/zaF7xN6vyD5bvC8voups1G4t6DV v5TPRguDuyL5VBKPnwU2TDPbvpE2sifOclQ1eHj8uYhzVgv7Dcj7iziNRf3llQh0eed/zS47ItF3 gwKxKHCFuEEYon7IEH5Y8biclt4F/KvM7StgcBMddl0/WcXlNX8UwasUK9a47Drd//mGW1FLB3WR 2pHJP5TX8MZQboTA/IsVNm/fyez+jWVFL2iLnbU87o8OaWdoMnIHnnCJZbViamE1HBRuxlpbxvTL Lylw7hIpg/rfCATjYFBaEV/FEiM/XqbUXz66M4ZYY2c9AZErIIUVoWZwSLWxPG0/S/S53AmHmjxk PEDfBS1vg4hOVBmLYDPFPxrsq1SVxDy3beIHtRkZVroekiX4ntXZw0vBfKEhqv/OERUrRQCftJ/x h2nozXEBqmLA7U/XxRK2EbyBySse26wQSfIek4Emw+Y71XMk7sooGhXaQO8AZjgqa6A1r/b3GGgP 31mSJ+ZuSAl0p3qT+YX91XrxWfzBvk6EsCD4XRt3VRh4bS97AixyWnNLoklu+eYCF4UF7mIT0qt9 dNsxSb95N6JcVUEW8ZUu0LdXKGEWVa2PDx2l3MdStOX36ydnFmCm1SnJasutxMxKu1vGE/FKD3la O61AX4xAM4FNnNnM4DcAW7MXNDgOBInooWPIBxytyXESVh7u04PEHroAjG1j0LRVlpx9XRboslqz Apa2xV2ea5dnaOblQHVjIk5BMnSWpehOcNpKppmTzkcPfIj4+ZJimv1UbF2Bq8RTR+B8nGvWUn8s tsATSfVu0G382+ugeYqyA+0rkwYD4binwARU4vl7qN9pi2B0x23RgFWWnAhJurVto9BCXvyLpssg ujAAJpmXzmwymfSZzh4Q7iYZfqR1AQRsQZQV7o3eSpyT0Rdv2jIq6Cb1U272ltJlufB5e83uVeFM 9c61TGBrkj/Y+TGCp1LmkTlEA6ceE7wCFGqY0JwsbxslF//9WC+nrOifvsn8+K5V++1ElPfT+6i+ BGC/uigsS+rn16vu0VV+QTgWdPhstTNIfIkECmIk9HAWwcqKl7L2vyJLhmMezkSMlz7kLBvfPs4m aktfqRP4w6U5wJGr9nunC83rrhGTbAfRbtqkPwJsfduVE+uM8Y4/+ktKJFDI170wJ5P4LhIxhv7i VsGphhblhUQ+mx67gAj9JsE4Mafj8vedlTM141rOa5b2sAQRUv7jeUt+JSN6cEv4uCoBfAKff1ED vY2ghhhDngtQy/068o7gnNEcK6hyhZsvavYy9xa4HVvRiy1Q7hpAkOE43zhV7civ+HkJ6jgM+UJy JJifC/48OWAr8TdQugPFy0vvSYS5Pg0DSDSQ2siBjYEnQNPvlKOmyW83Clkfg4n7RzybG+gcd6/8 gW+h3U1Fz8YgkraHrd1PPFT+BxyFh6JcVR2P3aw0LugILOwnA5D44YqrT3FD+w3mVhIGzO6ZNfVV 9I8UbrdyASgzPIu1OmvRi7/W1WTx+D6+IeukTXxd9TyLf2HVVbzl3A8l8QqdYIr+ort5ZjSnXo55 gxDq6M3zI+l9yIwVBzMmybFq2r2P+XY8fMC2wsH4cIIIfMsk2uZhlL0AuuCMEQbjKw9jBI6jQDZi FP4bCPXCTBIOHELjY5o9o0PVolkmhJL7pfFQ1k0lq366TuoQpFELLcLo4R7v9IHiDgEYJEIaa1GR Z5Ebg/Qkonu5RDBkCUUQOsMPsB26SO5Ef1GnBEqHPUOtj1nA7T1R14UeN9FTgL1rTsP4gF9amiOl XaHQLwZZIQbSPEpbBFTVJ0LPB6ymUK1osiZZlPoN0XYMSRGfCFIYv1EaQ0PyXD+2RdHnTCyKeUgs 11bNhd6nPQMQrNr5wxUQyhzlMXbfTvYzizSmt/B75Sq66yS6S6URXRAbDeCudemuwhR9u1q3soY1 dDuQmjuptrrTYNliGA3SIjx5cgF/iCk5Ftnv/a8NR0hja4jcStZTrul1X05Me2zB3ZSnGeZC2gWK +wvhTadUFx+PDCNdZzqaAz8LiFuNXJMDm7vP6k0J0dKcKbokQ2qQ3yb28GOfDaLWPGSEDiYFesx5 rEeOfDCauJWJVC9m/LpZcB/lBjeKWVeTnzWat+u4NKBcJ5BamHnyoxJ+WwmZCXEtyyk8uCXr/0Wv yHyPlSMdpRVKXNPdDU48mplSGkooc1iH9WPHAgO2rVw0xFdee9L1Mwnc74w/otoc+xRgjtgW+tvw q+Enbqugpuj5gbJhYZtGKMoQbiuEJvSn5EMiZJhiYxVnbp08WaTPAHn56HjTkIRtrZj79hbh6ZC8 /3weIzMpi5bUFvWO575d4pVfwM3MV/AQHiwneCZ04fOm57pBrwZaRZFMZAXMxv/mME3zwilNbTGO jwLXg5gP3NjAhWFaXMXL2egta1sA1ynxrWJU24ZCEBvxwobaoPhks1ThcY0iaZaAQZpwXoPL8Z2G VK1d/z8GkQv9QtlrLIsw1AwKFIkkvj2Yunvc8ebnA+lsD7FbIUuRHwaml5LfTo0oMSiknBW2WJVM HK8gYbMKSdLvDMJe34SGB/sMUzcPBd7aZ8JYoI4RhWGCaldCCZt3rUOIW+3EgzJWqh4i9TZ8sqL+ SkbwsC+mD9oigd3F4anrqZaEkhCqI9MPr15nxNa2cOlgeL83Ba9daP9PKUTSSZ4Ge+o5mWH2RKF9 4TqSoukPQEvFN2MhLte+lwsqAc1L3Sc47YH5mwJ3W771Mi5VdbXY3ngoX/A7gq6f25c8pUsA06da PpcN909jYRuKsMB5YdX0cZQZQzQ0W3s/thmQGoh0T+MVcgafRttOAkitgB66rOX6Y+2Wfw6dvL8H z3PD6DjFqGYny8s68glJA1y0UaS09V8IZY05wXoUB6lo2CwTu2FRPtgeivUXYskA4PVemwItVJIL yt+3Vm87sV988tDP7WVGBHlJTk131nJAaCd4hDBE6czkjYEwbYqcFfvwRwLt3Qe2y3Uu9QXtIxY0 x42VOVws3kbKZT616cp8dvbLdGb2vd5PJfYbe5R2XLXt6iAqsVZNssVuIBFM0PEXuGHcZWseY0FU hLPMtSJTKU907YyT4fRHONGcIhtrCWx7Y6CGrsy+glhRzVqE/LVpzf1ULvIHeYV7QzDmV4FWDaRi NRzNeixoDN7NnOmICXd5lQzZzjpfsQySdNsk/k9NmBqS2Z4w1USk/R9Wjk5aJYL++c6osraDr+9i +EscYZklBZSFACt0dw+VYWPrhgw47+XoYXWg2bj0/FRCoUg5YwYFJ/5ZW6l2o25nQ32i4sxjGHWq ndxIzwHlihzDJmi7mjtdY40rxITfbfoW6aqXyAXrwo/kzQYqN+rEm+jKjTtjEdk3N4m9G/SHeUKN 0KviwGqXKeQhtbNarZ+OuUcO+VG4aCv9lXloZmNfAQWEHeMQ447JaNLMoDONMYkFdMYrM5B9rWwc 80yASZOTCFj725UVmRHjcFCxC6ljevht2JGMxXQB1SUZ1kuKegqaM5Oda9kFzOoPY1c8cVvxFfdC O5c7vqg+eGjIZ6JAOuuh7GiR0izKeeo/zWbzmJSTjnj1b4zWfdzJjNibvHwLgibhdcD3v8ZxHHd/ SF/vfQ+X7SL/sTQHHfizOHxgxI/2ogMvmYZU9KiJP/VwQ7tGxbrDy4Rjhjlt8Idh1HiZ6bW/sJJt 2VVFI+1HWNlOEawYSGg8SodVQoKs7jYpC90SNEKbQKadbs2Td3wgGRkyfBSSQ4SaJKICZCVK2Hl2 yj3sUo/N20L1wjOG9cyJswYLqdVMUKAyRcoOqIyv3+I2udAdCY204dmp0JuVsiNjn17MApL6uV9u Fnuuv3yIIyXcU2OMIUDwkwz5dN2kcQr5nwdOpcTJ8ZZjiu3FQmfBICiDqoab4k52mu13eFgdUtaV aKQdGvj6JAtZ8VkBKsWC59/wA/jbmHPET1cl1IQ9PPfaRpvJKbsVKcKBflkVb1EkHiby/r9cA6iN 0S1LCdir2ph+LeBUmK9EEU1ywqfwN1Te/ZWuMye1IjYwIgMp7TxPCAasmmAPzDeHD/djdxrJFTxB AduuohBjRAgGOm5c9Mcy/adEtUa9agATPJL7KJJKfR/Z4k0z+oAd25oxG2hiWysrCaKy1W7zSyMm LBDSqiFNXPS37leFRp2VmWsVPaQEEi9N7BAbZ3hhPCRYV5gGP+CGbGvpQOp0NAan0RTFMv9sAd5Y 3b9T84WniDtw3SacvvgOyB4j+0ELgKkzkiEzc0V4hcqUJf6k1720YkWJHFYUX0fsVz0diXmG8PEC aJob19Rlkw7UeQI1noMiLT4Eapai2e+iLCp0n+ObQKnskC6inyZgw+T1yRpwjszRa4XFHDZHAvHp A4omPX05MECIXCzKtaKlH6OkJ35l2faNgOsZH4fBpszTdmJTZm5CPHXsYJDyE+NoEM1bOdTzg5+l IaqRng0LZhGEL/p0+9kEvQeP2WsvqM7Zo2bUOoReau1e869oPm6wqSBUOLPKbLHA7L86d1MAN1yV v6Ow9rXkqCe56BBvqy43zymoibTqiTEpk1+ZuUFw5IzoD6VerRactbn2IJk4SdueD2xWVnuQM1Q3 nVosA6PtCtx35wBtly0bzJ8o1c8tTgO0mo/HoAZakq941XoLalPnwc0XAIr+ngrO7YVPaKgHyv3D ctBIM4m+OkFM7YjsCQOGshOHxEG8tXtxI1fbn8pUvBmoiRyE7DSsLLEAQS0HagPpcPYPs+MqSfA4 8noZSnUoo7sTSgqVTU3JZPe33D/c1ub5+R6Sozwm4GOkmNjOqedm9xJXN/RTGSALqRjNwyHvhE5j nypUKR1+K9CyygZzF6BtUqFv4zdS+u0C9USSmGUE+xXHcNDGLdAJ8uq/UWObOFT5afiQN69g4rwB iGcq/ofImDDmEEQEEZIQ8ISA4GyeLH/VAr3EmT/pXvziqL9bOKX68p9qJ5R1qhwUB3F9LrZRm8kX VKp8anCTfw+lyxkQdwKvN5X+7EzSHZa5rovllcpA3P55gI0D798pI2R9+8+5emvnq1Bs5fbTNVX3 MSS+arMAMfuMIu27v5U3q3n6kEIr2QQShFDVVGG3J4riASNiVHwLHCralRe/DwRBdh4+KX8fCxyL n8hdwyjXKQcOnKplkUf2B7j3FL8/dpiLTvP2uZl1iY9lB+6JELESP4gfFd+ii/xObSiwfegehvV+ ks9qTEehbib/3RfH/qPM9N9yGTu++5VaSuIRH8R4OA+cLPGmUCvn1jQvIDBPLnQMmnuMRSUy5k4A FNu0nE0zRwZp4GKRbbUY44pS/8clMzytNt/xAcW+cejFu4gZkAd5/RqJ5lj952EgUoR0Xxv5WJJQ tKYdLffx9yYJNe74a+QaHiN7SXm8zRJoqsibZ+7zBK0CFoM5dxE/c1SzK7vGaXJTyYqB7w3ktRoo c3SGWAzccQ1jIcd108ap2gkwWorVuhV2si4CM4zGN5lglGinX6E0d2IQwN4qxyyVQAZEbhnicTTB DKqfz/MznDC5ghp5UKOik5tThHFp2dLa/2In3CBaYvRrPB3U2mboif/32eW6cghhZpiEcr/u+nev 3GrvdC9KYC9lRFR27fLdvFGWBBNkDjvnuEThwONsPTT93pcR5Bn3vIFzb3eRRmwfpihG7ORGCVVM 4QcYxHQE6n/j7pZmOmAxe526vxCDLJ/j+xsZwh+VqFirzV2fL9TqgsBVx58nnGUVw54XQeOfYjvx HgP8B7ed2m7VtASNT0zGernaOCM2IvmxGEonaDBIIFnOveMP3nWKN25aCmPaOTWm7vIPWf2RBzEy ob6w930CS4Ug4g5gzM1HM7u60c425BuiVxV5Tc0wL5FDJYGuM0ZyA/7cglHpwZaWRzFdIegG+7yi 3+/Juc60UtT09R0wlw9duzLQRx99zBKPx8g1G5KyozAOCfZ3dWZKOCk5mRR4tQ+daQKymSy/JiGs SAxVkb6vzRBOWGhRAf68G8dldM88Jg4RB9WfyKJBdl8quTFg7amhX/MXm4LZ7mKddWUBWbe8fAEA 4xckjN4W3yKeDostggnGjji/h+kGJgJsIRsiNr9Yz91HJsMPoYEs6DiYkvLg41B69E84hUmetXmw go5YGFsz7OonfHUDMlPqX5bEH8+gCjo0cRcjnvkozF8dCq91kq7p88pOk/KqLz2+nHb9sbbkWGEi YgryC0XQPPfxJ03sVRD7rLbINs6YDvUSSCMndsZt2nxWxYk1q+wJ1nE8Xtc4+KMSUlavHUjiM24n MbUaW5WUUFc+0hwzqD9QBhKqkHjVNmDM08xgE/Qc/QY6VIrsqpnMeD9sVmtpEDmPJmhFKk9qPv/G iICMdXsbWXctwPYbXOGGGeE2MftWB3PElokGXAYCeaXFqV1MGTWNykIxyLUZnDYga/9tC3ey5v/f DdEU2ScIpMtGnQ+dXoo7NPCvc7cKrXopmoG5CJPa5Y+9RnYIu7aI2l1p1ZF80YUmiuIEOLCYdINV R8IY38WMLzwX+Ob7/j91yceZtVxdpbJHWN+31tEnImMN8YykSn1FUWLnLs7dQV/gkKH6Lk6oqeGM n+SorizYUoHJTQ3gQXRq0nBH3rKRjUpU2smMCvEn4swfrwoqea9U/HQu6UwkF/qN8bkSmtSjcN3W Gl8Spp+6MUkZM1DdYJekg6vnP5RkeNjFZKnbW7UIi7SiQ1WRPiA+iHgp5rnuTGmuK/f7nuvFjh7U 8ZDCPzGTny952DCJTi/6heRrTowSCiHwiHbaILC5McFQ9q0l0FgLt8RgbVgyqMWoBAkh8F4yPQoU F57X4tHySl9zwrmSZcBZIphrgIBRfjkmEeE8QGe/wRQp4o2VWKHe9rNushSzp0hmL4zYNIU5jJWu 25h36SBwAHwXgskLyrmek/RcHGVb4cQgIBXlA4Q86pemEvsN5VKz2490Fd+2YINYSByFXXHtIHPX oW4tLc2dk2xtL6OraY+uoEi4xG8C8W5Re2BOu7oDn2AEpC1NDDnFSGKHYL77oJvyZWh5XTwJExyG jvo/xlbfwpdSWIkmDqc2XvUs/G2wsh0S9cDYLPlI8/xSgN0CcONhxDXw4yQDfSzi/PuTuG1UF2Qk mzGAoa2CmO93OzXXjDiTsB211KOXgllgl93o5Uoa1R4MsEUodRImT+wCaL+482AZoyPxhoQ8c5BM Z0cePNHgDvX9QSVsT6UryFFoTJxALZfpF6wJxaPugCucRZqpjxSm1EZkG3UEYU56Nr98xFLsLSyj r/KyFcBW6ePaEzFza619kqJGnDaq+yEebz2QX5vzm/8XgHEy+p4ZXn0MqywtfKRzIGdglZF//aG3 kuv2TsppVCSuG92nHSYccTRMJjLCRnsdkVFMuRjkLcmGsEdeXX/pBCxDieWrOjX7BPWExbewjRJu BZXKjOGtK4c19N9SNdTz674g7xfp6EDdCVjiwvvZV35GJTTIMn5mvUQ2O5CALhItrWhgT62gI1A9 VvT/OHDYqdlMJgnHOjqjfYtaIl1+tDjw/6TI0TV04ja8AZbGRaNo6He9MJS20TwQjqLEt31vlUQs cXxi0dAwUKXuHFbPx/qzPmhgNx4WCcmQrW6thRn64YO9x1g7JHFBYxpp0oBw1MAS36+DHXkScKGO eABR2HWjD4W9vfx1Nw4aq/FIInPertiamhy/ekkfeA7Krd6yvHslDXkyYVoLhgKc2Ge88njVTkfu Tzxp0vEeFxOlcvbFMwpZbx0X0BJKIFR+eOujZcWULtbmAph4X1GQAd0eqN5ASnCpvKFSX9D0+T9p 5KhT/mKU/DB+5BBN0TiwkR/lOWVRHcJHPpr6BBb/oIBN60tRet0BIcaa/aIbvYkpu6wautCNfCvy tXPCYSBSIQFTCPOqQjZuH5BEt38FIppyU/iVy2B+7IhSeEDe3OWrOCIKxkq2GMbsKsu9hGxqJA1w JE4IuQFvx6qJH5hXd8wrZd64aCnZHzUaHqXMxfJh2Wpo0CVqJhy7WPYR+PdV+pFJxykaeYr99kQC SIKF5P+kGxT8lZgcCWMSTxs849zrljDZvq5jiLZzCPhl8r+iK0kNU6fafwXaRmAs0p56tt7+WMd6 2gQh3WD5SblDTaNiO4AM5ZSIaZCmSC2kWpyljQ6E8qpwCKEaOzfIEU1YCL8evjs/fZx1/X577fKq BPn+5WojZYYsl9qC/c2vnWWcouZllVJytBxGmPhyHMeUzf4CjHYu9D5LxKGUdBKjazuzq1pToZNO 5R/eoymiNfNNStJxou5I2ptpLoiaUgOqSMi34gbozcnNGSB83PaXTVkGZCfl3Sjy1PmffRHfZVQP i2yS0KjDnbYM4EodIptm5u4fUzi3uRISmg0VhzNfqy/w0gzkVIzk+Twq9oNHvxgT2gsqixXRulMi c5girLMEOuUAX7cZParRFLFHrWfWxx8f3kX3BHC8aDy22yrwM6wG1sDq3yD82uZvEcvf/+FTZrqI Pt7epBRxwxY8pBtYPSnZrmveSGUDs3Poy2qEm9l2MDYLMBznOuJqpW1a6u3H5+0lyNptjnuH6tse Ujtj0Obo7aLeh+b6A40HDmEM1aVVkUKksAR8w+Jogbu0JeX76Wl+Y1GWXkqyD9sPPX2fk20LRi9S N/XN2IiY13Kdu2A0DxbZgks8TGFNYpID6a1naqYNELPYlwmBlih5+USXUjxh8Um+Bx/i3n+P+I8K jO010mvw7YPWRLuPJQN8PyprezEYPOPeD/vTubscK3MbX9rB1KFoFY3ZSp7+VdG6DkB6PakrIxuv zT8IK2at82HT8ZflG/9vHYLd4gZ2Nd5T23oAFv8Kvhr1c+df8T0AVmPa/Jsznm9CuGQRM0caOq3r N/bzgQhLTgLSNf+IteoMod5RHT31+t1j44FLCqb9FtY4cqU8vnGv6GxTGyZKE5GskBthVtkAQ8u6 LTsKR3o00t3v4WphTnN646gsZmNRXESmAopNvmJc44qUcZ2DKFCHZWN/KY1U97DOsV6wJnUAazyd MxnJuUKWNl4b1Rpb67Pq6JYrVFHH1tWyFTqBA1uda7PAAXnqDMICW8+AwPqRtFWBeDiWLDsoo8z/ 9KWv0nUpwSji5L2vpsHdFU94WeBiajjV5SqNiuQpXmp1b6un7twHgFQj5oGRZw8YTFSVl2Owx00o 0aA7sm/pTe3wzR1RkGbkU7tXvqtSW3TbFcybHH78vmCVU7KR0eLNhEVYi6gUv1gGiwYFsqZEsX1q wjsT1uG/a/pZQcUZhoqNBzWTEw0Ci6hSUMX5Aie3p/55UVx98zD9ya1JCf3GAOW/QRysy/53uSv3 zxG6Db4sooUDD2kJ565JwSolcnr6dRsN5wKReykY3SEr9ImL7s8A4v3IuAezHFGytx6uZNMIeK6o ZpkC+r4JkilSocif+H4wOlzrZoFXPml7tzdB7kXe/YS6ITdyZ9OcM8gM5WDCLvkdRd5NkDC5usB/ Q9Y0TbOSXSQi9ZyzFeS2VIPY4qlmHOEmHVAzsOTuQBtS5pG3bwSYoCSGcx9oNYFUX4Pyvt2zNc7o wzJFLeKgLh0Gxq5zoB0OnYP20A/i4IjpOZUlk11Q5AacObKGeVKRSU3jWq5poS2VcVh+hwfuvAD4 hnSOhPhl1jhl/Htee8MroJO5gvilFlmxA3MqD4/fwdiqPzY19adoe9nY6uzhT0c6w3usWBsBZqNx ZCSnrtD/lyUWh4cVWV5njuEoG5LeJEbMirOTPFyb4x7q8sl/FXu8Bi+4OX5tUIhsNFT9NQ8Vc3i0 ru7AlcWd6mSsiCV+YusQELhSe0eNYjTkfljrq74wxRfy/sbHCMHcUpYcr/OCc8FbWW7St8YSKKv8 3hdUHMIttWVFNsSL7j6PiVOf7z8qKELZSCvgVAiJ4l6ohSdHctDZEoiy/izNh5k/5lm1cOJPTdaQ aa4TMlWm7lLILmvrIKO84UAEOAMJ5aMYP1nupp4uow2ILTPvxiqG2Ce5lyCTylhQrECFcDpZPhg6 CgB8ImVQcCtpZK3K7yqCK3d1ZLAgiAb5k19OqoEyiBct5kl5V3PL/yoZmTwPJPTyHAjE5KetJ3KG O95RPqpg/ZNMBVHjGsqopYPJBIG3g8auXTd53Tp9JUF678JnIHOq6yFJ6TPwSsPo5HXxx6mxZ7hu 1xgpxwfaF7fpo1gz+c4daEtjSBN0MhF8mBvUTY14eKz8nrDHCuIu7pkPKyyR1GZncrdogjL2CiJH df8kBUJVpM0M6fjfNXhRhdRGgPKKobVLYjSNL1eoD49Ew6fwLEYRrpJhIwEmKIiNRUWmmXdQL6Sh 4LcGs8IuSDZQx8BbegFHCS76FKbAiyRGhRVh/kIOeiTsMOgdKcA6t2DLHJtnssJcDh6zfwyh/XJU MmqbDzjYNCh+X36iBLIAPCeTutV+TkE5t+Ovagmm9Vw3PF7Wg9EjXIorUuazpJL6WJovxWTDPxWK r+T3JiPxxb88gsfsofWxM0wDHe2aVVyLOsD+9B2sJ+MYH3rzRmVi9QCvIFMXiiWfYHb8J8s5pHa0 7qlcNJwuY9IVB3B2dSvrJhtfAHXPb37dtleeNQs/bg1fLh0VbhIwphnLYLiQW4HTLfEpGqb8YFNf /dbdvPu67aCUMh1U5WMIshW6x4sGpS42f3MwpNbFRpg9FqpDDTyM/GF8wZlbaVfl8WgsuAf/7BGZ sg1Uu9sC/1w2AR8Qzhc140KE4vHw+dCND6mWLTUCKFGQ5mhKuS5rSRCbWbh5OkpusNykphT/chB8 JDzbHaJuHJmknSqqa5tcC0WgnrWoAFE/0+4ScwpK3U0SzBcOqlAqZjcVmm1kW91IMVvHiXiAgVQD /oEFOUiICfNNfb/I7X/E/FWR55hnSeykLV75mqnWtcvtw+UzI+RyMH5x5l0ubgFWz914SVBDR/xd eiFxB93MJmue9hz0lOGzrg7tDTw5DRUu0myNHMnJmaIIa5BvXhTRNoXNxsYVusc16B23IVHd4hMz i76VXuwItIFtvjAhLxH1zBtdUtFII0zrZoqxZh2VG85iikaKdYKbeHQCCjcFTvZuY/N50ajHMib2 c6unMmJEt54lJYw+iP+Nre9ZKUQL80Gvz56LP2mSG1NpKkg1SEyUF976w4i5CdkiKhBcECaFGoPS waHEyMIj/QHmdON5crtmqpQ04rd+xLsbAJQYO+VPHNUT24470q72j6vMmVn4x+tOhTU9TG+JWFf9 +s79VVEoNBx2tVS4FQ38fxdc5MhsO8sKGZU4PghpKH+5GW57KcUo1Y2R7OEEP9qgTFlWCr3N/Rqx wRNnLQX3o4S++2MYtjwiuGuxojwVUTVZC7u6e2sLyQbjBktQ/tHkS8tEmd7UIU3pVVaV8mpp307m SDzpOqpML9zf7FYsyjFff45bk59WRw4dWratnzYjSSOeY/+E2G6Os9kneMJ3+k9e7NYqiAoxI2qv mbqPcBcIQKOFJ2myt4OQgabYWLKBD59DDjWRKXiuvfgMSWL0tcH6j/kG4e4JGnLdnfwzUyOWUncb /vI1CGCvngFlVT+gu51aN5IO62r0M9aIjeve1NtOvWwKCqATVtnbb/uQD6i0mmnF31y8VBKt+vCB 3BSdBsrJAK6T2zcDWx81z5n6dpwABJhsl5KsbhaVi6ymIWolIPla9K0uOFpGAZUEyWXuOljO7z8t vkiS8byr1j8PWZj3D8jKyhdGIWmdwilnW8ctqIDQp+fCY6biK1qktlqKaMc8eL12hmYoJBivg2h/ gcYLKHJjgnekydDhI3qqYoHz2uS1Onw3y0JZ/FmgJWiIKPlFDSHTYSMyvUvZZE2FQtlXmCZxcMes J63bAibAeNbellBhrIJGLF6+Syj3YvMBBAYDpy86Yw+tFWpcVQgHILZ2lECtRvB/KmOydk/zwkmS kCfDCS8wCImAwveH4kiCDHm1WHtuB1uY/m4PuqghRC3B2UREcxTW/vufQkbZTNHSUM5xv5MNBuA1 Ly19AAJWG9pmKCKuIZyxY+yTimM2mddkX+kHSxRP0UW/8ejQGfNXBB5kHBobo99w7Q9KuJvig6yg 2eEJs9EvW9KZQxkRuBW9rvmgtt3kHc9WyfE6RBaBdMkxeGLRVcdB/DIycNuCNj9XlUrGbDnEEBcY 3+YQRjTqPkTdKNySiEDC+KcGANbKsmfaLoxFxXqINrWON+ZV+8bIjWZu7zUE4sBMxcOhAYGchifo gKJo3JrCwLDcurFX/adrBjUhfe8mLyLRJJUvQxpPyX0uDdW4h5ZajQBCjO8JqyAWC6z0GSq1/bsN qUzQN1JjCkGT1iZlHkZfpl8A9bU0j9mj6eVQse7xtIm9IT1wRBzdAtaOZemuPZ/rO0kPw4rtxzt+ 4xsGcxWk6Xt7z9WraA2rA/Y33Kz+p9L3uemlVB0QqvckzO7rfHtw1sWs8kpuRG9itB31WutmwBSt 039pKhE704fzzg6JiOo/yy86w9DI5Kp5o177igomzC6nnWJ/zx3xpBPRn/MUf0N5XzkvpQ9rlRdO QglbhvbZvJMmcCz4V5EReiPqZzLjzm/knkixZ3FL3OBvYZITA8EQKGxOKug4H+WNGhtIUWcDd62Q XVa3m0b1Hg5i4kiuB4jhvFtRO3goBBEHAzbYPrbz2ZPzkz1kJ6kPTemCFaYknUtUjBV8ZuAJuwbX JOpe3VZpjT/0u/uLmcTppMFSXcXHjmlgmUVMg4/tQXWPJck3/OrbcmFg/jbBoeAQyEOWZtrVsElM z1C5zB0GN3B8FLm0qPSsqxs4T0tsnZvxJ0j218kewOmT5gxjFOIbMgMl6/JNCrQMptqy83TulxPr b/nP4KiR60sDvo7NlB2tAU2x61LRd64uynf2uYZCszGAJFzuyIutzQYiNpzWGE2CbOiv6X437FWn JJK8n5friGSPAmCqdQn0x07sDokKBwkNr2FDRHtnpM577cQPf93nerzJVr7wRvJuL0LMxWQQNUsy Ag5pWCMa8PftUFr+HjGNoicUWUYXcr49eSt48hhhxTh+ktvETIj/yqAYlxkwvrA4rv3PRWKJtG6q /WG8W+tTbzmfDXyGOWcS/AVMoN6WBcy3AjxbckmyLLiDsPnJU4LSSPQMz7TNHB2b0kGKL+YWPLUA jmta5ZSeU9T5Kgg1sh8phAvV0CEzqvhLVg9o4LflY+28wCT5ZSXd2NbbGgWl1RULVrvJX3xcLXp8 I7R4iNsAZt+kBLzoCsshx2VTg245xPlcPRu84ovqBGOdnGDFTjMzzGm+yoE9rUgCxXBoXDT9NlMk PATxoogYhKorfHeBHmAM9gPhepyWnBxZSgJOFlbjQR99eHZMHwCrSgSEYrc77XR9eroStJDVw5Yp +ObqzPFFVImCKzGhyRTl/INOWIYBCNqgDT9uhFo2xRK588p9CJ3wdGd8MGI3D5MhlchNV8YZqfn4 1eDg7Sg0ckSkDhXEJRyJ0J2bUOxl5itci0wYvv5a6XquwP7nDfq1GyfatuhPdt+O24yufiqSaSPp EwxHndRp2mfe1PUU3XZtBEbnKif5796UaYsxYJzP/dsHMloFwPaOMT+WK48YxpGu1ubqXDc/aW6C CLzWAKqgh5bjQkF4PGdjMTAJ2Kx3uqnq46lUF6IhIxfCKLO7ZrTU25OhSsloNX2bVVkQFRDKS9p7 CuqF3e3MqF4EnnmcolgG/ruU82n8H76D/tDgt0AebL4nd+3yR66CgYEndRkl3YlcYX0JGjBrI16D ffQIYGtd/XxKddUdD7uo4hNP7Gqzrjv2oGHwPEyGM65MiG/eK2YHvS34DwP1lNROnp8OD0wslB6p HSupnmYvmG29UeoBzWc0ZJXDt7GcVJuXWOxofFxKDnWFP1XnWQXx+1z6JwRGaX6cI0BxZcR9WNy/ rpeKCBNvvDRk9PnIGSM5IAO2I1bbOj56/Xzy4ASkq2r4QdH0E7H1XQR13Zj+QsPw+kiZCPcj09pI GRyhofe5uTQ4954G94Bviixnh9ezz15vCft390bJjxuVci4sLizRleU0wbRgAqse+kjigiQsZADs mFjcRQO5wJ+ywQLqBC0QhuR5095mQvgFM3E/Gm3Fff9DYg7uNPuK38gG0nrkoBsadsTn9pFh1y2R bGbd/TiYn6/0cVeLMGb/SryUIgzoGbZTDykTNmaLDk2le+eCDS43QdS481AXTglCxeZ10kJNCmD2 /hzN42QFnWYXsG/DuFPWr7URbR74A1KwGFzvUKver2Rz+R9rOvoignih+TRzorTQRiGIUdC9Ivvm h7Rleisbxp6QPCLdfNPO7XqwctPYzIbgugleVh8rutF2v91SXhLJP8aca8rdjkkYMP9ooqR+sDOb PxgXIq91yp5RplW0hsrZUZllLkHJEzbewUDmsG5jMWluln5b+cVTIo218rj/nYB+hUHFPJ2iAuCa TJHH+2rxq6a+y15CwCgHj9RDDPGc1JvirqkrOS7ZPX3F6K59/dSe9OjNruAWFj8uYhpp5UQdTm/z Gjy/6fd836J32J5/GifHg+cRJyL9m9O5cqgCFASxmWpXisSMrBiYx4GUgzqGqedQIVqqliHQ2s1m CbmMLOOMEtywtF/noBWwE3T/nlBUMGTz5HXWrS15yKey6tvuGRkYLikZzSZhm5AtPedKEWeiNaHR +jz1PBbXz88hEA9l4ueXn3CIPfefd62BfaO3SUMFoPQH2e9uRyl0Nsnugrj0u6slxeOu/mzfN9+5 QoYjXbDwQeKb//w7JToXV+oeeVQ8RnOazyoYJuJ6cRNbwvB1IFR1UrFiW9WLGt+gy72JYOawAZlj YbxQKr1lbg0ziTm3eHw3MYZB1O0i1SasaOpYZdjI3OtVLqychGcgge+k1TEHqpM61k2BjpjzkAMk ileQoxulMhL2lpk3jhGmJLXl0hlsdF74hJYdfpDz5PfbplBHWdIIPSpHMScWzwIxQfJtz82XQgwy iziWkZg8mEdnkIYCb+40XPdBfPFP22yg6ri1EG21hVS5rx8dN2yYpeKDFuvi5jaCImHyap8xTuAh bpHgseYJ9VRqUPvXNSzVqgFNIpMx/0Yo27PbBT/8ciFJPZI8tnrXZgEVvs7WteQfdySG6tK94iNG 48r2tmeN/er2UTRS1uflnTmtyfi7Yr5xPVmdeYJWonD2sdmmdVzSAlVbP0on/QvWacKQUxunsge4 5blguwfrXUHqKdsUIxM+6ZPFv36GkQizV2Gw1l7QJ4vDvZP76Vn318GitUDOu1ODfnrGAAzkoLS7 mWJQJrZZ4I3zoWMMbrXSWWpF+/WlpwXVLxWDslbOhmnS2kttCRZelbqxVOUTDkw2hPR+8o4uBuP7 JOVQFyv27NifulezXgysK32Tus5IzjPmLIrP+ju5NtDGmoV9nTRpSc1dzZ3MhmvtLIkjZB3drO22 YZ+6XwaZei8M7Gg2s6eQFAn6CwxjaaaFy5Pc7hxRq+zMG5CIo5tXRRnRbjuJuc8x5FZxfAZGLhNP 8cDmZS4UbCiKDdSqN/lOhnSOOwT2Lcfub7PSxY1khOUwnx4lin5oe6hbFm9R7BS4gmrTWm6VcI6C zIgtvnRDSsRm0dtTi6U2a89rc82sEPq9GBWL17bWJP9Oh4ru8SM0JgJ9iikUpsHxegAcfWtI2Oqf 7sQDQP10s5wQdamXg16vuV0kCiZMgnhM/hYetZBhIPt6B0H1C7LaU+XXWx5STK9g1r2j8Yhz7dys V/0SjjbLZHo48tzB8FRTDQWjG9eMUlPRpFVQmpXxBc5MnvHs72g37hEOrq55SYhNJkakhHcyEWaw 4jN9FaSx9fT23Yye/3dzJ5k0lbWCwW9w83T82GQAVWX8VcpTShYvYDmA538rYM/FJWQoyOszf9cs TOhv11iLqpFqZs0S7IJLOsYag8TH8k/eYBe/OrdpC6SiG40p2Sm3VhpzTWhnUJylTg8e1MURfH/I +04lFXcKsLXDL7bVu9p7F+79MEFt2MR6PoyMO+9W26q2xfU8LhG9IAJOAVpJHQRUhqxXoLwyTypm GBtEgeJNzbruVB1unF8hCnxex9lCZgL35kWQeR4iJnYrJZMznisFJ5IyTqoFI+r2ZaEVZCni2Iqc x69RbWhldZeyTSvSOeWWFDqfZRwtMf5gLzeQ0ryfos9eoC6h7Fbxl/wDdWkZbMRFc71UO8XVa/Wx 7uH9VACpyfNoOrqVT7ZOsyPTq2XhK1PKTdUVcL3/BErVK2ZsBqSPy52aW2CitGfXSlJX5o6iN7iK 14JkprAOTis8DHjN71KXtdf9lGIl39O8xxSM12b6j2TQ75UTMEwQfPXqN2LoQVAHG68hCX8R7h0O T+YbuKc6nOG7SK0vTxjm+ThCo9SLMsIvcHHorueLL1A40tAsRTjSGiLjPFZO6odEyTMpsQ2h3zF9 kKgkYPoJoxkGS5drQaeIaAVaZtSAd1BWl1bz/bvIPuoq1qNC/QN12H685KOvzGS7rp3EELuoWYNJ Hvwzcvf/Yx9JnY7iJAYoMCbKPPtZ65MwmENwjrDqlsPxPAqO4KUGffsGnGcUWHdd15idTcmyevRj TAIEjDXeisl6ZHElKizaIclrbfMTmY6M1yBz2kNhlYg6x0fSYDWHGp4NobeFtBhWK+eoD2rcxFX+ 5t2hR/RRR96AaZ52UbaxJAWch5MxD227MTIMy2c0AoeNsydSnsusPBsigj6ItOSdcicnRkcrRKoW Rwyc8zJhDpmHLER/LPczzWllPxQLN0iNHJ6eBVc8Xjp/8WsrKmBvGS0LFR9pAlY+xvOWg+vfBi+Q +/hGN2xzJ1OXZstJLQPRCF0nlZUZcgCqJzoZjWGvqTAaM/MdxGyAJecKzaMw3FDnseGVS9ewF5Rn JWwfZSHWTwvkNrw5TBqD9jCVSCDikDQn6WVNlj88Tlu1IVRnYYHCBhX/5H1f/XYumd2tuZ+hY+of PdnHPQ+vMMYmCQwTDv+dAfYfZgGyWghAyv4FXJCa8vioXCkzVq0dAWyLjjjq8SR6bM0ESeSH7vdo Zf5aGLDhMt0cC4vcA2sl67nh/TTggD5udSUtZnJmn/7BTtHnJp14qZa4HRgvv+AEJFqvcMtwchaQ AOWtXTCwdRfjlfJTinkejaKYuZwDVWOt7RCkpQRLv6jEvWl875VGoQrLekdmIlogTFaVRYttTosB E0JUNyaBcwoOt+iwBUE7b8Q7Eh3NhO0S32hx7X/e4JXn+ft94pFzdKpc0xoYz0RN972dySvUDBtm iZqsA7GHijhUS+zupx01juA+5bVLU/c5joBVudfzSCmDYOFjlVZeiAfMfIp1xHUmPnrt0HIELx2l g8w3BK1P9lDOAFnPvQd5oX7D/MLV83jnbKx9eKBwmsFrt0F+D+Fqqb/jl/oVR6Lhp6ZeDzabXYPD cQOEWy1Z4YQlv73GEpuB7KjY2J09Om6I1Txfxfa3SuhQpE2dwtLOr20BN0JJzVyFRmx3zrIKnEZr k/FKYMfk1wjaM4xYDrRiCaKY6OBcRc0droSZ/pHHkTVS09ng+sACk/YfqXI64a7Ru34rm5dmMndp RDcY+8x5E91kqTLiX95e7uprun7Jy/0tsdktlc2Cjsk0eptaUtBBIHygehOeSoq7qnKT9JZdSavV cChpQQwFa+qH3XESMtDD6n4xi5bBaoplcp2FtTk1KZoiuGF4HdHjQA/HbQneeMNddhoeOXXZI3gV cnBFBIXSkc4EJEsd5tMHD5XpzIH6who2ZFSiHkKks0TwU3fn5HGgbpZfM412eHH59F91qg4VJuxw /A2XUnbzIYJj29geLVsWpr2Pfe8XM+FrzjAGsKHf0/h2CABgVL9Hg1H8gltzyKzWfTWCxe04wGAe Cnb+41tSdQj7Vfx1wkeI+fAOy17665rkcGHENvd8H2Q5u5gHMxmesvLe99tQ1+OL+MorVBgO/cne zyh9OCzHHH7nKeYvjkN0GxRrWfmUqWjgBMcRs9zngEdHFtnYWSblayf3shF8fVTOPxFTAvbrTnxj eu/46G02D+pbauXdxqG7He0nPEITeaRO+q581Uivzj1c0gy0Il1NVF3J+9mAcodn3hYYfLmz3JH5 rvIq/lyxzO+oKL19DZ9TteV/m5SQjqAd+5zC5VCvM/pul5rnQVwmhPBTcNJXBC/KEJz+kQ4mIZsl V/QJnGCKG44mbw2t1F9v69uuGMHeNdJyKOq+kcOiw6Ls/ldYJGhmgld33EccB/0n4P2zzrr6Va7V APntJQO86apz7RdXbhjbV8Sszkq7QyNdzQbH8DKy5V7/DwBYRW60Fayn9MHkpxhyZ7bmbFVhshrp ZMj+Cx/FfBcLPjR+J2FXHsZ8YhgHqV/J0RzcQtR1jtkg+i5VITxdSxap+LhmYByeqMzjUuSgxfyf NCcTzHNlvocKmmb8GHxDGKRGmiJSQZX+aI2vBrXn4uHmmJc4sEogvWL5Ra6A0pmv3J3/q2vkIJpr KQTBM29K2+0CshX+Is2QAsXGd3z/iXJhlp0JjlUoqOxizOEqvfwyueeRkxKGVu2pYsdIyGOP1TDz RooYzyi1JRUs2smc2EBsLRQ/6fNhZwzC4/CxAUs5l0ifP8jYP8VsMHICEtOUTXsFrzL5aum+5YVJ a0cJnfVjsEFLAlPwSuswQ4188UTCn+Wq8obGtscs5ur9geOuQDhxrOdYjoPsRHcvdjRJfJluyDjS +Y/+sQHG3ZfhOLw/bQvwoWot5mcBJlbKMZvSK7oyCdAedOyJt5rH4KRw4kNTyqf2hXixV7XTJa+e zkV8852ZB7E+lFQIlVVehpiNfhB885ZPiZ15GmjGEkDNZebY/Hra6KoxgcyzBK108Sl6v/D9pSuJ YqWGwe+lIKJKlI4NB3ICNcXPxyFBl0MSXHjTIVpJYwPVmCOvS4ImaKpg/VoVHF0929WAPh/gnWLZ Z26Lhr05gaf78DWt/zygMWIQWJWcxS+irfu/Cg856iSE9JCGCl3Jh2tjFQjVRygQle7WdxLCh1tI vQVU2PAZvDBl3b4aiLS07T4p2ydKaHyvmfeissJ2MCMqfivfKTvStCXtS1DrugOYQxnOBh1qJnro +6Xo9Owx5Fp7OpOTfKIzbliC191X3Rn+i2J1K66OGxqAXCLoJwMOp8peYLWDkEKq8E65LZA9Aqj+ DY37ui5U5dPxSfUXwf4iMzi6X2oJ+kqQVE2GKWmw1UoIvVe+0ZkySEEBK3YUpnSOp6Jdc9VJK8W1 oQD1dVSDA6pAL3x5MoSB0RkxUqyvgje5x+OoR4a6dJOwV/6rAf1txwbVft2jKkI5V4RMN8BptagM 7aFHRbM0oJyvmTyR4dObXBdErS0NYL3xviw4BoRCZU/stfZz5PikpMwF9CTR6hgU5pF6SEJMdLoG eGFk2YL8sHrJsNxDH8NUsV4U0h1gsoaUTViJtsehD0FgwFNrXAnM/rRgxOTCiLX/UzRpD0IcoQP7 0XMEZAYgkORK1lxYnm+tl6ZgX87g6GDjES36A7yGybwKUOHGMo3UCeTgDLirktXsb/SRURRtf410 RcZMf2aDEkxdCXNLwj2J5Ba303QOJnJ0aoycVEZCnniBprJCs6y0TLaPOLR5JP3K3RXbNqcnlSdf Leu5fztNxh5scafC0ROHOUSm26yGJRIa/CeBgvWe3JE8WXp0jJjm0XwfxW+polsJ3S3o3lv7U3jq CAIfqxs6a76YdFuMVaYaS9MigMq9KZZ/0NS3Tn6NvuYGXBlugnaL3+rr3+sK4onZDPeje3YR+D5P nIWgCAQTbTSrjQYus5xO+hpjcsWe1V0khLYfKCU1c+yrgU2gH0rY6N7W8S7FT4emHeXBXwrX+ptI sJhEHwceoK9iI/guMJxZ95NwkYa4SOJlrl1zYUZ0fJhA7rou4gI+bxlBSh+gsj38lhVhmOyhPab7 ptBzr41DDjd2yMEhDzrPVw6PjJG1nd8O7NY3OY9XT5OatZRu+FSNmB8HcNePJY/gfPKUXePzKfti 481iflgYbwkIN+S+rBaauHxhU/oqf/cWcOQsEEL+uGl9aTEOrbKD9RE1R5uxhgdeGjg07Ie9LcZW 6nhsU6sTLz/W1Hk2dXQOjqbEIE/PUONTUc0p+0EtefK+xWFq6eljNxLOF7KnVTnDqaBbtIBl+Xlo qrxY3ZMyewWgmqDKISVzru1GMqyeWJzsajzWFBrFINz8QeGFdEIFSkj+Z0fTxzWpLrkQePEa0exd dIiNFPuoRaZRjIkXc019vXjZmr039Lrw8cb3mz5UI79kelUbtyxNIB0WJij4WF7vjqSjtYz1x/ZJ swHf+XUY5vhCWC1GgG9uv4KPGtQbsdEN2wJlrPXXLM/vN6CvhG9U/Fcqw7ivfhYJ518NqpUj+M3v bcNv6LZaFx1Gjzvk1vBtSMqTJdr2NvTPw1nOrbbhOsm+riRfVHPsP4X6AIsqYU0yLmKDrlB7yoxL z3xk+C9uq3Ml2SdCRy8jzUAn03NXkL72UzKkRtxUw/ywbK3bWpejI9bLIeW87FFNKYkwSybk04IV cWLfwpqJEVKRnzxRFYloG0dP/N5cdUPn/siMEKlJDsf5JsY6m3+egEcWGBujtooLN1sj3wsxPEg9 TRZ0fHP7LMCAQo7mEUcthLZihLHXgpJiFim0W00+lZyb+6b6lIKAWCzPWM7nsUCruLANVxopj4T/ LyoHC7HFj9C1USd6TIGY/qWXvrtg8TZoXTfsAy/HA5KTKSJ57m135tsJJ+CiBGHc8rVw5lmBlg0W DV3uFb5BTswzhXbIc/o6787Pj3xJCtOVkc1otdxGa5qHJ0THs1cZyhvgbWFPERPO3lfbValeUjOT gqTcDnJRS+76Wa+bkQ8ow2ZlJ2wD7+UmpFXOEKgtw8pi3BbI/Qo1PbWkRrqGbiSVu/RpC5tzuwHi IgbbDvM6ivPzN5w09U3cBTp5O1t6x2ipKxj9ZvKB918IGahJVz+EEe66DfHPI39pnnnRxPDkkTPj hTSQGYtGtP/G1YOccXlHl521Htu5ldvKrhIj2pNmL9Esq1AZADibbun4gK9+K970LpeIkc9ddPgk qdL9vZkaEClBI3uxZsIEZ6WaIR7Ih7GsDqvOUuazopLukvCEDnWz9vAema801jYHYNriR82doLYi TTQEg3y7RmuLbMeNxVGJT8XWjpm40jU2fxh95WAKH6HI+md5RQtiFq2EKXJaQ+REc80P90YYCiyj MS9gVI4sRjeJ6cFWBbnYWNFqSC4zesDC4w2RsafbW8kjbIKo11KZjFo9hJITEzsgspjopOlZTxs6 9PT6TV1CKTynvBYAGLD3VcueWX6E1HQZQz69XoYEzGJ5ERf2Qqmp/SAVmysM8GgUOPOo2yfJrXzP unFp2iIMzMkkoxQcPAguLtB6G/P13A0YAKyyEXZQH74VFE3WyxS15zC/fgyIej8/qHDoFdy4EJ7k xiA83B9jEN5yb9CIitBam111sYD0RQOrEIcmq2SS0zCaa+oqdebKyiDGFUiBfa1DDLBNGOurWol/ 3ja9Aw9/gzi8KEm6BYVbezbIRKTyvxembCggpXFPIQZxwyIFPUQ9yYD+fKJOOY/QgdQrpUKc01dD zuKrTDqWrP3UBCjeCpw/AeTqsd+samxX0FZ+sPUjJbMQymsAUiBHIJFYT0MRymU9r19SZGmFPRLR 27k/hkpqxj6yoZvzED+MbrvZfF7dbLMcSmdWpUzfD62FObelLzJv8ECj/TiDWB6/vuHc01yIHw/8 NkQGfAnqoFSo79kfVrURkp7UmbKrw2KHEL/97wpiEqj3fXkuIKpJLTjBbOjwZMA/5YI344ybq2TL /KlulHaqyeJjQnMefwzeADLDbRFJ4YAnd53agh/rhx4m90szE/jgKvDaxu52twkmJhTMkPEJqLF8 PHhvlNiY1UWWMZbSBKHibzRzKrwD33OC/skiX4nOFBA9iE6o6QqArB52lFVDkD32wTPzGuaSgU4+ W8HYeaAuT16bY/8DipXWWoGCy1f84En2fD0EBR2INCe4ibQikWIGGOKEQudNyj++HK6QZkbJLB4l OX/T9o1Ub53U+H1vi2RtPne23kUBvEgWuovybBw8PMwaHDlU2OdfsMurY5hDJLud3pi39gIK8tsr fe4l0+3TgmhEDCNX9JF8d2OSwLjTu8eFpnS7BY3HKSL8Swql/C3EG2ih+/xJqz/gKaN7xM6HxnDe F4gTAY6B1mSC5BXyIAOdiapwGq9AXg2zxcxoPAFPpKHUjLIbBfkgYm9obtrBhkllMVIlu5KQ85wu LLbzgDoOxeldsuIRlZk88zG3fLpQqm+x3fIpguJaOwC2u0eBcUjInNrjHiUIcWzbWQLu74xNQezE G/GalZhm3oKDdnHDUf1oSc/OIQ4TrNY9Lsr3FITOFf1QWtTNiS5uwrYTzMkgzb6jkQLqhM2wLFFB l7T/Jy7MQqhpN/yDTWtX7tHpiYfaD5x1F5fllttGuKtKHya/pdEfGNxKoAlSNnUcOYxgXjRk2y+0 0bh7laDVoFrh4gGoqDPLArhDTaZUup7GSXOZAT9hw2jwFMyihsYDmQhMRN2/UhnlZh+LedmQ/IoJ B6a7dw4yvI1OBYfCyKXtc6Slqhiyqw54zMZ7bw4ryR5fgoYPGX+2VPDT09YW3Dbpg6D5i84ezvML pVl7e1paYE8SnDjXR4pEK8MAeoVvw7N2xsLHEEt41Y2wqN1V71qM9/mfvHJCq+jJcR4rrBtELQkE G+S68gC4+ZGzOtXfjM86QPm+VnF/yMBZBeW5SNIE8hNE3udNHuQuPCt3PlCEvRAc0aYj84fORZMb hj3cjn4p44HPyaKUMZmLTTlp7/8/EQRx+vJeOiUcNzgsDwPeRvKJqyQiBQ7GyAiBxuVLAx4zvNH6 qx96IpufgT8vYWspIZB9j71ctEQBO9gdY+AejbgOv+3/skVD1s+Ti8lVKu+yJDVtXF2C22d4Aryp FFg2IJgHyl5MOgPY8qa7F2zKZbLJ/fQ+TShgX4x0Hggo2PkFO6HIk6cOrlzihJytFkR+wxwKYNfx IJ0xoQUBBhnhJqo2N/VIBBMKC+TY9VBfOqwUT7aU+g0uXuYtuZp8ZxAbCPBbe70WmG1vSzNRdB2Q JJCNKug4USizwwZ9MaNod/zIo/U+nuNHaESkrT6YrGZJXRi4wOK5GibAkZ2ENQQ3sNuyD3/BZ4d9 MWFwNCaSszVCa76ga7kk/BxqGxYxXiWagJJc2/x1PrYB/icDpoHkqCZXhqLUDmtmqjDfpNjlrPZe 7F3JU/MM4qaDYh+j4U4aIE5lmbRLcwVpTC543ly2HPuHU38O82z3fdqzgOwp0twZSMOaCb0HJL1/ 1wSSFqlYYC033VrAEsZHL3dWR6SJeoFSmmaVXV5IVqT4QSw6MIzEUdAdi1U5WNwRejK+QchKFmzp 7VUNHhDzhIf9xwbGiYcikoXKcEmSiLryNNzC00jQ+7qe1vebELJovy8RfaCcTz+cc/s4bvWFLfWX qhMjmdZZ+47zeCmosBbFt2G0UH6MjA1xhRh8eHA0xpkIBG8J8AKFt5xEI08VZicljKNMMMq+Z3QY EAcdyCOhFSR7/zM0/9H65mtJG25q8hbnxSC8JSVDrhMrp8ocWlb/sUb35VvMxxKE2kCDG1snGovA qVK6r6GlNUsrtsTBMPgKYW4rZh+eSGyNbts/anz48Qmv4ncAWjNqD8KWTT7taaq7Xm64Pp2mi+1h KfgUIffCHgKsAI9PcDlG3vVqUE+b+KKvk1X0FQDRK6+J8YSP0TRfGl7Uxjoak4J6h4DjYpe7myYs tXb+8WiAsJYNfW22wril6e/WfZ5DoEDHXRQsXFYs0sHcLlGXJD9aKf8EvJxDfAfzgM7ED8QvoKzX pqdzA8Spez5BMZoUq/HVj87Y1HJ6dthLwZqlndql04GANlP6tgT+fW/CGvcLlPH4Ohheo3uGLLOP y8UZ8EaoKzOAHUmHtxHLgVPeU4UCJr/DzvN7srV7T851rIxf4h+ecN/rIgxbcbrJxDZTFbSNT64I mFqZwE+D4NDOQhmSjv0KgT830h5TpvbxLWxvHr+MSvcyH2Z30YougqAffZYfXZ0sA8u8G9ERVWbt JPC94nGXtUHnrcsoIgmn727BI48+fdgSXOIrhuvqb3BlC4xelWrS8yvgQMkoSJOQYYUfyTSqGbFZ Os1ImIM3G67HzaPTa8wZUVGpGoooPISLla57diAquqa2ofXGr4/c86Kz171D6gtvEJZspRtP/MOl vJrvWaNZfUahomW5E/GpZbHo0VvD7oIKaH+zWglwGQ+i3KcI+hnMnd2O0V/FSa/QyNSzTv/M/hdj GP5lQ8T7ADqqlhdtEz8oTLsqmHFjWHvY+WCuyhRm+kRx73Aheyun/sLgmBuFvluy8XZfR/2liWC/ 4zrf1hD8O6wZElQuUtl+xOnQZsYLCh7ylXZQmKfnQ51eE630gu+XPSQv3u+LqFktHI4MvLN4hE4q 6DXvOon5pRPZDx/yuPVyCcHlvD6yLxuEyeMVCu8+5mbbskAxZCvIFZHN/eROXwhK0CskVdFzROit iEX9OgYqt+jMRwuYbP29zviioMgedIZXyCYQ1JzFu8LerjZILgK79EGJ0YJl+hRcfwYZYSiTgL/9 ZVDs59ogWBajD8pLh6Rj37n9F7rMZQTnRfFjRRoP/qxTJu8SmAPsnmpNs0OBfmdw9yFRdaz0qtYv X+G07CIoPPsWBDASoF1plPo6S3+tBzXhRyvJSoelbNfyuZt8oqtIAaPjWsojQ36htziNWbiYA7Dz Fr+R8PIy4FaW3rSUk0Fj6z0ZRDrDP7r3GZg485C76yPBpoCd5OVk+A3lnszidimnA2hnVBdg00XM 5q6qnooQNfbAPW9gXEJ/YT7Ion539GBHb3UhPi/EQkPVshD6Q1E0+jOKY+OVnPUmJZ+8ewvCkIan mpecke0avzD7vIla+kpOHQrIvAgFs8QUHOUPAudm/P3nVzM8mpwt682vCBvLSv+a9GyF0oRnmwQn 4j+2X7OlcP2qDz9T/jHp93iddRUlRYp30Paa2tB/PVA0jiuCodnrtGVvs8kX3i+6ygO9StD7sUGs bL4SA2oj4MxUjYiKyvGQznDkcdGBP5hTMapnOkHKCMx6xiuz39uk31UHmkuwOW4ODB+tCxaNI0wK zl/uBwVm5/Pu2IXA42mbDvbrEz8eQkbzKp4BW3KQ7icXyeCw0TYBQumpaUC/702P5qZsGUPTqca2 eRSz+Fsk5iNk9qF8ISodK7xThBP5hWJmffI62BQL5OLfnRlmvTOXLcNDaJlPABMUl1duzRcvgThd IZeK2ImZnjSs3Ehm8a+JaxQtp7M5kKgclAEJGx199m/UZ6aNjYnqFXsCncKtpTl09kc6BIVksKo9 wSonMZEwRM91akRyOzdW66HfdumIo6NU5Y2Gwijj66t6ipfVaWkc5aWzoWsv8CmMAi6DVirbqWef NO90aq7Qqcq7+xX+F6GoztGFFG4g59oLQo5hwskTgK42unPFpm6Z+TsjQxxotCeCrWaJ5YZZPgaW KyCFMfZur1Pyxahx0Kly3iuYmjolldXZRwm5DALiKU0CHDyhDE4IWHIw16LXDmk+rmdHFYjOfEQb PwI4yJgMDlZ1pdSqMk91qEqCExNj5VFa9NMDRlrHFJMHoKIXjGziqgK67Ja0PSRqg70MCI8FXHrf zxUOa29TKLwb3rBAZ6hJmnO4Y1HX6PkLgRp5k+rcfQFAGIC5qffts8n3C1wv7Jvwyf94X3ooMHu/ A5/lECVzqFveCJ9L3sSebPFwWoda1mPBoQYwbydFLHFgKCHG5LxGke0QJV+IOxarue29mPQ71w2N YDit/RJqjlbtHi8H4r5hkKxm5FJsjsVMIKFa73YxwFlG0r9q7W1CnO3D0vheBaZmj4qDnyODuo2S 9QyeJuq7/PyEIOzPl7jeiqWc3X3svDebEWi7g5JTZoCZBFPNrjA5WMqaxyS4tp2LYox9jzTqzcPC mrTsTdYRi3KfSqlJYgJQzMNTHyGxwvsRn3m25tjCPl3j6nqyEj2ebXi5nssS0UeUga2taqyvC/64 o+Z9JtPLK6JR+3reBp0I+Nkw4gbMChoBviWgkU2W92CMoI3uhAMOjcrbnB6ueWhYKzBX7QlwshMH Y0QulcoIj5uhjgTQqptVKOFMVPWlaU0YfpV2scU3UsVm7SF8pHpbxiJyhZgq8x4kMX2cuDmn4Jd0 a+WEoj1HYNzigfg0FxTBmtmzDi6WUl2y6QhlceShYZDobThvnSPvXN7KSrzrK+BU7jWLaCoJfrD0 wGMzE+g0N8f9Js1+JwwcXEFMxb9EOuxyDVM4RDV52twFdtVNp0vIWR9KOXZZ4MB0edkO8zvlnfGw sZ/BsB77niBmxIub/fVdWs1PMi30yK3sqrNMtdj89IUW48r2u8xaQU5FT8mecELPjBltoB2ZUfDW qTcmqvvg2aECUGStc+MDof+0eplm/wxOw07KP/IQsN/TRVeAsuCNXsM7dAzXlJJwzTvP6ejBcn79 Nb910qkAGsKvZOr7aok0p/+N+JDQM/abZamTpHh7smRmJkfmyUm4PWOXuJpDou0ggHqbqGTscRUI ntObgBMNAtsK5pFi4hWA2Oe8EFQd327UkhisS99teej+4GuPeAJWPjLsp0aRZcLLS1mbGONjxOTp WNL6hHVItKsQG47ioxOd1xFPcIynL7toBlesykWrzlkYmzx02EOSdPgRShimU1eU1H21/ekWr4Dt gYCgoKMnCbs5zfioKxdRVsq+4+jpnvXzMZLfQgZau1PLb1o4Fu1OnQmjaG5kns57W9JrkqewKbWh TwCALZR1RW8okpkE/8SNSEoo+wNz7DV4KKV1XuIzrbJO1+tSFe/SWRBq4mTHggmboINF7p1jSiWt 85CLOv4apHUuIK/EcArQOIl3IkEY+P/ab24iPLEpiqsl1VR4Uxdi+eLWl+2rJB09c6OadCbVLmyB WFGc7Xd+kf67BzU3xOA78InbZuIrNE9hbyx6hflc7xTaQn15ebn1yPGXg3Cv4mPb+dT8i4Rh/rYM zuHTV3DHXH8OAeb+WWnwq50cJl/+4FXXQQHwkXwV3m9lI/dBWcGZaChe/20m0WhAIL2vzDEB32B8 4FSE+uEck87Zun0ijsegkt54ksIoguDLoJtPqNT3BkVOqWjPaRTEBN3U9R8tttgxGUJ/ujb9OsJV r6R/86DCcZa5DTFaa/QLlOEKuNGiiTzEcpvU66bbFTMhRGOs6eqEZzUMAsPZ6iFq92JyzS+khwnu z0EcBOLPMr6tOYXqBSu+5iP/EOld13udQ4jTO93D+x2gvjwc0K0WC9ejLOXr7NdlgeCea6LWRHJg kQGKb/yv8pqj/yWI2iZfZrcJ2Sh2nUb/KF93EmTt9ums5QGOcjx8LPfD/L+5lSkr1eMORoFOev0I HtqHpvE92p4a4kwavEZ+NOQpg48Wzc3QjzV41IMXFfarRgv09FjB+bYEvy9wX1s0+cVe4U9HKodv nrUMVXkrRNbTm9cOl5rllX2PgzVvlBBySAl4xox40jnTD7yTyBDl2weDcm5sPoKukSbZair7oASq HIdB7KOdhYzggGh9fJaxgP980VwJYzWegaxpKPE9Fcx1vhqJJLQEIlUomLLQ6sxw5tqKf2Wy0UwK 9D03aItFYWrkAske/6Bg4tFUWbEZlrWMZi6GF8Hnw5H5xaMGUrOlCGUiJxlicXRrE6c4XQWz9Aon gC+QREqbQNi4PvnEEQ2NR6H3DhediVadLZjrCLXstyWk+A46gxP8yhJjTbOMyco9ksapy5JTXXAK +cLtVgiYAnkYpy9a7eDyn/63w+pNb7DiGrIj7Ove64j985ibIgTPCDaJmoBbhnFg+Mmm5Ma9dl8h Zk2sEqrRExlLCwkVqDAv2BfKwmqq84M7vOSZ7A7BWD8q0LbvsfUy9/3b6Xr6+B+9U0Fs3xhSP2Ej y0NKfRXvSJBEzNks2GQvfqU97YWB5SAKWWFlycOFNGFs1LjaK6VgpU31sN+GX2mOq2E8EP0uz2sz A9TLevtkFzk5UcLmAMJnHfGpICoPbRvSAcOLIRyoKuQlYFt+KrbEz8Dk6TJcNWhj1LZK1pMrt9Mn ZEVERkUcxUSVBLU8JfdlWMBfE1qAAcHM2VM6lSAb3A+HfVXu55UloMhG3fk4cY+yZW/8UaijCfFw Adv7gyWkzQMr5I1C2WtCN2mP/P97lQyYHdLyDo1SRjChHwDRq3ogWx7Fad6nNDIGgmLUbG0OInao d9uz7rl+1D00631tO8GeSSnNM8tTLKbXsINul99ZrHWHqyBguLYBD3ZF9yPFN09RDEdePzcxKKVe i+kVhRpTMbKM7cGS7iX+QeD3uqw1nOhifVbBABXbVK7CBaL94hOSGAPKzrVE5Jt0C8CZ/xsTIySH PD7N1oU2BFKBvFDFsAEY4M11/LG2WDxU/HqJ3nscHOaeWDN7fn3QsVwn2+shQlKKW04AlV6LZw9s IDPcerNIlqymQ2CcSbjEDX+2v6u3vpn8EGXDNrK/0+5DdjXmm/u39P+jXCDpxxXRZxCXddH/bROj Di8FGC5rrpd/P/njtaOxAgJk2OZvVrDVuzEt0JmnU/ag3og/118FSG0sHmDTtYIfmOQKxv30QGW+ Alty8y3v0vS7zhP+AAyg1+8ig7CEd9sGQ4TRqRpjcP6yCdg25K3Lwi6fwTjstc54CEtjis/8USgl WLLO65W1wSjqJEqJH0WsO2dqdCzVreidqfY8WpVgloBx5dqDzyE/tGfQ8lgDdF6n4Hk3irKQl0Bd euXDRgcKJyN+d1b8+CRzPzlmTJ3FeHr5vbwfvp5aKqDAgJWgkcEyoEQlOzcVEjr8K9CerWlNM8P1 hHRGPMKNkVvSK+YN4+dp0k5e6vpDE1bwibdtJ2r+cB9AU/9f5tOeeFUasgd1O30NrwSRXW3jchhU PSBLi+WZRvSe2wuSwH002MtJtfI+VMITbTNWCSWPxGlfsFqLoAGiXXALRKWZPVR3jQY5IcxVg5ca UQAzMGXKf3RePwz6G4Zgwm3ByPgFAMJIm4W7m3ry4xayHaZdDHrrYONtIWYibRUDcn6psSVRc8BB OzSZgXZrW9qL8ft4uzGMmWhG9dub48VgZMyHqtscenm5NWPPic0LNoO7BQHFWvc4RHwE+QU/xYVH bmF3tlECsX+SSKfegC6JumOGo/V0KgyUbENI5uOgwFeTB3DlQ8hpyjNvCpJH9R4S3mqrHWh5qpCV cZjmcA2Miovxt1QgY74PfM6/z+VuApQMJqgbRjmyAE9rWFufyOcEjMItoZvf4EkWB8cV/pVc7FdE XaA1b7xIrfWhdcWqNdBnx6xaPnmzH8y9iz54LmuAO39ePsaOP7eafiB8il9yD/X6bY1S7VkR+ir/ NYoaitYegnIK8h8pHHEwCqn8+nnXA80QCOyCX2BkSV6RnNZzcTNixgNlMIv6qSUHz84quSNS7fCB rnudYHjSdyqvQtl2qGNhZrqkB8jfJCPmA/3zlC0+loRWabQusRtJ0mBFKU+el3f4Fq6DSU4dHEIi kbDF6EahqfKNh+9EZz2t2wWPQKAAtr+/8z52m7u6PGbYgARBeiBwO7HKZLgtlrUtETR1uMc//0hS 1hY7sQPt9RZtrnr7VwU7wwFDazA0V8yLbD8e7+gsAeFbtQUdFPgL8+MMtE6MUojh++duKJ4k2EO8 oFyATxmHX1ZWyk8gGMnaUtFFfrN754m7TjRIE9zlEu9dAdisFYz8wtMb+7dB+RapcDmOBIdqw2aR 8/uGSUiaf6t3jeQi/tb2wtmnwoyD0ptMMI7DvADURkLrXbbNPiFICPBCpOzsIDeg4x7bwIrFVZ5r 3Zqg5rkFhz7raSir3k+JHSXn1CQJZxuq+R1i5SVX8rtF7t/Tpbu8e9EdnaLIS+BvYr9p+uca+kCZ AaGD20iuktfS46fKidxl5djeYD2OzwnGcCKLTtsK7cfQDAPcX2To537sBG8aI2THXbs7pwHpR1e3 3h+6O/frDDwl7FlkihsgESC1vJd3cQHg7Dpo0lSxtm2WFkm+OX+leTNctrvgvYQgQtgIUpmhlo2W p0r8DukcZ2CZC5TDm38Rtz5Ze9ol/gGNYpVZsuEvSsJumF61UmpZp36Mw8sxwOM8yjRlfIbpDL1d LWEcdTcPirebtqS6YTwUJiFxlauyWGfCduu165Rp21N2FRwGjjp9uVnf9oOFmBZwJqR5cLZlkgvW rbDygb90S+hg+/RhW/SBzufvHaUngOBXsHNmsK3Y7wAxB2cEjDg6+WAeGvhG5bY1fp//LCXcozdU Gte937i5hTnEXpp6UmzysZfxWJUKk00ilS5UKJ4tKbLYanr4xLqt9TN0ICW779cJ5sglSDLSYneC 9/WDCQFmSqEllMbg/IR0a+274cKGRTzDcoNKQxpc3WhhArju/H289VcNrHlvC8PWgu3JCOpOnWGH vNVJNwz+/2Pz/jb+SbebQiGzEeYW3J+E19FmfOUiiBR0PzbOgzhG42qtR/BvEGqCc0flACuNOe6h acWLEeCEVmG5ATpBIZIGrO3E+9QbdFYk+3m0iBrb0f1ePdH6lmuW9NTnLBxFsfFWFo88a43dKlQ7 uZ9QoUmAckU9OgMTCfOBYsgiHCL1g85/I3SNXFUlkRiJ0xJlFFVTcLtD9F5cGE8SzhCRrjEb6bsN TVG39AJ492vlmk483Ra/FbRSjnLbPaN4OIaL8LmeugodpFNeJTmVOtQNjcEMfz5kS5A8mdzxCJVT S8YCP9opOYMtyFjgEEn6kR6z5EmRmmJqd80P8cI98XD8P+kppE5WpFxQJbquhS8e/1IGHLGWCXO7 HyY/SJYCh7njW1Nme0UNOboFNx5dTMQqsrvh+E2UYKtO6Oke/WQBqSW4cGAlycsRqtWh/9mQkoig Ty1F6lw2t4MTLSFqdPkTpcONc35ocXSs5ygvEyK/2DqzrMeGGa38/lsmJA30WG7pYjBKhfOWd72G cZchLGJqryk0wqysHycquTLtIPfzrqOu5fmTRdKr0M5893breRo7TTSRaTUo2o9EyMFA8BApugnr WET0RSmlBsxrt5eIYu2ZugeGmCirxE8t3XTG3kgGkSpHwphvNQNsWFw/Iv/FKinzBoB8EeKHNVPd m/MH86OpK7XnKBJO0jc/J4sPOTPeUPC+pMKygJcBCATDuWNodTWOZZu6hpbDDrmEPx1ZbV5YPwGv Jv413AKvDLDI3UncybfQzduKVCQmKlq/w4P1JFFJYa/nZ9/sO9J0M6wq5lv3eyDRD9b0KiEfgo9t E49q/ktir0WTYrunU0UZr7kEdI9il/mvnhmscVnbodvy8hCRP+qVdZnq5XdnZsFfinWi6SSEMpfj +ZtZBrwVZ52v1XRoC7gehO57/hZTibzuP1DQVhnEL4/BxeIGRk7btClwgo/BaPPV2bN2g+UdWCrc HRRLYI9MfvWbfvfxgeqDRy91VYYwllMBcFS5QshAcMxiGCq6bnk8OGFiJ/2hD3pJRj2+2ApEcWde L9qIPZCuLOntXmeaElgq/2bvl01HSEXoTTrbZknKklgUmE0b3m2WDo4A+g4XD2tNyn+hPpt0fLKj 9/Dqgtgujcak9J6iJx2ZuLBQGgOZ9MASLaF0lGdWEJdn8KCRAXAslC9gEG0yAOx/b2VoBqnHySdt 3izPbyS9Wq94sNGakqC6m2yEKGEqXR11bjwdRWqw8anRHlEoqj2yU/u8/R9cqNKiVqCKmYBWFzkm 2f5T/NvPca0FiZ8Nj4wHhgIHFv8AQsrta9NVAMji+qqnlsL0Z3NlI+JsW0+oftZIxvzF9HvdaJyF pa2yK/eICNPe3zAnhwJK18p2xvZNAOeSrjNp95L7Xks4wHy7bNS6pKDPnAF2D/8d9i9OrlDEK5fB G84A8lSGjAoWZLsnIrvoAl7iIGmzyZnnH+Fe/HQsAKgNX5nQU3LFnsdfnUoR8vx23IGgNnhtD7as YSowOH36v+ZEYeoaT+XHk4U64ob90ESA59WrD32ctxfOnAseIh5p15BPl8kcVLl4E8zuHY5KDGgO DIuFaJd2KvRtYLr634+ifEsNtS5y/odg+6FY61VBs6pf4akrMCwIceYfRdii8aZW9YlDJxWJef2d P7ZjYFCMEFB3NhyAYgos4XxZWI+9idhwEHGLQfvJ9BX1GR8zoYiwnZyXhVPx31yjxziU530VvYjA cr2K0i+EtKDdBShgjNGOxkKgKu/i1ckSlh4UbcVLsZKxwQ9LcrM+l8WBnRP4wrErXB7065HaZrFZ lMuYnCgNQBbvXWHf1zK14Vs0p1xyK8cxgq82PqU2iAEqT8/1vOmuHK/lshzt8ucSbHBsFtGV1BZg EDTBh4jRzVzutb2g9qpuYz/w24BrrxlgRlu2sSQJ+51OgTiE61kvU7dW7zoRiuj1M9eriUOaYwIB 7MacZsBenPZpesS8f94tZ74RD2GKyoHiqFbcKi2WSrsgfJpSIuEf0DisdJbGcGMQqV0E97oEaG9T ZzUxwE6CbnohlnyCehqwbkxTjH72PPakAdBXJk2q6nEkcLOSW0Xo7TJ/3E8bAneD7AYT52niYOWU KWwoh9pkpccLeTG/GBYGUeo/2/J2tInrQ05p/WovH+/B4+WUeAGDwhENOgpy9N37A+de1h0UwstW 66Mb9MvtuX3Kbj1adbObNuq7mCFq2Q6cSYTKXMftWBetFYj6zJHrvjX7v1qa8z6K7MoSqRk8Spwe WLHrfLusMeAcjZmaR1gvi9rNvZ+qSzkEsIRtmaIdDHt5V8nnzssrBlABOP9yZjp+aclI6UvvQcLN lmPVpdTx3yc24fZHyY5I5/FCVSNKNHfJdjMFf1Qgy9pkdTqiEBJIvwhfkJ5Oj5ttKd75KR5w8bVa RgEyn5QcNysMt3KsNotQHcfu7kKFScBsN2DRfQ4QqcJ0fXslRYKCuWg+kMOpRvnnaGHfi4gDgY5D JPVuxVCf1OL5MaFSkwXlry3qy51oKR17JWR9eGYzdTFch2N6ooRQ2UogjBuHrY2YLkpTqPPE5E6T AsdYFoIVKTEdhg8nTaIwRKvTWq+v2On/gI3VwMSWNRIIT0MJVW8KxhzCrk1RcB7dzANFKRhMfFPY dfOBi2ZKnOLpRGkhgjJsBiySnoOAtAvdTxGld3XoYHHJPdU1QU5vaEasJqV4ZVWffFDpSaKv6/p5 RFM6duiMNhjXwtTnbfob0PawhY8t8+JXVlGrNRyBcIlxww7aKaZl5sghiTrH2HrGy2Bkp3gZnpzP jiNXGswBmwkEzIU6AhJGVPMZFFBJHcKHO1ua7owt61EsGq3ezUs4UBC3NU4ZWKdv7Op6JxwiMk6H BmybjJSOnmTEVj32VwIMVim7L11pljfGT8SYA/EOI2V0Upj1a8Zou3yC2GjyeO7nnfPVS5k5CLoc T8xQ77EahcxS4vRSJmh2MPRhcCwTRWKFx4OU1ffMDSCJ8UegmkOOGWk3ux9u0D0thkkUsbHyJauG vha3t0jywYXG1F+PHlLxpl0fkdyW/R7Qx1O0obuOUlMTmylJFQGQ6waR54Qb3eR/5eNio82B+FgK BRV21uvxdCRUW4VB0L1PoSec2eRqu16EVO69qA6ZfkjXYvhADqy5+XGlhcPX6jqWFxbdEw+Gjq3f uZ4BGidw8HG57OMEvunvZu89z1m7IAU3llAgCdsCGXBwqqKxV2wiIdeAi08NO4Q+4avexfeTviUj tbpr18wDuaXeUi/kKRdIbI+TNAtyi44JyRgXdFLrXDsCaxQbL2uqDT1EK+fWcMxGyFLouPdh1lS3 /8z5mDo4gDLREet4Qi9o5y9JqCdynOODm4l9Acidr4AT8h27tYXdx2Wn2nLggVi0/RquSYDXTx9r 4yHvczjs/xVyVWfVI0CUg8WhykJQjzSGr/VRnaIIfHzYtJ6hvcqwiSwVbisctfoaZ+qyv0rjyCBH JiQygO70++Ls8K5jMnAwiZlf2mE1x2OMAg9G70y/0g5inilIzBqXpXU9/nXTWKsWF8CVbHYxjOkx 3ruKCQMf13/WAksWs1TkP1o2I2tD5JajdtJinjAIXAuRcEHuasE0WNzCNdBisUNFFk1mVtm/9rlI S0B6P1IdiG3eo4eIVOuNKZCrvr6acBMkPtU69wKnuRKKpXFVv6/YsMRdp/Cgqnl34/+PmeLC4tYJ d8reqZx7KnpiZICWTEkkzCrDlGYIC4R0Oi5EY5z4kUZuYqYc8XNspbvLHsv4zKU3gZWaEcFNAuy1 Aa/GBNrns9HQV+6gEQtAxldLF5/2dhejxNQh4Tg1mjYs/8CbDH6WJqjmNfJUeox668yPjey7GnU+ 4IytV6VVcIhK1SMOrCMNttk8BrL8bma+xURYOp9jn36WFmQHZzM2sIuYbTJwasnxOwfLHu8lBmKx PiTYw/V+U6+qGGKgmkSxsbBMuK8YPNcMGMNKB1k/u6XdP4sdh/cewcgxHQNaijZF/l7OsLrbWtk1 T/gFVFSmQfjkIc7UjzeWGkyhiGUAuWrPp4FPyeJTLZKJN8d6151Il2DtH7HU9HG1/cAYiWDcSUzN cb+jWiqfbRJRRGsh2/8Oa942zV5ODOOYqAWGX/sEW90Pqxq6QdcgBeL+JVrFmPflz/M7nfruQ/6G IwJfNk3HYvDiAaDu/MjWlpb48KcSDrkiTvWVXGB7+UZXXWvIifdHLvfk3S/m/E1nASePCJwvFr2R Dj9nFF9RBOlC9jxmBn19Wz3hPt45W8WMn5VL8fwV6nMAiMFafvbfb3ZS47tyJrQsvZfCLdovoXpB qk1c9OMZhAQj2bJmmKB/JojfelMwA6HuW6CFS/rALbWxHcrYDjynTdL1soLx6tz66kWaPHl/UdIE weQ/Uya+ZWG2Cx+uiZAOH0qCZyHAZhsJBjrWXjHL9V0DgTVICOwX2i3KGnx2gLqy63Py6EaWtXEF JmVH+cuxsAZnSj2fgzi+U/wofQdniAFBdWw6i2oc/j0TZt4jkjsjhfOqHwtykvjYU6Q9sfvHKUtW 1hSCViwgA+nyPGIlxnbirO51A4gEnTk1WJT4ElK6DXXnV1vwCetSUyoiWGptqVZJpmt9ZBzWKSyu CafBmVzbXWG/evU1QOheUWxEUymD3O+OM13exBPAC3U7oN+64KyY7CydtG+Z4R9tPndHTge+89u0 QFJnJlv2mbPTyZKlpcfMAvy4/qMcUOL87gvEKP3P7Cr8XKVDd0c9m7S3lDM0gqEIH1CjHNY1g/5J RHOGq306Ld7dQCR7oWfb2yFD1PTGesj27hyiZOLC+m+pzlW5uID49eQlJBpzS1oYU7N+Rnbg+2Tp UW2qKYDH/5/EdJWwdsv0YbmTU/XQq4jjmr4iCn3SDjWcg4B7ek7HYs1T2Mg5f0NVpyydcDFb/HLw zWkQIIz4uhG5iPzsP/+cziN6kDlj+3eeJQyXUrWoOFSRZjATRAzC0SI8FIUIy5MI4xOrzJnT2XxZ qyH7/+2eBumUfAz0get8DGcMaGSvuE96Uf4/+NjPzIS87WGqZsx+qANXCDejf3YRVwpp6fLiilFB G//3pR82kiohflym0DW1KVzZtsJBxMwMcIkGyP1h0e3rn0iYaiHoVKFDESd+bT/EXkQNvm1S7G5S Bln7N+hdc/i1pjEkx7pm5s6ov1Y55k8tqzRLzFvE2+mNscr/zRBfFDy4EKxtVD/EzQcSMT0XJhIA 8JeempzDYnou739NFEfJlxvMyvgXdPyBVptVmXqCx6u5pkTs4auFDZLaka8+Irq9JkWKCda6oB3r 4x0e6ut1v9G7fkPysP+uzatnR1A6JRh9nF/EpEy4RITGjHxjLrjrECGaALmtEKQ80M2Di1PZQRdA Sli5i/wiRvf0VBhszGhXynybLCvhWjHBrQtQUP6PlMuKJN2hedyS8NbfTUOupsu6A/Ng+A46D1/4 muZZyokzWwJgcHEIaYJBP+Y/IEkRDdZI+954bD6WwDPPe/h29ytfQJjCZAVwngv6OcbLcXRfCHWO dq8oO7AvGV00cbkqU7R6PeO9MiFPQ5hMUdRce5q+HJON3r94yeI2C71N2GT1ockJC64sqZd8vlOo ELaXsgKJYqLdUL4vWgQ+dJFFWWoGp7E/ioEckyuTxiyhprm5adv4MxbgI6fe5jClqpmhURDcBANp wmo0QHUwpRPDMCQmpP9Erh1bHbdE4av6BYHE0I4/w7J/XXpZuDJ7HglUCSxj96SlRDXsUpT1rGiH uyFZp5Kywl79F5umpLrXFV3WjZ18YF17OblmsaQh12d+QswhTSqEfqJeU5IlozA9iXGliNX070iF kpp8u/UkMQ/h6rmgsNhBWATFsGUiPqzwZRKiYxj09MY1egCeI+Sa6R0ZVp6tCSMRvq5L2rcETFrC vvdQtVVGGu3nRAjiwEIwGCWCFxUzh2RkG05aXN59mbYFH5hU7livD5xJd6WrfezGWHuMiZUGCHx2 UdhzhzUkT7PaJNF4G3PfXWcqUwkuhXh0KSJnNlq0JjII012MWR0NOW1xodSQ+zLr51eZQ/fDGhrZ QFQPWcfo8nPEFgrWVYRxYHwZtdyERSVFu33GxfdP9BkCwdCIwuIntVHofvnxSiRiV70HmRfnqeDq 2TAA4OnkhKeKdW03420eueT+I8EM1MNIPPICvkGOqAhGzhkVt5NVfknlB/I/uLY/09S41CxYou1/ hSjzEHGNXvg27zFksmPxZO7W8phczKuRsaiGLxLVudn1Dy4CesGujdMAFNuz/DoMAdI5twmpls+E x/kWrftzQO4tWWPa82WVzS2no7/iRkSjuNPvLmAvEKMHGDQeerVhR1LuSKXPyjRBE9JqByaxmH12 IDU3F86NdZWgit71+Pn8vlw8RuWhb5C7BYEYqZ7hkVGvlNr8lgBdKI8Acgw3UE9Lo6ZTTmjWyC+3 xZ18tR5gAAW/BWLc1SuzrSmPPTckRmuJLBJrEAbJAzdFwFmWbgvkPpo7PzJRyBf+XUijMfeL5F0c +nmg66LfrlDthkQS+6MvF5SqAYxaj3+3/j7mbe0qJYpPU4AqsTXiD4ors0vvtQODOsMMEj731xKZ edlC2TGsSipqTQqtabKvHYCQ/BjvCjicxdZTq4DNnkrUuzcvC3NiNLTiTL0P7PdHYLPQwzha7low NVQHZFUwUX24xLdSRbWbKBXCQ/TmKveWwFfuu4FM8+LIgjfTFue6tnz0dGWnWByKa/WPS6vXNe3F qQ185GUOlKrWN7XLmTv4Ht5qXoLbQ+YGLP6WKv1a73iKrPXQHi/qL6EHW+yFWNoOvx2TsdbQrGWZ 7HE3r2tZVVwl37BthP0UkSrGFxza8IM7QDY4UUw6YeJF4Irta9sOYUS9siUfOUQ7CKuNzmOBpT8G NVlNtHakzAXLgb4MpIQo2Lf5nSbVi0HIwcZsOF9DwqBLuZRabQcciC9KpbPNosRyMFUVAwlT7sfT C1DUGTwh4sG6wqlOGr2p7r1cekf3diLprBX/3MnA9tCsoFFCSaS+E/mIwPufTA/40URTWo14IqLL QmnARDVWi4h7YOge5sr5LE5oP4hP+jeDnrvymPMJbriisW2qeCfYpK4CgjO1N5aVEO972P/JVlMo C4GvQRv9bxgk6wx7egcCXPGW5IKWc0I8Tzla2Zgv5EVRBOH2zd71pSS2A1jqJRBD48YDfwVL3DHN yoNGnGafHZX8RMdi6yMXmjXz3SJtjtP54zlw3VDQSYPBb9XNfwVMuBFbn+VpvyMN2t4vm+UXwl4p gYK+ZalGmDuSWgrYlJCIqBUaIbR+mYpmAqVUM7MeJfws4mUFWEZ5qmZzfKyUm7k/OA59aa+ZMe2F KxFVquHbTMHZ9fzq5NpEGseW5TvR1OodeHruU5r0psQ/NlOYBSazPWvaOovM+G9be7QkmQMd1nt4 v1NaLYHkYQbqMJTaZJk5TU4ReMO5HWB8Q+qqT4TWLpxRzsbl6P6U/1lrjbG1dPRAnISuDNnRu4Zs R6FPUuXDafcFDB1QmfS1zhDKbw9y9ujKmi66g/Dy+stU43TNuRxpr4R3En1qo+x5f1olWXUDLEWk /6EsXuw49Up6qFIfTqtLC8j6GajKZKWTpk2wniXMeBSfgacyzosx8tZR6Y3WO9nEJKLXotVkCjqa kdDHYZtkrFL18smkM5aF0Xu9wPbrkgWXoziRa0U5+Y2wW8Xh5ORlFVvYqIcAQHrD48Y3GtuSjfHW X33H/ajTYoiaqogjFUUV6FsE/TO1oRpXrEfI+8OVQkefNGSB0NeajpSii1ijirC/Zt6IxwtvZ00C X9HBLruzF/MeB8ANJbDxhQaDj5to7jXy0hBk30ZOvmj8ev2YVEa6ymxWv6EMHwvsPb8WY3xN3QDj 6fiOC0Pwv0zFIfMu+tCguoGKJih+nxhumAdYOh82PQahmt2P0pdz7df/kaOR+YyCIi28jSRGIh+a CpMjan3ypcc+nzABIDwLhXt3fPMXtpkpzIKkPzPXg1v40Lxkw4rsHa0dL7Q19jqCBUOHlBMpIYEv 5Lkkjkr1Xq2ZWB/k7eaFYXtiXl91I930kLhnexM7avFpPOM9yrLKXw4gFa7JAdAlJpVTZLNQRdMA llw/IJxsPf3B3uJ+MeBdA90e5Ku4eW2iOnpGBEoIs6qg/OADRgCXOVss5n3TyN4xU9uIMZ7z3sON 5odKZa7+1a4mf7F6YD3cqghHdAzN3xLQh7ezcC3YUWEtedBqXKvC+eFGGd/n86ZPEjceZqy3mVjB sz84yp2qnPaxJx3F58htZ442yE+jVoYBODapIpjEQHnD9nUuDLjOAaBWkPyVWcdecBNe+xzfQUb9 9U3v7Blwxs/736nugAvPEFI4ViQi1M/rQSSMpTn9o2b74TUGsDWexqE9CnN+r+vd35XjQ3WCkZCn 6NF7ylYRRADQQtdqmgbgENyj5/i05Xp9TMsRjvNuK9qcaUqeBkOv0VpX4eqVmf1jvVZc8qQkQG/Z YhcF+Bk3U2aH465ygYgmdvCdttb2xg5O+ieOFF2w6qE5gMUXyfdTX7OZxIYldlkRfIGa2ErZ+Vvx /5sGTnkje8lewGJTH3H/mF8GGlJHWhjJJlWHhxhcbSvwz6Vs2jhjIf0wKeMvyxlITO7mbJ+uXV58 iUeC8v8okCXSMecGSoQkDMAaYkeVZmZrzKaiI1+DZGjdcNyYlWHXWTGKYigDRuAxLDsXHcwE1CQx D3snxQ73dcZQjmV8DeqKhnJl0ReH0mcKi8WjU36bybVi3bkmKj9QKqtPWSbGHD7Kpy/C5cWvuPIO RiM+woh/E5+coP0j8UVa/DUVAZ33nV7cxZuU/xemYKAJf41vcxFWrmglB+KdwSPLczJPD7Xt97kw nvb9ZkEI5Y5Kp0aVUwvyCHy2wf6HuZXrD8KonHKg5AaD8qmq4utAoedRh3/MzPkpj8ZXTvZnoJ9o uTtpFaPqgx/1L3/lOntC2QvQ1BOSr+qBasck+5E2+ro/4DX2qlMlzR5AkR97jRY1BpxAMtzzg6Y/ hW6dTIJ24l8npOA1nDYpn3ExSP5/xQFL8uc8ZVtr2kk5cgSjyaw/rB7L+8RVl2eP4q6ZV9d3KvUQ g3u2ugMXtPfJ72GzPQSC0hVqF7y+6rHn1NBopfpMXcBHMsRf/qYYsJB6AsGP3ulBr5PTWJI4U0GG V6tw5VZnQnGeLjS4/0McsVkTnhKrox4PIMpMrAG00DoXBm/iiix5SXtwhXdNtXAiH0sDwF0ACXns n9GKPLe03d4jAteEEBpJFj1qByIT8lN46ADyqIp8BTeqE+pn8Q76k1Q7ry1bz38tk0yQ1ikhXbN8 n4SlVyKfvrkpxBqiqkE8MplfOBfZcSXFPp4b5fhzrMe6eQs9hUNUuasz30uqR9W8esMbixv0qDqu +2vgJ12qTzQZXGDNHb83qu5h9J6uF+S7KsaM5+bgPzkFSYIWKfRVC3CK9dDCSZBkQI1q0xPrkDwO 06QCvEkY64pmHBfyCVyxWE26vCsxrCM05qcOrDpxxtea58OyDMiH3zM4n9bL2S/lSZ7RdfsNt73x GJP5snSngflSs/67MdazxfEhvG84WXXQQSFXJr7FiytfKLwQvHYMFJSeLllWzgLGuGPO3oXzj0ir PPWPsHRTMa+zmFDzW3V8WdYBwzRabIJsiBkM3uZu7VwloSb0v+ZfBHU05NhBXmtYmiKzl07qHEjS oDOAZ3E61PODJr7k68AZ4LrC2nsC/n9UR22euFjmI+PN93KJEQ5u6AdRJTMHBgZyZcQyYKjFEwgz QxVTLEelfkOfWjmBO091TkWwhOOFu6gLPkbN1DO4u4fstp1PBzfWnG/h28YMGPg0qiZkOQPb+Mqo jqxIcVVwsDueIlV6DPTwzWXifbxe8DhDasfLe2UwvSvb7PfjbMVZ9BX3WD/b3Peu5R5sxmjk/+6I hDj0m+JSPYy7abICfIqbliI5Q81NKm/2GITgRuMTjsPnmtq5EwN9tG7jyNUqIa7IeDGf/ya+a6hx X0VdG84HbDXUAR8w/DGIVryiratnJx8BRe1V77arN1w724aA7DATz6tq5BdRiflLjtb31QQcWFQb g7K9tu1LTwezhGvYTTrvso0ElO2rq/CitZ/f7OLsAHlmpnXtXUaKDdI41WB++1Jh1Y/Ea+wSXu9p Aq8/A4VmFaT4JkDObItvXdd+ke76BtIvp3r4f9sF8iWezaGz0AVsBOYqlyjFCleXfvkhmMrMjMzA M23iO6kI1Dgf70CmihwLeSfVW4bfa/T8zPltJeZlOJkjV8QoThV4JiZiHYzgMVXWQtToaY8pfIRe Yg3eGepqEP1Vtn8ff5N/2NcgJzu6bO0YTDAhX/JBM+MI+MeNk8i/LzBbqFyoezJLRw1s1sN9GieO X19Gc0tCHDxYh8lGfjcmomDHU4o3MNXvubQyyBoC5ipY+faLMxshAUdmLUA8h4jAfo9tix/CBIaV tXiRvx01wdRoY+HoBhkMrfJogoSHuUbXe9bvHVixOXzAWvzpFKzaZpqWmLgIP+/MvPn2j9cVTFAC 7jzpmw0ybWK5+N2+7Rlj/y9JfTk6eLAGWFaVLxn5Y95RqId1BK5mWEvHY2BlHaJyRk5znFf8j5g2 JdKK5MbnigYczyhUvVMVLqikpzfy5MMbIX5BGawpoA4TGmBkJA0VTekg81J/i7ZXXPDFcadTSa18 9LPfijXknnMjuKSrRy13HIR+e65qHz0/1QMsbJzoVNl2mW1oU31pq46T3JvWXYkvnR2hBK9MD4/t gfGSrE7RiL+KxF/ZwpveRx+A25QPxWKBfbWEpJbUAtdwIDwHK/t0GbVY7kb6LqRs27eMXRuEluC9 o2VoPJq0rnQ5HkPJz3c51fgiRtrgfuq3z1WvXuW9dBJgOfm/VntVVoCzuj/c4572S4ckcWA5CqjX aEfIDs7bUtAY6xtCV8RkIdBkPALsEFFtXiscmzJhy8NSYiu7qNEcmL/Nll9uUnEBZnJXQ6iWiU5Z uymuzL657cSIeGREiMrVl72qEEzPVJRQ5QlLWohOgFbVgovfJZccK5DZOQQ8AWtsp9vKnNcZATkM faH6ZDj2KZfYjzE6J4Qa2YFWqjs/DZh7mhtTiGTlolGBHRrDgmxJUmj/mL86q/BPXbQb9CqPpAX1 ldu8siFpg8o9W4+6qeuWdq1V1SjTc6Jd6yaZRYr2FVHimZAK4MkAHiC0f3/EQc0MrbZTNIQF9CpQ Ravhcnxs5f2jSDPlm+/oN55/B57jXJ3CNmWHc8KyEIwBmuUbBAWUJCWcJ11DXbTjUfkvJTredMAr zTEuIUjrDuJyYRDpKLu0GhCEir/l+AHxiiwUqlW9jXq6gIZg/7KcfsCDHJ/jo9zRAwEHKcDPo7e0 lvx2wNO2DvP/3sdb3nouila6NjJM3oExhagu3VOXgfoy2Jd+RhjAECt+KPvWpaByXnd8q8AXhzY0 Hc8b0+LJ6C+XDxL6f6AfKIkO98dvOxsdz0HrFNo0pI4FLH7YYO5qwFDqtpF00dnoy0+Mm5ltLUji 0DNdTa/mqwwcJsNcrBNQdKA4HXqYWxSFnzFB5ea/AO01EQDsjEH7FIu8/9x7iifObYgqJozuMssd 3msrrowBu26+5lkJimACCcEhuBBzmgt59T3Dcb/0nNr2L8fjSQCH4Fk9fPXCIGlKvyr6/Eq4TLhg KgwZzeW6KUc+FoJDP3FD3DFYBgVA+p0OqCAFovHdgzApiJqMCnlAlFSUCju+vuP8o8PNZTQddoHD oWAd8bWiriu1KjbL6cSf9k6dRxBo7yOwslChwMC0QDZW+BaLBjcAOy0U00mqac+2mfRBYMHBxUS5 tS2YxiRQokqikcTwDW5rYpjM0/y60pOlOuYhkERS3gHU2FdsXqfXzRq0o5BawIjSNJcjXA3oHIqW hcboTBwNPkHwEMGmCO/EvDl4Qu4GJpGiktfBTmFCvj3w8zq6mZgxW8nGksXBwrqXN8NqtbQZEXZJ Z+C/7XEa90PKwOsTnIwVB6ZqriouQ/niA4Lbre8BFPDeR+boEpniPEFzYu9aHiVjogRnH4ep1DaH EMl1VEV55Vhm1qs+6Cv1hT0XDDOCvZ4gdyJ8UktfMpCvgBHluvrzXxscu8YCUeGrS71kOPECv9Ok 8ULQcXxdThErVMflpUwnTfqCD6z7L+OzNdZjpNNfO79W/RcceJuOuEAg09obumlNdDDuSUFYERDm f3jHleOePNMLd8oNCWjeoj851vhHOeMrCdF1R73yfPp1Ryh465Xy+6vjO8mR6TziCZZg3XXa5UBl M4QILNeF6Y5WI+Y73uWTvNFqboEr/0M1RD1FoMtf8CIgAnraFf0hGRinLz+70vVsyX9gPN+TEaKR wZk8kCnf7nrh6tXi/FSOEeK21/10AiSMPExvET3aicwAIofemyZCtNl1vklFoEtKk2F3knAFoyk5 6ZR6PmyuMvbtGdYzkROEosTJMfxjHr8aLxVPeJQI8QE2IgQhG8znmuCr4jzB18ui7EmJD6YzJUss 8b+bwTrS9wDHPexKFT8ABC7bcJ5rI1mZl97h8Vr7+VWArV0WMxTsrECpGG9WvaOP5ifT/rYhPbcZ 869GeaUQc6EVTciU4bJWnom0WwlHE9noBsmc2n6q+5Bl+0BzOOC+nm26UQlySdEFzJfzq67P4Up8 rzbckI8u6XErvtZpJoWrswYgNvd4TnSFpLXKA6f9y9r01SkMfEUsNugKOZCED8cRso8kNF3ox49F Vnq36pIo/tC4xSkSyPlQ6ib7M1EZkEgo1ilsnGp1h1Hg06ju9Y1oU7lMnFnU4gF7wllb8us0zXzH DUrq1zFXbKBJPsZP2gM+cPCIEHrLjU7EH3B9mTVKzzM+UeutanCB6QTveqBVQH6vFgZ5/+0KfkfW MRSZjd685YsW8ljuOFOYrAuLbQr/VHNyOVxdny2XqTOZuQLkp5iQ4GedwdQrmFYtyunZkMJoEmna iATuP0YvGLnOkNsZ3DxQe1F7gVPo/fldUdlTXrI8u+CKMbA2CDHY2ggjBcTocrcdsnSMCbk/m6Cx 2UnpwwvNpTIkls0FwBtn3sZhRCQP0vVX7vGBXdlB9cB2Rb1hcgGE7zxKGg0HfsHN8rEM6trRccEm MAgGanDiKNNH+TLWdowU9cpBXk19XKXh//PBxNhjUMxUEobw1exmrVsIdu7NwGNt7/9th0NQE7Sc otgA/grBkaGRaJQFcnTIK3U+ak7dd37Tel1vSGfpqTWK8KsbZt6lJ6eMlZhkzSHJ5dC/OGOAQIco JmoMbKYdJNzhWomXxo8M2xCTRpQpSqlKOunypX8JPgt813z/x+KTNbqbyXRA4AyQP5rhJ1gGWC0k hRhO6pZjk9fOFEjPhIo8cZ+PJzazfjDmwLrHo4HtgCizfWSKuwI8oGKVvsG+8wo2OZ/CyPSwEOIT SETG78gdOq/OSngkxxGbckfDNboveYECu/WXBq3eA2Bj1QWDyzvaFz85FF+4kC2aweIEvkRglaJ9 MJSyQJYz65i89OeF30dDtrWJHhfzF0GXNGVQMxy8ufC0UxVZdrGrA/6pDmqd4GrrjPjuaIdASucm QwRY4sGBpMhsytvOJPmdWqlkIJTPem8EuWNzGltG62tG29HP4D2EeY+FVWDRMC7g9LpOBUYz0DgR UKrYWr6SHn2f+5B2+Ja8SGzlHuw+kxYNNHDTEshj+NL+ABbfvsqx1/tVu0wZQkXZc8+Gl9RM/Ukl gVYShcntfOt15hSfjdw/yA8aIjVWP/bouDdG0ysJpsMf7pFIciu7/1qLTGZNt9PaPXCS87bd+qyC dizPTfWtfRudrQwKuFq9Ck3MAtbmBrkNYLPq1YXgZuX94WjHrtIIEvJX0JYQyGBkXcXiIaIuudcW B80CtbSkbnRUkcJnkwV3YWLuC9Gpn1CHjw17z+WERlFVWC/qOvNIQPfFpOC365Ao+csJsoVkTCve weMertC1p88jL2g81HI6sH2mObK75ncWCXuzzq0iK/UH7R4e9UpE3Zy2nd273Ispm/LGwhqXCsfc 5m3DXYOE3+TrsYItlzSCVvIwXv8qfu8EZe3DPUtNrFMBh1irNCVfhZPrEizk3EE/ioepqvrEB30m +lnDMDLE89XOlaeUqStW8z50+N1Y4dMIGUMywy85iE4NuNGLWvzL267is72V/nNXPkCSciNwDV0u Y4Nkd08CPTbVwInR/nftxBqvnaYc4M/YIOEIVjE03UAc7b85jo8Jg+Agz8SNz7UgOSaG6LEJSBGz niFClsGWa27Mkgn7oGUl2ewsq1v3oT1Xyn7CoTlyvE2Mcm+J/hsOhrF6xM8n5BUCklKpZTS5gVox IdOx8KE1251Fn2t6M9ybLQcBi42YJdSo0dIxWpYf5T99K8sxzdZp5OKen4RAqNkVJFD0MXaUWHZl cJOr7q3A7E8U+WSvGyGTieTf9SnRl4BM9v0ZqU/7bnZPul730G9/XqsP1iUl30zWCNXuEFtYnO7V yOi/7LXsaQ+8YOjX/PrvLolFGQPAUctwATiRYGtaPcuauT9VjfR1JCtT7s8gjCr8SoCM6hVyGdLN y3RjT7YpM5yA8+5jUOxe8q7j+IoVuHf5lXEBLNkrh0TpdmSoXMcrKiavK17aajWI09huY6XemHAQ bvx/mbLBKWWxct0CWEyyTfmC1aEugyifj6aB3AVF9VLnzc5NN61AKwvAho4Wlwsh7aETlflMb6UP UXZMZ9u8JOqsVG08bYPPKyWIWEP6eMSPd5KzqBtq09MNdG0f0ywqJunl8uXNSqODVzuIaoaISEek XgojF6YQWzuXNWa+q2vckooXRJG6bX3WxmCAMiAtsL32uKOTtw+C+5fNjF2ZWE+jJitQi9LKqVev vA6NufilQye4voiGZuZxb9J1yE6HnO1H+XXl/K/UFa8cH9y9z9fZP3BPQcHh9i4nsurMFara/1Ca z2pciCxe7RSe8SHyF2CV5udZTPVmD7zyp0Hi9ZHghXaIJfsNLtRF2mAClsSB2e6sCAGMLHi4YGq5 6ELxc+HWM0XKKC9FNIebklLOnzQLvfXuY8qzoklNV/v5B6nkIJhqe69iJFBwKQJRBbIwuhM/Z6Uh oGPNLCvlpHejUMssG5+LRdPxeKsS42FaUoFTqSSFs5ryCmXsfbemNYDrudvAvVCesSxVgvYtmv4U 7c10hdaD/x75BgSybUA+vyVwlp3f44D2w4P0QEjHi5htsj2BsPFP1loVmTQkWpjsvV2jfQS4J+M/ ZE9+utxwGYQOwvpjpgyppb6N2s+gtLGoDPtBJoSeGjVg/OxuRX6FyNxLrIkrtAcbhJf8GX9LPQ1k 6lY4m3H6C1IOmwH8h1me11C9xhnNoyel9A1+BX2gUw1hMwwKIQsOtnfB1JPb/glGpkpzXFGSFZeE 6ZH69RmAIiWm6PAVnEmrHJlIBq5ZRcxaXyb1mdZ/52bmGG5NDKWNjLx4sSNYQ9s7XaKx7Kr6QJqU Mn4C3XMR2eQ3PtrJEMBsIDnkhkLRh0mh2cb5S8rNCKzAU3enhaoaBVLk+pEAq+I8FzAhnih5Dhe5 D9t5z/P0/DnaduCbTHC3x9WLigQf3Yf/lfvGTYnsD0ESg0kqW8zxlZuVCBceJHXHTEreLEOM8PJM voyGGp8uAWwwMQwcaknKgOki06OhmSMXOp4kGJNz+aG5qlAjG5nqOVHqAthLo4Sdf4akuKqJ3FZC 9k7Rvbt+gwsdQJVhQr7zPjmxIEjDHc0VKh1GiHiZwWQTAvaOomq1VuHoJMiMenJrodycQaLBrwtc ytxMXrurALgDCvADs2TS8eD2BmWCZ9xlpz4shgDqQnrWW0mwvZRVNa384vxecc9qmNe6DF31Icft FwRwDShuHU+/YsZV8x+ny3IrxcYkpWAkj9sg5FN5LkU6Hz1/1OlXsx+7IBOwkgRiiK5FiF5dzZYc 8S8yYoNLadbc/zDuV9GRlT0OrmP+1wsoEptTuj6Gx4p/4Ht+KRK9azDxNBwhsnHG6zS4OjTYG777 oGQgKB05oF1jC3YZYrhgovPfinFIZ1dlSQCvjgZayJ4+G1RTNpCX335OFCzFzYOynShMoxGWNbNe GtchzHk0xWKBqo1o+uPwzZyFKgP2e6H5dSfmnfMH6UJb60Cy40GSuo3STkwWTWZb8qGrUNC1MRwB cKfuHzWR+jVAhZNnq9g4Z0JXPNzlyhyjmTzHlx9kR4uijqUbM2+9C5R9B8/CKjIABUHPXES5WUpO kvd56EGDChiq2zfTVY/zv+eFQK89pQEafRsXu1UaXMaEJdOUP0Y3J8Xd05HU91sujfckorJEQb0p avaZ/vxpH1AHhfIB6R8lFgH8VMuVQU0C+Fjs6DFftNagBgDL8uqtmeVGTAd7jnGiTolmdCCJHW+h vP0dohB0ny5n5ucm6EbDuO4YL4DlKrNCch8QVrZ0GK8XWFYK1CvEXP9Zzwx1mY8bf61GZi0r99Kz m+rOsyoUhCOLQTO+9Mc1IEXoZqVDUlEIqkqL7zl0iSalDpHhUj+z7x+EK0Gft0kks7wlMFmZTYsl eFDwkjiQZWhrjG8WUDKyvZPdzaaRX9VQ+yprg/1lEkNMhOByT6zAbvWFeDe9MrnWXNUro4NIP/Q5 oVosMsqXKqn+YTBjdvhQOwYY0uyqQ64IwI2hlK9C9XC9LOZCrk3Gz9wkUJspGfqaFmuUkwtt+mnX RRY/Gt0aPEmBAVrEb/c8qRg/peZHC4W0JNfGrwyTCe1nB7FLbaNIkAX0x3xOK6TAIOLUW27gfXEH /bvLiCpNDJ2ZsFpuiNWZv0fHHifZia4/9U7EPWPIla1p41TUi72l/gL7UdxXGuCOWGyKfTlGA4O8 kaO3VdLIL5UcsHkjPHE6aiIMdV36YyVkWfMMbDKYyEuznXMCkcYXyPbu5GX0Pb71uHzwseWnh3ib nGF7yeTBmorwSEDBZm0Duvyuj/vyTbmFEYx48JdvEFswzkDg3nzJ7HqzzWhxzFq4ty3GBOif4LNH aaoS7UrxCSEhPt5lezHPE/px3TiC7T0beSIIPbS1cpaoMw0VPr2Adm1OaQRjnZvrADhTVpW9bTBs coWEVOUJHTFRimTUsUvqqyZfy3OIHY8FP1rrNRkuRcXC5E9vFIdSjWvcKUrOHUL28ZpU4uH8J9dA XuK/xqG0rJMwNrq7DIdlsUhZj4LN84hfFwjR9SBXS9xt1BeEJ5PUiuDj0O9G7XfjqFYQ5VNlt7CK ExQa59hfWQPBhtPcY/jZIpEAfLW+72dCGoHg8ZS0t0FZQK5BFGZJ+PtPJwkWHJqi71xg2sND+rIp Z1kkuMw8lZcFg2xRjrq/uwZSXxqeiTnp0MJDRjvVzP7opwWM/X8w44lofFxIcGZLDUQpQaewfcG/ aOyu59HpOxzBFjtH9qz8YlNFhAouJFsaa6cI5ryVO7Q2yd/3QYeF++8faB9AzKE8/EHL3uqGrBfS 0NnZ69EUOK1aeasqI0iUoKHMYRBHvoQ08auj7ZFY3sYVqwer1bisqDu0+HdZ098y4x/TNDN0332v Qq88W9CqBHOSzdBFdATRsiwm97xTz6c32Z/3gwC8wUqg3L2b/oPPUlHytwqGPZj3PBQgmk381eDN ipKKZ9RvkJL54jqEkcOZ+S3Jz2MQC6qEDci6Ua3CdOpfslE/OSQyR5PegEnIPcXhRggiE/QVSWVJ jOGXfU/K59PZADhnqIlE0PEbjXgclgC6FCmV9Yn5xkT4wLwTTesRpO5QgTtINTqHU+/+J9ALdSiX DkGyH7rEKAdJ5l6o6i/s2FTLQt0kK96Zr5WLToYOwAhYnme0cjZOGQ13uS/mfp4XhXSPtCylSi2z Fg7z6olud8WXMOcRUO4vr5uoqGpVoRcaAF8nq4Kis9EGVwMlWVYZRp7po4NUplb4t8GMjTFJSyTX XqgpAlmSUj/gcFpNRoNsaXvO8CQtE50EwnexE1VDxEWGEmpUAjZi8MQWCMbYwwV8mgUfTQGCe51c 26CSNkb7S104QlKMTM5H12NeZ8dlbQoVtCHImYsZyKnsXbqXoUxREBQokCqM3FPB2UE0G9LPux7q 9ui9knDDLRx755Kd8QGx0EnpGzcwqGqbZuq0HTGHU4QuDCIVoVYb0/wyn2Ozop/mU8FNkAfzW/zI vWz6AiqhaTiiuEds0Pw4ebpjdcylKrpYmxtpdOlDRB3lX0+KCyCv3N5A7MtuQkSpyhwZF8HZa4GD qwJE9PmkRzKRacs6d+Ozhtwfj4BLXSBcXlfpKhQLtIcJANWQIgVKFfGEqJFzM/08/I46p7OyTISL 1UZbEnAYpaKatTPUBDrnNkVd7I2MPTR9g8kmVQ+KWAUlaz9/VonoIuIDiH6Fkv0+s8ayn4gZLZ2z EXPa+YnbcWBFD89eFM8yol0RbmLEGGuT425cD4ChEmGwRaRg3Ep8g2+O2sJmfU4phLbSei4PctQL ERbasjLNolg2iVMXi5C1Ds9FNK/KD8wPeRykWe+NIyspAnrVtjbNIJ2xlIT7x9UFkuq4xTCcDyjv 6Mp4d/ubIQFoXj27FKv4ilrjE66D0pkyvNTIijxRBdBumA/UL2l4y6613VrMg18Z6zOuBD5Kjohp ksXsINDB4rNqsg8bxQGyiK6ZVPoNL8xMlZmwZLM77QoXIpf+dFxW6ZgUNnXhAK/zJh6hjK2YfQkU G8+fQk2cfMiOta6v1iCgjHZzpxPcim2DagF4SWT2C8qnDQurG9XbHfDtC2gewMjKegy9WZK68utW JNh8rL+ZIsI4ScSatgTHM5v6qXDjBFTZwSgVXCW3lKXcl6RByqa7RqOSwl7gDLWke+tFYClbJ33X jDL7riZhmovFScymXoqCU+24xw2WQ330k0OHNSBe4+tdXiUnr+tjwJbNn+Qi/z2qYk2Y0Zc6qBAp FJMYi2z641Xpnl/fzNWVa42UzW5Rp9s0t9XLSkH1pF61JbKqwJpWxxjgqT7/XKEiC4mNNThwhkg6 4Ktgv1jbyKfEe0JMe2h3Y1PMRCRn1VzgyC4d9KAeWQI2iKrLH1CZXAM9XMvHCBbiIcP8F8K7UQ2O p8yl8JJgdVvcRNq6KjYu758fyNzLFYH644ikQs1+sa7jORaB9q5tefy1yq8YHA9kcheaq9dqlvT4 IEQjW1e9puWqZg255NfIvhqpvHEJq7KMrUZhqCRGu7Di9iKKCSgabfSbY/5DLVS2ItMPvXYUgzD1 ZVQ3ngGHwyUFQZy9OxVbZeUtY39gHpQ9pO5B2AvfSn1arFFNKpsRwV5/oumncp8nT+KAbjSRzWvV fFyVj9ylYjtaOnLLFx8GK9QZsk33Kwk4R4CRWE/XON8oo/aGiyxUf8v8BY3MWFY+JPAInKv5l+t1 VucnDn3WJit5N37jCM3LGeNMDAjAOoYPZyEm5rAr2CvLU+qNxBDcPR914XS/JXzup1pEg6q4TmZV 3Dlw07ZmAG5T6mTQ5NWjZbcXZ1amOWSkEZ/IOUj8aLgixsEeMU5glldP6zOphWuSDzw2X3MNqAx+ gRQaWBEmAyV11TmtAbL0M8aolOZ5eCai8gx0lU3wpqKMMDlLca7mTh86pTiKtvS0+31ZZYyfR0lj kWUi4HNQKuU3SE9ze2FM29EpZkHm/79xvGv37kZsgqgGNWYVfFB5ueXRn06AJLJX6UZnAiCvikQP EWwjmIuT7179A2TvXor1w/k2S5QEW9q5H6iSa2b9rN5WJ9VN0ENjOhY/5EqtimOGqNhaSkU8VwzF Get2pNel82V+/y/w5NKJXoVPWHPcC/xTzlhmpeW7txPezTCD09350KKnMLGJ8TGFsx1699z+yasA hjFUG7JXh4hKacXtQYztmr5YFYpSnrAHl+bpomUZVwMdiP1QZuRD0Lbe+wiK7PAprM/d94Vfry/I bnxkj0EnzVxT4NfPzMl8kXg/X7VQHjN9p1yZkeTmWd67WQhTboCpRfk9MvJNBHf7L368/RRDMTZf 9teWmbq0rbG2PCcijL8ucreQVZ/jW9ZYK4/thV0NhMCagcKf1mhDLDghLoNWMw1MH1ZDEXgB72Fu QAUTuEdyIW5i2fwbXLeFbya6CdDnKy6mr9dBhlwmwnvdNJE42OHuaSq7HGvchy9HSIjZCGJNqbHW UPK+n+zp6E/SH83/JUbHXqRmhrH0IIJXmthtbdNtZdapmRdJAN2Niuyd2kMFnTykc+F7ndmaJnQs Jzyk8olc8C3dOVlWEglcjjnKStzc4A9rvit1cVYC5HLKUpm/8GMRviFgdr4/YPsTQJnBa4GW0Urg 5yuk4bhRP2d2qLt+ww2nr6/akealyxAxey6YvycubxqxvblcpUhtODVs8ZOFiUu8itBU2d/J2xmE 9YNE1fbGyNN//79nr9tdLwM5kB0v5wii57JzE2ANZEcFL/gk2Qz5t2wN+uyzDM7HXJHODN384PUK Tgu4C8KOftXsrh1y/YSWMI7iQ2klg54F9UeKwPljJhEPJvRLCQhol/zjBbGH4OYNDoUve5M5HgkM VWXLgkkLmuz22GVUI9Q4zm7rc+qHGBZ5NjGO0LGqKXDIHIl0LaC2VVAh6yRyS3WByEsU8xiAnFGA jh8pWcROHPLdFd0+xkHSrhakF4t5ocCmxupJgxgDuJkBOPLW+GzN4faaFNq1STigwDv9hHNAd0na DjQcHKvlOdVzpe7nSVj5eturKvYKNY2jNNG9G8CAdQTQKVfUa43SOLZ0sgzKZwms68fHmKleZ7CU 41knvo77SP+ikXQyg1+knE+diDWqjtVTWrGfy2z5rbcXqREcF8TcteQA2+jylB6ADUflMrcbvFov Rvc222qbXbPZcaQTBHfCOfQ5GSQLQKXmzr9Woo+PEBsx2AZ5Xtb/wLSGvReC3EhYwxPdVKuI26Ba zr5LXSOO+shmOvU2RhSVc9EBJORy9Yq94GveX1RcQ0bavAvXchIvrTFNDkEiHUIySiifx6R0st4G V3hawfqNtizRVroPgFl4FqaLeCgNT/A8gUT3zCol2TnHspNVRy4yNTP67Gvr4bBX7ylvOEO+jk9O UguyfWW1jjVfLmDQB/Yfa06fdy2obnpI0ptQ69J6LoNSlJ04oOq7sDB5P1nw+Iy9y4k9I2xXH7b+ DRsaSxzNmwWDvmtESaSDH1FM72FLqx/zGLgWTOu8gt/Dk0lLQhLO8wx3x3MuXzWHocSEUI6Ur3PE eZuu7W1x7lrHAaScLM6sPTMdMH8RTQdCVxY8//jEIhCH7hFo6aS9P0nnFoGKRj0NztTvWbxTN76B 0a3PNhX9f/sUvXrjv6frjIqmPOaTWSgw+lrFXhyTJXAGpA0LKZxRQmpVY9x6aWo6Qx/xiJcnC+Pt vwjuQaz2LddFZnuQl5fEbPVQPPvfXVvusElViULH4pCE8dd6FwWDlTJxHIOWfj+0H7Yd9w6BaGzH jYN31FMkmSdWRxt1ik+exoqZBY4VHFxiONEZUusvAr7Y5cWcagrJ/dGQbhezmOogXKHOoFe7Dp2d 1ZCYzLmOkSHMTn7O0ypR/js3e8SrOB/NeHOJRn1jBwzIlqrQYXCrXhtr6jvMl/9LXvnoxtz1CrVr 02YaY1CSRURUur0RwbGCZiIrVvhostqQYwt6Mj1daVKhGfNTTeMb4CW1bZ9mZCOoqYEtqSdwPG6B RjgCdRRLp+eyUs06HFX48CjTzGp3HhmWtCZMo6jw6s0xdWMG7AwUHy0gNYNiK1/ChpPekITfFWGA O1Cfe5WlPtsRWvAyU88Wgnu60Z5xn6hr3hWQO0JT6wshMeUJ7DOtS50P3+fbwBM6tLkohIraMpWc 0dCBuDtZzeVKE86iyZeWjSba2yONYxda63SOe/5KmxnBg5Q9VJI3Srw7ll0GRoxyF+fwsW69fT+6 PvVs5Hybf99pdNo2CN3FSe3VmQFoHhcA9dN/DIeub+nWEbd9+KnRHCPoxchrp5pEaFSDawAIX14v HG/QPp2wzjQQCRjXN/62HPPl36HwidTIe3MuQyb+EYoNLepLfaKobRhbUw0m4Bq7dc4CdRVC5lUG ATG/WfC3WPcVFGH0TXNN9pzixNkfTIvkcg19Jzsjn9c1kCx92PTJ75crWxah2L4dAqSK+YjCqEMp PmEcF4a6pOb4S4Wrli6bgoMcwrn7BO/gkqx9V0sxNRMLGvnJrCbBIAU/DyLzKWk27P6HWAj1+3AE o65YM7qOgOsNf4lU8WLukqGULe0ZcUcqaUxHWpR39kcsJY7a5dNZSDt4JmVv9N3MJmZaKeFf0v/X jkUcj/CGld7r6x2ejCST5Nj7jZJ6e1mgL4b5hbTVwbJIYUAVhm8LruNVLAkAr1YxByMbdvAATN/E +PJYywtW6sAkv9cLBlXFx/6AyujSExxZ1TTJcU5dbrSvlaBxfMUW6i0vZ+39CyKtwJxw0+Y6OqqD ui3loKIIbPmHMUKRaMNfCrcFnBiHhgdfXXWgUjQoW2SGIpyhBauvpU9CLYhCnDSNGYsFTpG+vSF5 agFOLXin/WgHCung1Ulxwes8UfceetjV4E0SMtIbeg1sfVV/BBhS1vQPwjZlI+4hPgadGuvphQjZ XtwgreFbHodePoU2a8MJ933yjEVyBil66bj8y/xTH0plDJV97NoLdyEi6pa0VEQUDyl92CBpbcsT QIM7wVPe3sYRNe6uqzQymJX19slRnY2Rl6rTFtrPeOhg9A6O1sSQxMjM3iFfm0bCxfjy8iSwWM+i IYIYG1pwHJG3zP/Haid3AaGyrWrMxTGClLhlFblNIphNlF1Ee9N7XKH1NTEqts1hcB5kToF7Lnn9 uyXQWmHg2vxRMysyum/q9SGrnH0pQHG0lgI8jhwNME19uV8z2JSbHp311zhEkt11fk0gLXKY5ixd OUvpgaJVFe/6Zpd0uJGE/+neW/e5HePPbVqvnQog8yZgqsicZHaVd4JR6KjgFi6PyhHXN4t6UTOZ xMZaQvkC/O1czz0IDwGDhYampSpOFvWyo8A6jun1QIC2IgrrMdezutdPWlFVMFRFdysTqYhF2xOO kfsGiUXdNaPPvV+T6iBK2dHMMbjZIKKn8UbL/fz1PvVKq/hm2Fq8IrsiWdahrsfJLVANBh8YYfeT A13lc7ByeNnGUCxUiHS7mCRDu47YY2rvfB3Uy9NjxvPdpI5biFwtr3b4r9D8BvDhcWSRdaxTGQwD ZxnTOhvYXJungZvOWuC9IL/sKU46HNbYS2sg5nMh9goLltrS+Zu6ahnuu5rJfjQNGApVENoVmgEA 8LQwoTGxp4NogJiuhs30Sau1BIIvna8slyCZtUjDmN2UppyMz/FxluK9MUTe8KAYNLXSnxGeaDJb PuL1SMUlNNGNnWD/05WB/ZrdErOY6rAv/pdFpCDTAZWMEfJhlJf1nH5k0hSzLNCg20vxraPIbiMy c2z+WCaxHL18nmJAZGlEKP/skQWZ97SGveLrUM7MTwkR+n1xVcv1wtbZImqFY060nDTaOMtAxJAx cZoeGmezjY8Myfdbu3rqxjjzSFWfwnauchGraOnxyDn9/E25Ad65AVK5mupXcdjhuHpFN9K1y8yr 91y3AYId0/cLY3lW3Q5cm6CTtgsQTSYrSLSI3UhsrWA3sgxPbtwkyYJUEDEFQpRm9rky+XLowTHP cVB/RXYAaQvPNsZf5uNtGyi2ZktcHAMkktfo+CgFV6sJ1jFOclOVZlY049+/U8qpaIupHWxsRkXw 8ooiUt1mSHl5otARQ86XOHd+7ALSFUE/FRENIYLiJm03eYfDQxDXy5JkEPPhBpx4WOsYy+YhA2MY v4HY7SYhK9QeTRHtmaSSUdk4O1FcAqrI2bD5fz9D+j7EdR/wOVV73LGUmyYH14Sge4nrcDOS7sau srFRAoMQXtSjTF1p+2Uf2+PCM+cq7yaTjuIxpMCOqUav3zZiCh8quNKw3J7GHCJEB2QEQaXwk8TO Frc6Pb3Zq6I1qen6QNNODVPpiY8wvDvhYMIdIGjvoGxaNPrZ/RtjfVcJ5EqFvwA5jaDocf8cx/xh ZPf/YlwC8ISOQ5gAbvwZI9MJDphUOsvS7S53NwErrc8Pbq28xci1xrkk1sQjPaErQ9SyqkKNFLKt +6pRLfmvua7pCchoDcMMGmX8EMO4dFAhmdRnHx9DZraXD6jsmuSujR4RM4sI5LanSpYclZBf2Xg8 F5Gz6wt5ttY6DmCtAF6gcL9cC2/eMeCmkvwpQ8EzT6U5ECdis65NJxldBWp7xsr4axdFITk/2X8S HXbmnsa8t9q1vWARI5WKgymecw4NpZIm/EjkAVtvKFAJaNUO8XzwopQxlmvABqvlrIUa+y1X56Tk YrbTW3dtttjqqO9et1AV9s1iloL44Ibr8ZkImK6vuUc6NrsGQVukogUeH106gsnRZzeVpDv9plHy 3ggth1J1F5mmnWcZkgHNrVjhcxugpBUsC0Ec1J24ndj1n0qeTxscfxwY0kDX+nAZrlWrebCJfmHg vn9aFPzZUu8OeN0tBlJYtL8WAuWSkmP47MYLoqjWcM3xZ5RJfTBmJ1A6Kq+pmFIsCBW3/5vtLXOb qveykQWdJEFOtSnRvAVsiXJXUyymelowo0IOy0i1hxVrahahjjHWZeG/Hip0gP+iXqqxvbYCMI5n +OySoLWgfwj0lNRJkLW26Vw0uIxg3wyvSZbiSdAhOGGELm6PxMTSINUdbQcHuYd/3qK6vKHMW6+y cEQAnne4DtDSi8Rj7Ky8eWZN3NzjgihSnMZg6GvxA1ZNu3z3tb/hi7lO0n2DwxLgHC/UcdcUtESz pBTThv1F3rhhRay67W+ec4x7vDhXpJosrirrQxfZWeqKk7D3Jld9BI5+VtaLQijbFFTCYz+DdNS7 CP+vDRcKnzj/AijS3VHsp1gxjHX7MiG/AYAJHOUhQavD0Mj8mH3voPv2YpCrZDIagoCT2ZX6GseR tMgmT9nkBNvoJv98wsm6M41qoQW4jg/Vkfpm4mxmr4GZCOF4Q2bFMUmXs4Nw1hflS6tFXI7AWx78 wCYAvQB5t7x/KgdGsFfopGWHNqXp0h6jpCT9rn3r2tHx6tmdlxFsq8aJruY2lBbAyrRtOu6YNWH/ AWP4ytjxk1kkBvWa/jEl3JqAn+j9JwWm/y6x06KMcdmoVMlu3K58Ay++lIVbOuZJtWN+xPcV91yG aDESzAJ8YjM6GVWh6RRcjF9f5VVPzTwKPPP0v/9+lrQ5HcX5ojxjn+9EFJubK3EIICrhETIoN+GO y194ZEqVd1ja7c10O70KTC/473f33D5AwqC9GaygbKIcxwEOlQpU0egV7fhjeOF4phCEXr6OB0nW 2dSfJqqxOfRP6gyeO5XivT67RQAWsdvujNe4MRhNyTtP1VMZp/L4sz04Hdr7T9yo0dtsWuhmpci4 SHLLfaag5x5JojYQQi4o5MgPSEoFE8IxT2CTjMgsmZJsxtk4UmT/P4JGV8yHeAHHygFGyGAyX5Rb QEtwlQ/RU/Z/kyPd/WyfYDHNPYsMkcOVhVAFQHPnr/26TXUvjevivgEvCH7O+bsE/bvG/iSYhPq4 4fIAWlD0LY4dInZ6txsLA+VSWtZXWZcyj439V2sSvUzpvwF9EWTF7un3aHuz+xFiHWRZ0KuR2kQD jwNvt3Hx69bM9pg1J7kjgrJZGL4BeDEn2gA+GEwikTSwmlnAFFJv2z/AvyCq/jR/ZGqrCBu7yrZe C93TTyA+zXO7B75lqFgR3a6jLRQWiB7vSOk4/YpUML7KW+f5rVA7xYeQKy7vjiljtWobgNTb+qlo YswlxFfHrlw27+cmD3hXYAFWFsGDOccnqHLPGG7v9FFIQn+n6xriboQG70tcPB4HK8Qv0dA+yye5 //8qAOvE5SV9e2oe1ZykAshJW7OCKz5IxGDnQiYUmWRG7zYcmYscmj2fbd42HLqyVsOKuSqn47gY iQ/CqHYznbRd5AN7t4KpC2LDDcBJx+fDRSxfPK8tI3DZKKnGOlxvtlBz+jN3hauYf5QSmkTI4wdr ATnie7fUJFeSqKp6lpKm2jro05nygxzTVvm02SBSrOxIpoDfxPpz/fy9v9qfbrpPlmy+tp089C7y mtb6hllif0QLOTki9DxPkV3CHuYlzzezvTZ2cF8mNLcZ73BsmXmJcM/V2AWK/xnyxApyPmmNB8Wi uccUjShkne9N2sqp97R+t1YNOC0R5gz3NdYL++0e2xYc9K28iMFteY5FV9kOF4LndpXebWJehcu0 X+JNvV4dtFSJ5rB3qoK0MgahOuKyeDcIFaxRH26gdeLr7OTdjh1ViDR9YhjmDpk5kAyiKdgZBUcc 9n9dCl+sTKRQn1qKqK9r2Qu94pmjuMja4v/E8w5Hxib/s2xbse5SB+ZDajgFIsed4TjI7y9A1D3+ LVsrMOeamEDB7ierzw7kUSPO+2P9mF/AMByOt9z30JsAV0n82zY2WXj2Jxm9DMKSM7FbMpVg8S/Y HcQDCVuc1lJ6jrx/Atl5JdGPBwMOHV5SelqWKx3XxUWH1NQHe7IxKAWINlgkh8XyN3Fjgk+WaVOs WYOA0snydwVGOINSpm6U0ILW2kGaohw8G9QJC66T9DblpquGG4JfHrlZ8zR1ofnos+mb0Z5cJn3w 8MTMB9shlgSeVCFh+hCOyHRy78DBDaGFijopqMeBRtkL8+LlPfBW7KhIS0kfq126A3iTqu09uxre YkVnDPSilzcCXA++imzOpU/AMRH31MvnPGPH9asijwxT3ivlDA5vDPH4uPz96mhi4zNybnz2WJzs Sz2t2f7HbAyy65XARoAkagy/6bokMzRprFasGRbWOLCGG4ZBrE5p5z+pEpupJUt5sP/jf4pKynEI +e88Z4dEV+sIEiiGs516foyJHHS/TBGl6UmTiqef44gkWVov9GgQTcmJoTECy3GNUiREJbw6e19/ wplqfI2l++xL/yaZEhC5IjhWWHEXpifSpRxOHaI2ru0PwWDH1Ndh15qzDwSy5WRppbDtXe4YP+1c mr2h7ZVcVFJX+lIQu3pyH/sidSo+9ARX6guHXD2DKG7umroA6KPSYph+VLcQRsonWP1ys4z6Z19i N/53tBSZFh1lg60+fXdry2HaY2wiRMlzhY11ENzIHXvB0I6Xybph7qRhNxLHdyW54bSHummm7vba mSv1mD4bD0Xd6cDz+Y2/cDc3ghZgiMaB5hovB82FJxv8uveZtZG7+wDN5evy9mW875NfsG0oC2IB dkXSEB+4aclJQs6rTYZmrFIkLitDoEdVXkuHd4yeA8PT6vNnLO2XQWGUHR6W8HrNeiwU+YRbrhde XW+5hRZxmb/OZngJWX8tA+AkgiilbGRtXBKiwhw3nhrxGDG7yZInRKmg5HNXnSJCoaYS/roKr1yj 3sdEAT+/E7BZ18aXzB2P2/xJcA5rjDtjN5w6cUpcJGV1K2EJcW/iLHwi+jOi7mFNX6qbYJMGQGxp 7kWQuRGgnwokyc5T2gPmPXbdWQSPm3KrMdEitcIAWIg0DMVBuRYD6kH0grcM/pvjhfVyLmONuVbv Ban9j+89y74nGBWE8/RgiBXA4Vi+zZmWd9elSdEJmdBFVxlkmq1iGQRFYafTFkvGfAaXS6v2an/6 qsab4gkvpwMd1ZIIPHu8XM2N5MOI9yE3VK8vug9GcG5ymACwtobNvcQjZ695HV0WvExpVjZEjJmY a+8ufF/0vY0Z6T8Y5dr1w3vSwMEPQjcf0hANWjKzd6FdLdFqkfOzc/Y0xh55nC0Wr3euzwa5Vlq2 MtuCEQMRQUskGVMu23LQ9yuJaTqEzIRoELVW5rCxEg9A/HOmUl4xWcTvGLgPxheFbvanYIVtZj0+ rJsDXBagYLkoy0rabfUhF0yXoDggNX9dc1V/WpYPEE0L1kFHjePW70glslXehgHS7EeOt4nKSzo2 cKFz0XY/BO3qxCyF1jvYMXDxMfxfjl1A97YPkVdzF+uW2sm8mtVxyc4Ng//w+PriR+fngkfkcwdj X1/Ji2zcU22GOF5aKPCc5iwQCuNOyLlVSW1PWgd+LqSpS6ayFXBSQOJorN1AaVG3FwBBO8Niifdr 1+rmL0qZrxEQyvb/4U0GmF+93lRwvdS0fXyijlS2UbC3/uWb2f8zWJUTIxc9VyF4KnUsx8DonwS+ I2gV7/DcE5Be4PdJLPRA17nK8W3ozD2IgZi0528Dq8AspJOR+G2A6oErgIC0O5pX1vgWj9VEVihj BHgysEIsqBOuIGu7tNxu3Be3QsX9XGEXY0K549hn3mH7ZGA/4we1AdFVFtkfNXRAJah/6CjmnFhT Mu2VcRd1R1dzPMLrnPmF/LoLPX1s0oTECTpgEhoQ4Sm2Es8z2+4nsVc/UoRAeAokmYDVT0axL0Vu +yuvCHKTl9+k/2nEbYWTR+c5+Dz3N83rDtnBvQFGUvVCgD6uH3qjEXB11AeXJ7pYQAFppRIAL0nZ setRAzopdEcYJ/0B56vuUzjrgzuDOKIbov1Ecfrwzu1yjZTSMTh4ku/KSYyn+jVB364pR/DE0mn5 wiuilSHvUM6+4KF50oi261w/CMjKMJwOyuQLMGk//LKY4Uz5Xu5Lx4a7+avqGJPZOPc0QbCQ/7x1 KcYNFpEdAlKX01Jp6rdElGDvcmf5BRKScflGugtphgKJLQja9KsaiNlcRglCn+1ek58LT9FCXObt ivT9S9uUr7dQqzkn6PfpWudjFKDKcnItVwFPIfw1YNJjq7Ah1YV/oVeJBcehUUBesLMknmMHFBQD HhimH7V8vtpoqR8yzBWVj8bKV1ip/jGlGw96/kfBd8D1QiOP2VVjEbB+saJw2S4I8nGU8pX8jNJO 38iP50rTPnK2zrJ8RGHRrNkOlDm2CEkc5she/J11AKTpT1roBYqXZ0esVpc5rLevBx9ovbxg+XIE yBBZBOJJ5x2JK1tyKczXhsqxKg6ON71XBgxlu86JrP3FjqTryMpcH+WD6H898RTOlWS97fmB1LDn Cr43tXHW0dg8GsejzSdBtEj4YQwVMeFZcUTSANuuf7iFtKG2/WSlAglUW1EkW5nQiBMsfSMtklO5 //MLxhhvKpwrD11zShXILAJXu0TAua1PR7tN5bOl+UWP49sJl4aOkkeJ4ZkiDL7d7m9SlQh0RiQd ElWzmHwBiCdmC+/r37o0RbmRHbI4vb1cUqEPKc0Icq5znAS1cnTFH+InVvtyXc9c0cu+iWHOO1t7 PZkFe1xiGJcTL1FS36S7vFEJDfHcIe44og3KYoM/82CpbYEPV4Mpz1yhJEDM5OZmJXGFmyG0Pezd /yoToQt/dxtM1aAoRAxzSfzLHZ0wXdGJKwEsxu7aSaXy8vtz9u5nhQJS8nhylXHWLWs+DDmHnyDf okeUykjJjP7SMCbwkMdmK2pFHuq9HNHE7+K+FvOeP3j+SBQV5QzQpyD4CPkjOfE7SWzwwHhtVi3H +1OP/hRzEbN2mi7wgbHUxNGJudv2fJ144lb+b+bMyzbl4B/6DQBwgyPeXYPZRGhQywA158x6qXUp 4fxtIv3HO/EPET1vyEvjANz2GRJ/sheQ9Kc/StQI5NjXgHyG/Qxm4RdwQcwc/EcKdUOfbX+JddF4 bn8hp7RlwrgAW9dpZZXO2eX9vApj+Rro5/u/SnDeQkfmGw/uXKAGQhu+lGpCWh9eYL8Goxis+BsN EEsZFmqjNfmPWB2/9hx0BcRUjr+xRSlSJ2aoXByUJlOtNnQIIPl2cp+j2M+jXW907nBTfBp7hWId hFR5l/08WG7OXwvCLM7Gk4n1XEUZINrjbHk86ppi5WUsonadi+UaqNs/UI09EtEr7C+f0oSPOf+u XB8+++1A9f4TDJK/kp3YhN1SG3ZbtzJ3P7KD6t1oB7BmHZOLU264C9CRR9i9ciUJm8YNA/g9wXUx mz96FzQMFUu04H90C3nq83JwP6NjRboKIu2BEpkc2B+sHlSsqPgb6Mwb2mWwLdI+hSKkn4vWrdtv HYp4kV33fq40QjzX54jCYkcmDBL2t0C9aVlYF1KH9baP3cv11ZqMg517oRpXclFEUGzhev3lNzAv D6XbIQtA+49000WwQ5kHvF8pDhI4LQtmTJndUGlTFQPX2XSsvFdJB9dEyqLP7kreAfPSbC3oSK2t fqiAq4Q6bm9ApqF0hgSVYAbUKgR527Gf/9l023J/3Gopc7mw0MrTF9GZJUsf9bHQCVQR3onXc7j4 067YT2LwNT1GrroV6sjxsPVjWeC2xo1rRyjbiF/jnovAhwHvfmjOHkuO35950pQp/RocElfcYWyu IBkKwZDOBtCDhRSD8ITLh7p2uHc/XSoA6gqwpgk0JRYui099Y8Kimh7Vssjbm7NJYbEfMQ4PZGby UyUvyG3fhgydoyBQE1e9KrdZTnYM+9JQcgV/8LAHGWgnC1xOmeZY4AN6R2OCCRf79LfzFmvJZdzp Q+kccI/xHWn7jOl1mtHPrbPsJBI5SG9nvTZJ50XKLG9EIZz9k1YYYuVP5HEmqnGtpdZAplPUJaC2 S7Uund1MAnlJ+TwQYT9yNSl1x0FD70EZXuKiM+XyJb3dO8f9ux4Oi5SLCEPYLH9X3eX66BN+zR9K T7Ms69L7GBvtwMFho43vLi4p27Mo+PSjUlUNwQJ9BzzJaiYJC1dRPXHZYltYGG+vMYsd+bZV7iIN UigBHckxIDZaQ8dPDGBU11ELwygTpZAkRkWnemxkJcW8VSSoTOLJeuJNVTnSbhnzrG8ZhkIjrdDu 2g2YpzPQLsgEp7dKX2P9IIXORWb3RH088S0gU9LnjOEKlxtzRgCFfweq87HwJ3fB/iWgSPq9cn2O zJJ3sOc2hqvbCtQVNV62OLDP0kZB3jxUD3bCwTp8Nm3ijr70+w+84Ae/T48aCp6hFzK+HmgiBAKn zhtgn/h9GdN2CH5lx1nJwDf+t7GgVBYEKOOPPmyZ42uzfjUWFBhKEuNwKBxRu2wDQs7cDefeA4Km 0PxjNB1Bplx7RxZbHtisIsZQmaVHFwKI+/lfaRAX+JHl7ph0W3G+txjsLaQ9t6u8yPbSooWLnI2M BFCwfsAa0DkscevIHVrg5aFXSG7uRHPwqpKC2WQjIKio8COKreyBAEN35utuFh3CiscbqN2kzTnq mFuFFCZw8IiNMJLonza6NlgPbFLm3GQPnS8PSbaNufwnR+GjZoKBFNItjpLFTo8yUC96Hi0fmAoX bLF2efTIUGbOUvZ8rBKcUMNGlMdClRe46Zh22UmRzkxZXKBBap44pvYpARs/H09VdUxSvoyZbZK2 mdZhM12GkrpX2Ms0V8NeFzDKBZ5ZEzu3dxAPMmVDw3e0/EmbxT9H1Y+ZDCF1KoILTSQ8y93lkAQu zqIMMy4gfnb+qa218JlZA+trEmmxKu1A0V68mIgdUK6fdPrt/G7Jl0ymyZB+hbsb3toVWAIOTZNR Ptb5g9FkHcYhzf4Rb0+Z6sPNHZ6aX0fPmX8WasOb8ZWqL15HO13pI6nDWOqnZ9WufGiqfllbTEQY JNxrBCanctVbG53Ccer5cqTfaA5hx3ybISE3uM3AbyA76oaVzK6+MSyGmfaZj194FmProDRNfFKW 0dYIpxAz306dyQ3TQap/k8Lk70PtUACrMvN8ZvxpVOs7Ia6WCJgz9KrUDCeJo5nH8Lel/qGfYgKM uOJ/yaKStfWLHNm4fWo1/1sIowemkPE46fB3rnM9t0dU+8O76SFU0/lDsjI1MsvHtLI95NFJoHpm oDlkxodG7N5MDCTk80u00AypFh81lRuMCYCWKg0CoR/kye551psrCQzqng4Opm9GYUxL7KqcvD7D wz+p2U++rXlhO/jir5ZqpkS5RhVOjomybZMk/vx+kfUD4l2NbXxe9v0ivaAsCBJrPsprAoSMreqz jtF7l2ZVNKgLvOwedN3e8HtCXVDpEBqu0pmfd9ewYfFq1lGuBOhbS2BskZzQVUMfKIjDQ1/24G7G Fl2VyPcq07HYKntzYkvO0As6AHmCnsc3nsPFSS/L//659444SVLn0aTODO+k5M57IdfOtywLrMml KZcRDeBx/joTOo9j++AaMESDWuWIroiFKRexLXkUGlgF2VuwZNT+TtoCjl5VWifCmsxerQUetn1Y dEPd5To+qoAFFJ881EyMVq9D48TzAbKXu2Cn7FDY2G28khVZlx6wBopH3Zz0Y939s+XtcIETY5/3 3mWeIS1FLimCmGdMzTEi6/DxmtbhHTVPXm84ZEPJJmiigQOOkdAKpDL1j/85AqwcyJFgMlCXZ5J3 ZZDbETf61IGt5h+L8WLU79ObEWGmTgYyBocwmfLLkgeOf78Jgep5sdTWgenYR+lilQY9Ksl1RyUp kEIddBedXVeJZYJgFKGJqoHb5Bf8WX/DoNU+wXFJ/ErGdKTkrpBV50eZWqtg2HiAgwZV1PTvr46f Z+8EMK+hyutgUDlFjdyLuXbqvT7a7BicNv7enpBokEn2onDc2oq12J/nOKbGzgST1ZGdOdGgV7ff bT6iSakpmgd78k88cMxkaGxpSyjbYp+UFaa8SWGk43qZ1Af27nVAJh5xOkaYzh/frX7Oo3/mEGN2 7Qdqt7basPxArByMyjpNFfK5BJvF4THtlRJJFJ06ufGjM15UmkdWuxjl/EonHgkpVFadzPlK0RLP 9cnM04nwL4i0OtxtrptrQLo3IPyJM8cpFM7lN0sBd+uHAjxwMLaCwhtzb0GbYptzccM51S8PGMie 4VE92rpAsh5iZeWmPLLP7HyULcT5/8kdSLHaVvf+IuGyyomXfJbkwb8Jofp+ZAUVmkZxR4YrWnP+ D4SB+osN5oMKpm+2ePsnPPpwDv3VtYhCNu6GP/HppBp5kVHtuYVXqpfz8Hxy7XmHNehRIyyRNL90 VCJMB/KL9Q9WlRb13fDkCOE0ny1Na0Rzk6pXcZPtWXTdc372gDdCoQIsqpV3+EuPnX0ngiNpPTLS 49NhP3gy9wR6wAyKiz8JL+F4E/xMz7ba1CQkgm5Qd7p6406BRI0E9s89nOFkPE6jnvKltNgNwCWu rw7rugiIFFBT330cLNkvjP+QiWEkuUsd+tfcNGVEQLXFZS8nO/SvQk+94tDNP2OjPG9KuzvXUlfN aJaSrg096DnQEduT4Gw1EMWvTM+JeFxM1bRMhrr++2LcVhZSNPi00wLjWYNuOR44oypFa37kBYeZ +1z8kVAAVkErdBuViulDMzAwIEFRDUXTJlFEAps9lXPLpSrwLqW/osAjMYQ7o1+mftYpF4uQqKs+ 3rNww8G3XgcDSZtNlvyfq68zjBRpAc+BDL1628+xvlZOg75KFMrt84HJvntTxajam1Hn85DGazFt rOu4u/u0WoVgbTmIi6OwpB7uYLM9Nphwfetk2PuVNYwprUXjDOu+o2N1Xmv8QihP8+oGpWoyfRn5 c3HPYsHWBM6mk92v43OEyUutsuHfO0P1Zf91hNzq201gD/6BUKrgtnNq/4GV9A/Ffu5nHtZQePht ObPHevYrGTsiLn62oxw17WboExO+9A2HdfWNa0E9B/BKV+FoI+GeZ1DuaWMWdCl/0chvvquvpgs2 jAXIcdlkTez/J1ycRwUmPacu2HOqJOBGE8zrG3102azh+VmTgfoRoxzK+ssR/pojd7cZKzfV42JU KxN+rrNeWHxmUZKiO6S5aPvM/gAa2AieN4tBrAOcermln5RWAXAsb9/va00ZQLdfQFtrexVi8BPN CVNOD0uzqNel934LFLu8bd/xpuz76n380QNDCWZk7kGkmnoV4MGSuTJ6T6XItL1rnWLNEb73EwuE UwTBIhZj24VncG1VtmXQRqpWVnql2ZvhnUQhFwSNxgU1Tn6XtmSS5ZJ7hS0lUIRWQ3F/tcaqe++p W0slPpWPQfe3YnpgPOqroSYcI2fMr06dm1VpQPRFjJ3XfhOvSmFJx2gg1fyNse9wRI0U80TgGyYZ BCRi7f1VjCwewmKCW9FKCXdC7maj5hlpJoEG9tCAT73qe9Fvob6QKv5I6bJ5f7+UuUPJRCMHWM5+ iCDRhh8nPNTL51pFexneI9G9m8ER9o+u6xhHFCdbCRDU2I+nb/H4lmel4tU9+vfE5ZTPwD26tk0G 6RSEYqqEgMrZM2gJo9sbUiT4TVDmhoYjq497MRI7xeyK5CuwZRcOAZOjSNb39ez/h67MbXsu2VvS EAe1NiD0asLM9Pd0gf+N8rT3flIMLAibLzHrZ7+mJWPjSGBZBi6Q+wDpR843YkFi7aAjIwQqy/rB buv26N5iaBGnQkIKErmZJl9nEg8anYVNfODaQIFdTumpGf97tIGHV+pkw7Sg5VMKCHAwBU3rUR9E lbxLkKR228syfaWx3iQ4X5Xhjq6X48tW3ahHvZ0+bdatWS0tiXbb0AYWgpRwFB5WmRpukumVWP5G /+Ybvk3OQCq15CvYuSKA9OuUFwy+Wjrlkb2rZ3XAS05568yrgNAWvg5bw/Ja/PG9aFI9MUgSYGWA MG+A1kNI8TRnzvaNFXqN4zMHtHEL9+n2+DY1kHV2xipRxk+Xs68Cszvrat7zB0IyBWmqF+V0aNXF gj1K8pdBSWymOAQnsfpNokgRVz4YrgBzjvW1Ey066I4HHtxncwYpQqwecmKhiMqYldEOtCldVmTd a4Ra7EPmOzCQKFNAlI+Di462Ss7btgrvBrsBIE8neYA62ddYDqHJPTeAbO1kOsHNI4NNiki74sMc jUf8HghlpKhvLCxuvQaapJPOLyBfQDvD3gg938b1JRKQ7W9/hm8jj9se0T+Mad6+M4tEmmVKGu7F 0bqpMq5KQ7EBB5h1xjV3upleP7kAa67z6gziCuVB+wc0QviNW+chIPIFbQZY2ILGJ8Hf1+o+3Z3f +VowChaGyVlo92Y4CCgRNEOXMxL914+KdSjgu2tRNksT4cEfcjWt76dvODpyn7mnFLo0l+zfaVOj YGGYz/s9YO/IDlaradX8DQgoEwMLM26Tg6dha2W4nANi3Q6dWkJmJ04Tye5Z/HkfpjL4VnG7EY7S iluEb9ct1DO/w+9JuFX1IJA4PiIrzG2RmdPnDoAlUcwqbGzS3i9ZcGKE7zGLMDPi1F1Z/0U43O29 O+P8rKchvA7+2M81IrQX5KavGMgjXkUxEsmo4TJMcnHye7a+/mhDSrOexFJEdQznkhzz5PiyI6rb vpbZm1tgl9nhKRbsAqnIuxnhY3QF8xPoiyMoJi92GvU2mOvzR/0+loBJe/6RbtZ9hHR62nL9Wdh/ lL7qX78Lph0nIdPKYS+ZNHClNwAzwAtwO+S3HEqWp8zTvdAF4UJT28PiWi8GzODqYXv+m+jq0ihd w8pV7fbS0pQsjwrJVrgXlx95RxHP7HXVcGyyY2Uny5OihGV/b7+x4JvUFpt/9Eb4R+wbxAqep3Ly QRwW7OHReZaCX4tOoVttguFjDugmTo+yQJs3Xp1fo9VEEDsaP5+6hLlwb/daPw6fnyNcb9mzoW4T bqhk2s0vMcHEa/d0z+IjDgvbvSMLZB/FeOKJzjHARjBLPqzpJPzyeWR7UPus0YdImN8sAqeIJw07 qUffhRCjfLWFuvMy7Pr2jd0b2i3Tr9KWwxpMgEz8TsFHGnm9+t0RRMkw8vKiiDYsb4h1E3vpBOzZ 26HmheyKHeY3s1aVR9UufqH2dh5CM2Pngz4vfbiP3M+r1UafkzQ/6XuJ2HRDknKqzaT+APmlD4Q+ MHbQdVDER3QxyR14WgGkTkGJP8CXd11bGdBN0ou0u4E2ZWcPUSntoFLdu1p7iQaVabWL8bS50A/o CgxzggbUJUk+Nh35asdD3bvwBK5S001Qv+h1gLJQwVgWGCfJcKuCZZlMCyWBjHXxKog8WR6nC5v0 HSRV2JksxQXW9LUNkqRXSxocEkOFiebyAnaCc0GYkVGAqxxynE6SLXHMjGuN2wkHexRC9Hn5oLlF NUYhU8i6tPZTUL7imvp0GAnQzKLZCKu0BKyoK3l+rMuVf6m4tihz2fzQwdKZufR6o7dPw6oLib+e QXPxCXP8cR21rfyDZMfbi/YyLD8ikuwagCg/vPoGIRyM/qaOItD6ysm0nbB2Zfl0zYF58Dmdq1M6 MwRBDQre4iyuWSe0h+DU6XR2bPPHV+yeOjmNNNWdQLcNvc9y78kMOVuFFgXd/4dH2x/9M7UFKvbd BDmuRNdBizU+srlCwlz73SNn1pzK6FUOXdMiSEb/lNfpkSV2pOaiSDyzSMtBh1axbzEZauBTE3kq iuhNZiTa29FCv2vIIfifSJrwC7hkPqfwgzLCbHjRp4JFZR50yrM+ZUnytjvMjFYW9n+tMhdVuKq4 c3mbc+N1iqNzkQ6MuaDqyDg0lP07juj5zms3oBTzyfg1/1INPnxkRN3WHsHcVcIdjjI4b3NNyH42 RRKnI4kHLY0SbKwjJgQZtAYDTvhIV5OOGwex8b78vQbO7RhSUZ9YiVakV20D7jq5SYl5XJ9ANCKb w9brlHzCmR9T1S3ESbmymNdNDRv1YZ0z9yaPeYbLd3C/AeamNTJaeI7IkscskwHAJxkVm7cGGhsP T1glIM0PlBq4LUUq9QZf9pQeOEcPGhUP3SUYs0rLAek790QSonT27Da/Xn6EzyqZ5i2VKvyzj1tX KOLPE8D8o9Gs6/KQbFyxDIoto7KySuc9dOtXj82xim9xr8LvcvEpZIuzBhbiohdzKAKvTAATGWKR IZSJuI0Itmlqnk0qzGDKbm6BcTuXb42oZPKo05mLCLQGoTmqbJKIOhKr76lYJvc0AUg9soPN6Qp8 6bS9AmCRV2085uYSNXfzHuuKpPz9UoOhhMhJwXOuL1IAKCoBiHwwYRF3fIzZ2I25nDbdvhx8XJo+ KVSnjZKTDZv3eBJuhFxaHCsae4T+ISw4sYUAITB6+Zag01t8gp2XMcFwQfLEN5CxFSjFSeN3LltP dKv7ckzKpqrMelISqgp0MK2X2HrZHhf53BgETJfkTGMKdjZvq5rpLH8yLEK0XzC4x4RPqaggfd1f MHxrnPsDW5sYujkoYG4EXfDnk4EQUQNxgElG43TjulNEpul4vFMnz215T7BVTJMCUS2eV34pcgHe l/cCIYm5oqI7yCHyXXmVEBjwR20OmcJ0i72do61GcpVbLI+fRyHsr8YjruZphBo185qZgq4mLzy5 slnbXUKqtX6FaeuY/DOKfVw6kt89RZ2o4V65RJZnhn7/hr+kW24TpMtVDpfNqGbF5U+Irie9JzqI g6ScAJIY9YyNTboe1Yqwwa4RDDJhiFSAQdekzF4ot2tjFWN7cqtC4OUcRubdBOFK0G82lwAYPug+ TNyS/+4C0tgZ9Yd/wYraOxUoZ+og0ymoDkpAsj+Y88+reSiNwxOyagj4dX+qHaiKVnHlGrJVAsov NVXOQkQzwHj2cBAzGxf3CZ/IY3hibN0JH+oIqFJKkTY3/NQFuk3seYlVGCRZkg9LyqSNvddKZbeU ZSft1O0wwljCoXcToNaYpQNZ7lCqGiCl9kEMr+ONFExjqy1oBaPMBKG32fKv8ktj21PIHbyW70SQ sVhXlaNYQx8gUs7ohsXHkiMm05xHgU/G2kTtD1QarzWahLjPAiBIMeKugdTmrbRje4cYlXl3r9fE OK1tCGQEoWCHrRULlKY9vuZF3oU1VB4aFp7AmeMyASzNU1i6LL2Oj14bikE5mTB4139byY5YvKGa BVFw3YqW83nDcS325awD55DbYK6ivFz/KSm/NW031KXJbQJVouqoZ38djzj32y883bbqHNJEA82a 4jsY1smN1ZCo9UryfXbQvepV9GIlEVEoyJW2DGeb+Yy2yXDL+aECtn55jUhd+GtFmcHjZLBNDQJu xHdNXddrI5vBh+NEr8XfzFxYcJDonh4Iyw1OZL5hgexJNBSh8q6Q8GfwIlYMq64Fkz5k8+5USO60 iANxsuI8lS9nr8WOzKGF8+Sulwn3oDyzZL1h6oQrrj1xFf0233K6l/4b7RmvFZMZLTpD1H5C1+9n KzaIEPrL8U+yvE/TGZjqUxZ5OIOBd7LOSafkyasabvpcH+aKzqU7ZeC8EzAJTab7eGk1zGkHYDI6 6usFQAN6gDsSF0EHpXYpZnRzEw+3ognlDZ/UanBrw7SGRtwaWrFeyf6OgK6t/p+mqYLeH4btkF7d CTX+x4tw7+rzYm5PuOieSegFbJGjX7WmqTxpcVsKrxSDWYttTJuPFJcgoWcC1KeLt9Q2dbFFRv5N XhEYgV8/VGXqx8HSCCnPdi0YSQCYuAO8Qvi9WupzTk8XM39p1v78S8QKpvf/Uj8hKPF1Cuk9hqcr zvBXNcIj77Ydp6hVSrkNSfc4jafyx3whYCSN2I0EDNABYIMfdJJnbK6Pgq/B8/oNmrqANSLAvb+t ex5kThpqQs33swckZVtm3bGrTdtIy6pTfyfX6DDQARKv06N82ynPGGYGwMgWJ90RlJ75uU3NiIK6 A91MuYiYlNyAJdXZjL8H6fWim/WFyHgdEXgHQvEyTC3kdm149nnymnUpmgNIhOLFddfMXMSi52tH 81sTK8LrpW00fZL5+7o0D9ZILjarhTt5hHuvsQUoTTNnCOBjAMYhbEm9WTg0/VmEAfsel2WBMdOl pQ18hxAdLJMO/ySMoNBSYJBcWi4EhmsONSzYdjGycQwX46//XA1GYbHGNjr8wnWcB9OF5lWuB4nw wLWPyCXzOaFooImRU6MPyk7ObzN3BFJPnerK/qAukawfRjpuDmK0raRs2QMeMYYhdcqSm6VYFIZP NxJu2eiH/b9LTb333kFKL7/pmmAALpb4Haw7oqmLEmbsf7GB4jsArka6seVh0caxa4Mi/JPucnQS bTdwGqhGAnep7BoHMFPDqJhGbi6htyA/rY2Nnd3wUhj1iMMu30+OhjBX3Q2lk0jUwp7Otat9klH0 4NbFVeDHGgRyzDi0m8QtL3T00kHj9Gi0BCyvZwlGZ5Q7FALTsHQUTgz2ajBATcmOBe3FvW8yiGG/ paz+ayDqBwkZHTu3jcjkqajbZUzp402cKO9SUkloyJlf6PsFbuZhQWVqdF7i9v5+EHarPvOdRHbL pDUCWGQftHzepvWFjLoyyoB+Q6Vkx+VQExnkPRItFTspe4oH1SkGOc4fweG57ZfkgwfS8vo2g/i+ 6xUN9Wc3L+ysvujpj3FejVdeU59P/mu0xd3zmJbaeqgSEqNQtFPVtf3qYc83SsLz3uETYEJ0dWTB ds6DVqV728O+LZ0BjtmLQNWAenTXV1JxqoIIeMEM7GWUJIvKzjaMlSNnzcabWDrZFaN7NErxIK84 olmxAvAGxHwahxhyW7/LAygNljB95Ex477Vew73/n6sGomxfE3GEi+Ng92RgsBhR5TQYKckFPYNN OcbE3R2jPU2dirT60v8BA+6SI1Lq6Y/7aznkYizfrUvKjKTYD1mRE1AxqyW3rFPx3XYZmpnFhxB9 AFB/VQ/SgWZpuTV7W7PK9sVs6z20cPSZHmRSJxCYtppbyeld8VoSrxWdntd/TIknKZxYz4bfFIGc aiV25+cUO1Sjg9bVv7CbGMZWqC8auNfviPRfU/FEyWZKK+IBESFQBJY6ElTc5nPzZt01BzuOqZvt VvyQQgrRKmYVxJ+EYFeBznXQWZraveOk13/aCeH7MP+iZ3ppvJJ/C8E0H9GgU5ReEGgHRFZe/bJ4 gbqpJCa9NJko29VKsCy6pC3wKsffJ9xweI/kLPeCg8DTTuX/ZulQq7NZbwAex3iUEWGZtAvK7Y4j S2F6BlL2CXn3vS7dPZ0RC6uu+Oy8WL3DVEtWaJ0+DlDlJ4SqLKnqbN1WO/s/RbPy7VT9/sspTvSQ dgFjpZo4ZVzKFGTY69NBVUFCZ2k2+uCVBQbGqfZspx2bximcEplDi6+1C+oTv6LO7UD9ki6I2AdJ Y7Ts3m9fU0IyR0uzIvzEw1SqPo/ZfsB8Z6uL67a2BAFGgCI4UxijREv1FvXZFscJvA3WP3IhKuFM CavinKEAQAAvfKfZ6KRb+ETRUPksRvDZH+R0QJnUSCqlW0DbFv823tHpCI5fUk/GZvkI0LQSr+de WEcFXv6v9HTi0WHeo1oypUKVxWXpgeAocT5qA3wLF9qL34GKy9tbMhJwEFCi3szx9Pnl30unrBUX LJ/9cUL/nBImbl5kmuoHawi9kbO4QchYct9bkXRrMNgQBC+C3fWi3cydd6zJgy/Z8wQRqJyk9flo FIieCQABIgKKntSI7019yjv2Lk126TVx0GZBpEUqqPrLoH3XfdnSETbWGBL+bgyc8y7sYPAa4glo bxvFKReBAwux9xajeckCmfuLxUYJvJp9/gOu/XPhySa7BXziQt2CiU8dQ4cdlxKnUDvXKtFXoU0b 13ZjpvVdcVcRYyNEvVdeubU6EEcOPIqO6CqZ/u9hg3xFXFvL8AycLfpBmktkqwrIwr1IevOB2y7A viHTrYaocODePqUJaA+YGm2pJ3lew7ROaTAMviI9FtHAFzYOG61o8CONYVQmbRRzU6H6W8JFN6JH kvGbTNrhimok/xxq2xJfsbNa5Dg3t6FOr0Jm6GsxAb3xH+bRfzN3Ih2qRpetZ+guoaXQqJEPYdAH gjof1+Nyhky07wEm+P6GWtKO6oXvoUTK236Slxwiwx7stqFzjCNVsDE0wrgLNgsL6UL5Tjch7hqY tTaq825rIOaB6JBae4yGkiah8vg7r3AjW8zCl1gFlkHZ45RoBHp32jY2kHAvNsANyc+pBgSoykDc JqV2l34ER3nPsxRb5Xm+KEDzSHFF40dTVvx+SvCvSPLMVij3Rl7tLceaxfcMGqfsP/HJd8JVf8Zb ZZve7A5wAs7MDbLe4kmqfi1u08RHhB8XxGsFy8aURIdXN87XvXCdA1jGpJzzh4NlBwZVUtF9xh4p ohdvdrY2DdYSi58fkHc4hbWFgdH5LJwPFxZ4aTZYO5KWYGcb4VvoVK/ZRXtTCzdjs+dxJ56q1lUo ZL6h26MSemBQSUbgnN7yERQdLz3vE2iCTzYkrqjl9Luy5WvLlfbviReVtB1ajdazJZPyaeFNOel7 I4MhEziK32F/MqeMpcgS0zzi4xVRl/yzGwmkHd/8Kg2U2FApYbyeUbFAWQHaG5HniPVVv9QOkOOa fCi1hOOuFWIdYfKrY4Ly5y5StoYfwN2obg5FEINj7CqyZIE+5Ntcwlz8XHTvhQIc96ONsSGMGLXd zOF+7l6hQuh2KZnMJnepPuQg6cUdroXkZCMEl+ckyG+S7fevXnwqmJsrzaJOs7ubOYPHxhHajK2t wgNc0HAKfvlZAuDzK6XUphMKlo2j20DQH4jiwgHIzqcrsHI0qeF1tnoXu0uI00RkB7JRMDR+QRDr NxSk0Uxn5bcJpKTzJw/RHEW34IROi5I+tchbbiBNl0M8ahKSGcCgq/G+braYwbj9Gr+IcAB0i8a4 GmwsXWvBafrkiP3ue0biK3hxP35puoVGDBNOtKxT99W/WG6PEXgKgABo4PuJ66U9pxa+s1bOR2UB qLk3CquIInQ+j4Z3amglnEyeulNPYptGyHDJU3szitatA5Y4dVRXnwJzdeSbpfTMVtB0bjaebcdE h1ktAN9LitM3fvx/YTwXHMkWnM5omHxPWtIOul11+qtVO1VUgLfqBsuhmDok+vr2Pu/hDncQyeKn O+m4ae6SPmoNLTVGzOlPcFplwvBqL+dbbPNCbfc4IwJOQ5j+/xHqYi5aAk2EW0iRK0gjxmFz9t1h 3HB3LI6FX2B8Rogn6KOsvKmVygUopapJmUeOK9ERcZKYG5k6IbVgU+9y9IYAxFxYkxth763FHYoN VOgSp2XKwdx5PbW9m19W07o98/bJUWxnY/C9HAEQQnLIhTox+EZ/VBZbLVAAD/kHE+IFKrOKLzze FpPM5KRHqDoXz6aXcuzR0YqQfQFamSQ+UDmwnCsTPRPVsdBJuihEYS18gjbgT5i/yDlvWXWFBGtb cwfLJuHyK9euJ22W33XbqmG+uQPNk4hep9wQZGS7DxqWEG0J9AkCyIDohS0gX/+7a+54ruQIqj13 fKcP1GZu5GUPPE+/5pBfF+7LPqDM2YXhrCn8rE4kPtbMtEhljhQHSe6/FlRbrHrHimacS6BycbqX +LFL7UD0xCEqsW8k4EpUszHcT/qnfIx2sXw4t82Zxn0FZWZjz7UI7+bWgjALe/xZFdiW/+s2NZ1Z enxyMXWnPdxezBmkn3Vr8OioXqlvVQ001oLNYiPfV5C/reyaCU+709uj8/u/X/C3cDmVCa25yrwM ngQqXYKjv93VYrdZWrw2YW5wUoE5P41Rbgh8zqHPJXp2s0Rlo29WBKzXKkXd7YiXSuAX5ctJJBGt aWWzDTj8/NbM4tf29gjfA5Gdccix8N85ghQvf0M0sk4B1oJ0kvEC7Jqc6n61sUxdx15gRmeUZCEU bQ4XVvJ/iG7KEikw7eaXzERGrX+bGQpKVe6qr9jy95r7bkQg26Hd7cNPygncFp2GVUUDDmJk1cEC S+esh1iPaYP6ooYeYbzLwIvUk+spJ9kV6r1Z1wfvhk5CUNhet8CHq6CwZ+UBwtIIBXTxVUWeR3EL zD/gf21DumXpqPklIa9WDkOPs5L+7P1TNQpgdqzpm5zkn8x8pcc1ybM7OrgeKa46RS3eaClVqOxR SXGLLvtyijkSMXIKuQXFVZLAt1PdiIydgWDpDoB/V+JY0jjqzkl/0Sj440uhnrMcFhp6TtNMziM6 yFlGFw5obNPLUlOSqg7u0IF/fGLZ1jVSqRLEb3HifW6w/LZqWhwF3gKmwk1fCXRRQkYAZRgdX0tA C74OGWC0UOB+fB0buxKJrL+/nxgT4Ih/n2ZD1SwXlsjzPSN46WLqImSlDNAl1GP/93tNmzCSvZZg 90Mf7zs3n8ScM3VYDWgteC6Ce4qAR6EGreszL5wOMWAmt2tjPR/PvIIr9Emhhs7KgrldM6iDF1JA q+pmDcj+L08lKCk8J5KQgDqwg0gaF0rP6oLQFtW7b/lxhpvwSl+13X+B0u6VxU1hFa31oToV2Nww VcpSygn5DUk9qvKf6SRAQ/NogV7bY7VKZyyqakChkAsbtg2qiFMpzylWrUVm9wDGEXBT5tOS0Tbs 58wvfQkppHepRHmm22r2U5AWGTeqOUDk4z0vmsaFw0mm7+VTUL0ABCU0cTDOfCP9btsy5Mg3C0GN W0Fd4ky875t1EuY3bxotz3ErL87/E99k0zmMlaC5dIN7tA68S60XEkYLEDjUVJYzt6a6VVS3g7UW 0wicW3K1rDznSNkiDkiCORqPxiRTZX7lFIMKsuDfYBfzOeAdhqTagVgaJN+vvRVfk5if2Olxpt25 Z3mz0tlSqjyQkbw8KfJlreUwXYaUtjp8avzYjFzVrU3XyWVmuxqdpnSNAI01HrRN9gO2Lb9AGJbo m4vfcBuk0vdChdMlCUWWGtML4ddCdo+pMdhidubpx2Sb+aflnFSM3sWWXtToK78n0tEtBejLvcEK QWf6nU6fH2wwHa7o9zeC3/H4zN9JqGefzg+IoCAY90QYS0mMGXiEOe7+A6cI8+GMuxlpe6sIu0iV rGKpewauYNCCAghj0CJzDMLvblfkIwceYUhbtmB1XPz/D4/gRNl1pBJ8d0v/nu6YbRdLm9E6dIrc AgeuFgLq5isM5g2dROJNX6tlC/yjBU9bsCJVu6rRJcORBYWSYFa3VyxaaVBzvdx3SvX2Ipza8Zf7 0zf8UsZcJLkh9koztSI01Qm4XwLHcf54iG8EpDH0b6CavUB2aE0fOooVC3CNXqx1UcLOjlAtJBSd 1ppb4qRm/YXKHEVZ93He9RvSjzu2MjEAJIqstt4SD1M1ZhbsNwxvRExJWbaN4pbbmh5UYNuJUiKS 9KQtNEv2XQ+u5UP557V2GC3HqOM52vbMpApogg6Q+oasjyZJOf2RGt/SYDm1xJVztAoK46sS9Nz/ U96LkbQ7wjkKm186C8rHzr+dkUP0agKdYWc44RaHB04zgSzCVSVuRE44ZTUhSt4VnEBXlOka5lxc Y54vyVzKFKmQxnwT8RTX1fACtmYsdeoSBct+iy+Mld2ozeP+dUS8qxRz40bUeY4hOFT9HFybBNyA EE9fcljBoTFsKgWHSuQYq1cOJlBruWeyOIUksIKJhHOD0ywJqHAqmYLPNA8wURZSelQn7UwCxlWY Lm+L20peoVmxsivwpTqHCPiVnhScpWMh/HJPYw/XDLQhK7oi1N0Gl+m5Pda2RRn25B+cThxYMtgm HDWaHt7T1fRWurm1vURXFAfL0G8oe3ZENTuX7iToICebAKUBpJr4CQW54mjX7nqytL2kXq7EqtPJ aKr69HOQ5e/RX2Ckn/Mbqa5XseCg/NuDFHmAfITVu7bJNgPR5cWD1vQgbj4zoTtMO/j2p18/Oel7 zi9FcPCwWRbCY8niPsO7oYPnqrQhIy8BgEtLF8izkqzycZUEJlX9hu76gf6Tn63AyLBoFjHPoQRj dsqVitKJyL2YJ2+UaGx5rEnU2ElJFbENYst0iwZ7QuC6XMt3UKd0c8xBNNJC2K0TNMbdCP9AsOkr tDp0UnfAeTuDCI6mNIZXwPWJupsMHBzrYaHT7YGGxshaCAASbv6GYOqGO2nW9hV1szh/F4XHLhxP cGJmNBitkuevY94mX6QzTmusVSsb5onT2H625DKW7PIWCPLO1LG5fWGLFuCkPh/Ntzy0V4g8ekhw fwUGCp2aexQrfrmAQ09h3dFYMNX5HVSSomFWoXr6z1gR+n5VBTkHy08EJZFRveQrmgFxrTPHZVCZ lC9icO1h5RENC9tCHvb30eSzMQtoARKzGMnZ9bgd28+p8NNmS6/wKhZXf+ZoNWXCtxW/Tgpj5qlx c/WmyjRa3k9+3RKi0L0ulTeGVNFvh1/bShwqJD6wm3KNaFUUZ3VE5y80gskCD+Y5eBFYZqILa7QS tMGeeT0K7dtQXjii8kCbNm5qkzd++i3wTs98DZGYlNfZ1D3ZgKjVGQAUNpZQvb2SZo9AZN40WRc7 Jayz9PFPTUoIt5DVTsOuXs53X493wCFUIOF+56l0tAHUkhVh+X85JUpFH56pA8sfTbbvirTsAtJO LYY4+2m9YJDfe0qlWOSk5lgz58/MRA4o2QddyB5beBZeJDNFI1RKIOJYdCRkDH7aTVGQflOnba/U aJyQTxYEXuxPyQOcoQ5lM1HbSUdsk2KOgJhD0XExtZzbH9m1J3KPE2CRuL5bF4X8x1sLBdeqmtkv JCBGHU4tJdaszf58X/qFklpnF6MwvaSFZ8w71uuk/RXC+9LyPQ2z39wMm2wUdSAg8hi2tTUBEJLJ XWbcLufL60QnuoHUbCr1NNB0SOa0Jtwx17g7tGUPyNNn88hNe19iXR7c82U2V/Tb1nrUM1huNg0V 3Jxx6BmjJ/WrIipsV4J30p7OxEtnQwf16lmchG8AjI/3SUNjqUbwi4Rq3aAtjbrTzyGqxehjeQqG VFDFPxVYMLer6uVj5QvRuFWXPCx7RQRgBe+6zoqKM5lQdiHlcFGQ5yD+wDGppP7k8edaOg4W6but CkEr3pROqTlRWlRenkIrSz6ewvpJPxRwoLL5JtBXjedYRyXkGnymmQGfPBvBRmNbsTudqBtTVlrs Vf1lRLSHUP9iGSSjOnjaN+XGd/8zRFXDmqju+Ce2hotYeT0Y+7rg6PS4algMpCsdGvKS3SLjsup6 nzMdPnx2MYJxKM2d/SnobcTzx5E0dAzVQNlfWkDknlPi5ckIh96NSLb+k3bBStYAF1sAk3phL48+ AwAeSQWLemP12bwFPbNEqR5pz+kIzRNRLUu/Y+cQXFKKj66Tz6XaOVq8QwtJLoYWPPIsahiLjV5r 6mXBip01tiMlGTTQ4O4XNCKNOkoNnH19yAPTWN0rXEt0okHqNQMJQATI4CCQ0HdWtokR2IV73w0Q sRGaODHzsxlwjPlhLWO7XMrOxjV+I9UPDr+vp2ypYtdbGo4UL+fodgpNOw6kw46UeDKDVU8cHP6I KWzGkV3R1DZLvrxEI52/CinZib6KqmR/cPg5abZ1AQqyJwvE6IOb4p/D0xALEJLxx2CWbKEmneIU bDi5E/vWCMWs43BSvoE69YP5yFktqqI4sfyFrFn2YrJQ9NXUlGKlvSkpNHUHc7ULlAM5c/Ryr8xP BnOcHzrFKuKvWobd08VOJkzYcV+8abMw6YatlXvTHYUTGIdfDyYqV0XwUrIYMDLTnQW3ccuCWtR3 qTZD2JPYZ7oidHwBLNpFXb5dOnTFO2uJ5Zwte8iOPNl/MrWzllqKvBWGvY6H/TAm3TiMfYexYI6p zyMkk4qvYJXwQHxRdDlJYKzFr9ScHEGvvQa6GXe9AWUQ4mKItrl5NyfDmDBHDLh8xIK3IqYOzccQ PyfY+1kMKpATflsBkz7iq+hOdktM3XN88fXKDc9ha9El3YXkA7ziRkuP8qjBEt4dXwgrgDAWXtcX 9aeGIR37Pc011/voKno2lUipHBHhLpFCWV4yB4QO84U52EJ0GBLwyn+VnRlwOh2NbLFkFNIwKEd0 igDg17xWsV1qPB59Hu3SmyqeO8KGMAi4WH5YahfKKr0PrHMVc6uLmp3hqPDriR3J3VoZ7o8u3NC9 fhU+9SE2fY6SsjgRI9XN16G1hu2X4zS/ki8OZ5CHpxACa6t8UXjAIdgYt1UypVYRi1PuC/jPDowX sNZk2B/AO1juB4CHNnYL2FV337KDAGlDCCjtViofQQ3+yaxmLo9tqWEcpTzOqpdrvt6WAboASwch P3aOAMnsVtNOB/I2SDuz27zpLv3xWLve2lbrzjswwCisX2wk+x3QVzwU7NM0f3z1nijgnWdKWF5D zyRd6ILrfKkF1K+9PGjpicTnPnmcqnoZTf6O2Mn9tMco7PVuBjUQmcnpjAGj/w5v5Cgc8TIh3reM Zz2RL+D/07f2BRXtC5RaX/RlRmaDHpjVlbSyN0+yZVN+Q4QFN3Bvgq1ZHouOU2rIZpXc+hFNa1y2 vU9dQMCUfMzltbqrBCz3ayuQVfZi2gQKReVNlVmFYon8nUQ7ivl/RUKYK3kTwq+fTlPqcUgmFV9w Mwm6wf5uWR5aOCD1IfuKaMEQBNl3pY3aLbN4nzX9DN9w5t4UQ/OrfniJi1jZjwrdPmDotLBljoka JxVnkrdbwukv48TTE7JiwW9dAjlXURThuJZqXpip43FwQrIQgSTV7t4Bm5GWxBR9zpJIfdB9EbMG 0AcVNM0eYPr3AXt7Eys+vda04h/bQ4H1kA/AdKSaZuHgT4R1IiITVM2y396r6l2WshxvQhJQdcP5 Ebp0zr37vZ8zAjLy2jv487RiQrbzDy+7rjIz4wV6cQWqWDgCMuEaJxLLMl0SW7kAoxarBojn2rK5 zlnq4vSmVwXO10RvePci//IBc/xwpsAW2mbRSwSop0vl1WyYoo35A48bpFGx4++GVma0rJJm2L14 juTtRTgTJV4eOdsaubHeg1++4dSLiIUni+qqhc7yOF4jVBAzIDa7axZPitdxy//COQhA0KEdaDzk r9CBE0Wl5Vfwx7KMXW6RfH8PpWygfyNQZrfJSMpBsFpfB3Cqajb6wqGlnAhvZNsNu8WdKcmknIzR xNFjXAwtXPpZsEcjJIjm6QkCHaHPUI0KI37alg0qEjjX3fp5OgqPXdFFVN1YlI8l9pOtFYqzWzBH yPoo3TKUXK0+QVv3LxQ1isIdbr7njWY+uy3klA5MlNRn4Lt1nCyvgC+RVUA7i1VfI9/kel6DaKvI pN1ToRiTbS01nIPNCcMsyEJUShh2CSgxwB75pG0SToiG/gfgUOEdX8BkJLxtwuN5d8g34eVwPK6g oXoqKjQ1LJonIHGTdylIVH7iJbs9NIMjSqtq2lj5FvScprHNfOIg+HeGRNHgy1Y6hXQEMAbi7kD9 qjfICYe0BHBPv2C16s74EEiRhZBhTUK6wQ9M/7lP/+4+CflUtoptOIAuVYnzaG4tTEttg8arNtQU A1iceoSEBH+6Gs8jmUYLiTUqLzGATRQeVkjHDpA3uQZoXC1wyjMaZNwJ4oyAurG/9k1oRYE9B0MM 8V1yQeAAJK3s14MvS0t0xCoW6sKehwRFtFNybZo9b7IXS3AX2/Ptoz4haAbxQHMYKWwnMt9TOlkh qOare/sCU2BLy0P7NkuLN74SDJGS1rZ+fvgZL6dLNhwKPoco8Z5y+l1t+oiRNoZ7m/2jz/hhyx4U 8jlTRTEGwKgfQWRJwb096LGxegpVzHWary/gxIxxhN4TBWn4f6/fSF18wokJOxRE2RMFcbHPaDRl nOmdgqubN1lLJPMS0M94MG4JJal4iLik1G8D8XntVZahc0+gQs6ZATQ8pakVaJefhh6XkRSGO9Bc 0M4ED14grJJ2bWUfEqydh7vHgcJHEO53+FJw0SPmx0VVQCnEvodfiAV+A75XNXcCm1AkPmx0Pt1h SHNsQ326ywPF+ovtuOGJCvRfbH8YRhZFMfmd0d7fFaJpeX4kOJmoRaLwU1KAlrvBRd+QcoRXT0K0 pmKEhRatIUjBPSnGqpC1OlVpjg2jepRZTnK/rBEw4Mg8gbEFfMC5BwmsFKvhR4zKXl91FH5ZhwkV Mo0UzguV/07nZ/HbToGztZqWviAO6NjSfE57ysd4b4xgBeXzESz5btI4DWGS4u+jd5CXiN0Y3djN 9RF3wG/ymXjGDY0c8WJcPb0fTcXK8Pm5GyrPfzX7rCq18wiGupDFVVrRl54ZlX/jzODXQ3HQUx34 MfTWD2THfaxEnzXy737tv2/2+F31wgW3QGbsIIGYBFeUIE4A+YVbTK8L+dQmx+ThCIUmndKApMCT gjcCh4XCLZBkJgKuh1HebjlD/7uMV+MqqpYmW9QxjF+gDADXZQBy0CaDV1TvxZ8dmRHF1D4KiYvn hBmdYjt47gbILirQA3t0fJk9/OaATltLI6mtjVZDW2Z3ee8T46dqzGw6GFZsXd3gH4yG9PULulN5 O4cruuKO9Ed5gXKPidToXsaYvT8JjkSMfUMuiWUXr532sl2if3u/NkMJek5LfuC2p0H+JXi+4V4n Gqicfprmnne8AdhsFsu5Alu/2fMq/DtZP2gb5bDLT2oJZuHlmiOxEgsKmi7zmlYIDaAotGbJP0U5 wIYnevSmrHEIhvuEIqDuTcQqXzP/2PqeEKIfV3zZaWvuMQZn/TrE46HJ2E3QZs9c+q5lN8F5rLAE EK91uAnE3pR8QLEMZVeCGnjevkeNaILDnWYZah4WxYlkKtvNV9wWC3VSiaSDx7ctU0YyP1WERwfN Fqody3otYVf5k7Mll9FDV0qUrOgJ4+b1lCZlxQUY4ULGTmONtNOlf3GmZ2Wnavy36RrdaoD6ivpt CkoXY+3RTEtIoUkoPv3fMQKmNRx74SVtXa7ZwAah7nbGJbbEbil/dT48dDUD8N9EWxThanB8WJJ5 +VXhtiH6CxEoi5hPqU3O6ZXKvb6UoicltyalnH+Sx+Xi242In9tcFluSG1Xa01uZKbyD/WYkfLT7 5lVGXnMCuEMW066fAygCI4/P4ZKXyIm/eTD4LaO+xSg5Gu3uiQevDF0uZHiDIa0HJ+dP3m969bpT U1BXIrbxYDBzGseFi/u6L5Pa/MyhpOyjpAFoPpxLVJ6ym/uUCc7a6UVfH9REHpVk6EEbOBpKSDVa ralZQZ8SVDiXf9inRoixepQZJBmn1/K9IvHVzvJGu7bDIu8sOylbrdCjnpwgVS5oM0GafuMXxtei 4ehL9Ey2AAwsDGFdzWoOvQU25ZKti44jglrrAV5PNTJV8K7PYpRGkFeTrKJBtiI5bgLkbwfJPSOc SNKkVWAsCjmrxwdORg8Nnzc2agNK4PxeLFdLK/VLlId7bRxTpbgQlXMaofsWnnGOuK1E4eIkf7F+ lUF82oRAgSdw6lTskybN4EgVXCpvFOzpbr3Qcb7w5ZViOwNbwgXNcweypPBOjggW8K831iAc7h0d y5u1rImoKnhUgQe8qHhIL37jhOOEM3OTHserHFIQMGNWx5bkkOzCF6VcyssjwgWJPVOGZHkqukAB vbKZlA8VN6anGoXaFTSKWFyRagAGaXBQtzZts3R2p4VqzOTi9I4nnW9RxgvB5aN5cDnF7s7dtKqi R/FKgdoP28Wm1NbzR7bFfMTOqd532jvGsk7298c9xmDPgnYP1hOvUCu+EhbWHEfoWiaHeJlUQ+TB I8ufMzOPZSR6djxe+X8sW71HY53pf9TTBnGGCgz0TWUQHV5Az/pkIcWEMbLM8Io5CMolnkoDxoGN oC72nFWY+uYVLp7WG/Ft7EMWqkWOLFjRgNOl2fU+Ibz2R1AIR5pqvdGoxtQZDyoN81rfluAmuCO7 9FNOALUBCszhVqvhL0I9MAA4wHktL42erkpwRcviwgqWe9eTNEsYtxRddDujV3wn0MvcgKVIjHvA v8PJfj6rQZ8WvKollODVTSrS1vNJJ4Box9b9rK3YUxEZgWn/Dpikph2i78v7RCfPf5xhHbu7fskt EpLyKBanbZC0YULBKNNuDN7tFq52x1/MC329B/WLPPIOhDQGfta/E5aefFWmk7RVmLvIpgVpThq0 EMNpImSoTiucRK4zZG2pyLJ3Qs54417zOHSo0a7E0z/alx3Zdx2rfPEEQh+QjemCR4DsCyEksQn5 p2qQPJZC+6Hbjt2If4kEXKua1AKaZXKXobGoBRJZCiF81KwssaM2E/1Ahc4ulwED8P1eU1YNO2uk Gr4M0sxa0bomk9YcNybqpJ1LjtFGCvAxGNpbFMtJPmHCo7ZHuUr+JP20xKRNWkzpou12ybuZrCqI FsO/93EpPaFNA0Dz6z4khPclyAFXThzp+RByS4fLOSObzAkBBIWvKX0F68b/R2IATGjyB/KM+DCF 7Ws8+RD645400lv+yFLi/sIMxot0Fb9i3xBX5Ao3qOSWKz8P2blEI5DX5XaT8iSjfrsCcp6K2zr9 ocx6BI1qjmWPQx1wVOE7vUkUKihnsnnOrRhUj9QpNAeqCDJJSp3WCh3lBB250zXs6cwNuplsQo+/ /S233YfDcHh39E4bUEH9urHKsXMYB91BLyFoO/YAwYVgSgXOMyFuZa9/YN4DEcKG/Rcg5EgCjRTD LxElPLLdnxho6EI2F6D+2G3oCdrGGFtnk7cr03Vb3EiSgKWjdrPIN/+GBPYg84i1wV3Ugox9LYJx sI+4sSRmx6IcwowSMBaAu4CcKHEGIa5HSuXQ8EN8gW5fGBjz9TAd0/8vhknyxGWVUeDK1bfFvRN4 RpwSIZjESNWGL+fdE5IYZu70U0AR6uDrfg/Idnvc5WSzXdMEbFdMZp7kksvWCw2HDNjlu5/XcMoP DvnPXo+4dUWilTTIhfL6iU8sy60YSqNQO2rPsLMXmLMWWXwYx77s6sHeT9hJrbDrruBZkNAZ4dXy r3oMQ0Izb9rAUworAHqMDzKSzBm3Ss1btBa30wAqcDuoHKJK9rFmedWwnQBe9fuJkojHtOEQ6JLw 1BgXRQG9X8UjngwliisfBASblrN2rlpc/z0Lq4lMg+fWanXYy1QowiVhdO0sNlYpwjM3ouGfZkXG 8VgSCC2WtEzCer30GjOjZGULmvc24SPKfFb0z+lwPOUIqzxE3wlBwsvuZ8Jg5pDoFsODfwhMoaTf E9sopypuISVLXrhfEmJjkBPUIbwpk7CsYUJwWYmFSf2ktXNXZfm5X1HhGAs5Ye+CxRP33c0ATuxb UNTWuzJhoyNKYP13idYCItsyHhYlNT4UFseXXkBMI+WQPI9C7TmlbUUWM8oyBd6F1evfcK5J4FK4 tmvkHG1foZylBOChaUuAlMahZtZmAU2kp/a3o+Wf6dzgahn69oiNc+3VXOB3Nliam8UAD9DEg9Y1 4cLeO7zXk4hWryLs1fg9cOiqOAX8kiAsUbw4t5ewXXKpO5xTSol0o+iL6Gpiyn25EA+aXH2/UwOO PaKM0IqzWSJATgvxeRXh2WelJo3kRsmHR0uTkGln4eezEc7qVOadRaNRUr40kiUXutPUNlDfYSWl uDBotDSrQKaYpqDnAb29XI3Dr16LOCB3/k/99L2Aik12Hxx9EdUHXXe1+Aorxb0kyqRhMKJdQ+uV hiMfhTuPhvEMVYM1q3xY0Cbn2uhGwkhBvTFORD820KEbVRbfOcVaMN+78jQJB8u73bzeCW9tcUcz Cuc24tD8AJrBRj0mG153T0vQ0HobJ1kk76HvQcRmqOgaDlQr0vAyxR+PbPphP45VfYTgOPBbbvY/ QJzaDd2Wd4ijB9IVOqIGmoTnR0wsMr8vUHTycWzu31w/ZFFf2TXUMOfFMib0H1AcLhsQtjXxFWzt gmXmgkGfv/lcOd/5VhNoNkepbkkVvCEcJhpGayR3n4kYKIguZEDlBjOK3G0q/Jkhua5HvN+3JP7h UHYE2eb0MCfB1EaUpKsyFAKaGH6Z05NwumLOnyNjlwVVW4C1qeAkCjTpvkeZS4JAGJAFepwHYP73 tyCOdOzMOR4ew7t8qx7PfWGDUxM+ncDfjWowWQl7tX/W7hkF0ttGlSehYfEONHypH59YC8yJmKUQ XERuM529ikyhSOMpDjBVA7l/CtvpAN914J9UpL6zZjKey55jkvY3Fm7lySRnrmOhaZkZF3e3sIc8 t2una4sQqykDuoLh/ZkMMz82MCIMnnVBJP2ERu1eCT6/VlJ4wdIp82QQa+5PzVpokoFAcyzs2e3R V1jySuLWD8TW5ZVuHqA1AGrNP5Mg5u7xgo1890XjXz8ASC1Hy7Ki1KxT6ZKNFRDXo0HAVYR0nTfA 9lYJOjdN+x1e6vs+ezOHW5Kkd3W2NdLADrKI0kVgUFtyhJfBKq1Rcs3wTte7AtyYbVOQonPbNkR8 0z99FQCpGfrWNvasPh4HuPVMzcpKg9nl/Fp9d+bzeqIYWPmgfrkzWbJnAJXq2Zu3ys0WK6zv4AFL cMfBgqnlRnJ5cLUxXTk4iigA5I+qYLWevkbjSxsC8HeGS8ORYzvCZc1qvstXWXnELIMzU6hkafg2 GaDw2EPJsxSApdKTdLP0jBpzFhS3/iu7Y2UErPTHRT1sR6fgwJSVvkhpl10Zrj4EkXLqZ6UjBIl8 dNeC9fIvHSUHlQ+LAs0U17KQdoNtUNDLY2IoiUK3sDQPYHzJdSAWRfyF1hud0PvQ9mSsZcAWk7PU nMTdzT9Pj0ExAUV/8FaZXW0Le19JsCVNAmugL6i+q6obnhWdwIucDq+wsNuI0Ps2SB2pwPR6yq9M G1xnGTAk12FXInpiPBEpN3mngMp7BLmWv5wxWhstPCbYF2x9OlWiJJCuzBi/mZPxOiBVH8w/e01i ubzqXwpx9o3blCqnAyIv+6Zo3BWveZNYv3iD0vgxchZ4dhVgeTqSRLF0EriO9hOPglioUYHafDG5 DpFMEs4GffqAPop+YQHK2Jqlh+6XOYvwm7URl7zVP9A2ie9/3iPigvCrTCViFLvIoTQJRsJlpryR s5akFHPVDnd69wDGdbRHWNXD6x0a8hT/6L3zO0exWmuOktb9jSMoWwj/ubef1v1Uhb1u8WTSekGx CNO0nEtnbqNSSxlTBO9S/wxPGIxoAJkfPaumNFQIsJBaNRj7UGDJbXjLuZnQFhaiy8EGchmAc297 /eLuMLpKOOA48nKGF2E3eLymWT8tzuLcGFiP5PloST0SFemW6AvVW1Uj6kbQhpbkHHO/xvSv6TZq /bPfBeJ9n2zDzcZ1F4AoKYQuOWfTSgn0BEg8y+7NhvWFSoA1OGr8Kfb0JOOVprlxqdL8HCVX5ZeV O/DxhNlUMsUuu3VcWlBCX6h1NtLhoT0fPSFEn4J76d+Gc8M1vTBqYFvHAmSc8XilHqr1j8MLLvkz RigsXBB554uccrpxX842wcpx9rGgIKdC8uuOuOIxfmGJrYY7qBtqyViNH8CNds3tsqkldLSO9Tkq qnSH9Zc/aCVTiR/ssLwAyNzcAGsImSEZ6eDRdIyvsIUaRuTv+x1sWKyBNmqi4z0/98M02xDCBAi6 OueZUbgIjg7kUlyjWKEglJTxta4C315veYeN+5FzGAD2aIsTl8KmY2hfGKpTkGCy6nDjzWTPLKLF p6Qgt31dIdqhKyzjT36c5PY8Yw8AJ6dOjBmoOivq4KpVKCO+TqJzbzA+5JOoHUq4X1en0fvP3w6i O5uqwynl+J0c/BPlbc2YwkLWbpu31llXrtXM91OYVLKvBjcLHcIFqr70mJXSt9KjMIqtQL9+RymT fu5eZRPNndkUHis18/Gilacf1q04dAh87td2DgC6Ovd3TavxxXv5Y1OWDmahsnSke8DwbjcHXS6k UaM9Gt2E2BWdTzs4dWZuOhKl3ZoH/ssigfLWQsKonj9YU5jPWAtGVk+YL0kxLkFnH4xBUjFBTrAX SVUcDWb37Pmjydzg3S+VwV7b8IFDRIdzUC9ErXOgukj/ErZhnWRh/alcmFaBzAq6smRgeJShvtT1 Z3+Wii9qsWzIOcDmywiBuHPhhC4GSF2O+QMuyRsqwPjCtLk9JQLGIywDZ5Zsz0nS5lisgrNA+UXz UrZrb7Ay2cmrWnL929wKYp3FYZ6qJc+UXFSleOvmfvTmeZcRk11JEF2UeNosnt1GIXcRk3BgnK47 9fziKSQptpnMoMHe9aVr9+pQnEld/6PL4gwmzYRr5S6rGgBNBDtAk6LqBJOdq1O3rjFve+VVjep/ m3q0yM0zb2iSqyDL5QBMObpcnj4nYy0o+f2FOTZDj4rXlPHY2YZV50d5sKzDFrolegfsCgBqFdlF yLzlsrvshkaf+t/QzpP2WOQ+P+jZNRHEtt43wU532R7XSg+USnLNN4jx5DjV5cXzPRaTkWasKOP+ yE3CAD26PPJenWv7HEsbqKM4Q+Cg8vL92llSnrayHefp0YZ9t/4S8MsB0Tt3ez7w+0HRZWFiiRJo lR/XjGyo5+ckjTfwRe7jqO5dAbvCv/KXkz6/2hcJD8zu7NU4V6kbDFxAwMOG19hSjHHZcHP0EClD mS9DMLiKGBzrYbvwWrNyrqM9wUqfq/kF5BYQAyu8W4C6y7un3sWdGX5LvB7d7x5XOwFApc1J5Umz NXL0iXsZf2YceGl+ljn59Wgsx/3GT4AfEe4GEPFBAmJ33P4NVp256Ipx/yIeFVox/cWwk+93BHVz rwme8De4BiYCWmjO8PRq+fxD4w6CaafiPVGJ94Y6rjAnxBNvyMbXIHAZXn6Y6c8iUz+j2goIlo+u 1p4q9CKlclBiSVraS/znJRjU96RTCh5p9rU8vAojFvDozD4TqrRAStLn2skCo+lT+KCtkPXEJewX YbSZT3RUYr47EbrBSAWpDLUzq5PZdHwG/FZZ1REX8gQVrab71n3cOpCEoYFmgBuljisnHsdBtyK+ +SiVcjMe770bbwIeLynqQfqsxyLOoHBWir70NebeGmCG196tRLHsmDv7vEvZdOsswy3BVr4qyJH3 lVmfhBmUAgIOrJ+sP+J4Ps3xC48kBAWUdfLJPB3uNIhRmk4GLhF7VXy586IqD/8EPSeSMfZwffhb WUrCNfarAWG+z+ZZT//7eekFTskJawOHUJSo5Iaz7L/w0TmM5UL8rBhm6h+01FkG9P8JnGaRuo+g GRCRu+RcLylGl1UWCz8Rsc27TpI4U6uKejTyD3btr1z2wZPKRt2xmHq1B1m3HP6+kPpRvuipdsr0 ABdcY4fHXY3ORBf3oyLlQpg1XV7U16qwaYNcLtr5PZlDb+ECdnZmE/Hd8LufM42Jn6Kh35J2GOLW 6CUlBIOOZkxGDAvVhWL5eYPfqTGzU9dRtCbTnohnaHv2ybltt73PGQVcLtPr/CkqsfhYpVne42Vd PMhMVR1VhC2ER589fi3VwWX6JvEjfITI/1Oj+yFHeIu4LFZ3W40/0D0ZzCC4KCgVpG/asrloND+h g8L86p7kIg23ey3kpq8iaM25k+4K3UsGXprKHPdFf9ecgbxwKud5R3mKsujYUPSg848fJEpc+QDK aWz5mazBFevMzNWYSStjZiyQwkUgTY1dd8SjIk2OtwHP2oHyhvWdiG5UAF397YKbgFYMklvbazpK 20GdDJXTWwVZYW9sld2PWARs16TSHgKdJzmF2fPCIbk68gMQKFnuYpUNFFU48jOVPoybf2EZ3KLZ ceApsDdABQeZ/BaTsDOdjEhMHY7r2d+Rq9nu9dl9MfT3c6GoqLQQekCrPQT5qdU3O7c0+V7BuYS7 1/G93G52+HXKPfusZ2zHCudzG4lwY1DqRd2ZzZ1K3RDBlVjWqmf0JZoTGn3c7+xkm3CkFcF42u1I ubiizsn+O04fG+d782lHeyc3yb56hKFzNUUaRtg/Aw2mCj4rGQS5P2IqDi0CkRgToJ/YCl6KoO/u my6Hh7irmNq+Gk7TkxJCJ0kDRQ1biTO5X0jUu+FC+gNSWd0tVN2byEusq0wJmyxbz7xGGA+E20VF BmIDbJ2y5Q8KZDRxZWTJNh0TgDKeFaFGIqwg7k4KqArswesMyYjPx0OS/H7GxBI7wwPUh8jvVTvx 9FT2sbxM+QbPlhlgjRi5SBtdmGkEk27tqr799cyLphD9451fzDKSTWLz82UPkJDyTrjkuS4WYlBp jTPzLDs2DMYZd/S+6hTMyacqxXcB5n++ZaTOFc+zNF4/EWbeUGHLlAFRgY1PoDOe4Ns5qP27oe56 T4+c5MJwXb/VlH3kFY6ruWEHH2RsSlbW0FIYLmk/oOJDxSAia0kS8aqr72p8Dgzk/JSJa8k4Qi5T fG2a2Tc6orZLG82k8d0vjekqiJtcuzoXFdJiM0AEBEA9i7PKR+F/CRuJlprbIa2kdpQwuVhawT5u QrCVycAOVbHOddEHtIyWO8dPP/mSPOasCmPmyC2DltdAPvJpU6gKXjwetRi72qNS3UU4C5wLjfEc JcVNacGOYRmC++gyuoCUqIw44p9aw+VMj/384AN3xOSO97xu4D/WwY0EL9/ZpiXcQkBkZzm0W5i2 CteF61rzjSP+FTiYKTutGHolf7+thUS9X9RA8DW5E7Q5RnBGvMtkfaPgHRrWclyebsPiKSRq2jt4 3ClFIcgkznZlUNVl6z3lJUCbkXIT8sfiJNDL/3z55YcKQ9C67ruOh+xpmNBg9J6iU6Nfp+edOL5K kPZIHqqoB3O92T2vvXWEm3QFuEB6SuLh7I8G+kZkt5z8O6iLNc7/oap/63KKzBdjlTKpWzbOp6fQ m6pVr4fnQPrdcmge/5YvcN3+1eG9L8zEIB1Dx6GWZei74aUoFRmSu9a56AHVXj0om/tPlhLtBHII lVb6i8JDJ6eiCNTcroDwSt1w4BfQUdrNlHqJxtn0Q6Mp2rcIwzi1VEPZGTEQut3tP8l+2H0hIpd1 onpZbiuOn3L3TUvqQgf+Ttddz0IbE5GEsV6zMm+HzwbKgyIlxQMl/3ZO37jpmI4IdlWNwz80ViT3 qhaLoRlsmKqEdoSNOXCLYIrf/bU3ATPVgXWsIkI9a9k8REBSaOffBSXGg2fn5xztSF1PdZvkkSjj BDsOWHDhs0NEn/aHptroQxsReBY8ZF9YXaJdfwgfZ71pGC5QyM1Y1c5RTsd2SNbJ9+7gio10QqYp NUBtIMh3hdDF5OgZgI9XNbDbzGwUk2FJSbgfuIYUs8cEfzvZXQXrMMOMzapcSDEoRAv1+nQFBFOP E9kxlOR+ZS9vP4QyyiJRL3CwpqEteMbBoItPK4bddH9yOOoFyCjaDd80Zmt1f6jmBfCI4zSWi+wh XNgbSemZItsxPklHSteSF/vU2Ab0J8kiRfUz69u8hY48Gon7N5IAN7LvDhcIKrdzkmdmq7Xc/ddT giiRBvgnkMVK/pNieW5R64OjfRtvS1MXLdwE2cBedYHfR5dqyWyXBWJIXsij7fu6ifYfJfMtiaVN x0Uf+yJJgxagXuCP7M9qwuxGkohwK9Tq0+bzKB+Z4RaT1X9v7Aya+1uN9NTw2WxUjI1iP6QqpbYm S9JDVoYiRs3ZbGEhQW1EzAdoa5hoG83Rj7EoA0zGvl7vG0TQjWzHS5RvboEy3IWq402ixqhWt05g UQ/9Wwnq5CP2sAhPLbeezl0SIpvWykiglJirRXFasMEp5+71NeijLd07t+p8XpBcksR9kWU2NtIk Jqg7mjPoY8ZxEWR29zGYIM9EfSu9Wl76hHymyUxluzD/t6xNiwSPp5cTZmWx7wcFVFaYv75w308T 6wSWNO6kGAkF9B0f0TwMlIcR095XPOB4xnp2iSglaaKw/SggnzWCI5Nxwe8BcTBkoQef1wk8l0k8 1/JT9WYZEoy+59GcJNly2OT1qKtaSPGI3dHyoIX/5qFm6eMTScY62dcK5Gi9Fwyuhanu8tBQJqux maTlUtCig9bbcCTHLTXPvWdrROT0ddwiBbd9WfA7UyVa7FdEXasMCBLHh3IUMnNj2vv8BaNJW2rv +e3AtYm3r6oW2iQ3lewOesdlUYjzDzXPJI5lfOYHEYAcR8YyQ+NH5S/23ulOrew8w07QevPtFjBd ecHSWfcwNCD+ASiPqfuVgWkaruVGVXyINEkoaWth9tdD0Tk2wbm4JAAQa6ckxdygcCVvARgI9h+R hmWgwj3DV2CahdCV2eiDEZw+oBQnQz1tXqBFy6VARBy9qXCwTPlbueqnWBzjHmisGNjTv19A6bY0 sUG+wMd/yqdmNJ8RtAKKuHTv8I1l2xNwk4lNcPLOpIjma9lVJkKtym7hza5QQi4IwruN5koj0f67 2frhCCt1XwK7Ly0QQ4FD5q0J97MP3WPHDdHbPFZMSO7PkHxIxgmkPaW6v+8BctDSjLcBP+15FVz5 2QC53KdNrmxm8oF73QTaCccdFQEDgh9rVaolwdrUgAvTyfaUZgoKziT7ZFVSUH87M2n7m43oWFYG ZNv1aomGz36fZtxzyqmjeb2cMID1Kb5HLuN02LK4O4WHGMwbY35EXHBpH3VCRgWbGHe1YYme80L8 T5dm+hkzWt5XAqtbs6IIoQY9u6YGtKGblCOTyUkA0miuhchb2WOw07KAf0kXQKtSislC8Rte/szW LPikERPHnwUdrRpRgErPktpOaGi1pc85ErGpwxISMCPiAt2myO1SebQOSFa0FhF73JccnKJHLzQf VnR40bhwUI8MoWcJrsnf46rlFaHSQY5COMtV9YMoEcaAGb3jCOYb9hnwnQM+x7IZjCnAeaTW92c/ N8SZpvLe4r+hAOkjNaHv5SvTs8cHnMvkrYc02sJXnevLoQ/IQCA8ThVYX9MetGR8UH6QjEanLR+8 u8LaLTnli/U/hdvMZRXSZGmu1Ytq2gQiitVeLfYWSvHyXmRkWjm2HEMOwIoeQlIqfqNhG57ZGjKu Sh+5rwfEg6vV5NZca80VCzzOh66hC9YUDnWENkZXR6f89b2+PCoigDEySVvKYkdbLLNTbYKySvNW uUFU6Km1MgJLJYuW0E7ahFbVO50QTMi+L0CoubQzZawwa1cDGbyiSLHrSQnf+LhhqlOIKe45tFAn u7UjODw6zfSb2vqEXwbBEqlSIVBXwDkBAO1Bclurd/HqZD01EMfd4JSaIOW+8ksDJ1n8VkiCDvhI vlOrAnpvBo8ma3BtO0P7/Q+ubprROpe4ZREg6IpNK0Js+52Zt4MoFltiSo//sGjdvC+Nmep58A3E x3WX9ZUy4YLziR5WLFamjTZB2rIEkaYkgcxKjLq0RmVgB3uRbvNPPm5r0kw5HfDqhbDwhxB9SgYA 0X6MpM/kd7AL6C+tFhK4lf4MdyAs3Wjy/Px7lPDJ0PoCEmKilrBoa9YWPEXw9uO5t+uoZ7xwJVck iRN+chclGRaKiJowqK6oZ4BzMcGxgxly0kx75+SSSvLiiCXj/UBKSYKJ708740ezE67XsdYuXzOB PhVClqSs5AfCMwTfo2NRxF2N8iCQtT1D00yBgc8z5f029sKWI64JS5PivPDEXBcbIvcKYWFNRbbZ BcNjiCU3A0TDLdUlpUohTVWKDpMPShQDgQkxGfLpDxIqctucatZY2ohdLmPCAkcAZ/ggNyMYilrw oX+seRWPSpRh+iZSUlFnH430HtNpzw2gPB6wP267XMrJbYNFtDtUbYrBobTgSIDHc97kqQdJKNu9 npvdZgyLRvE7GRgUpnFUktuDnUF452t0NscF/3hXZ0Huyvec6qRmfgsNHef4bCetbhkFfCApzsNE 2xKQzDreLFOdiDla0Bb96rw6kaGgD08We1pTDBCGbOCiGmhKNGgscoykt2N87zOCh68ZWt+NrWCr geydNR+i+M/S2X4351GmSLs1lGfcgXQ9Up4aGCB32mDFn6rupE3Ph6cHfuHsQeh8v5ak2olnjdEX j6yCtW6M5Vzp8xQaNm9H+oJKaSmAiYrCflcOPAGEO86B2UItTN38CIFLDvU/x2baD5z7NFXc9kFf D1a7/BDgnCXSaECSdQGPhkQHE7X47QVZV4qgmDn8dFFeXqhI3MWPe3/U+v53mPI2HX/kcukeaFuU T3SziFWFIdQOBLBh0HoEkMVy4IyVGVfUVSfyNY++cbiExKk/i79Sa3DgNcx5areliZpDc63elUXi 4kUs5/nvb+LKKOpAUXl9kM9sGrnjDiMZa+PBPBKrSKsgEVcQ9+Qcnm3W6/aZhDlAIAdY3MQZlNpZ t3qmKy4BnpOcqOsNHEnb32OeGaT2cpN3nDqRgEmmY51motxbPAAb/9doffjoaEJp+C1aD5HiKRrn qLnYAmSWOqH/9iHoJMncauJVIMauuaa8CsYESi9pVOx4kN2LiM82Fxzfu8QnlqojGPJDGYWDD/Z+ WBRG+AiN+d895UK+B7np0D4zAaNLI3HCNc9mR3ldqJScHHv8KfhVsuJXRYaa9S0CKVj0K5pMGa3M UoBK/M4LjhhkNjw3Kw4G6jMIQ+rKYZsSZOGABwl/4NllatiSobl3D72M97mB6inqSAn+lXtYXNeE OtCVWuUEANTFfx35RFyST1FAy0yoGmQ+uvR4YQRz558tT0nJ7ayxcjma/t2f4DPWB2NQ2njJDhRO fbooSmQM+hFNOPK6xq12kIg9W+A7OC7o6S4YtBPqvMYSlQmkoT7W8EaF3/u4pfnwgBFtU7M87HGw XtYiBvbF7iW73gVWudFUFd9AareaI/XBIe5NrWYPblShav1wcBBiR877hkVbnzbctkTUJfVPjU8O Ng4rlNNubAGCS8jvF5rYWxlemXVv6lWGCdqCLmTFHtXbWJNqR4TP95F7O7b4e6oIONOy63W7tgl/ bj+AST+tyt5OHV9gLr7xNnmH4Yh00RZ41d7nmm/dtEq/4e0GmVn6uZ7vJV4tQaGCLLf6ha+SlNEl lE1Ewx6rWKzHcriNg5kSSYZk6W1aEQGRnFOq2G4ry/YT8fMuK+fz4stcFsTjsmtQgKnNAXNYj9Ep nTvyMmc0skjDnlhjSwKWUN3qbCdGBQTOtIwmseKf66oCQQWwffPeRrB6dE6yYdxzdpY/nD2+IBCE SkKvsC2iujkKT87JdRlwqcxc3RcFoyGuo/BL0SpkaTRq9ddK9iUj1zYGizw2JPbc6n8ZszUNW3z+ amM9KtienWr4wk5jgPBdQa1JGKDmw8nw0q48mmQDJvkBW3dE80tipDl0C2gZL1EEYT4h76bSd5PH MhYXIZJ7qdDnsyZQtYUi6BsMMdXg0fcXZLLyg0iOMKfkC/3xB6W8rkaAIqozotO0KCnH9SmIRsPR JXuC94y0LolmWj0dK+f3pTnTWkuJsJNJAARem0J2Po6+U23PHf17Ac+55sM5fh5w1PpKwKuyft8E OfjIE3CN+rtuhzG3kxIxF4oyhASPKoO5+QbQWcBbEMWPDMPNPp3vwAdplZzE/wJB2oWnSpySKV+v 1Iiwvtyl1ejXb0EzKsgBMHCvEXG3AothiXr0M7v/OKsNX6ORv1I60B3Kv9StI/Kh/ZHe2F/evaIa pAjTlZwCSY20kKpWADm/R6PK36zkizKkXjzbQLDj1MDyOTjDRT6bpu7h3bzdL0X6UwgXsSKQfvOV 5AblGVpkrSa94ViasF7KHMqF5wFFmFRxoU0ExTV3XgFbZJPKDKVn4tLGj98P4EdJ0O5Eijei0wvr uZPd/B4m/sP0UoOsHRwM+DEo1S54lrm1IztfzNgbmMWuJeASj6uyCvsxQr6RGh2OdoSNvKfMU6ar yPik9yXihEhU1Gg9hZVvkVz6V2Rn2/tkiXtcaD3FnFPXGE+OEfuoLLfKxw2rQJaJuXWT3tcRI+xB 30chustzliH+U8C/71ASSuYtev+FhwAGq29XXLz8Mqw+V+J11YUHiFvF4U7rRhq0maF/u/G3zimS 7TB6PKBqS4XQhjwOrzyJYXjbpsw= `protect end_protected
gpl-2.0
5c66143b33d2a2c1bf409f844229d262
0.953634
1.821978
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/clk_193MHz/clk_193MHz_clk_wiz.vhd
3
7,419
-- file: clk_193MHz_clk_wiz.vhd -- -- (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- Output Output Phase Duty Cycle Pk-to-Pk Phase -- Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps) ------------------------------------------------------------------------------ -- CLK_OUT1___193.158______0.000______50.0______236.796____297.965 -- ------------------------------------------------------------------------------ -- Input Clock Freq (MHz) Input Jitter (UI) ------------------------------------------------------------------------------ -- __primary_____________100____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_193MHz_clk_wiz is port (-- Clock in ports clk_100MHz : in std_logic; -- Clock out ports clk_193MHz : out std_logic; -- Status and control signals locked : out std_logic ); end clk_193MHz_clk_wiz; architecture xilinx of clk_193MHz_clk_wiz is -- Input clock buffering / unused connectors signal clk_100MHz_clk_193MHz : std_logic; -- Output clock buffering / unused connectors signal clkfbout_clk_193MHz : std_logic; signal clkfbout_buf_clk_193MHz : std_logic; signal clkfboutb_unused : std_logic; signal clk_193MHz_clk_193MHz : std_logic; signal clkout0b_unused : std_logic; signal clkout1_unused : std_logic; signal clkout1b_unused : std_logic; signal clkout2_unused : std_logic; signal clkout2b_unused : std_logic; signal clkout3_unused : std_logic; signal clkout3b_unused : std_logic; signal clkout4_unused : std_logic; signal clkout5_unused : std_logic; signal clkout6_unused : std_logic; -- Dynamic programming unused signals signal do_unused : std_logic_vector(15 downto 0); signal drdy_unused : std_logic; -- Dynamic phase shift unused signals signal psdone_unused : std_logic; signal locked_int : std_logic; -- Unused status signals signal clkfbstopped_unused : std_logic; signal clkinstopped_unused : std_logic; begin -- Input buffering -------------------------------------- clkin1_ibufg : IBUF port map (O => clk_100MHz_clk_193MHz, I => clk_100MHz); -- Clocking PRIMITIVE -------------------------------------- -- Instantiation of the MMCM PRIMITIVE -- * Unused inputs are tied off -- * Unused outputs are labeled unused mmcm_adv_inst : MMCME2_ADV generic map (BANDWIDTH => "OPTIMIZED", CLKOUT4_CASCADE => FALSE, COMPENSATION => "ZHOLD", STARTUP_WAIT => FALSE, DIVCLK_DIVIDE => 5, CLKFBOUT_MULT_F => 45.875, CLKFBOUT_PHASE => 0.000, CLKFBOUT_USE_FINE_PS => FALSE, CLKOUT0_DIVIDE_F => 4.750, CLKOUT0_PHASE => 0.000, CLKOUT0_DUTY_CYCLE => 0.500, CLKOUT0_USE_FINE_PS => FALSE, CLKIN1_PERIOD => 10.0, REF_JITTER1 => 0.010) port map -- Output clocks ( CLKFBOUT => clkfbout_clk_193MHz, CLKFBOUTB => clkfboutb_unused, CLKOUT0 => clk_193MHz_clk_193MHz, CLKOUT0B => clkout0b_unused, CLKOUT1 => clkout1_unused, CLKOUT1B => clkout1b_unused, CLKOUT2 => clkout2_unused, CLKOUT2B => clkout2b_unused, CLKOUT3 => clkout3_unused, CLKOUT3B => clkout3b_unused, CLKOUT4 => clkout4_unused, CLKOUT5 => clkout5_unused, CLKOUT6 => clkout6_unused, -- Input clock control CLKFBIN => clkfbout_buf_clk_193MHz, CLKIN1 => clk_100MHz_clk_193MHz, CLKIN2 => '0', -- Tied to always select the primary input clock CLKINSEL => '1', -- Ports for dynamic reconfiguration DADDR => (others => '0'), DCLK => '0', DEN => '0', DI => (others => '0'), DO => do_unused, DRDY => drdy_unused, DWE => '0', -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => psdone_unused, -- Other control and status signals LOCKED => locked_int, CLKINSTOPPED => clkinstopped_unused, CLKFBSTOPPED => clkfbstopped_unused, PWRDWN => '0', RST => '0'); locked <= locked_int; -- Output buffering ------------------------------------- clkf_buf : BUFG port map (O => clkfbout_buf_clk_193MHz, I => clkfbout_clk_193MHz); clkout1_buf : BUFG port map (O => clk_193MHz, I => clk_193MHz_clk_193MHz); end xilinx;
gpl-2.0
aabe8aab0813425bee8b2659fd97bc2c
0.571775
4.251576
false
false
false
false
YingcaiDong/Shunting-Model-Based-Path-Planning-Algorithm-Accelerator-Using-FPGA
System Design Source FIle/bd/system/ip/system_axi_timer_0_0/synth/system_axi_timer_0_0.vhd
1
9,447
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:axi_timer:2.0 -- IP Revision: 6 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY axi_timer_v2_0; USE axi_timer_v2_0.axi_timer; ENTITY system_axi_timer_0_0 IS PORT ( capturetrig0 : IN STD_LOGIC; capturetrig1 : IN STD_LOGIC; generateout0 : OUT STD_LOGIC; generateout1 : OUT STD_LOGIC; pwm0 : OUT STD_LOGIC; interrupt : OUT STD_LOGIC; freeze : IN STD_LOGIC; s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(4 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(4 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC ); END system_axi_timer_0_0; ARCHITECTURE system_axi_timer_0_0_arch OF system_axi_timer_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_axi_timer_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT axi_timer IS GENERIC ( C_FAMILY : STRING; C_COUNT_WIDTH : INTEGER; C_ONE_TIMER_ONLY : INTEGER; C_TRIG0_ASSERT : STD_LOGIC; C_TRIG1_ASSERT : STD_LOGIC; C_GEN0_ASSERT : STD_LOGIC; C_GEN1_ASSERT : STD_LOGIC; C_S_AXI_DATA_WIDTH : INTEGER; C_S_AXI_ADDR_WIDTH : INTEGER ); PORT ( capturetrig0 : IN STD_LOGIC; capturetrig1 : IN STD_LOGIC; generateout0 : OUT STD_LOGIC; generateout1 : OUT STD_LOGIC; pwm0 : OUT STD_LOGIC; interrupt : OUT STD_LOGIC; freeze : IN STD_LOGIC; s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(4 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(4 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC ); END COMPONENT axi_timer; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF system_axi_timer_0_0_arch: ARCHITECTURE IS "axi_timer,Vivado 2014.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF system_axi_timer_0_0_arch : ARCHITECTURE IS "system_axi_timer_0_0,axi_timer,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF system_axi_timer_0_0_arch: ARCHITECTURE IS "system_axi_timer_0_0,axi_timer,{x_ipProduct=Vivado 2014.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=axi_timer,x_ipVersion=2.0,x_ipCoreRevision=6,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_COUNT_WIDTH=32,C_ONE_TIMER_ONLY=0,C_TRIG0_ASSERT=1,C_TRIG1_ASSERT=1,C_GEN0_ASSERT=1,C_GEN1_ASSERT=1,C_S_AXI_DATA_WIDTH=32,C_S_AXI_ADDR_WIDTH=5}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF interrupt: SIGNAL IS "xilinx.com:signal:interrupt:1.0 INTERRUPT INTERRUPT"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 S_AXI_ACLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 S_AXI_RST RST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WSTRB"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RREADY"; BEGIN U0 : axi_timer GENERIC MAP ( C_FAMILY => "zynq", C_COUNT_WIDTH => 32, C_ONE_TIMER_ONLY => 0, C_TRIG0_ASSERT => '1', C_TRIG1_ASSERT => '1', C_GEN0_ASSERT => '1', C_GEN1_ASSERT => '1', C_S_AXI_DATA_WIDTH => 32, C_S_AXI_ADDR_WIDTH => 5 ) PORT MAP ( capturetrig0 => capturetrig0, capturetrig1 => capturetrig1, generateout0 => generateout0, generateout1 => generateout1, pwm0 => pwm0, interrupt => interrupt, freeze => freeze, s_axi_aclk => s_axi_aclk, s_axi_aresetn => s_axi_aresetn, s_axi_awaddr => s_axi_awaddr, s_axi_awvalid => s_axi_awvalid, s_axi_awready => s_axi_awready, s_axi_wdata => s_axi_wdata, s_axi_wstrb => s_axi_wstrb, s_axi_wvalid => s_axi_wvalid, s_axi_wready => s_axi_wready, s_axi_bresp => s_axi_bresp, s_axi_bvalid => s_axi_bvalid, s_axi_bready => s_axi_bready, s_axi_araddr => s_axi_araddr, s_axi_arvalid => s_axi_arvalid, s_axi_arready => s_axi_arready, s_axi_rdata => s_axi_rdata, s_axi_rresp => s_axi_rresp, s_axi_rvalid => s_axi_rvalid, s_axi_rready => s_axi_rready ); END system_axi_timer_0_0_arch;
mit
781906f6c7d73b15368ffe4df0362fca
0.676723
3.312412
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
DataTest/DataContentionTest/Instruction_Memory_TL.vhd
1
1,981
-- Company: Team 5 -- Engineer: -- -Timothy Doucette Jr -- -Robert Mushrall III -- -Christopher Parks -- -- Create Date: 14:26:47 03/31/2016 -- Design Name: -- Module Name: Instruction_Memory_TL - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity Instruction_Memory_TL is Port ( CLK : in STD_LOGIC; RA : out STD_LOGIC_VECTOR (3 downto 0); RB : out STD_LOGIC_VECTOR (3 downto 0); OP : out STD_LOGIC_VECTOR (3 downto 0); IMM : out STD_LOGIC_VECTOR (7 downto 0)); end Instruction_Memory_TL; architecture Structural of Instruction_Memory_TL is --Program counter signal EN : STD_LOGIC := '1'; signal RST : STD_LOGIC := '0'; signal INSADR :STD_LOGIC_VECTOR (4 downto 0) := (OTHERS => '0'); --INSTRUCTION MEMORY-- signal ADDRA : STD_LOGIC_VECTOR (4 downto 0) := (OTHERS => '0'); signal DINA : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); signal WEA: STD_LOGIC := '0'; signal DOUTA : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); begin OP <= DOUTA(15 downto 12); RA <= DOUTA(11 downto 8); RB <= DOUTA(7 downto 4); IMM <= DOUTA(7 downto 0); U1: entity work.programCounter generic map(PCWIDTH => 5) port map(CLK => CLK, EN => EN, RST => RST, INSADR => ADDRA); U2: entity work.instruction_memory port map(CLKA => CLK, ADDRA => ADDRA , DINA => DINA, WEA(0) => WEA, DOUTA => DOUTA); end Structural;
gpl-3.0
ec19bf1c47817d6c29357f4eacee717e
0.614336
3.335017
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xfft_v9_0/hdl/pe4.vhd
3
53,533
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block jN7hHfrRmJW5KB9mnsA69x8BDaSkeKduON21sKcHMD2q9EfP4WixIgHoHp6eM5T3QTYxi0ZbYFQB Wd4jjD9SVA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block lMoldnKreGxKx1FxMP51s4sTkIqTX9IwoOvSPlT6E6B/IICwemc+ah2keUHXB6a4OtI26DVd+mow ynhzVr8KNAzmWH4aM0dMh3a3kOWxRheULtt1vZsZIQKbWZjDGRlZMqoJSxW7ZdH3Tyb+LlGsATpW 9LQA2ThXvHWaA0wVndY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block gQe5jWzM7w8fB+ZGKGZxO3EagQ/T2+zMT94ryw6Ef7ibx8TQf5Qbd2gkujGutIm4FxYATETPDG42 AyLviIEZV3ajJTGn8odYYQZWgImbNOd/+sBocnpdS759cBTtCAAr63r2TL+FuLRGqi3QGCbKuWPn XlA8D1iMJHcdsIjY/PCBWCpbupdR58KhsGJPPjLV2tSKjqbzfTvwwr/6B4Ceh9uyGpgfQHFfO8bY cvoPHov32y4l8TRPiHgoiYkSRL+K0WFnm6D/Ot/ga3Ee6X6oImbN9vbVfEZfe/4Z6W5WDlloAy/a 78kD/L/phl+G5lntJx0IqwzzUmhASpR+c07ijQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 22jTaECfiDa2Rq8pGfCvRc0V2bHx/njcHPI4VsLGjRe5bIApu8knGNH2slfPnE8Wl9ybR8dvLdUF yac0WDKPB2yhW+LgwPXBMv3E3Akva9gms7oXY4naA29ilbQB0NrhavgFZ3fEWccgvvcloZWtYUdD KCbK4xwKcq6GFdbdb6Y= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block e/Li+uzx45qrCu2xGq34C9QZx1ySCm/4yq9rPiyuT/FZllpBj0wCAt8VBSNgOqwkXbwk7o5jdJCX I4ZLho09XlVF+S1PQyOgSYNs8hQ//KSPJ1rimwi0Xf/IaMERF6lS/ght50ne2H34N5w5bzYSHtHz E96jorCDWAj6I0jzrrT7bgTwK/AM+8jAXZZd2eg+yXStm9/0YJBf/Ej+rDoy9HgUsiog17RwSDzr kaXbUFkKxlbgbkKike0+WpbfV5myIe7UmFaRaSXgz5uZWCqePtvs25SmY8Paj/w6cDRQ1p8Q+Hmx 1gxLtdy13QLOvpenu6jlQWIuQTTQBJUCscthNA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 37888) `protect data_block s+8/7iDu19tILQ18Ha64j2ptYD/XplgQIviwmkmAuWJEtkeMvLfzk1Y7dCqbHriEJyr06s7X7kZg tbVSaF5he352Dth5sRHdAhnYtiCMx5ClnhVRoN6LDHVPY/GUrdohki/c4pgc1eD2blHO6ZXXs1hy 0EOQjSRouD5PfXv/f8gmqYBzRYdPaoB1/6TuW344/rXTBe0rWDD/gmyj324Cy7rqBKC54Mp7JgBE aFQYdkFwScbS17LIBhFUOy0/BJgtUrRcjKPTzHBwEelstBzzVzQ33J71OlOGibOlUpwDQv3DfW65 XzwMhma8buBoidsESedt1/HSEiVJjCnaFT3vPmTbD8FN3Koox92B/x/uvdBmLj5WzUrPSw5TCgqX u2IaB6rewhkQns+5t+cSSS31SNOqmb1/RHthWVa03ieBjoyGS+hH20N3sexQxEmujz7BZOOwWY11 WAsewh2ktV80KSugXIL2CLnnhsw3sh/hr6lrLy8TLmmBlVQBA/vS29PQZ+JRhSoQN+rX5A/g/UxQ LLssftuvGizNdC2d7Tz9Ro+E3oxwZXJDfLxlY4lZIkwhladvY3ARgTtN+MCWVGQeHdB9j8YbOgod wVnwletMMFnyO52TU+C9Jqj9+K2Ih7cizp2fWw3j7U/MTO2MkBuBMelW9o0R/LJOuDLzhZ8vyYz+ 0RBHSRLRI9HX0ctjVwFBLcURW/ySUpvD//i2mTJGkj1qAubEqq7LEM/l9Ilm3t8kjzq7XHkxeyM2 x6oNkYmU0h6dv9M3602eHHBy8zZUVBXz036YoMFYshDCRavOdp2ipGUiZ/9FOESit8x0M3Paesbw iO/5bK4jF7Xy5TtfrDyT5FqOlpvgHLWgyAjnNoNQvvOPEtzd0F9nVZ4HNLlBk8jGBbo7xcS4S+Yb ztHn5IIsP0VmaA0vGS/XAfPAxuoSnomVB3v1E5vmcZ7Q5lznd1mbmLV1yJ40EnTR1/S6HxFUMomG 1Xt+Gag+IO1HITG/J76a9z1GJ5RrdnzGVuXpjk9XUOXSFsZc+Pf7bscUnbjm3NIC/ZtPpXT9/FpE gwKAFkTgPKzLkFGhU8hrr11qCgL+2BZ2nSlJ2VkFFEabxwAYsSGhDgKxymltAelo18QOIj555kzJ 0vyWUGlWqF+U5qOrp+KBteqdqwm8r5QzmDtAgHyyCAE7kgx49/tRaIscgGRwKP5VE4AbpfvAK90/ t2z8A0m0ds4L9ROuyBsJoVty6TxQPYY2T+wvJJpWYszodEvMEPGno8jBAam34TKzLMHWMA+RL8DK zoLP2wtuYDzpHf1LkcbKxJDxziligILs86YW7tcLu5rEMairogCAxXc5D69sjqXHTuGvbXoRwcoV 8Z/M7UXwlXIRxpgXPS7BONCyVJnmkOmoUjkmd+2zxob9NzQMDL/SDXWBGQP5Hv92nhLUHWuT6qRI pgnXUbH5znrwI9MsdylIqZQkJf0JLorrOa8h4ZjMexXeayDBc8MefazLKKoJT9YeF3fvWuCUcik5 SX6dCJpjHq002oio3Sk6zzM6T5kxfDWxbRFlSu5zZ4UmyIy/9Nnlwk8hQ/nrb1cCTkX9nWy425en WIcNe2vbPNn56YjdjLUVfc93OskGbU5lQeTkcsYz7q9CDzbEGyS4kcZk/fIT/Yk4LfEpGnQTnKKD 1KsQNuSLx77l04rhZCJrnw973ImEiTMqPYiD12XiSzvzXlcI6m6HEnPGRUOL/ch/VgK0ZEs1tTe5 Pql5HhNQuWv0xKWX1N0mYHPCgVswY5SDIXwiAu74IrFT+OLYYbwRSdmrt0tWtCOOgCxcVnDYQojj UEpWgZYRTgCVKbNtHerTDIBzwvLYH/TG+TnJEprp/r2eqQG4RL22hMolETveLhTEhRb409zQGQCy 7as/bgG/Rx/qKWdDlRIMW6uVlqaDslC7Lgr5RjyDxjyebKNjfYxo/ZZQJ8kJqwLn2OkNuGlCwFqu 5vgfW7XBAq/Rw2KerME+LI9hSRbyEyW6kOEdXhte8p4gGT+USwQYFkl3F8fkxIqj8Iev1m5D/rSB ZouAX1OjFJyCz+TdF9NqBkIZhRwC6+MF/IzStC/ONDdDPL+LfF4hHyJd8TUaX2Z9n2zX+KnKWjZw wlahClMsbbP0uHDhTftRSpVV9m7lPKKvxoxkeHg4tjv3RB/zsEI03f/KqCz6n5sl0pBxRMNpwlZH dZmvBY/GvEAgRyaXZi2jaPQZqqMJh/3GCFSc0FBMMzb0oo6u5ksd9LGVZOWEeqgOEm8FSC9eHPDT 3UdP+cPeL112PTZEK3k5jXiW2ADis6fWuzCqz5Uczi+0WWcwlTT1i1kRCcSSeNcMbum23iXDFBz9 8hceUlsr5WS+UCqpGuQh76Tx5kJuGbGtdsWx95ljY0sIDWSMfzkhwQnh7Y5lbLwrmEV3okGm/LkI UfBHIzzgIPrFUEHUcd6OnD1cFeGbEXIOWqHrPOhQ+OgjcVckGZ6ivcrSleNigx1FgGDnaD+NoCnC ty43SIN/swAamZXnedY1SOIGkAydwUWUP5jvb+ixISk29uiJQORbbd18+CBbz7tlvRMrjFJe5DcG p24C2KlPrusB47exZbpKzHpPUqp4lhGdjKvgjCEhGPIyUHHN5dD1ig1ID/1xXw9hShJD1d7Sh5FO IloSaSRbctwfPlUV7K2x9tIWN3RPiGHMaRJATR2/KzVeBJPPzIGCUrwUhdACkvOf8zERBOg3/Dtv ytAJAfO7LNasaeHrTxR5l6wQuiyaPQJ0NL6avWySKAyhTeikrsFV0nkfdLKZZLD9Wam1BCOW24Tx YMBchAyw8BT3WUHaX9HIaoS+SCeaX73JMKCNbiptmZwSp8QYSGDkLE+3Mn38m9EqbF5yoUNOrmKE 9rPeWYLRFxAz9A3doZ/60gkOCyWr1WVCKwGhsAUETPwx1q5yGWgBtjCDOqGoz00KYUZM6HbNwq/a oFSZTOHWKZgsPfHH+HQX35BIVqxzo5zXP/9Q/EoBysb6D9RJXpZ2/T4wf49sXSEZOpWYnDQoNwj2 n6FpudomXOzbXCvYjMcAAGKinmCOHcT+JKTxs7RmnznalkJL26ToA6U9uMK+Msehf6hJvZg1kSjq FiNLXWGNGUJdUdrhS9/w9aC79CLY+Fq9Mcp3tO9+kPKLaOuXHaCBT1ieAxq1BwdF4cc2zLiGeUQs HBzdZGAi5vH7fn8W1jOwEgFkN7pFQd+4iztMlLyCxkDgl/W+QnCulpxrs15G3H8yXVbMH9NKeo/J I6nJlUxGVaMe/scTx80AGe0A/tpIMsIapq4bjfNNtTi2peNTW9WsGOeqLGJ73QnRaVyUabABUCSB Z4+VhwjWUVSROwDpbCRhz/CTVL/lDSs0NEYZRevLvaX1v9vQVN7TI4BK1Nz0I02G0XymE4ocmQsK SRWq+j3+6YEPYCtCQXZU5+vnpktdOXSHQCeHHMIRbjkKzU2XPqCSGuAojks9G8qiS2Hs/ooviNOj ZVCL56w7yx8W/YmsZ1Y+QmZHDfuX3lDJSwhVarJOsNabtwwdnd7BQfjiuVmNyHlrBbTY1haqHLab lyj9LFFPhKepwVy5p6q5NGF7srhboa3/ZXNQoTqB11q5Jq4559N8qcT7ygRAUQ+nktkQcJEiOulF hhmnb2Mi2APK6arhBgB9Z31XjeEd7Bz8LA9dKjezQTWEjMY0BQ9/QNBNdkIsgQOnKfRvcjaQNiOE Is3viyskL9nK/VTJJCPkOehMurNgMqIMUNwpZoNnnwPHmNLuQ4cUpKUaC3+taFhlYTQ3F/I0jX7X D5GcotjRjM9H4Ik/AMXw5EzGucDrzhcl1ihI+2H52efSioBHb7khuGnK9gFJ9VJwJuMkUNrnD57o 1KZrgiPWHCF4u6IngHRZfvpZ1MTT4+MDqDiWmynSJL01rvSLFePFZksRWqFajBVajam/X9PtpzjM 7j/DEjyqHaGWay0qNnrYw2rzp16Kr0VvxbVD8PpmwhDEl6gem1ENnyo4zIDIG3bTdcg4bEuDAuOz Va9v0pM0Y31/RipIYJoDAvLqD1OsiLgRdnOBN1C3Npej10eu/7ZKHormxf9s3QIElHN+d4o4inxM XGulXQGLdD95yyIjN075HxFLFGExWs8GySgubgwawEWVvWvC9TjCq9o2uz4Agg4Lr1LFTHAo9g4k 8CVgKP4iXwbVPLjmiBrSX5NNUIX9/4d/Ls4w0gbroVlPnSt1xtWwrACvm3ilz2jOSrCVjYjW0Z5E O4HodkzF1Hs0tohmf+vkPmTrRm9sJrakOxgWGSKtfY74ACeIG3tZp354OV/6K5y5iNqKudkXRQ2W IgLi9mZZv+eHoFC6OiJpFzU8yQg5TqIIgZohm34iP1THISV5sGd3HEZTiXwobYvZTExIEkvNzz7K 8wywrmp+SYn4UsvyjVu6TxHxV02KzLt21Phm0fKo5l3AYOwcE4ug/DGkC6wP8lOOm9Wyhw1GQd2L DMZz1lHQOqaGvY5/ciTaInFyRPM1ZyDkgATl0yHjIySCXq55wAd+kzWxd8qwP4chesMyMtphHA/R oH4c2QXmIE7eXdYY+U5HhUxWIgPyfi+Hm5YB4XjOsJNNYCcpgy124pEiUMZDM0uXkP9pKB6uYPDA lj9tz+3CKLNH2v5aNol38tYSWNLAXpcQNT+8zW2dnEQrQ6gAOEAGjRrxa/MS3+ymu2I6oezxn7ca vWjAxAAWOPRLg6VMOWIFp2iuzA6yNDKFhuOd8O5k7q0kvUlj+iIU3Jo/5JXRdlirK5BaadhMJZpC Eq85Q+dyPH8SJI3FcssEsSAnbLXe+VANZjHpLjzk6UJUKIWbZmS4+VnHR9HISgqa5taBWSdfk3Vm PBzuKrneUcHh2RKqeSrEuozIhTQsD0H3KlGsqZ2Z0HWclCqSIxRxumSNIvPbrZoTRIwAb+lv/TgD m1DTvZmhvQRYPRBYJEtAd9Xw47yd0IJgsbvdJPpGUoeaKAYU0dbmjgLU72FQ6D2VuIBEvu7OhZwH nTnNPMekVrEVntrAebcmKiUhqm+UnEJqzNrUKrzKo8QwOyiUsJIP/5NPqUZynaSHZ7WXdN3YIB6P qjfTfGG03mxwIDdDEF8bUWr0Mw9ZMJoZuxvUKksM5wJe6WIjYz+gmpETcpWf2WqFM4MMAmhItgJP M1yAa5H0Tn+YYwLORaMtz2QC+km1oOFPiGNXGnhXKwcZHWS0LGetZtv3hSXagyvGBwrJatoFAnqr GFVe+j/IHcW97u4W7qfV3eSFQAN53GwgZ6LYtvKGCmomrI7LfMu/k2/FdnrbQxc7wcoVn4+gIKp3 uMUWKNppr+qaTrZEItEykspANYx3cLcvuRkJ25vo6ZnN7Tje9j6H8Ew62sJ9FSeQk+9QGN4me153 iRCB3KKhkbjOyQ3F6tmuGcMUQePJoH+4XdTl5cvxDW5BNBlRgo8rxGkJx7f6NN4xy7C7KNQcZ8BN 3LiCWtxGX/q1Bw+Xzho18Dh5LG4RMg4gsHjQnGVyNFtu266ev588eUDBkB+0s7mNQA36cjG4Qw9+ 09A7qTE8VXoY0Grs0nlnWhgVs6+uuQelPo7pJyRRKTvji4RsLfylomehcI8yworaoVbDn7GRmNal WlZNmUXZ/GgHLtbZjropcOBX+GtMprTqrSVS7Oays3PE4G/T0QyU4HRafGEB3MggsHI0yji0IVkL RGFF5A1ybywXF5VxwgqwMkjX32fwbMF2WBu5UphResepZSeQ7YpqehmTZilDDrWMlWpVzYAdUpQI +4pWtJUMhK/GXbg3L6gqlFRUDeW/B61F/c1t5TAQcXVP1WIH/xPE4lEpJ9Qx+WdmwkbrJQGSPxvm vQtiQfl5MuTybn6ethQYX7/JwuESYNFZbzStl3Z+e7WPrPOdguL7S59y3W5/53lIS6BuECleT48E JyJeqI0f/f4aoKxy+YCHk6yfjrP7/GdjplKztjggmEDKXsUNU764EkXKr2lb1W3zw1vUgAwSbUfr H3odislqXP7sxa/bfyM4JSGI1EkbHMvdyqbj2oZxGEoz8/GIsc129Y/OeVv21VidWptG7hxbqKYi HCcizUaD6D4E3Dn4e5jC84aOlKEU/B3Gu+JlEBvjiRdiNsp3hxQ4VroJiDEkdG9j8xJq7xZjltZU RUML0nH9rrl8Hc0kuoYkxGJqhl8/43gNy1UEPKBH1I89s0vtxAYBLXl8u2TCQ+nbjRJVETL2f/xs NFNW5GkDPtrvJ05kOU98PbnXNCwtWCsgOIPhByeQtZrA/7GX+xjYvVFmJo9ehTqT8SvpYhAFrvCM 2uYDTByNxBTJ5MOtkM8y6D+m2msfk+DvKU6BA/8sa/yzBrIgt1Rr4Zo6lXi9GID43r73sss54mL1 z56w+7sAV398YdrClE7lHCdkkM5vXhnq4SwJLQhQMXcJxh8SKiRsfOz1UlK1h03h82mYc1sC2g0E FpnUdm85mDlx63xTXteEO4yI2VToIITOThk9NWM4/asQZtp+k8eZpmrgAL7TxhJ1tcM0JQK/og5f /2qlvmwikJVP9R6oLErHhEThijcJmdGQ+n7v7jJqeqdXguN4q32Wld/5o2+oFg67w6b8BGBObwIH wC8AESl6wljqdvnaJrVdjws7RBwNnozrRKCtxFtfwUHDpG/kbdOC9oHSdVKcOgTZEkkTZSqEJpbx 0ovD8e5+9bsnaMhnEVb0/VYylNZx7cXFIQtbLLq6n799+jFP2wGma9aO6NPfRR2edV0KuNOMumwM 15fJ+dB9cuyb0dpOHg5YAlBk1WF2AVainueXxeRMngUKDssEjVEDygAMf1rcY8wWGTS/iTs6HgYs a1ONAklueDYuzQ/voJjtxKb6Wccwd6l7NjNmd032MTjoHa7CnR+EI3RB+RYSgtmM4jHqRsIJ/udc 0Yq8eonAsRcn4r3W05rpHqOaEYsXFFsTM4qbJn6InZdv7W2p3DCEC51df4eOwXilnFRxLdyOKAW+ uMfwOwcSWuZKeD2pb/JqtdexysJuAbq4SH/B8TEFiBUchCrh97LfkFYvJy9edmmFej2LES+b/xRf ONTgFfZ238BTgARZXQrgYqXeT9UhhPF4X/aXEQwYCd2yVvn910+cjxnqTAMlq5v4fWpjCckEqexI 9lxH17oRgD/UJVs1UEKjA6HrwZ16PkNkz087RFCdsPCFb1C5kGJ+Edj8zKPGitD9SQDz0xtqK6hC ZTj9zwf0H0Yuzb6q8+w39xlw2fTfONNFhKFNQ6mXnW1nvyDAbdjqSTNZXWUMKHugbDJ3SlQ9dzVQ 7qMXwsN1o5a1E2u0eUFDSNj2qxhYwUhbGPHC6zEWMFo84cyRLVJH6JhtyMYFIoRV2DK2EqoyEE61 zvS4YsOxthzzD4hEGAmLv8I6EzLVxERgvrzF4DhksA+k++oB0mtZjTPss2gJOjUSIPacTmW5bBDt +YPs4qtIvMTxR1YBZ86irs1Kk3O1ZQBd9RC5nfAKAC/Iea9zNQ4gKmdcxfGpDHXoVZTKPUvjwzEA pyNglCRqRWupRHPyqZNRvt2f+e12ncShifmxCEN8mwZp4mYQsUFF/PBdkpkg+4MBp3tHtfpOoWsz CLsToI0mAf59qmB7R/XOcaafUHnU/4mLjGIvU/Un7QelWf7abDG5EcjaQhhEtLnu0aJQ5wqcLr8R PKVr6X9KDbwpl2+Skb8cVP0osWYSx5/KfJ4uZiemUYVNQeRd5BE0rubiGxzw59y0HxAxGaFytUma uPd8jv789qgIFRN76hCcFjUPvG3UJA4xvBjqSZpPcmHHXq5Yxsvj1pALy6ZpOHuwmdqCC7ZXlCOM RKDGQmAvT9HF+E2Fltb0vKRtNBwg5rqjiqYcHOHWQgs1O/6xbmThO81lmGnRXCKV4NuFH02wI9Yi WvizDSdtzj8whGvtx/CXc1MfqoM1CJvEtepzTC5yVb+KMhGYGLhyNfAtJ5kME5XkVfoAxHulCN7U 5oCdzCMTtrfmT8Q1h+QiLxSR8d0PX+tNuCqYxT0c2sXmvJXiiZPau/qnvLG7tjOw9HVv8F7+2JUH bXW7WRBfdTSOKF7zLwuVuWllNMvr849aCu4qV6iKo3Pem16to0UzSb1PQJj0vjPsrP4WKV08KEwJ BM3WKpJ/3PtDhkJVqPGs7EJtGWL7FhWAnygsPox6hxyPgpf4rODsJpS+iTOWYLjDXDKzRIZ5jT8k 51YkKLnG1TroYVicWBzrUv9XCGLWoFcyrSGKiEIzl95j51nVa+WyST7mzXLUh0mJeYyufFos5wLp BvyTaFYQX0iwP4PMEjGrAhjRVnQkflDSFo5DNxRyOqAQUCONEGPvfURLMxSHZ4v5WsLtzARY7NS4 FTDplvKc9IkUbeeAmsyOAXeBhfApypd/DywfnhE8OyseTUPfwtIiVm5p2QgI6vUM2NKOob8hV/sJ 2pAypD3TrReDto8YASQf5KNvoZSY9yBjlnR1ltzj7V7+niTtoHt6OVAimHfGvx+3pbbr3LI+Rai5 edhs44hKKKEEM9bRJtBvpv4KM31K2WVcFgp8N+ied1OHe0WLP4kUHgb5o0blcMCT5L+LEw3wxncE hsr383j+X7JcChXCQrh1xNd0QzvdimhLiNLDJe7YlgAS8V+kfZwCET2Q6QqFadwUGP37xoMORrj3 nNCHuqnoaBF4Q+q9bWbYHkhNlV67YtSCla1lLgXhE6P6gdIuyccmAP+Z6+/qqLtCloQTbzqVuRJW cEG7KNPM7ZZfGDNi7NBK4Sm6fvkb7DEpwIp/V0+RpCNB5NlvvpDtC4bGs3dMeoFtaXMWWtFiTOIx V+z7F96k2ixeENoi4t2vv6ZzGSNTgPodd9+bHIIoHp6/ct9P73ZmYXWX6CfGogVJla3TpcxxtFIG ceKcgwyfpWWex73VeK1Sw9JQAzBJQ5bG9oP1rrVWAVtjEmEd6dr/huTA5P+ThsbZ3dGoAlF0PRvP MSWlcjWCo3dQLwGBSQ4O7o9tAu76kJggD2n/OwThPnbU1KIxyskZqtZT9caFFNxTPenG6dE4SlRK C91KvPqcQzdvNO6+NMR9stbuci0Jf1GRpAWZe3WuYmah7a1CkfPq49+65GtdZVqNMA8uxSZlGpzX 9+TsnnwJEpgNiU2dsAtQSvFnB1341MaC+raaYlmTSto+R7Td8UyLPVLPinDFM8DVb53dtt8orYii KxUwTclaTSpymCVVkhghNcCFtEG8QBxWjaL5buHuo0riU3wW8a20ihxIklD9MfvsTmVqYgIeu/tq ufZ/9uEv6EmQLi0F2oyHBgLExnmr90WT8lJXSpGtpk7lAZy+xpbvr5GE+a3hJHpAgIMVNh0znXdt R/gpdwq2dsKFGbLbBfDZtrruwBf7Cb7QLXDWrbt4J37All+4Bw9aw+FAqFXAROyIbHoUD7q37r5m YTc8o2srHzsJ++gJV6d3YhAxp0Fei3VtGDff7vx140+Wth2DKGsJQmY6QtWUnHnFsg7VrGUPuatx Xyim0sxO7Uo0UahTrcD0xCqx1EjPhblJGi4sHbGnktk858dKbB9+kgEdj5LIxGwTrLGvT2U18H3F qVl7zwOVi9DxkFLApt7bco/6Aj/rrwNan+QphMUzfjTorDIHUo0X3F6wHOr25QFDF7mfDwvfICY/ ptZlmam1plBf39jsZQngcMKN4lVlgxyHu+/CA3ZMurbPvR4eY+4dEoB+yN0QZO2//hhXU6aGvq5O yCH3q8FaEDAS0pyTRmNVTqRbweVXtWdbUo6m13GWxrLXgBIedCRYe+BCh6+1HRAs4Bm9959vWDrw 9iSdm7vnMan8sZPLHdGNXc3ad3oOSZG3NQm1BUXh5ShPrNbiG0qNU6FVB1iTTPZVlBmZp4fODhBg 5jMet1Umd6wo/GAzQ/SlWU9pU4E6nitMtK8EzBD7wbCgVHiDUbGnpbEIBx3oq3XDpIf94Dd6sTKl /0yv6lztbNP/7mshbYWaE73/QoXipVaHkCmUplBwima4LAFIH/Xex86d6VLwvnL9cpT5XBmMc58g 96OTkKt41VVrWUuTPLRLrSEWQZH7IqIZr7LoWqzxPXPwOQWUHVfc1C7tKubNBH1MdxiuBcJEdsGp Bonf5OS6dsV2ob7mk/plRWWV1MILD7XQn4EciP3Dm3VAkd6MlhbxBpctkwEsuBvGtNhWPLmsEwJ3 pD0HyoWxMT4gZugB7ogLksRbX8mfa4Ho0FiDF/bUXuFABAPkcn0gnuNqHdPv8Wbs3fs95xqaB38/ Wvz+c1JDFP4RMBpbIH+qd64VbGnLvtZLfX/P+K10sKJJd93sZlwnM/X2b/CZno40Zqfh8f5/n5Ou 0rjFsaD8qlfljw5ONRorrlOmQN2XEyf9lScgwLn8x72Gfe9Sq7p2CWZ9uX9KHLnw+FFC0mcAVZA9 V20EhRzVNl5kLnxZL8HDYyq+GdQi5n/QPjf6h6kXU/V64o9BCWyRZ+1H7PrjEpzQT5EC7RcMmW/5 cbM4XGU8CmDoVzo5DJTy/jnC08g8LuBEmmk7y9XIAvVjCHg963KSjSF/7qfYBWGfyg/yLlz+OpiT P+MOzdG2RX7ByrTgVdjd+55AJ6ixFKYq/XilQ7TeyVR1ipxuDVlfn8UkuYDRtQdz3fUnzWselRsE MzcUsxcmRONs5z37v8ESfPu4sXNoXD4DFaGIpSLSDOAUn6kezXeDFbyxnTsOZtm7D0W3Q5LwPFJt oQIawKzO7RWTsB0HccqyjaGzai3EHZxLf0tD5Z+T394o851ny4krm7RFv6Rezw0LBjhFOO4Pj7Yf ObLjpgIDubD4qow3MaQDWJbOrBDRrYtrRsZ4yE0QpGSvuzkTLiGOX5DMCWXW04x7GrtGj0sm/HKh CZlzZy++xw5LPaMLI3BoQPfhwzGl14UUzcv9DCkrnRImI17MVU0xO92a4zc5tLGNkBnv2Bm1dc2R 5rb2f2gWojvSrynfG73c1OlXa/YQmf745uMHjrfn7hHW/dTq0qf7xr23yu6Au/etL/Z/IEUVTmqf 5FMXFF6nOGjgmN00IUkP7wrWsOtu0whTvHahvtKhoTlv4+gHoqdJCmzNC4zEr8fktv4HfO2R6AbF Ri/l++aL8jSIIfTF0jDSPte2lEV5dXG8A1V8DNb4DKP/Hs9G0vL9dFlXYoOIeRSlGrd+2kB4OiMa ON2Mcs3OfXRrV5cCPSk54QVAxj7l1l3jA7k2Mc/6xm6tRtkiB98uMfkJ1VwvQ2Mt7CJywiZXnoN9 SuFBvaT7hOxK0NT/KFB1U6qVEbgfIM9o2KF7KtBxAFDXU+ySJixxBxD4QYU+tR2l7W0TkTcW9mrF BHA82ZFSdptG2C++xopbe9Jg6nmbrgPnlMqUOom9kg2ZtPhviTegc36g8bFYGlNs/Dg33XCE2xCL tIGcc0s6KqPs4CQ/xbL3kaX+nfgPL0+54q/Dwi5nHELQxalSrdTAnxuF15PG7CT4IvBTeTcDn5Qu 6haM6TMwR02VKFpSySQuRiCYNAlNj8T3T5EZCRkMriECcuM7oB5g6Ul2aAJAz0h7mGjy4itV6R1+ AzeCvpAunuiZbB8bmxyZGDcpeyCtXNzQNaAnbSuB3xDHsa7w+x2g7m1MZOEd6a+dyE16OhJzjVRA LgBVGgN0cBAljoiUYijN8iPfPvnPem4kXRmQDR0zUwx3fp1TYc+AkWYML9Ev+zJk9gteGYKtBw9m +B6sMveZswFanQ9Lpl0Vibd8wG0ZPO9pY7+SXikQOObNLxN8N1pqvNc5rR+4ONjVt4UnZ8rBTjaE eI37ehHKp8K9ARQ7AWH1zbOD0s9XXnXhvJZx82kuH1e2J1SkNIQWrc5+8NlYZcfY4QY5vLpvJTEw ozglTLwx+PnEV2BRa0s/fMVMS7kmN8jyRgacUzfO1ZfxImrJUSJReKMR3tac1eCJvFHfzbu24f/D daoBimioN8ZXuArKFsUbs5UPIx/i+P+oTt4868pohpt5cPwBdK2YUPLOD8QzxEheJIznr0fTbjAX 8SR0A6o67qMCdPBOXNsIOaezLNMF4G3yLEk2D8IKQTmHjzck8m0KBgfaKJq3kZMRZOBgVhNNVTc3 cVEZnPm5ctQaHGKvgdDXYEwGqokBO7/Mx+KT8ncIuLlECKHgg8d0r7Zvkofb689eCDhGuZ/Eyp9g 5SB1JPGsMtVNTP07chxeJEaIAYWlrHYHHTn/xZyM9lYMmrPIC3LvhCKwIF9HNZVUHTEVVu/YPV7G 8PXHRo36sIUgMTlzSDW0LjEgBXmyCUMJ91cBXSsPHp/+6n6Zy10S7HuWGYWnLoU+zrmkfDefmEV3 DHC8OKcPEfsVbL/iEb/qhRV3zmuKqlP0E0Qc10TIhN7XhwWu8Bp2y49UW7rs9pHj3QDRDbLuzG/h JBPlmS4OwfXp19X+xdtYlxgVcxTdLgVO0TEAQhGEPDwh7F3AsZ5AvOemO/ekORdHlYvYC9vvuwHT IoWgU0we3tHjTn/emSI3mX2Iy8AlkKmSvmdxYXpl11UcKG7wi4Jms2YDhpAdCafFWOc1jKbTiQIb geCjDvJgGtl4FCAlpTPN6YMXch/smx6k4f4HS90KTSHjppeTkNEchbbmeX2UWdqkyIXHUE7s2rxx aOlrJV/ITIl6C5OljMWcETS/wgJOcCoqpijsuG8lXtBQ38GUZb+Vw8PlBe5tls0wvAPgXKBDBYWD 1BIEyuWvwh2596YBbOy/CijGbCqzKwtSxB2acZqv2Rb8rafohKcHRVuHqPwh1hUMiQXZ++e8KQfC Cghoqu6kCX24xHv6i46QPWk0WUzOCtv4Mef004vljd4lBbwXZwzDA76f0+r2h2IRG9Zx3XjZLd8V ruQBWw0BOvNCP7RO286Ub5MlCZaLmAW6hc6NZGZQATh9BA873d5RI/kPXl+9sd5CQCU6yiCtk3pc dTYCRajur0hkmdESZVsIQMBl4Xyy06CEXTVx0M/WSy3OLCIYQUn01npGXz83y/oMqk33MtHs4JE0 2doWGA4aPjRn4IfjN6N8tH4IEgZBlk1ot/WwFXXzeCoewKTkhvlg0NVHQ7NufUqwSf51tPqbj9De nlGzQxYgMG62njn5ptGl6CIlEc+GZ29rPffaCS2rzp1to3EZkvfalPP/Fk9FDtnp8z0PInQzlHOe VTFzjgjkHfS0VlSNwvQo/qcim3MSAxCBbHyl2kNKoK+vKgA0KlQE8zDpbhJOBMDz6QWL8u6/1nen RHqfw/Z+jqeKSR8ENFPZ5tLmjNyyj+iVq8O6qOgLkvhtiU8oTHLMVIAsj+xMEhYQ2Ad2pA9hzCFd GiBPke8ArS+PLyVIxHWAFFWV1dhsQTo+TBRL3mlgqUTcQM2ovz0IcYCTf6pMSYSrsGj71Rlj8zmh x7AkHTaJBATey8JFSrkp9keKJHgiA28gsnPtwQr2v12eZ3p2KUHonjN9nrhykbQCctvQdrcln43b 4qB8YqIveh1CzpeyRKPlZgT58uTk44ckf3PYmLDAPNxmEUFW3x3aeZ44tD+r/A8rz/FiWiRwmtbI iPU6C+VT/lUiT8zfD/vJjKQFvRqbV0jXTwur1Qrl+5qnuasyW2/wlCfmU402hOtPriZrP0BYCepN guDeDdfySOO4ZcdRoiZVt7ei48Y2i5RCvefGwYRb9S0mVweUNqZIh+lDWGTiNQUU9D6eiK4iGI03 f7gVuoFgGuoJPw1MFfKCGeaG2TH0tMm+kdA5j18O8u/ITpcXu36t8vh0SJZ4B7otKZxG0Ofdf677 Rg9+SCmJJXA/Lvh7P2iwz/jNbIuhY3YGOVBx5AFrrSnkSBtxkua6y4hkx1/Zg7SPDrcpSGYL3ssr 0Hv4jyinUkbUrUlNJJKYLCdIhme5kULDtc67THG6pHx7ui+1WNhYDVB338f4uB8CC/qDf38+rpyo t1CAzce+Z530/TAqrt4pwll6jsmVzGnHP+Zq1TuvI0Fhvode5attoV5xGIQUwbJ4s4KmXvaOmwC8 mKC1ATe8+tf5+RJTvz1EBJea7TPPtz+YGrfL0roSrGM00oqccVYprpKZgZIB1enGMV3exA7mXnVG kjvjWt+lIU5iGiyUhgogZqGA/iyJmBIFP27zE58xqA21tY9sjUgvZA936Bzj6U4l1SZWDJ9VDobV U1KGe67MA7dmKv46SGOAcKvTiO5t3GShrcjVSjcI4zYt0xjlzEWZFHfW9tQrtU8cYC58ORUQgsh8 umkIBVwYcE4jmyXPc7fdXW1PeGLWsn5YMK2Q8JA42UAxdRsV4vh61SuWz6h05CVIf2FWPHB8im8I Nq3OdaAvrkaHQYNblXzeKFdj3xcEcHpGDIDHeM62J956Y9dx35qx/BBHTqje3wA0UfUl2M/jdGWK dVaMdvH5LMgxFuV0nNrbSHLpk9V8gq+hRRinLKwru9i/oKE4FEq5ClfvGT+NpUMHnYaR7QN39RGz 1bHrNfIeOy22z54xbWv/Px8Y+Wqw+6DZI6AtBgSYrHuO4ywxzzT9VCj9g/yqBvWxjWL0DbZ5MQjy AtatICqpzs+oYePKzJyqjkgk6H05Np/bUJ24q6SWyZ4CmCb88At08/f+O9/vbm2phwqYLGgRvori HyyVTpcgdR74wSJIhqV407SIdOJsws+BnEUFjIOOLLcJWNUmpIkLPXzE6Lma5E5EkMIBej6rosbI OoE/BiPpVWBjrHf7QN+ErDuOMb7Y3IFVMfKA3cr7ilpjXoBG0LQF61lvRhIAk4o/6FcmSTAbcC+4 Pb/QyVh85V+R9xROR1HOdiTcOc/3i7j0JU9AdxHKugb3e1DlbKipm1mNmM1IeszxVHZjRwZ4UvW+ bMNAlXEdDAZ/IO5Ki1ctZblnDHGwcNIqRpSsLN4S91osAO7jPbdBu75kjSJEBjeQklnMxz/jnLvl r0TI3SFiiD9e+y6F8yPShC7nkXhd+5UjlH9afUIgp1sxJzaMzyg25NCCLbp49uoGvCCGVrndsblu yx1exo8Sop4JmDrYufWK+mq06yFxozJuVEzvXU6Ze8EsxuG0HwBACfVA2r+A+/nM2BFV9VlDJqol VAX7Bp/RBbwT7MTjP96Ujx4Gs5fbj/M01jP1hQy6cULJ718hqlNFmHSNW860o6S5QFt7iMzqpBCU +aAr0teMFgvSoeCeRRKOLNcotbg+e7eNxlUFUp/M1Gt5T5NO5ft7O2916X9q1cLuZbI33uj9KRuk 9T/Q5q2P/B+U+ZB9HYlD6jb1XPjO6poQRyeAotDhAxWhJAZ0ZUui0jaMvFaSDrR+Eyr8RDJWUp1L 1TdRpldexqUqrkSvDYiAJtUyyKOZ4dSDEH83xX35Q3Fb76iWc7vOqdDhWS3LXg+7mFSJyEN9bMxy kIqZBK8ihEd3kKWamG0Jzb4vaDD5OyM8eqiSTK8E77oqQzV63jyLs1E5eIIQ9ssa5rET6KMnp6Pr sqhlMKmdIaEvpXR3lRAaHI8oNRo3XWk5u8JJtO73EaqeGCZrMqgy7Ut/1V2ly/gl8Dq5F9Xjvika ys9jEaWx0DCXSaCRpHWCR/isXH3SpmIEXxmD6V0Vdrk5Ijq5qzFM6modERl9fwFqJTEtd+13ovFQ AkGIDfNPmzQva7EFfPLbmbTqC5DtQPbTm18IoCztflpQ/IDUVzHRUgc76JiGLBOmfdBvQjwhygF1 HvgNOl4tmBYkrje5mL2sbiy8NXevrtPC7idVK2yqXSVGgcshpMSI/PfwGuNtV7UkfNHeleFuI2/d rPWvulTUr3ybGU6u2k15KyaOQb5mbsnavfQAetY7MZ9ccKxh366E5UEuAcFn9XJMMVvjb8vIehlm ivkBGceU7e+dxRbOmDl7D3R/VN+64LqThZa4+lpZ+Hv6bzXI05kPs4tA3UqTdElT2cgl9VRG6vAx 63lv6NlYtdagDNKb7bobGatMAz+nfVyRDF0KQ/hbgAXYvHTppP+mJOr9iFCgmHsUkUBOrJFemAyn jXRI6CyNQuuJKEh8/IMGoQ7G9TOf2Okvo23JjgYL05U2/2QQ0GMmu+iJHLV+HUA9QfJ/rGV1o2La bKjzjoZvFImARcZgqXz80EmLo7PHEH5uc8SX0jAseYSEuQQh9HIHT95KtPLDUTlLu7MaKHDDJgK5 ZQbsiEsKrh/nCu6dodvpzWueLtMaSujX32gXHG6q2qLnlaxmPhUz1MOaQ8h8TbAQR18uUmds9JPQ F65nqsqx0+XkhBA2wp8u3etJb1cTCQU5HJNjaER6u6rZXWKUw8pm+mR2g8tWIDxUjGl23SkfW8co qnj/f6MMH+ew4GqzncEc7l1qTKf+nbaXLsHHtP7s9dZUO/RXqYIqxvgnRNWAvKgwSsrLc7q+jHY7 wkzTPEzUaS6/TWGCbzKtThWqPMx7thE0FlzNMVGl8nLAxT4mUs0Kumblfjkhcj0fcVgSLr0u3Dle AaYPtDi8YNxiRA3oq3qoqsHtuOSSD8G9i9RjC57lXUEZYvvNx70r+Qeg6CuBQexs6YytFdqO7xmu X5DjznoUL0p6BLycLV3+GB9+IP0tWK8aRhb68IkxJTkfGD1A62SCGsND4yq4JzRpJ1ZBpomwIszp 0zJLbEO1c3npIRsQLQMi5NHC/WLL7hn3/hYvDhT3fYApKf84l1p9JRFT37T9ff9KONRpDPayU/YN JoCgiKbh0L8qloux4Aq7LdocXF2l0IEve78QcNGnU5X3V/VYEoXVAVEjFtN3kQsFUxQnWZs+g8OI Lt+y+yAYdtOaJY7Rw2yETKIEirhcYRDeDcaIK5MOmBmJRa4nu6ykHUhl4sBq7qGYUBDbEOq0FPu+ cWqKs+Na+faQMFpDkx8mti1sBiqQf1SJQWGWEk33+2vMkZYO42+5scxb+nNMnv9Ibfujp2jeq71f CCGv+PjGUS2vIpMD2jhOn0LYS3aNqtQRADSJHoGPkbOxPZhlzaTF5sPecEoU78/HiTqDyTGFVPLg qtvMFSuUMsmr9MYHWcIJNS6OOAs5h1d0E9oaow3V0cgsvvGouavHO+QwfkA5tC+82ZXcHBmfOxiT Y08LL3qhhmRIIR+7/UbLzaYw2wJY/uuU5jn7ziHNdDhl3J+L/vGHAVuGApz5/G4ml8jNjueV2bR9 ulwdFOZNThL5aUX+YK2JOfQ4RePzfhmFupEfHzGa/aU2GLrVDwlWTnVGBHeMHQ5INdRwFvXHozNp U53RPhiTrkmXc5zM+mLrSwLUWj63xQKchZNvrzjS524zRiTsgacrAv2PtTdnk+DghOlWAKUdnasv dSYlMN7Zl1+umcVuSqK2ashTEYAwyeaCJOUBr4d9Kh58viNw6wFUREhRGfuCTNrS5xpE3AmUY2AR SUQ+0bbXQfOQJ/IoGIVbREU4U05aEjElnnmMeO/IizdRsLfMsm6x/PxghuUe+xf/WPb6xNLF271o qm59AoQ9fCkh/Pb/6odJ5X3JECgGIfzyJKB5ft7lgq0ATZvmsRNBSGKYfpW9g4rRJ0YzLMpI6bnv il4j/e1Kymzp9gElqghUKFVrU0iQPGge+vB22tjuHcwOQsvTAN3iPY18I9BGrtXUV75/7PH1iVro sI0uNOk72R0TTSjE4Q7VYvOnhOZrCdvhzkmzKs02h4bOvxWrC+FDKwTniIcAbyOq2stmP2dmReVq M09iAkVB1nibpWZOA5vWSzc0AOoIfdVEwlAEio5BKMX1SlQa8j70+IVkyXPB3aqtPXZ78b+SKPGO sW8W/gXWKWGI6ZJvR1M9/ociruSXjcOaHaWXcy/7h59wWS9SMz+gvsdAls5m+/KPyKXPp918+1xx l3rwWtnFHCm+UaOovSQk89NXCFuLXGw3HPUxpSTe9gxxaBOR6Hur3aQi4p4aJXBRzb496z8RhnJ+ V3Is4bJHtIx62I/QHm7WcwhjhErnYLR58AzVOjBCwqtNPRIkwiG97Fy3vTcN0UgorYuRWYlrBYq8 zyQjztHeck15jFYQmAym4EkeqmdxXMiBhAYeabSHcQewcVSwynsQLiRL8fADuCgRxOmtOddTmJQH yGGhguYR44PI2QNL3LQ5ErwO9viAbigahXdGNjzqcAh7hUOlqNeXBrzVIYGbvGp3EpWd7T9KaKVi GgtBOf/Rra5emZ4Gy67fsZCwoDJjp+8c5X26yX3sm93zohFU7GM0Y5LIbLVm42x83qsHoy+ljkIB gBiJ5QwhjNyB07dF+QSHjdw7+wNTlZ/S0H5mAOc5mDvRUihJLsAq/pXItXsGFUI+GjTkc4ggAiKe sloGySEC2fFlnXH8hEC3g/SvsTSq0owbwlcDUayIdVdy1Ha5wcUHcY9XZ1OW1w4VkmEb6gUi1Rmi IHTAgmZMvcSu3QD5Ey1b9nOo3ktSrQdA2ONx3YUVknK/xPJmBtgPhq59H8l4ovbOr94SFhnTUs2p mvCDGOosSgEXZvi2qdnCM37HYp3IZnVzmZ3QkR7KhPK5Mr9C7Ei4jBIQ1n5S8ITXCQUANqL/QHLn 4+tM1dRYs3pH+Q4AobmXPiq+g7nLseLnBASI0z6CI/0txttBrjPky/+vdD+haUbkQBrF/4Kanyyi ZYBIYpLvVqSyWltrQw8J8T3HuQuDEcq6WiGmXCoulIDJuw87tsw5TWQvkay4xoc/QBYAAuIJgs53 Fas4OoVHeJ39IbTEfdcSLS9HMdlFLcZmLEIUxwMr1OqkKHGiEVNpBnNsvu3C+RxpsI2BGMhKvA2W HJSMlTeyadrf8jXQJv8o7fzkg0NovaO3RRC5wfR4yT0PZclig2DPaq6uMJHgOOMXEoIjAEvlk4l9 MGZipeLltiBPYH9oBo1zHFEtzwL+E9G230uSrxdfA/2UBaOjBcroyLQwSH6czeRKzwLme2MZykGs I5zgbwoG5U9ic6BgQzUXunpZVrsWMmntinASfdw8eHL+KnEC4JauN1I0q2oSC1ObOwxkUi9Ic3dz Rb8wVhFENPsfpevklrlsL+JzN7+XqMpbpsQmNhrGbkDusPac7ozn4a0bX+MtG49iS3BiTaZIj45z BSM4HezIZq2VACfB8mRyt6rdBH9ylrnzpPsJvs0gh/sZv3HM709a8D9j0KBHEYRUxJJs0FLPxXXB nrtEnwfneASNQHCEAfSVp7U/kXUOxjpvSLqPPeMpyuJ3SEAjUTrK2X6e0IY4ppW/GKb7xNPc0BBe 3Dyb+4h+9L0P5jNI3DAqLOqmwM7lO4n0rkViFn/9UB1S8uNuCHsLTrYZbQoYp/DAof66vAyZEqnE d3DVUzoMHlwy0vq/C+ZfcgGgzTWOcR8bW65gIHVEi/u6ts9+jB8UVNiAiJlqNyT5Bymtb5SUjpQW +YvFE4uznkO36MP1bU+ApBpQAgvXaawo6DsfEbAaEn8W+UrhL1/Wdte9pEL0TooyN08wJFnihu9C QLrXpzId3OVRPRvqXgbDIjszQO7WeepKJfoHl+iZXNWwr6TpW8EmxQbmn+C3fiFQETj1yiDPfg6d IGPgebA1LJWn1Jz9HLaUkCaEUQ3Qf2O9SppYzHoRqHBLBlvGPexvZWMdtFbEl0bFFfdBjWrMqpg6 rTfgQzulg9Qlkn7PWl9iUe//FIlKWZ1fuwKB13VIHhMEsseH1JX2jnu/9IdtLKsJsx4DHJcnTR0P xcNs91ExvMuPI80SEdGh6opd6T4a47tJxOhE6oKi3e+4oGWmXgSe3jI8stFBqRKkVg6DCaj9Hm32 8jynVBvZXgPevmi+Wg8UlLTLXQBTfjllH4Dj4sQi5dLtCCeXAzBKwwX4lq/wPefaviREsTkSmxxV YUiTVsYDkOkEmd4XNy5sJqnUiOfAp3dxGhZE68N+9ZXBmjxR5TP5845BWjQbnNm82b7GXG4fxUSS HskuItqSsMRYc/1MKpiSa/sSRZtQENPYIg1Efloq0Bii8SBwRlI2G/NXTkj63pkWFkDCwDgWYIzx 488h0tiVL/LL22rYb+k920EfUW7w1qEzscfgLkC3+g/9nVNF+MrqM9ceYdbyAl9t39xKE62hmCo1 eKGqJ1i5+OYnsE1Jdcn6jlJS35yg3wUYbN3ZQ07Csi9YBDceMfX8oeUHV+M1pJMMHEBCnOgxv9u/ 0Spy9bL8zvS/YZ5J9FcaN6wVFI/MJvpA5rDYfSIVtOv12UNoDMx84xDPB4ENVsD6fZfeYFWXqCnK zXx0YohhWjVw/j6BYOlUUIEXZ3AbWdErX0JsJ/xyhLgwTOeidqTuipxHDa5p18zUXK67gpLQWneD WUOLT+HrMJBLeUo68Q0MZ8rq17zgfE7SsQNEIUVvBecpq7w6L+xH/MC9eX9X71DIjMq1OimovjDy H8sMwIDZOFNpAPQ2Z6jocgTTQtRsJYYurfLuYXwaCmyApla0CgyDVvvemEzJ+8zT6v3AVs8d/izm nZXwQ/Pj7Ao+EzxLetorgXzHb0IMLTgkCtG0CWLXcJRgetjrrMH8bPEu9Xf+yJ4s+A5ihronWQq/ /4Xw8X8MdVDH6JIPLm+GHyhc6zFuYvvTlgZc7hPL2cu3mTJujmHvrLgMQBSWI9y3wjR3IwnklnUW WXzk2kFKo656/2vQ7usAzB+eSj2ioT4aq1hNUs4ous4dczPl5T9WMYUDIdXiqNJT21WqMKxJNIXU INkJPBapYIOBp5YfnE0exlCwLRNrpi8u1MdM1WF3pjmK//L07Ma7+pTZWhqgsABHwnk+8s0dlrfH HywpZ4wpe3zzkO7kPnGd6wRNj0tiuw7jHiFPu1lKWGwW/DuMrvJL8DZWLjzT+YfC/Y6Z3Vn1DUPX ooHsfK+T8c0pCGLEDp2QZQS5TjMLL6rkpVDGCobi/Tf8dhoiI+bptWcHIhpdv2SPP1NTJ0+Pds7a PYCNt+e62kxCNJPkolnBYJAqjQIYa27Og3lBNq/PC1sNW+FMV5+lPQU5MpdteonJ7Ri8LUctX4fd x2jjVLyzKol3wnnFCWZjLU1TQOJSQEqg3wx7rOvoRJqzNqg/2xpwz0h3jGvVAR3DfGYagy+6ECEj OFG9ctVIpcDbGh5AqahAgyl6s3eSUcL4E8U+y9XqDscdwRuaYexPTC0VzI5nCdmtfO7VE7eHjsND DBL2WqoSNErCJMLm2SjAkgbr5NkOeO7WdQ8NolGHxpOjbYxpu6kh/Kgn7+KCS38yhdrDEdbMiEAV pzIDUbJsJrI3V49UEH7WiY3lMQkSBxT0Ri5nIMd1f39zV9katNsNwdVy1D8OhZoUJCJ1zcyyHjxB b06qep62aqoDoM6Lqk8Mwx99zC3TZISeiYRn7DhGsD34syYL6utFackLquQg6rhDRM28doBOUbd9 9/0xUKXmWi+Ay4zn3uifpMM63NEm+P++vpBS4vCrz+a1gMKMC8aAIkdpKj+RFoAj4Grrrl7mOOxh +fPiHg/+AEwko9RXaNuA2ikYdEtOK94aRK+7dzMwdY7cNMpFtCiTg9/xaKO25KzkNDPXD7HboQai 3H74+6hHIq5iL6zXIgtJZQVGGyFJxr+dlIr4zYACFd6tI27lZ1zLjt3XHRQkQeweq++xnPkODBSs 5Xgw6YKnhS7rYnjJZzhq6YMtr0R//N+R0a58gu+baOzamkqbMzARIYOYjSkEq9kU83X4Ef4bgHH0 anw1eGugp3BHU0weDMBhiZz8QLMgemtXY+niiTDWBMfNHonMyKQlf9eqNkMbFgLPSpcUNIvliGXN tOvZ7fOtKpnN9pnvce+khVr9WcZ+jzBngaQrXoCnMCMFRD7nXsmcXU74nMOaXpGKA+HE3cNcAaQ7 byoJoj3I/JZ5Ae2w6f2uDpk6+KUwlxm6RmvnduF30lLccvF4++SWLZeRGgx0LHMnUquJCIvBheK7 xGPdQEEs9EiBXsFdqa6ZcbqFMu5Fbu/QOBpKqLKAk5nt6Hh/aoynLHB7yJgG7iW3cCI/wM4Y5wVc GS221758eIr0kA00gWwBDCcmWtKb8O0T6dzrDjsY+j0sL/ZkoH6R8bMOleQo5HJlBm/le4c+ITEf Trwnb4LUjBNNgmbzpIoNgWlmNa6GYyYPdydZxf89F+AHAFEGyxghk3wy4hrP9uVmh4eu9SN5jN2a T9J/U+ZqqqcET2/103BIctUGJLf1B7jtv4TwI2E4knjWOrFHvNBq6MJF6N67vLFDhW4b4gA7JnWP NYKd8/VK4ynbjzJ9tSIQrTskup2LGr9wD6gimdhPNe3jksgvhkYpcGbyBmP3FXq6N5dQOvbfx5nd hlK4hedsNl51ETwksv0nDaRx2ZE6Pfv3z6pPnlpz+LyLT0mMdDrhsxZDxEXTVNY/JeCzXmnCK0S3 1xse2Cf6XVV/zZ1KYhkbyS7/ffqf+A0pGyC43IuHGpyJcGr3CctJRT+kTq8S0K1433lBZtlj3fA4 pp0zTGkpxJnWqhRpXNAorEpKdA9MFIMU9nWAHlBv3XjbiA+pWB8HwPuVApNNWxexUMfks39U45wd KKglBFC9WSLI6mPQPFUAnYJYFt91kgVOQggmQuT/5yc/IDidmxsb5rr/jk97OS0XxHP51f4qCC97 +Y0eARz7KnjcOU/SoZ182ogG7o1TD5jZ88jMOKzAT2wvSRj7CdS/VO/63hQugy5U4OFdRnn3TPqY MM2/UyFrFU1oL5ApixrlEkkHiRsTTYqDBgHcwmzdZSt+7SG7ZgaWDEQOXGeChbuXfgMucb+/6X0n iz5QM32Ekt6NpDf8d+N8eNpvAK7BPmIhzIoJwjBpGeknHhSzZcvxH59NNNI04pCJbHsCiJMWX7M7 B4rHjJCJ8aOVlu8gZkss6YduK6PA63NtuYO8XPclc1XE0jaLYoJxHaF+0zbjW1r4yqvPds7AYKo5 KyntVLIBRcvQVvNWRCXEgoO5PqqrtajKkdXJ5OQgwGfSKp1vdR/mjonzSBbrtfSm710yhyNLOh1R +wD0Xw/Ic4C7w/mrlFJTH7CeYK6/nU+hEb8PNgon1JBaCQkDIwFYEYggL+1kMqlwzlfnBeEuzqb8 68HC+s7nDcqMLKwh6o/T3C+/7Bbwh0ZDoatNOljM4z2QlSc8KGhZYCpmzsq24KHr8nea1gAz15t5 f7ue/vtRp3KPQebeAEjJ6nE0Rij/FUM5J+LLjrPAp7cXZmROFWyiHhtMSk2LCTtOyjXMklTeuytt ZZ15HqaaTe5zW43gCb/DIhsQxAqwOlujEp/0NzavYrg+Li5HOS/l0W4kdytP9ujulUjZOe4AODwe 7zXDfzJBLHpHNIo/wpL+/OPtbbQGYmCEwkSzPKBd5bXJjbH2YwPQIwtr3gIawfSGZ+aY2BdHF7kG TN+Hmp5AtJKXOOVWdHZZIM5jpGlbK2qa9HyuKUWaFmXabRm0xOstXyB/6lzmNJs5juNCVc7NLk9r 4InoNFr83ew5BRr/Ubo7cjdmcDTW7RVSA6B/UtcvaZLH1qJTrb7owTCgEXhw4ikfl19qggyZZiZN 8B9xwsdAyZIM/2Mpuw/HTWQarrp/J996Vw/ZTQ+hkp7k4AwCYLj3P7HYVx74Vo/p+r0q3Fi3nibP JK2ejc14cX1cISeQQutqEEbH2Ch2QnyOYhyoLxnv1z6MqYLF6Hna31E680Xz08U+eoo1UN3BD0Vx KMJJd9qb+bzO2Tbe3QyVZC/OgrksLoIe/H4FnBaTg+8ZRfrOq1n67YHg2KFNDEHeh5mXej0YF7Uk ZUAAJEgD5C4nCEdTv8vsbUxm9Ln2eWythQBEjU+J/bsQMRa3/At1quB9IJVO2QYyT1txi+SNs2Bz Gh2eK9Vm8QCnqwsazFxLDyrbpKV83Fb0ub0/vcgj88mSn2+y6A5ewVfc7GDA5HjtG1wkNxqm9laa zB4Rtr4wXC7sTF5nlagKI+dW5cSmy+vm0zG37G03S1NP5qntDh6UVPfyg45dxPROm9TdCKBXa8gx u7E+qpPBPRDtE+8NDEFc7d0Is5v9fGzDXsuQQ0nbPY9/+LNqhSGCy5lOvy7LH8WpGi+EYyat52Tu 8SGkDYV4UXSi9nOAKXdgSu0C5OZqhxdvL9ebKqxMYgxbw24vwHWpbMjHvHMND+WRG+cih0LMFolY 3IcTLsAhmHXm8VoonaCeSAdnyVyWKySzSNVzjcrIVGSk1sNnW8oQGhc6C+duviPnvRn/Ljb7WK26 Ln7+ajbuTD5SNMkxYTMMCTAxa6qqGiDhAOOw+ohshXvzNOEmFLXbEEl6eB2z7RkxIfujJuSF4D1r ml/CCYWxQf5inYzo1o1yrGYmjQCxZGNkJl/iBqVgfHIgItCEdXuZe7fofgiIu0sdxRe0X+8U1BwF o0C+8iHW4tLNLd/BIJJln/JvOzC8tNdfH8jsYZlTmDjOdIpHmYsZYaCxqxEBmW5mXAhdXf0z0Mrm q94IpWZ6h9JWaMWmSoL3VT8Lp27Hg8EkMDeMsWgO77uuBgBWdDtaRsnTgWIOPT5S/keYZN5tQec7 IEaYUS3KNhcAb9/DsuOwOBW9Zw7F8cMojcIQtsIWPA5rqrFSpbCzJ7eZkAXoArt+mfLQ6akjeCyX kfA9Xm4XBYyxFJwU37uSshCXQClDNwcrv1PWinUf2QyAmk1RcGOWsWWhauoFaFOudOwZTmiMzFEI J/pnoM2bPk2FRDHG9fHfT+kI9V61l8VCRoEXIOw+gxqDrL4nP2aiMigtxbMSV53eCrS6X+ZtP+IC T0OQIkoT1kOw1NSPDBKnUH9hs/XoHoNueTVXYLFa30mIICYSr0KQNIaHuEyKnPQipmjpj5k94pNo PpZSfUEe50n1lfsXLnEpMyjqxV/ZQq75Lj+CBmstfe2aGNl7bfQgUMoEqNWhdbeG051Ui5Zi/dlp YDR6JOJD43i4ZMBSJV9bVqt5Kxz7orzfDv7humml19QH20mnn4iGW/5g3dNgp+66mT+ehFiNUtC5 8NuWUqCpTFLKv65STwRxk5n3r31SQ0XxJjS0ppn5nczE2xnORrnzjeyN2keB3MAVpq7bqZtWmJ/8 amkrW9mTyx9GZ0dBww7EMoN1ZgGhIMb3mZvg+KdrXPvO3s1/tv9GqtlMlsrDXlv5vgjT9Tcuqgl1 ObKXmU6XuZeYmJ2wCJz39PuIXZ0vZgPFo5u3oRksMw7BubQZRTl52KC90WqMkAbf3UUFxobm9rdH AF8XpZz7NukJmHtgrQ0MCGiKtzcKA3+G1Z3zzXdaeezfFDS7VwEPzKKLGoUVRJ0eVSkkI8vHfTgz iCtfMYUE7Z52Afy8MUlO7/c2T9jdUOyI60rXK1iHD6dv2WWkfW8EU7f5sRljUDYjLSJs62aUXNki tQvMO3OH2PAL8j4XES+B4qSB8OqoTBAI1plDTgHQ+Ki9dANh6F7kOMCfRWNZ2oIIZ6tyjlKbM13f gWV7dCTwcJ3yzRxkUlHKQlYEz3wL1yEKINJ0Ar5387S94gRdTb725fiLM0wxbsXVgRA8qeXmSncU goPfk40M/oZ692/rWHQpHeFOB+vZBZuSqd1Na8SMIH5kpg0XGw86xPfDcudoK70ZVg6uCFaAyeXA dFdn+SNqVY0nnZybV8uB7SFhPX+NfEvgn0RvZBdjhQpwtsjOWMQkVFUFXDo0OJPXpGFD4M3EP1K5 Uk+i3B7kOuTTKy0tsTaeiwnHBhG0v+m6SKwAI6X65KUBT0EOgBNvWsB53FLpyawiykjR4Z4dJJ1H 0k3HyVimDg17FwQ9N6B5TmmHlz8QUe4FuWrrJmICqswLMwBM/4+nSoRhtFtaqXvVUvtapHs0Nx/P kFowiBjIU4bZT6eFy/uG2b0tNYy0Nvg3JIelYcbVPaOWMcIUlcI7H2jR0Gt5zndJVkCzEJIXejjx KLWMBMS071A4tIv9Je6BPyRnv9JtFQakomDelijmPf+aQRJOsCpJPMHFJzdT6inf+wtIOJjXpYHt mAej1CFtLdtkZ3DXles6OCcCov8CdigYXOUZEuo3tymEr9ST65WnKKcEu5j9TJ5sozFMAWlLsq0F fxlYhDRuFHhROt7F9qHMQeNHcb+Hf/4kg0qGAtaL2Rf2opgse0AHMsLmLais17qEfBsOp4VnNLZ5 7MmsBgBEIXxnyK9GUchWBagM8tWLIuaXCtZ+XLghnxWjnRASpY3CN0BUa9Oiw2AgiBpWkBz1SM0x nb/vvb6ZTol6OxLzlK1NZ2csPZStFyi+EYv7pUdsiCJUfkDzKKWNKbbWeHyvwRJbNyGA5P8A5zDS 3vP12kP0cnnmEeKPZjOOR6VkEsH5aOZA4XTAXxtTxx51ZT5fR3kOyvC0nd/B8jfI3ObPaC/yX+ZS asU5ppHvzaXrFhYqmPZCClgzBSfkfpNyJAsiSkym3q/xRSRJpLicEjnE3n3XitnBPGRUkkOGo/fj FsB0nDqaYiFDxSA6NYoxIerTZ0nbe2bQAX4yfBdo0NCXjDL39jP5+d4q15yEOA/lYAjw054NkgdC YInme+iRMKJaDg6kKuTOH2SEai9tfDkwZKaJ/tAeKO2aC/aBJ2hRMf8jpB6lViySYZO46YZh/od8 iPxDPtHXhd8HF2U7DqLVIX8OMjM7qmRw4lynb3plD0qqE7r6I9Rog6WRJ5XfcuBXk3fKfRng5Uw/ CSjKvnHry8vr2vaFKbj5BzNYAR8LOyvJfvDlTKC0Ek7cOrwh8qQ0JCROgggU8KGoJAiUK8r70nbB oIWFO3hEckE9QmRhx9CzVWd/F0p6ECJtTsXSvGsG1J7DdXriwtVhhnuRkDw9w4BGnwXBUlq5W5yW RmH8iaSSIToORfS6KduFob1ZR9cz9z2qVUZTOo871guMx6Euq8BQOJP3+vTg3VV9J+6Zmgexss5a itJAgUEv0tReFsLi0csB1Zf14gAgENx3pFKXcaPu2F86kf0f0i9iqRfPRi82c8T7my5DsSIFi+vz DpMtaMn5xoLWgq/9VTib9L797E0ysqSG2fZOHoufjVe3lazabQwToiFqs54dM74dgvavN3Aa6v8X k9+SPfYgFCWa1lEfD7gDNdm3El1rI4r6IpNhHztO4hrT7+lSWGJZPcNcruUkGomSGLtJfy7s3VTe hDsJBZ6lSi//ymNAo+0+wuIk228Cdf3uik4s1OwSLSZsrGyiZ0yAacnDtav1WDNX4alrA4ngQrAT 9PON/AJtTmO8YQkQSnFXLUxWopLxp6tYPmZSEVHex+OaSKFpVomUv/XEJsBiDk73QSl/U0onalmf /j/TYP/H9OdVm0Cf3Xrd5882RsL3nwynVFrzvJowXqAi33EeMr4Ok41+mG8y9UONMYrOVBH7XY9L xSHttYRNJsbLgTwgjPfIbjzcd0DCxqEBRwR+oZArMDX3F1ly2QQraQ+VJVpTvVjup987c10jgDtq 8i/JAZgmyzrI6aXwv0NaADG6k12KWr1enc5xwbvz+a0hsFIsMtJXAGfoZVYXPCBefPDwSbo4wIxQ 8H8GqUvs44J0v/nUZrH4xzfUfGkaUbsr/E9BOmpz2GC1WtRd10HZy0z//pkFO/nr4WMBIGz+Bfpk eUN0QoQfc2rndALvcv3HeNIv3YyJDKMnvzTcjwT90cAMyOqL0kMUC0cv+OWVPbbI66iA9u+wiKjS dcPfKzY/uCIiPUyv4X59Omb0jxtDHg5RcRd9KRr+dTgLH4nRW82Jg3PrtZx6Pjjew+si7+6YB+xv BHNmEofH0s/7zpnufz1LkWylQCgF39TEkFdAODBed+tFsuuY8kyGQBNqvTHXknncoATUvTv8ntPW mJoiLTeTGSS0E28XCvfZirbxqNgaF6cBqeRk/2t1K7llDmDgkFA4Ulhphu06h/51ye3syp/6hj8j 49yyHVOxe4Bfx65WrqQA+O7Hg35LRZKCxBg58xuSGZ5jZwNZ0QrWd0M0LlDWqoWqq+G4HIGjBXHF 1BDLDkxtDkESg6BWRUhzapMf+LEbPsyQsl2x+If9fE18/wojMdvMKl80ENOPtrCKXwpoMOn9Dpbr IOPdma4bbndSy3lCMRcrb5Y94AbbB/M9Ccg6Q45EdaaN8Y8aflMM3Nh0v2DfN//706e4Swbkfke7 5eLsdidf7b6KbYpLFAQWcBIxp0SExY86IG1l/PU8Z3Gw8sGYk9t6cYb79ddpUtKS96DFTSb+CC3l FYrs+VJLkwCXmom+bhntlGK/rlyRVtjPUVQbF+53Hijg18KRSekpk8QmxksTwf+lABlD/Fj7LTS9 1yMKiV4NjGbtrnsHT2OmaLLc2o5DC5szauJ6khJQ+GeAse29Cl0ujVbBYxsv6ETRhZbTRj1a1VFH vB3Rv3UvITWuOLgP3Kf42j8sFugwUCqcY7pIOckgIkYqs2tKyDUjK/Eu/o5t1Av9T94oknDeH2+t Ru6sUk2vtaWcWWKLcbs4U16dvcqY/7J5GwNUHOiciO8zu67ApxDsY2dsUWDj8Fc+mU1DnXdLKsA4 /UTd3Tnnyb9r3hY9B0tt8bIYXSpRYDMOWcYgZoB27tl99AqyXQh2sGIykMiUgiKecixzRGJ05qga Em1mpwb0UezXNAzYxvmvcHnAEyY3RvkxrwQ+xkNR+sPxhEtjNms/cdj0lGgKVKeknzMN6bBmNGBw QmduwP5nCNHhUA87Bq7iuXLxBjulOk6zI+il0g8gVa34o9tuMLzKEB0Cpr6XoVypQ9GQOWydpPoX ecc2txpmW9rfmxdzZba1wpe0LiDx25UHAwKYHOdZxtxha9ZOL/S55I8Dv7+xsnM/+UhqYISAILzu LFw6mPZawfHUwfnocBFFIAVns9tk3DS9VKvAzwj4rnMgoPJvod70TacXTnyvrooaJ764AtKjudom 2VkHMpXJIprJYpfWBcddOA5xIssllfEP4fL94n0S3rsDVQH57SGpvYSn2xuvic4zOChAE6dm50z9 EMos1w3fAWZ+Dp6ev/ZUtpu2Hx7gkDcXJKHfRuEQY03gyw8CvsNdljUhzD6VZX147SHPD4DMF+el F7m6GBPktAlg++d8T2zyK7njUOKs7CB9ltSnSCB/Ve9jHThzCzYnlr5ArB9u9yGLMs4LDmXSrc8e gNRLjq9vKPRJv27jPQRuR0D+bjEjAZEjHLxXdkdpeKmWNJrDCwjHUJIndqwUe2KPJ+ilgIJEkuc1 0xYw4iCplVMlCjShd7hNU9OFyi2jEiujX/7UD1Ge32iQUdwNod72d+gQHyXBD5DBN1WSXhH1z5+6 ucdBwGTX78LkqREE3yVY6jaadFyfqlR/L6xO/PF4rvwnWP23nq84EKjYh736CaZfeN23ga4JNDwU R/NHUtWpZMevzw5a1p30/T/zLufv4iAoJfnSJupLLHyPUKVSemeOiofu4zIB0Iw0AcuKhEd07jW5 zsl7CnFn6no5W4iT8sIApjgBubNIj0ds8F3/CiVWOng2UkEx0opF5uwCJ5xOtvKm1WKBO2p1Heho hw92iUqCmTpAtWaSGa7XKxF41uE5VG3x61AsKmGRwnX5Lujk5lT4gwBpnPRTYB3gnEsJ1GR+puKi 4Jiq1qeFHzeTSLf1wkYiJBq6sfdeR6bvsvKHrW/B/ll/Qlna3DcOYVX5gkAxbBvv2Bmi6Zzgt+xD TOme6oV/+ZJ/p/cs4WbblxbmCbuglWIg/qgY+lGkSuMrgErB9Q+N23lP3UObukGuOZLOxAHbPkDi eduIhbnj5qbV6VgENgHwvgH9IUU1SaIsA84Ms55lYBN1S7hoh9JCGvIZgljwFEUqT0fpWU45hU3m YMARfS/ZnDS+Z8Fa+aWHAmEWH+zOQy83rYtWNMFKFJ0HBOMX/JLpjONFcVbaKxeHuWeowEKPHo8K q8gx/07S0W1haiIemmycH0m5F/aweoJp+a3rZ2I57PsXAnSwobxkNOjd2525mBzotIrFsqwOk+li ttcQg1HqcqCiHv6DAhtgfKCTyDaL7Lx/Gb8VWSodFWh2kPYa37J+8gKWdisTA0g63EsCo9Y3HgH0 jf2WfKWwgoHUd/ogkMQEzp9WT0wSxTHKydeZcn42iNbMa+jPQc1mLitAX5rx2fKHk67TD4chsMKO XwULM71PkU9DERujXAJ4KUafJIp/QdVWyFNUssDvre+Hly2ECETDNICBtNbq7TVp4kk+BJi8cYPI 8CnSsENq9jQeK/LgY6q6R7djUS2Gcn4E6mkgDOlGQxyYqz1jY82M6xQvNjW1JIVt1YPLt5G0JNac W8a/957lIVpsna6RS+4gBVXVzaeJ6AMTvQ7pMcetguzyLL8lCR71FEaIEri5dP9defMS/E80a/hT osztkArc9zFmSxXdYdfHHL0YWhfbu7VFMFIjwWm92dBua+OopDAOZuCdzkS0jtK9zPoMfKrFtypM ksaGNg/bU2Skrp7b2pjprN1rpQwvw9fEXIs9mAjq1ANhJ8WB7ZJqWSetUZLausUHz2xOuXUe/5VL ztLpDo7yjBSz9olcOEeBDjFFjtOi1U5ElyA81fpLIw7vXa5URcT/9pAahavrSu2V9Wp3mzgIMogX 5Izn6OxYpnpdw8a8ROX3DLHBduBkP8oeVaC5ptrky68t51+Mj6AUv3bimjwKYX5tRjMx4EJjhZed 3vjHmg+phJhtJAI67HusB+DukkT/9LHbaQdm5nUl8jKu7G+bF8tzgzlZqoDF1FHZHRRXs6imTcFx XwVs7uoSzBiSBadvnppP2gRrt8YKDiMFoFURNSRRR4G9lymaLlGn69FLXGMZ3OzftDGVHr/XvFdz NsMKlpi+teLxdlXG0i2iIaZEAoWwssysNocu66O5jPn1r1BpNptWaJ/9qi10Ewz3ODqOg3lBgt12 gPYWLfBQAxaSLDn/299T27c3VZXLa3pmZiiow/x3gWwiacDKqUr1k7mW7oqJU3DAvEGII1Hekzkg sDe/+JLYi9ihsF6FRJtJRg7wgkLUoNRDkTfjBL1Gi0s9NNea3Di211P0XtnHYSEeuyYhCc9/53pS ISfC5aDtP6quMB4NQB7sH5+QGzz4iU7AMURMnLiPAh2OexCmmbk6MRCGyJDNhjNOlGw5qrUCi2YO v2PychnK+iRJ+wn5nZHEjw/Nf2Hm/NWNzM6XveXI9xdsTrF/iOV2ptvZFHJsx/07BLifBY0/Mhgt LAI73wZJW4WKenSNbrYZrBjTMnR/6EjJwQOwTxqQJt0Vg1YJPbEoh3Yx3vxwgRDK+azElCh6V+X0 Vci9ccvzDzY0gYXjHyu7IGsJUBcbR4IR+yNYlu8tNngOY0CUTZCOpTqHWEQzamy/1idvJzEzbCKP MJlnYEpfNf45O5ha2FMjaHWxNEq54XVUplphugDY83qiexobQyuYfMkrSZqlV2MlvOgDV0nrv1L2 PFyiC7/BFmawLYAWfAxyHAyHePOVzzShurhb5vRDx5fnF+6G+IUIEiBZCpJl6AvyUoO0lm3hNDqC 1uD0eMlj/qiuOpRIBk/6VdKoEXWS+l65CVd2wv/ZE4rI+uSrTve9fnEfc2qhUZ8A5pK4fXee+NRT +c0nSMxWEPc0yoZZcdYPCQc4Y7PNh7PQcs43MEhqgv+eds0KKPxcllIuJrXb43QAXtZxjEDZg5/U maHoLq2PoqkRsCwc2cQDe7lz7v1LF1DSRuDmIqAbm2mbj/VNC8ExL3Rivhk06ly0g74/lfPBRmqz 6/Sa2FrfcqtbzAJNNrSBKCS63I4z5+v0DHRufBSHo6LkvKPbTypFhbwEa48SgxXXSAUgqHyBg3xV GtLb+2Mu1NQg1QkBnplGo/7eHuwFS8MzucJE4CAteWZT4ySWJD0LpvjxPOORM6152YGq2GArgyz3 mB/3PiLWjILZX7qohTuPJsKSH8arPADBah8gPMFa+ucl5uWTjAfC0uN5SGGsQGsM58/m6Gwaaoz9 xe1yXQ2uqqjxNO7W8z9QbMO6EBYL5x0CUoJnaa/pWC5+UgixNhAtgGERhka6p2xCCNnNf5M9guwb IV0nLFJXe0bm6ugGvlKn2gL1ukow4zE3kor8xDqe601WR+ZWv4Yyu5H/Yyt5vKM4e0xo85jrspfz 5YQW8LTw0YHjiL1pQlVKxzpkURgk2RgJkVQS2oz+ZcHcnaw3Wv0oDh9c5Jv0VIpqGGBzTFgW82SN 8zLi3TC+txXbW3Jen0OC2SUTyoIE6b4n72KIQfipMy/o+Yo07+hKUVPQImZ0I5uifPrWwZPDYpVe JN/FFgvbgZQevJDHEbuGQvtj8R3si1qoqgk3TZFV1ZE9Aj83lv0AhqEhybUUUQT12GaGAMhnsm+G 3qB5uMo92IgBQ/cQvdp8jt34teFPJGQes0Qx1F8KQyxW3A/NJNirS7F6+tprr6GZAG8cigCbyFgf TCP8KpC7TdQ0w6S2tJm+TG+xDStXfYGTdHU2aFU2EnXhO0+hHAIXRNQobs9dj9ZhWrgTOJ/uax0L nbPmxxB/jyMCEVDyw+c/t7cQOlQg0Yvdn5FJj5s/P1BwFLnmS6uGTvoRCt66G1gLTYnqoPSLmdAx zTaDOlsgiBtPUUW3guzTjGZoHUrqZ+qXa9K+wfcsUAP3NDosT4E8FoV7KAD0nVpfdrqdqexcTmf9 sLxmsZh/i60H2I2QnV3k2Zg1E0sRfkx6FOwog0ykz37j3OpIvYRasgzRoOzFZNddsuxidQbaMmFN NVU6ne8YB5hXNX/0pTnAanLBZ3BQSWE+gW44L4smIPhX/Vn6dIa5PB8rAQgf4wOnReHvWz1uDiL7 dH4vHTAH3CwwI51gLx/m+gXJNMevhAYdNg02sz084/v9+FbYAm9wxMzrBIJi1y4gNhSHCV0g/zFS gOZZ+xUaoeO6PcPSX1s96TZtmz3a8QHh3BgYsA2UD+PAscWRzUHJZ7j9mxIHTa0jeMCYeVh6b9Qy YVXCp0TJ4OOd6RdwSdN72lJ/cxV8nd5tOmz9HXW98FQOdvx0LZ+n409XLrk+MxC8IjLKFs0IbmJD IfJZnk+lCwnPmFlNac+pOYqfE/dHy51HRjPQaegbp6yqyJzKFyHSqy2i2nkpM6w29yf4CNMFTI9/ 2olUujcA2rE/HbxalH0o84XS36lMzI1qBcKv8QPhq1A11VQBkup93QwXgUxViSsoRH1ykD01Pjwr WXUDwTKMF3LfA3VygcGxcU0/ScrpSYKx6WWNbXTLO/PqB2NvdmV/To+RLEAspSuFUNqh7/0NVtVO bbaN/hpxRRjfLQDUUM2tXJGKbFD1rdu98xAC4MWTpkX2iG1uqIUPobb2glhbloyo/fWR8bViXZl7 i6sR2RO1viq6WH7pf21JHIDAVv67Rj2UjWoRpVUF3ORs90JVVr758lpBGm3TKbF9RWv3jQTELBnu PdJTsswHaKbNHPb0kP8XhInNf/Q1eE5wuNA9c88OiDcE3Rj/Y205EFJaJhTWKeNDCZkTFSrmQNnM FSqWY+eZJmE4wN4eOLgj32u0oKOjMaucSdggFEek/MKCQizx1j7gwLgTDwrk1f68xWruMf9GeMnY k79XQiBarePnYAm6+SkZoo9BNAY4eRX/2lKzpFGiByVU68DsquYpsEaDJVJu8oixCElokcbc9H7m FpwUV8UVEdDvKStcfjX+60Q/4kYtVQvNmatybTc9rsjc11s6Pr7Pl/GBBKW3W976j6B/eTrcVk1m KSXXTcM1RrVzbr2vVT8iDAG+PVDf3vgfJSizYaf7Zypi2fjBcYZOGoDhfgiJtHw1Pckw952HuZ0K zdKxJdtLjzYAJ1hXMM1tDLUeHaTjtd6ZhF683FBmAv9CUCKclvtGALAOt2aTOpRWo78swNhTVncX JUWYF1c18q54Oz8azgydPBja8TBwaYxjAIiC60FSPmDvt+CCh32CJu0K33yWHdHhjokTKUMbWd9y fLA5ryxd00ZCM7lWnsjksLcjqtF/2YvFU7/Pd1Rb0ti2f0iVWfF1Yw2IZ91GP9NbO03VcUNh7u5E yZi1PgD7TLAhEsfxkobX07hvJsgm2VuG7lkkvMjBU8rU6OL8Tlw6XoyzD3gNr0OOVEdApiYKeksG ijvvvWyIyuezd6DEpkD89+U4OtZgyn/jaAe5PY7rMcYARt3yOb4CRyuDO9ZaNevryH+tTQWUIUKN AxlxJgV3iN/M7fULJQISDMFyCIUgorUDWcOdi2fWgir8ziKHRGoHW9HZpKdpJl9Z7UxQFT8ohLPf DP9i4mJB1I4fGUcU2r5bcU9PXDwL4SIyK+4A9nCfi5RwPQuF8JdYa28MOtajlFeMOzJj5IvM6FIJ o4VH65p4ZP7JoyfmntmIMoVwkKA52YhuQGYFWYynFbWbKo7ykrI8UpdGuuTfwCrAhONCm/pZ/rd2 hymWO+vbLoImpdlYp1LSS2yD0V2jeKf7Vvdg5qw6gmMjBL/JpFIByAZ3uGF0zzHSZVG1I7zIGQyF 6PX1Q2qj2VJuWcJnlHlv9AdVlxuv+ZdgyDS/t7kBY3DU1j4Hg8OUy0m4h+Y++JDcM+0Y9dN3XJlH w9HCzxMMN/uhc86N7MzUa0CSJGHjf8m3CfTIm3wKT6HgcykYZ32CoThO4LDeMB9ZHYIlHR7OXzX8 6spAyzcQ1aGeW6b4MbQlaBcV6RqEq5hMNQ703Yme3yUKwChhM2yONpMrnBLHsMtxcUlu4AxFVcGk qJTuNN/foFUhYzMcRuHbBYZEEKcdBv4QKvlBqFwURaZnIhwCQJkdr9iDrQLhiFik9QSSxAmc8vFL 3F+EzouH0O/Q6NKUdmUgBeQUCgMjFZbXVWYcfiBo3+rI8PEOHkHNRfzHs6rEiqmlF1B0NbzmriEb ApKzafbaBCmZusL6HFn0NUxSnU0Z20xedbI5bbok+Y6xCfW/lTfoT/1T2EHrGn5Nwuf7KQ8wtBY5 hwnBdgrY9iSeq9De339IjI4rR/rciQh8z98APntIklUkcVTcy2y2bHl4mqI/MnUsll+Og4XQPcsl hZ8gzfxvWs8PxCAXiwPRwJJKr52/pz9NNsKx0FMT1pfQ97Fn9OZWrx0yQZDjVZ2ai7AydORh3rGw rvCvFXOolk+mNajEOgVs7K0VURx7VB2cx/R9GjuMazI6cCSgg5gdE/l/drX4i8ZnQ/m/y8fGrEJ/ 9/cEn3eCx0qF50RtmacqOSTySJcD4N0JYX6dYcZRQ+F/4ZItJfwvFbEM7azytU2MBOGy1bIseCqd OEazqmQnDscsrd2WxQtqRvN9k1v+LFgxlPFzwEdYpAlBHpmQLJCVFwyQMdjOCdbjksR0njgZMg42 Y59zyLCkIvkYFvLBJ6twBHUFkDdQ6PE5HOl/sIuewoDIpVf0EO1+Q6FMdciWGrUG00FdeJo/jnGL IynpnZgUJJZxMACJ9dGuQqajydPPM7bWgwrAYpeWlOJa7QwdcrnMfgSv3CpuW/DOLU0YNdyCqvug zkBnKd6qdarCNdsfuhYBmed+CY9QKkZF63eGPsfQIQo29KfutdLUk/csaVpAC4YikTxxewpnShVM ++TKu8wBABYANF7ooGGPXr7ZYwjqs3RyGWCjKkXa34qh3+GwHVaRexhtElfSza4MZBW0HBaLEGhS 6pPKu689ZITv0yz4ph5ZjxQ1ngSSMc7m0Ib3g3p5Vg8905W65qHLz5oDdQzTKHnR8NVD8+lvD/lM eBNrTq45ctV1qIrlN3hbfZWfpFaRQ8nHtvRXTfiY0Zz8ozaQ3+YReiCgp3B6HFJmEX86x6GUk3C9 61veZalLGTySjpSW+hP1CcGJW1yKrelLmjfDBMy6ankQqR2MSziqfC6DDH3akeiKHAcVWV6j1LKn HQMv8p2KLXqmZv8P3ItJx5CxbVDJnvf2gMJY7gCL82dLBwEfKEUzZmKfwFsbSbdICT9OmzBFTgJ0 76EMC9uztTOsNyKo0iJcHv2GUWpkyVJDzNOEu7QF+1QDM8ah/AqEKpPO9rRy7PnghIgl71JEDUgE L383yzrRB+rxeOneClBkS68tvHC0fgSNHR/bd6XY7jrOZ56ECDthNzRM+cb1eeeOeKliTc944tmQ 1ZJQTpfdG5g8EHeoDiUsJXo4MxP87iqxVThADf8zdbhWQRrrveeX12DEMSlF4fhGj36O20uGk/DL INOCH+lPJPfQY0OO2tw2w3J6IobJW9UpFKFt4bM3PCK2zrBWqFz8hTiwQtrWDUHv47lBty9AE0Gv JffZEhkBpV30dJS1WgmiOkTtEF+0geXp/RavRYosx6unevwxt421rpktv5RwG/VAG3MUKTbmyfYg j2Npnnhkpmht/ZIoWWV2Vpm8u+hlxjhLScoIJEXkWnfP951hltzVk9vWW+ko0GioijGZu2BvzaM7 JK3fdNJ55ICDaC9zaTtlQTL3NJuh7cEB+UofPnu78m+eGlnknb2chxJ1m1CLB9i/dbai3zMmRS1C +IlfIIEra3ULEPaf9YgUF+AMRGjYWVl8tbGyFAOUn2hXh2o5HBiB8MUvTX1luYVAo9ejRmKG737e Yd7mmByl8skj9C0ug0fvC+qyb9F3ipXIhGoK1FBSurdcr913nYbcWfwYcPub9AARFcf3+XJV31p2 YTKTzBbXwexI3+sLYeBgOCl085LIXTZV1KH+YQ2tZ8KWVj6twi+kzhT0D/41Cwip/MURvIv2kTZ2 w8xhUlLShLRhzMI3PwczKFfBQMkDILJ+l7EskS5RY7/v9F7TQB75YXp2ogQrtOGKNg1hXNPKrbcI 8msaDa7sLp/Z2z1tJGQJ9v/QRh2HaIWnMacqTiq1VZz9o6IxMOtqouaCUi/Q/Sxy5wUCwOD48ERW POrpPSD7IoUrnxzZxkvYeVQ1TyI0cH427uJsDy+wyvQvaI3jJKz+PzHQ9WPmJAaV8RqseyIH3qgb hY0h7Lv3mLKSpBoJo0XIf9QYJBo5qNH48PYr3p1MuhlAO0sYoL1ymP3VqsuAr7sJ7ptEm35YZFMt ex0AGUyp1W5gNsAlJjnUlE8sn48rx8NFORJtWS+XDw4/yuu2SVmJR2GD9WF8iGqIhiefC7y+PImE 40y72JahGmrl1XrdcnO4k6ppdIIUtDI8h0NHD0/6KTcDpaHnYaem59YI2HWl/5vIgS9tlxN2Hr1S iqWxI/NCbtv2iOR8+vDwaIkcvS2mdOzUvheZbpM7DyevwMatvFBtUhUfeRRo9F9fL0PHMDYX6XC9 HrTZQlmbsDw5gdfvHRjPxjcelwxikcV2FoT9sX3VmxWMVK7cPUEBaoo8dMVasVBPw1FCelKIENcO eF8ESAoYE8XeWpDyiR6dhyyijpxZcbkvIabE4A6zxqXzIciCk3qM9swN9tkgu01XI2eLh7Cwsj63 8X+42uAVVTGnOSRb5M6p3j0IhbB6JFiMdZiVjXwvZ0jAq+zlUHeVsG+nZjoHC8qB67BFeTt35Lln 7z1RPXKgSfczunt/cJD7bwyXQF5Fsv6WOHPb2h7evgDwYcPs35avea+LR+r10hsDunUfEYXvN6PN mgBr9perkd1Mr0YPUGqs7xiGbh1tRJyFCAMnWVKLJO4IqZt7kpg2wS/B3JGn01NM7dDluXQIMGYm DpEm13gfSZPeFZdvOYJjSs6qiyKI+uWxKU0ep6Qr3YdB2TW//dfB8ybqitNFrDM5yVm6rcqdkvbI gxQdyTlepQWpXIkCKNOU6ODJqD5D369Dv9fbz8HysO07r6P+u0Y8tC0ZUIWE+9HhD28Ojrlvl+PO mc5fSRZ8A2l0v5CDqxtNqU6In+GHwhpWHSeBh0RmZdgZdN8XGLtCq0bkg6ybteqjhi7N37ap/TI1 Ijv6PQgC59Q9XMTD7p5jvSu6JrITg2FtDXq8Ir3zy/oZBdlGul6O1bj9AJE0nTZL1hYptLU47aGR PC2p2RSkMHnzRaQJ6eJKgMsx6NnovwZLqE9c5Zv/0lfLK4v4xVlx9woCYHXKCL6YMJnxj8F1v49F MSOjEK1Ge7oY+Wib6oxNEwVOq8q4qlNeUAzGDfZy3q1Ggf8EIkltNc1lTfEDMy7OuGfLINhwetOD 2d/FydZmkW/XOWsIVzyERlUh7GcpDrkE+mrYDUIbrYI+JQlPG0DelsQUqN5rztQxH54PFMQgbKQN pABiEmZDWHjDL44y9Asy6DAC5A3+162xDCm9Guh0kFrBhw4Siz2BX9P9q+KQXKS90yCdqOcobmyA T5Bfmo6FymGLJd6pVLVW/MKiYZZwb28xcmGju6xXtkMo6NP/tVSDiLeP4mBje1DtzZJMtqDtUkIQ H+P0pNnQuDoRi7wJkbsKzHmY3MHwkzXwM8iHRpQe1OdEwYnd2BhpENM5Qx/zy5Dx4wvuNTKeUbyC 5eOTSXQI0fQRScUDN4rRo2uluqPxeLTjdL6n+zlmKv1g9I9l/za2MdBQTv2wTghGj/KL5YCAtRw2 RJdUshC+ZCDpYo+U7GC2+GJdfp2mJu1GwPGERsx4jRp9oG7Dz+3cVoR2+HPBcX947Yc5DwDICCmu Bjmk+kPQIETH3uDBBK4rnnhzlg/3wSfgZirOgPdVORod74LcsOU+QEn1frwWgb4BxkEGIOZBCuN6 K0l5kq5sJc2dqW1jj+SWlfZrocbac3hApJGvc/aDruS8yaSzJ7uU/UsvbiV0es+60LrW2xlTAg6W 6gnDwazy0AXgnN7ablisGr8wJLrDmFbvp8dAPXtMVW4z+dZDTyMVeZWeKERjkFvqPxT00pbuJxcn ow7yo1nQizz1vTi3tKxqSfDMpCmXh0mSkfycM1SgPCSpRD1/4FXtfOs0ncAYlf4EdpoXxMXgDzQS Jj5CL0+9Ze26VAG0KUnT9JJ+v9VJchVflaP9AvbwKERhVTH5cNPEIPv9tIqsjiUhkkwM7zwKG7UF UZPfgjM5hKGOqRkelgq087lS2iCToROqX5mQWKoCY8dKzsHDen8u+WvmmvAJv16zkfH4mhYD5b1v ZW3h+D/tAmgyqThyGwCrycL6oX0F+YDMJ2pAmNK691FRYZu5bKCy64I6vZtfG3YJjVEa7stYIb9I zN4LGHw9cwilSXQF5LSHnZbU4iTTqp3JNvt8bhUjiXNWO0GgDYV42IxJ5VsUfNgU1nHEIXSaIuwa Qh0YJNRiJx1ypoa6QBsEGksHPw92m/PoeTrhbynaqwlSEHdgTmDwjrh2YRU3HHNpnLEZs5SvQg0W ghRSfO4DgJMKUtsi83viF0X8rmqgQP4KzgFnFVxc37+b27chSH5GAqFY0HxEfjD0FAcxQMRro2q0 Yi8Q7LdVt2sc8Y7BlxpF7u1HvqVcd40lIsOV+40kSaaV3RiIJPCPU9smSqmH2JtwZHaiYRYOre2L ijUXzKvjmwN0x2N9FQpWzerU5k1mmtmi295oWS65PYoI/PpNT6/wsoiMmRfj4TQQIRI3Wdp2YtOK VfCQ4mA6NLoyqoKMUmja3BVTkb80vkvQSx32PXEXbfX6ZkLxVECwdpdazCRsfL8RhO//1F7Ihsix vGl+jAvVgpiYOUpm6XTeTGkEmFfzEUDFn+8G1aEURl2+W2feIS/1/lQqyZDQNEQNqli47NHPElZH Rwmcg5T6LdxGpjGHmIqiHy16lb2HZH7mmqQicIZ+xRlE0IZQE1PQk6Qw48DgG0hLDI4msQ1Uu8dP R2FtWDR83GyOIt3X5Iz+qJvy9nvnkAlAuNeC7cLFGpPKoP3YO1DAO3ERIKFavuNPw4Z+prYN8nc2 LqEKm+jA4EVbTl+49fx7KnT0/OniKEtRYXug1VxD1XCt7Zr42eArJViWuawwixxaqsHAOHMXNNx4 UTtiueyaBe9eqZgoZd2FPDn+0/P3S2l44W4wTmXldAPrJ0+YSnS43mAhUMg9Utyzt7d5eLtKsz0f RWgtNc27DHnz1hRw7g+jzjmMJ6rPzR61gsNzIn28fg+Dg/Far5b1FcWBXKQ+tyJzv2KS+46DKaTZ pBHlsld26OBT07a83VsUM2D2/mkUWhk1ceMPB7crgHiDrs5IzHcSzxIl3lKXnmtv1bgocHbTyc+n cdDrvvNDGbMIkkHH34ACNAwHliWoQPg9rZ1TVkk+SVPaG7/Hhu/IIv+Vydk2fqPB07BEh2OPPGfI YAdDMboDHZU52sW5R3u8rcIb5RhNW826IGbtl96R/B4k8uPZz7A2mmw6B8zZWbmt8M1mGlkGfL9/ jAJXgLFeellpwlUlj7oGJ9WvTS2DiwhEV+CKx1/L2eB+IGSnFPfv+BDupb8O9rRSo66jOvdz9oR7 RMNUHJMwslG7N9HqZpuGMVJ9fxvFZwc/oU/CWvV6uG/hl1+KklFbbcmJFoJNBZLIknf3wY/K+jhi IrQZXKOVwQ7IZFi5RPV1ITyBPIbQ+jeXEkszD5Cc9KHZTC+2cdIh9ti6jRojml2W7YZT+RQ9hSmK RIvpKd2FWvAc/M3o5Uj+ZjpavHkG+wP1oleJqhQgsJj1tcmDksNtToRoYEfCelX/rbriZxxa7yI+ M/DxRnNGCps9sv9dHL2jxdk4bDqXF9WciOSDma2XkFXU+sw+K7D46j64N93l1xIhe0w6Wr+Vte+a X6UieZEbNYz2YcXS1fFpJGdtpqTqj93w/xYvcrYBbA0/vd3PdtG1nrpBuFeSvW2OrH6M3kq8t5Qc Qm/P6EZhBf3mXGbe6bEL/4+M4F6lDXo/j3rQXxL9efugejpCEsGvmtVs+EF6fsh23YEWN+rXq+Ii 9ak/eoX7iP5QGV4dBJg5o98Jg2UPgsCL4JSztkJ2tudHBgOf9CDDPS9GnETQQKuR2fsxWwHtiU0g N6wEeFlv+giUj3t4hcO04f45PyURc0lVDsDzhpaV/dqVBQ6TDlNkPVO7gJK907T7vC8hQmNWKS1v pdYmvoDpK8HQ/ovMU0nINCcsfH/yIXj5zjEJRga9JWQ7oJfmukjcl6WcaGUVEJ3pQXUUs6qynH7e zR9g5Ewyz31Rqzq/XaaF8NHqPsZlFenqNEz3/MXZMgOn20KztqNlIvIw8tYILtjV/6QsWbav1+nC tFc5fZlosfT/yVIN6cVpWu0EhP/0XqvRqtEuD6s2FHvKM+Z/TJ2phyj9mQLDpwiTqk8ShfoKFM1/ X3HqW/cZjCiKKg/g2OZ4oNhs3jEzZp33mojUN/Qs0XaSiZiHcMT8/EsAsyZaAHIm86oTwnSkmp7H NF61TzI++pqxOb7jez8bsB4Yz0FGPAoKYnKTN4n0whER+1oCAu2c/eXqhJq//8uFexdGclrAUr9H YGZTqDG8jFzKFcAent4bVvGmLWaTujxZ3MmpuOUTB82n7a+SoPir3fDMXD4NtqfniR9Wp5LSiW8s 1k4RaXWulKUFk2nEr9Um3qDGMAWYb8r0xffW/kBoabgR2R1P5m/cDnl7r0NsP5EwPQuxDri6KTHp FYLPmsREKluvybKg11v0VagCjIbO4uu37KbbjRrNS9WKn6wusijyX8FkDUpTUTOn1mTv7CqxTlRc jiM0mD8F/t/ruH4ZnjUQQ11xCjYyhlWrwX46VnWOyzu/h9B9NSs7WhLHlZYahbkv1gT+0bSnlQOO T4imP1Q0HLy4EE0d4Yv5aYf1wsEF6G3MzTXpGgNFMaXxHBd51fuOfeeDLeF+gR0HofyiXM61BA0w CyCDlDT4VBP+QG+wb8WMzbkZ2RDYMwW81szrakd3JZZ2wY/3k/yLVSWyVOO1ks/1E+YDpbMkT9LU Skh7T7fXOvKDuOAnx4wkoE6pRL0KVpVdJRWUG/GDwISZVPj2BTTkXS48pdgyuIXJztoo11hLnyIS 3UTxSJOl2DkQ4ZDs2zGR/+4lDLqUe1knrZ469I7RTDbyKh1KlUX8Tq1o5MeN+tODeebK4dyZB3xw GtS1/22/xz1l958Ns+/CO6WSKSrOvZqFKZ2AuL67NA8QNQXQ6X5aE9woE5Fgbl1OPKFP1afjOXqC YkSu8dImQGoUcc2iAXV/uYqticz0bp7BEoA/w3K3pP//gMdM3Vi98dfN6L1Bc1NuyON8hIMqQkI4 8s69Dvm321V2MWk/ZvCllVGKfzZVqMxgGIW/STab2jmTtuYoSv7ai3Lyv8w1jVR+94uHhuAvDjVC h6VtXC4ROg+/uVcQXa4g2eVPN8H9vx4tkjTdHdQVLVfD1n3tSqO1e+dNU5HnTM5XA3+lvBcaq9kF yhM+Do9+sOUvrJMRwbvbMPNX+wCAmZD8/+pqaBBsWLI3byqE16UpztBganpac2o4qgXMDVA4oqo9 gn64jQiJepbpoDmVpWJ8eKV9XtREIRJSxQxmDLawZ9K0dhcdKivUj8hFChgn2ju4VTdtotezY35y Zr8lumS8jxY4y032sep53Y4fjqJ4kNoLhgWSvUsyGbArgEVWFY7ZeYMlmZoj2/joBonrUDiCPV1C 7/B1FWvYKBJxBY4H1k0wqnYDmJh4PKxq+gBn84x5wPjAetEKni6xangnFLzPbx84XgbKv3IcS9/j rR65oQFqSXCub6nMZiR2Ll6Riu4r5w/yiY5elmawYnJfFNwe3RtFdm6B8eaGvb+MGlP7sxLL66Is lyho28qTWZz/zNw3EkjUStpwGkaqHGOwDp9ObZadkUIvAHGVz79cc/oxq4r69ohGt2Kdj/2X2pOx N2plRzUM/f84wdPbomxRkA4U/5wfQXU/IVed/laa6waRmszZS6jtRPQ+3Zs69G2gHjPyHTSNZGdq Vd3BudtgjcZJ85hcAq94NJwDAUxzyJ5eXtUbV8yP6YR6XdYsLHuQQJ20ADZ9NS6M6IVWZy6blm2X eML7fIo79MShIInGoJ4bgzBZ+5RJhd2uDSd+ilcDHGn97y5M5dk+oOg40KlQeLehCw/kFVgSM2Wx rNB7cTnw/IT2ZZ15dF7zAC3LEw8fIQ7jNmtmBFnFSLk/zDFCPjuf8MLVj0570lhE+2JZcuknLYTp QWnvE94xDa841D8kCf+qY9wt1bycfVrafKGn/bFEPIIhyy9ks3Dw3Ng1srcyCDJNfA1GUK+RQk6B QZGJTM9q+3fdVQFqskv1D57xJ+rNfak3kE55g97wqKBmocccHYiM/rgbk8yUIfTLD3UcXONJXEM2 sUqENMFypgxI7AJqYfs6mS2RSVZyse6bEGDtAlUO4XJfRe0sG0Q0vqeaL92u1Xwak03IqW2lix0Q Pi0kgWQhv7WqMRkUSUF5nNMdwfEUXv7H/ljHTqhUo4dgB5nNDGZ0sx27jfq6dgnhhtVDN6sEdXJo JwZbvnc4zyTcVNaU3CfGS8cwPpNYf/nfEQ82ZLt4AEVCU3yCd0XQ8vE70bjBfkeZJismvWKAYKia Sf4o5mwjjInvD2+bKqQD9dnbCWVCZE0b1lTDeA+xj1+j9grbmrRVPDluvXGH0oJOtJs28fgrqt/1 aEUqJrczk6VVQKf3kQAohIIhGswr+yohARoK2TZRFAOEAl1NZrzHfN45iDqiY/gU+aY+HSVKQnp0 FK2aSAOgU7RkNjMs0QsHFkqhTnpjwFjCM6sLcX78D61nvOQfF8snsS8JWpfGXV85Nr+cOEQrBqul 906ywTOmvmS0eMKhxKvyceS+nQNKd6mw2VX2Ei5oiS1ifmNnUWZ+TmfTuk+VqgVV5lO/Y0yvJmDq LjhAmxO9p2dpCujByJWqUg9GYXQ1co0niat9FDXeMja4bAh8I/BKchLc2jeQFdIEKjZWPZuz/Vke 8YZYKS9GsxI93nPdjIVKxHXzeT0V6CiyqgZP5wEWTJ80lp61FrBF5/9cVKFyurhqOmSq+c6JUxNf phd5phizWOmVi0F4LIxfmrqwpVRzhwtTwGA/LmXfJTSdY87QSy6zBHK2WwYZbOu9Ac5DbZH2ywe4 wJ6CRQwFIigRBn5RKb45Mg7OJR+Xp80p53o1wIfKSjfItu2z9RkUolr44amgBfyHDdCHsetm9bmT yHIEgB7Pc79yVrLYtHfn91PWyMCIDwCStnJMt4BPlhKii1dHcMw/HZOGtymrgbe4QBkUTxma6zZD sNCsPfzLAVfRiao62dHb21zZPNjZtBoPudaXvrpMd85GD2u64EAREL0e93abOudFIr6XOiqj1Rev 9x+zpctOFxIi8sZSt67nkx85VFY9Fg3TuB3grbgw1JTLwkhmB+0aLYADemuyUAyFG0awduSDaudF NQ5nOJubYMFgzd6OY75tUg20mQ4hEIngFemhxmyKZsjTrj+bLC9wy1QQ3fQoOVDXbqz3cGtsYKxw 8DMWGEr6BF9QVcg1/X1RmBIwb4ULfYr9tBoeVdhS79tWisG4jHF+h/kjToF0B2x1Ruzqob6xsmn7 iN+WVw+sxySKsLUrlloMKnS8RVRQ/5HEgvjWrw4mIrnbE8osYi08VkEsotft+Yc9OWjAWTLXQDLL QQ5kgC903VRYaS1pNJnpmZkjHHXNjTHhlO6GGYV5VNsWmMBMbXfXojdygezlvRTHUt63en7a7i4F yNSb4G3qJlS3aEOBpobKAJETuhvFI1qR8k2e0o49upvltRyIVpJUojl6xJdP8KzITjv7jBWTB8Ar kK7avzJnS5WWNjxEiH9Sa7kwIi9fkQJwZA6UqkusXNsdwz+VBveqqGsqNTWmHWnNN2HM+eTZDAAH 0s3ZmXfWcM62RgrVRgZx1hjMP/7VJkiL+7g2yfrLkVDpEOo2zr31ze8/J7ZFDaXq6ls3p0zUx0tA Eq2Fb/ixcdYxBZt6LxrLV0umx+5e2Xw0ojbHwQYef7sAxUdCB4XifdN7oBgs3chwUcSZIAjgjjXs kEZSmHf3BL3VEiN+JKu+8RnLO7RXFYzzltArAExYGy2Vxo4VakePt9lXS80Iu6+RsGrXH9nXxZin vU0jyB0D9VVGSne0Hs9SBSKrESgGOjioTR4vvFowCTpYao+wga+XAHmUNbfyXQwVchb/EgeWI/z6 BPr85U8TC55Q8+9sSQlKEynzP3Ckrdvk7iRr+j0vk+4ySmGUGVLMwv2HTE1TfmCc5GXGkFbACKt4 CvUzL99SKpoh4vQhgFzCpQUg7ePmTq5HdWreafpA9+rM7a7ffN0K17nbpJGeNQGBClHlYjtsNBZ3 YX2K0KiBDOR5gJvi+ryu4VoW6nhlzPE98LMbwO4YOhHVJvcFNV1Wd2gNgRjUTnCFQ6H3inWZADms qt6q9XficcCDEtEuOFswN6cZ1V+EX8RHuT2THkaCsQJClyDwuyxLM9+O/BBi73uTOazH/gQeMgvF EBj5x/TgxvjhZtEQ+4qieLN0stmX7Y8KQlqBdHNjF3kfsQ+ztLtYED+zQnPMqNkJ4+HCRaMjjp+q QXDuus8wcqI+kMJsB6cZx3j0yWNpIjGB7JXlX6S8YUA5cDh2SrgQg2sQVwHZeXKeQNK3qWWNEvbQ kSkkyGc+K1GJ3iK2grWKXeTNyLnT2dDbI709m1Za9qVOBA0EgRN0+yQG2S2HHiC5q09Vf73DQIq5 Qq+0yHeQELhb2QKzxnrMXlTKmVqgZ1aktZ2Io+AeWikJcpxUT4Gd7buUg79al4WD2iDxVZSbonHG 38c4fhpPx/1rn6p6IwCenquHgD8F7uPYxss7Dalv+9S0z4XtBcfrecSB8g8GS+n6V/AUi5dF3iZl rQgzQxlFOxkJcpRm2OPFCUQDrJ06q27qMaGVdGCeyNbBMfWKi1UPFqsBQfJojOgXXDaJOkZF0yHr AsvqQpPm19NBjzdQBimP350HRQixyh7bnHkUnsOlNDX+49FwACoyaI7NFpCGHzEblBbI9LX4tjwa fz0jVkjZWUT9awYshaiVqmWSOhs0Lnm1oyoUvdGTiE/nPcpU33E4OxYHGy1rEO7QK10QvZWX9G2L smhysMyBox+fmUVwK7ixfyIqjVYB+lr/2m3FBngpzbDhQi4WDc5ZMB8CCxfWiISG/cmnCTM/nz1Y cT5ov57+EjRDt06y6JgeCeFLQ1Av8WOPll2Camzcqhy9gnq/ureIxuipE0nkYh7y3rZfJwTRVLKt VbhuCeEOA6QTljTIA8cnTiI5oBGTXJGJE4HFR4oXcBst/QoRqY/fVklAo0OAPoO9MLqklE/T+k3M R8oGJBrF26Bbv1F57DUp8mEr2XNpM3Nq2fTFOYpGxZb5YC5jOvnKH4VEYEjKbgqOx/U9aewciYHD MxWLCXfE0dGZye2RAPjqPNYL9CNgFV85TJvJQhdejk4pdRN4Z8hss5hrC9aRyDR0jM/Y79FdobBJ 3pbmw91NzAv2ftRkyNL/LwZB4btollfjYMMn7jfgE3kVJxwOXIoBFoKen/pqK+pZKchzIQIBVron AqWw9Hd2v2rRzh8N1qNyyqqRdbZJEtcEycq7PZi2ywVu3wjbDykmdsfcnO9n+RGpwFghSIT/IqFX 3HcbxCbavEKQJycjuQAGcEDCkBHE7o2IZkMJXPKDS2EtVUXk22tSUE8jFCLQc30TSMhyqU9qu99E tyZUUe/1XWgWljfAmr0tssdlb4WoAtbZKYuNkmoUzfnXS1+lnWdz/PXkk1fReNSNTl6hXnGOg8tQ FfVV1IvnH+zc6KPIPldLgLuM/Wic4fjepQXo2e7PT3sdYU23KJtPOBk85Wr5eK2EgHED9RfrlMFU CYS9L4Mdj/pm3THp2kxnBwK0lT11JL7gP3/M2pjnon1TTBFCYjNpxmb54cM9f3JmITa6TAAcz8qr 54/JA11pU35C21WTFA9lp2XZRbTgwMweCJICFyEcdUprYVTZarnG88V7pZ+ICa1rdQi1wGGpDiRY Tly7SzUeFTlAVTh/D8hK4tAE5wugJGHCL9qFr3SKzxyPp/DYXz3/aaYXGqDxfgVOj5QrS1A4nHs7 uJp/1DYOs6Ba3MUGLmArxiKDFlIPqyo1YX0YaRoDt6zy87VMOP9vT1qSUB5P52xOLEHk5O4dGU1u k9xdI1SJTIJUdqAsYNpoMlnNMigixImcbvn2fHrUSeQQsipE7iYyBDDMnGgO536ubatU+kUd8efm Bn6Y/JYeLMiUOXEtO4MLYLopVZF7dQDmE1JKlRWrFfbU3lmFEafN6TpQ5F5si/9BLthGIkBpxiWf v7q2Oi+VcZrbrheAxhhLKGu4C3+d4TeaKgiyGshUAmIp1+GGSQ1kob5XI3DZnes1jXUhMrxs+b0C Iyv+2g2rdmcAxs74K67kMLuzIn5Y+4qkSq7IuDderhtWKwnNOwM+MXJ/pZWEsr1qiCSKsIrJW7jD LbQDwmAFuaf3N1lqz35cE1bOsXqhiySpMOfFqLInKxT7V8wQ1ligB6NxTpXj32Ht0P81O2BJFphD fBC7QsOfGEsAxRGvutoXN9tQ5w1bwPZzzPYLe/+GeuPpQnS8DisZKB27wOovsbV6bTB5eB+5ZdZP 8R9iaZoGtPPWjrcrUX5I7bKdc/7XmT5UPdHPaCovsp29peuhNPBtDNMXm0t6Hd8c3XcaaMXUMNaK iHSoJCqHopXEYrxmvXJyd7oNbT0J1tdICzyxuJsl38QhmC2U27XZl3xklNEa0PWzkiWLqz3lXX9X bwCoOUVEUdPFCGmXRK0nq+A9qCqQ8+RG5nzxzjNXXUZg5q4IpOSf9wXYAqmsUzvna93+014+BaVJ v3mNehZDa8cOJv4WRcH0YvV7Q/F449JRbhqlXKL19m71FAyimqTuY+N1TBi+cor/ADvRu5bYcMGg RYHeLffow+u+s6zNw9cR/pT7KXewUKHkkju1g/DvSy5RzBsP7n2vsovhqKiDKRIrj88lUxB/zI0d LFjv4CCrfe+dqzzi18s2U/6CNSNxP96Rsnbkd5ifrCZcUUo9M7Cri4IJQSw2h3eIhruqsTznbR0R +Hq/hDT+kZe3KgS0ryIXHE2kOZwhR+SIi+2EdnxGX8PlniTf0QUDmrJj7jScoJns6WQDlWhvtAB9 ttmBYuqN3JvCQZwbcWwl/bXrLkHfRCSMNHZujP5CuIcD+4pl6MEh7mFY1BrKVEZIGMXYNwgaZAKk OrGMb4iOAatKWTsXd+a8YOsl51THq8v8WlsOSlM4ZB7JSv4RcD7DDIRg3PswMuLnYvKrWsCfqHZR ncjc2e02fEfFlf5w+ZWHcC4TBjvmYkIe+mb2suIc0Fm0Sy8mmfVAuJeg/re1zYhLaw927J43NmYR 7mVa5KALp0CMHhVqcUSVbmgu/m/3c0ZiKh0z2MR08Akian/OUCmYtJNjb/9fBx0XXosQkM9hnqiB LWt8J221hH8yjkynrbymC3tzB6u4oeqFxWEpDnga2y5oDamxFMpQpAvInuJ5YQeRSZlMqdIK6Rqs cwruGY5f2f5KqNjvuIlWroKfbbwzBoksn0+/J21PaE2iX7Yw9lF8p9L8EHZBYBlVmaQR9TqOXaJy Jt+kX5oqQoL3P3cAf2ghoMdr6IwsFA273OEGIs73cSrJPeEzjJ394plGxUTplQUkoCYQghJWUfQT 3P0C4wLC/sbmceWQ1v0G7VxRurRvIpx2JHW7WKRJLvYq2yPDsudUPkRGlcZ/r6ntEQ+c/XTfRD2f HTqHZcpMoHvNw70kzG0aYWSW2cF3d974jEPFHSYFWH+OxyM79nU11Oo50hjVk3zNWTP5oN8hqOvH hs3vRN77fZeCOFAbJ4z8uzPGjQrJ+YcyFjPMIScAnFb9QjVVh2qQLWbBUg0YB98grxeMWwvbl9eW noLW85fblkUyK4VCCHKOw2gDhu2qjt5Iph4wb13CgMXIVP3j7g+nfm5VdxuNaz95YfaWrxHkqZlM w0xKQOpv76mBP+DKXSo5WbcR1e5xcm2kNbLy2fNWA1XIf1Ob0y2aSQsOUeiol89ZAFcMnU0GLcaH iDvZizSCFjw1+G9ppABZ8NcWz8vQAZOmKDdl36sgHNz7ETn8kzbhlBfng/ZJXFDDV2UbOICqACZm HRRRPqjQKQ0xSPxRINLRnFsgNW0Z/iPrAMS7+QmeCeqyUxeGTLLiLMKepCdTIVxhfy9zkwEaVIEI ekbRUsjsaYEGIP6tonc7ABW3PIwI4qJGRAsudetBE5JzE5XvCSch9Ah59NF3iP/zWJ1wRksVE0Jl CwKMhFTOmtD5Fffgn8BhJMpGU+L/39+Mj3ezlxJAt/kJmHBQzsnpjSyKsyVNiKkrXPW99+dayV6s 2Fj1cNKhvmsgQ59ALBOnckgzerSbOeFbKEbHqLigSIDu/i9dijyNt0VvCn+KpngfBzZjlF7tk5zK TyILArohktGBrRGMvHWeGbyo508DPnH4SC9qP9qeK8a5Jzuax0vAoQu83057+C5jz9otruFWhf8S +8bPrTrMqeooZVtd8b7rmSVqif1x2oohFNdOF25HWrJIe/KN8QRxblXA4mwm20fkSB0UXd/yrsuv dD8Lh66AX7lunPDdVpYkq4XY0BQbb2wxA4ldFJ+gvI75B5hGtuvKOzy6yBC5NuZqfdIwQG0STvC/ 4GCjwyejTGSd4GaX3ahr3EdlvFUnbxNdMkknniEOVhCEt55WphEHmbReOTfiV6bsKD+4y8QL7OQP X+nL1Pn3rZ0WQZPbebhUQ7lni7XZeQRah5TTTYXACKLrUH9bv0v9iw9EY7JUbmp/YLOITPS2yehK AnYIjusu0Ac4BcX0YYWLfx8jS/BgGukajp8PrYA22dIi3oG/7UCsdhp65+I35Z3e+bCJIBCZ6Lbg lAsAPIPKTswg5gO+MhHMHi4jscABPpXun4M7uiAXY6v+DinHrVhhJ8ahF9dbLBOx/eddFb48WPDp WbbFTqolCqz8Oh5ukCQUDCKGehV4avdsUnoctlY2Pz3VLnlCPi1hMSTAQcWdF2BysdP30zNVzhPD ho0vMBycjehJCIY7Hp0pOTNKBTLDh+9IneWvD8tQkkJb6Q7xBj4eLUb376/EaGfHORFK25C9pQwo 3tRMdWx3B4eqRuw3IaepcCvKqosRwDRZbotABOmQQgM/g2rtkbA849IyVQ4fZTVTQ9ySYJSV35EK fVb053R2IiWvIhPHdEdziBQAcNxIYsIeEnrOmUlk9UIbRS8h2OTKJ6hGNuUcqiSga4LcBm5MOfPM A/9XdJ0C75eSDIh9BUuE7aIMTshWALIIumbq/L63E/4Uvyxnje4D/UAi5XxkM1RiBhxkFx7SSqoo qXf4uFumlpBH/lhbU3APkegQvPCOMm153aCk1z4bz9G0Q72d77P/UmGuM9asRpQ/LT3iul7n0yPE 9w8463r0HbEwfinoZE7RkrUOMQ2qcdb0/juHIYll3YeFDVNuJlT+XhRZ8ieTbawuI2Y53qDWjBnA dVPBc8C/29Y0FnHIJLGTDaXZavPyW8dwDM5jb6MfWyTgjj3KrkL+XewPN4Mz+KWNDIThsAZWngDb mzc63lSIjYAGKUCh+Kbm/OuqM/lV+Q0zRPPRQSXNGVjn26c7fbouqrh5Y9kno0yIMLw0pqX3n504 qdQu4j7DFp/xSSkw9oJxtgg69TzDJJR0IiXllxl04mjMH4nW4VqD44HUXcp7ePdQMHtXx7hKl0SP eTOjeooOUJiHitZaGDKUGLah39fDCI/RDJnRq8t2d2Y2YYj3PiR2X/lg6Ba2Anavc1LgrZKxJAOw Fpi7chsmNH/efiqPceX3StXUr97TTg6LtawaYgrqGveiQ67TP7uL8RnrRh3lBtXRGLZ23j9+7ozp Za1qniLuKXIdReW/Xq8r9sLwT/HAgEC1QADmGyi+zCjru2qQFVu58+A6Xj09tbX52xPLSqBW/G6d BC3rc1BYoZ/7muP1MFB0BJTq47w0BCf9SrqyDUCc+YygzRG8nq+15Q== `protect end_protected
gpl-2.0
c44f54fd60942c7400eef070511cabb6
0.949825
1.820107
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/cmpy_v6_0/hdl/cmpy_v6_0_viv.vhd
2
81,610
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block lDkv6ltRNW7x/QIA2RcgXZSPqQBUGCBT+g3j9SlSXy1k3R4ITQ0XIjKql1w0TsDI4sMsMVSp0uLj ijuMYkjOYA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block aA4k83dmBwtsBk3m6hnoDmpax9xnFq11TopMVlco/44Sze9LwVwmYe0FnTfTdkBcxNAOhlWzgvei 5JDZaNGpHqUm5J5loDzfCo99AnwQOQ+W+lPH9Tb9zxs2uEWraqKg5Z73oLBYGvGbJMT1w6gIlfOU F6ze7n5xLE9ARrMdpxM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block WQqjiTeqr/MjWNhNC8jDMhJR6OrwvphKqharF4xpWrzeiWyDiXg9E5Jwl4edhGG6fByq9uBjdn7h 9eFasgf9gQ7VRFhL0yEMYhAboNPumXPhIQiADsRGnJuGHBMxXjh52XyYhWb0nvie27Z4XfySz/hn HydefpsAlvfj6vtElhnrfalKZ5VD4dxo6JbSKe2GBf9wxyMOtqlpcQzJyholPAFd7FG3t3+7n09O EPrtXgVp6gzxL83qkbsTy9rmKs+FeJnpgJJsAaYt9JOwfg5CJnLSAwaHTj2XmSOmfH0AnerUIzjk VMYwQVnJSg4hlZgERj006ZVCDrrZe7o1uYuzCw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block mmM22vA9j/NAgN3IZWt73ei69bAHuqX3lMv2MIF3Rl/FC33LKvapWIGzCtbggIY+HYtQfRoFPUtX h4TixYIVE74BztpThpvtc3C2KFVelGrRuq+/qNTSfJswj3AsJZ9RHmMRVdpjp73/W+4XqNmxVQqs poavK5CTVg96gkyY9qU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block CnZ+5PqmCK4pMSEXiLhNsqNFs9J9C3mD2UVNLcx+C0Y2sq9oUa7tNb85z+4m8TRb1UUazjc8OhuK RRCNAzMIjNqJY0vyw3NwuZAyDX9OzHcf+x5fhh9oueFUkerFc7G6Sk0A4ld0JkZHfb2LGfn20uEm qtCjjmXZTNQCv/QE5loZujf4NClOJh0zdGGmJ1ToDi98+kZ/YHy3057xgxbkrc61v/RSnULSWnOr q3cCZSX/szl6Anzs9Z00xBLUU1BmKToNxxMAUJscvzEctC4B2r4PnTd5DlEnxPkD3sqzQibzMEje ujxEPatiewkCuanzJrmgXaYX+Qv7WH7OZWEFAQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 58672) `protect data_block jLGIN+MBsbQ8n4u3sbxVhtLDmum7KpBAAKibafF7R/zTY21Ol3a6QLgS5ctgkpeIkm0mutCZ/t1X rxmMWOBup86J0hGufLukx/4aLokHvvcde5W5Geh6cRrN6kfOt+UUKBtFTFqANZZR4SUn42zqV1S8 weyicDX8rua+5Y3Bzj3kHCIaAD7qCJsaOHQKl8J1qGEjumHlx18hJYc+e0neTrg0t2nxnX3NS02n 2PS7YfZD6pY7VEqN5KBBQYfsSkHj02CMOJb8biCmT2q/873ctX+aaOoyKzuiVMMle7O3cLXTnmS+ PBjvlUDGe6yTGp80fTgNrX2kXGNDVOrUna4pPlho8SHh7qT7IwOsP784Xyi8vPd97FR2UEoZEuUL u7vxuQanXHF4CtcRhnt0SOdkGVIbrDPQ63E8+zB0lWoMDvh+ISS1+Jv6wpST6uondEp/SpOuFJ7T Uj1mMIax9SvSUulXkocfI/qEA5UZ/VBRJ8HsnLXXvn9joSgPIx0FAYvIsi0V8VNljGL3lMTufxep MVEjNfQZLySD0jHdGUgeD051y5kEuxifpx8J+tAsrp2ffQ0AZH7pThxtniEAPVYjI1SBNj6KR7cT wUhzVa38irnrtO2++Z1mgg8cGpdQJLa+MQ+slsfCIa7pWUH0wsd0qWDhy7lMfrJoLA19pdIRleBY Jcw+byQA38H1ZFa4OqFUVxMWbYgzLg2kM75YOG4o01YOjzBpoWQIcKKAurtRP4ENNzBCt/1jP29Y FvdWHb3aPa8p/CqulNkEihNxn89gfsfR/GwM2BXUIYtZTjlC3jXQtTiuI4FlEYgghWpSwDpLcBKG IK3u5mGV4LESDZvA+IVfH+47NPpcuP7hOCm7jg704pdTTjI/k+x5Dgk5MfYJbn5b9voYa2rjgZcK KgErxoqBVEbOUBicoUuiOJ13TT6Qa3R4MxtIzpn/j+x/Cy8FIZRiD06vuIsHoIHoQ8keaqqiKPLw YbvkiFmQcdVcaXdWWNFMvepm4HwLM9KPbhF9eoN7XqvZL4jjOEoF55bAgpIp0lgtGmPWoXMInonM +8Gu40Of9uLS8qzvAMlBqBqzVFiJ3uu6O/w8l9Rr+GuMdDksqJLhO5IewGy9L2Icr4Tu9MOSTm0a KLsrgbCOZHtFrdP+uxiZiQ0yA0rbhDQomCwxCb66PZWhkb4FzikZ2iKaxYxFhWdwfhkM5pwiBT3N rRVbakqT1gskOB0NbrW4vqC8e+hpg2qwKHL9a1xEe1E82Uj98qNix60D3TH0Sr99rdZckImoFzvd 8swS0XGprJM7OdBN3sFkv9Cg7EZ/aFcBYYrEnHqqvHMDqrdzAaRasN5JKV2rqhoRp6QPs7x6TksX s/eoxQopnXgYR8xjMS4HXkr02X+g/gFZu5Xc1LWbrHRuNmn60tTXjp8xnoSQrYF6t6mnqjpnNCv2 imaQwpKd+KcnrrZyqVdMppnad768Shwm/ZGxp0ycr1xvUgLb3AZGsDACsr7nSfCTWjoy2MhuhSsQ HRZX8bruqsC641duK6Okaw4hxdeiDM1Fcpbdm1r7OW5z5B3Qy9igT00g9X3qj9cyROKIbOaun1pv byChzdUYN8GS0sHmedKXQM0WYMnP7TzauCKSjaPTQR2Hrmvk9xQ70X5aE3bqUDbWJ5GfJNdt6C2x nJwFpq+/HOLXoSV1/gpwpGMciInWyTiU6QX6CNooCp8lGgOzpYgrwMLg1DVH8211dUCd1abdXhW5 r1rF+O5BBXXp1pM6n+nvxDAXA2yNdwtuzddQxWBBOrQXrGGsO/86tKOmcyOyBw7skEVJ6LflOiwV 23E80QDymEwEwA6NjxthxWpOz16Eqc4qSVmyMLjvrmFtdNmP/3WVb/ceM2MEwlpVNDRo8Prugwxt oxS8J0MykikpbbZJJe8YzCIdYznSOnjG4z7NzO4ODiIs4Re80dOkGypWfVQ2ywpgAKt736wEYipE L80emp0BGTbuiM3CDHJTf6PRRsxiyulvfFRT7Hv6je4HJzs/2v/yTdWKwcWZnurirC3cNScP3t1k gvgKrG+73mruWIAnPPiS2Tdm1Ce+iAsf0cvEh3XBnlKUkm93xF93R1KNhn48sR9YwcpzfK87sqlj 7o6G3pvBqG0oQczn+AwhrEG01obFdaM4TO+mLVVWXg9gUWL2UlJPNcMu4eXLK43EPBTm/ao26FIs cWtRqzBSW0/1S8/keOUOJKWquhGEWB6ovD5HOLmIjy7VXCXmhysdBou/tGImamI2CCqpwJCKjgeH 74MSmnogLgfSIpSn+awSpfJOzUx5VOJs2JmGRbvizGEJlkpRJ3g4cftn3NmFwGKfGr5eWh+C/nWM SXeDXpeWswI/DzOU6HwQGLE2UgXaxbxnC+sEdLGbWiCJ4iyru+okEeUxSHW8raFD1aJN7UT3BTZ0 umxUOyLJKhC0xWUrKR0YYQ5YvNUcIUGQMqNY8sRv6fuDDd4TGO4l2XPdnP5B5Xd/75N2lBxMoQMY tv4xxGCPcH0XTQ/fjxQVh0902TkCEJILWa/OTNtweSgIrQUj73VIHKzOg/rt4C718zkQdNVlcPc2 KgFZSkJH8+3Vv9Gtvkf1gO9dR2wbTiOpkNzeKBWTIwPkWfnn4SE7lTm8+f6UA2s7ZX2QGI2qdcNc uMuifRpGCn0u3zi7h+hAosnDNZU/2ka0S4M0D3HDQdWOYv5iM7ypyngCXq9i3GShP719F+gc6lR9 ZzYWXjLj8YN2ZDBZEPYEcm8kvTSOOfrc5A3XR/DEL5PvbtdtaK4yj91+l+EILc4j/IBDhDKjMOav XH9n6TWzVKVGXGnHMHVZ1CUFU7nbTHejiFA+qL43TamCfFvy3fHf48J+ajSAo4VcwCQua/6PGNY/ Fuv2p6u8C5yjCkqQqGJPMaIHUItyEtYB3O4jWfro1ZCDciTuSOQoOnvKXt0yDMCR5d52qDaRhXE7 /IeLId2HXekAoyJD7KfTGAoF6o6WvQY1b52ccsSvZQ+x4bC4HIEkezcq8RMljeDtXawM1oI7Bzh1 GRtkx9ozVJhABT9kee0lHiVZZ8hnkiaWGw8KGkjdO5ouNPNBSrlNsTo/CBOMIHaZNN6tWSLO+v+z xg3YG0XK6SpkQquT9YhsrRozVNUx9P1mIaov3rn4eAs5lWLw9KPqjJApm7X23PJX7DtIKVFU7Haz YWqwJHts+cnMov7uNs/lUzkmKz8zXgmO0trcL8ia+o/Tqkebb8k19HzJNK3bsqjIW77gHBpamFOr NwWvpGr8uw7ruz+9am7+8ij5+jChr0RZbSG4+vgsAz7L5v99IthfeYZrddd8X5xofsvRogJ6VT9C wt9r9CekT3zTjVnzUBxku67coctP0nHkNEQxFSwpZBOuuNIPemS6fx0znRwSaQjtUfUqtPQ4JT76 z43x2rPTGyd5LMKoQuNJFlIH78rsvDZTAgiVgFOvzLv6PCH7a4LNSo4/XryBXvYqM5qqpH6GwtkC NLP0ouhaMWt18XmOv6pCegIyDmsHHLl3Mj0xbJ8pVOoYE1tofZqVsK25HAk0EMry+TRGBNz+/WrS dFO16GOTeS2aoi8ppNEQ/0ITijloMX7DGT6rc8mriWMJYhso+B+Z3TExZKRKckrvoHsapic37iGi 2t3BfURKLLKtfRMtsVdRBVPD2M7vEkIMYeQUkg93UYOrgomo4OGdMoEsMSWiR/zxRDlR8Zd+RyJ2 37r/vTkmgeCsJT7iA+4Id9UqYMdq/U8XSwxrxYqlfIM+QCfvJP4vbwZzAGrONPoBdhk/FXWOB1f5 8cgGe804tgD7PeUFwGNA/cVKyf4x9hm3W+mJ913YF25XqbPFMcTEzKRLogopwK8KiVvVo8K2f9QK 3ZerRenryVmIxzmXguEvbhssmSBic0KHpAzPK8btH2oMOqMYl4mcvmgLfw0IFjm39KtHdC1fobXr Zg2vkan7pnNt94hbaXff9Z2vDZ+JIybj2y1Jw6JWxmn9kSeqWk7lIDetwFaCCxKiTzf0ZOKA686J tMTqNgZC/PK9vuNnNGeaQKhbmPgRdymfryJJRUHy3e952KO0sXxctYj1XjmPwtQjlIGNuJDfFTJN tMirIfCb9YUTdv90IbCkwX8GByqJCUytlcVZFvaVwY5xDRg31XP58mJ+IXX/1QMpJ0z0TqW8BHDD ua9kaVt9yUCDQnXVU+RJH8Gw04VUN+2Bwf7nEcwOvbrCB5YT+qZ9qe6i9xF7YvH/6+J+nRbGt2ND nv3E7ZWmh2SImwgvVMCp81GzIqdeD0XwGkhTyMJUqKy6JrlE7r3KAVMTAcTlwD3sEqZ6qmhPVOPX 5QKHSULPRk8phj51ekw/3ezf5z3ZDolyG1EYOd5ZWhOtFA1R8vu1SMMtSguNv9T6CEDWdSupEf89 Tmoq2B0W9DzXFPbWKnc5pbYNz8QZJlI973ttEHpqmLHOXejm0RyLQ02X5vdJFBBv1s1Ddu+g4W+o PY+1Wkp4aSQU+9Xzot8yeGYcAWrXAniBiWnw5d9htUhSt/nxGoEg1yAalcpGk2yoyjtwQu905o7k MkqKFLsILz3qwT8oJmvh1FpsLd71SVbCikwJe7p4fnN+oYUkqkVF91ispa+ol2nexPfN9/nRmSqD f5FtU/McjznYm6O4tkO5ysHj78cDKg67LK8eJwzg6IPbiZjMkhwYxJ8izGrbM2uIee1+IjvoFpgp hRtfNEqxym8plfltn8u3qc4evK2h+V2m3D0N8a7H/0RadWIq2LnsykF7GaGrtknV707MLNS1BK+9 s2uXtd2kggf0b9fczkXNuG3v6y0MwdXeTGYDChFBHneVbUrCD+BBAkmmAG+yGYieM0OmAzI0zjR6 oEOLS6qrx0b65bgoB1sIqFRrtPIIsUG9C+lUrqPOhd20Hq+4HbOd8JzAyPxCGLMFdorhRvsemPqB pfh5eEhYQU1LEF/VG+NhOCRMUM6WcwDsDvQ/tRN1D4/9ox7o/KDXVRuWeR2nf/9RNvTNP+hM3a11 qyo6/jrfowfrMYaPaZjNkS3ItzS9DyREN0wpQDf1sLRGyACyOu/6+bdvtuKGCzujkMENZgBf7gaR 2rmd0ZBFJYDdlUPStFttK/GSEZ7KCj1psOq8DXnSjLswRWsvury8cnuDQpiGUTzM4qJMS4xAKNiu kuN794UMScI84DSDin4h+Cpi2Vxp9/P3YcNat5haSNwiqrKzS7VLiKv/7bssRIR6L9F+HmwvBFUP xLxt1ePRcypbCgcp69RPEjHr+f2ZDPLo90bJtU0LEH3RTT+hQI3OQwxEZmOmaz6y1dTyiSkzyhx0 8kwXeWI0X2UMoWAsBMSugV9dwSHaWyozpjvYkNh39/skPsNaSMG/a6K9J7HOMaEd5FGo1eyXJIBN cUrOaZzMnkRQmKEAkzV6yVYhmLlM5RFYT426emokTDLhikf3yZbiUyEx5Nhql53lB5t8E9ZuIFhh jO/MgxDLA8GGHGGftLKq3xyfHWx5LoGQpWQ2a2SdRB20jOttzQabtMBEI8sDNOjyHUTgtZd6ZKNz 3390g/gHtVcrDLAaTNHUUG9EbdkUZqD4Uq6jfPN5SgXF/AyCJzoDcOnp7tMi0duIVrV8LRfWrkH8 HqBAJflS7cpojU4N14qhW01mr1h2Or00XEzl1+YSzK714nTC/50GWGZnVEl8IHvVT82Rz3aJ59Ql 4ZmMrB93vFIwOhToKslZxFr4DWXNyUN0gupQJ3KBLm55G0+URaWRcnXwZNpxd+VEt4HjJ1r7UnAj jmDwbtQa7j/wGgjjihSudqm2C8qxn0o7PQQIbNcEWzVY+iMEu9LZLqp4KwhQ5s6ATXG0R1vJnFoa KLs5octqmkFjFfP2YU3BDB9tIqn1/haYRFtGTZxFQQTyMmLG+ZeIt+LgVLRD/OLj8PPYHXKl/W5l HkzwDP2pw77M/65WTSaGU1PNALYke1uTwdQJAh/U9iwOk4fwQiWu9lijwlAMDYY8Y97Zgl/oAW7w HONJEc9hHJwL6vpCT+rAimRlbngYiHobQP3beWHPbvrjiQWmmxNwCdzDnkaTPdWUyfrTEqZ1xe6+ 1lseXOO7MNGJP5qaDnsIXRJUDtrlxt2rWnTRp4q4zgSrfciGlsxiEAFWUxyx54LegaWOw2v7DJxD NDGxNMp/eUl0H3pgNzngKxJbdkgqGulL8n7u/9L6hgxDLbzPZgQWxRYvMvN6gUiONMWdM1g+sYmd qCbY8xi1UGEFpIX/ti9oszfmn+wJYbMP8ugXc5zmkRr83FCAghpdbqSKpmzCmv61jVZ+/If6SZYi U1ZANKTyLHxovx8j82vmgb8nKHFcoIGnQkZEhtiDlJSOpPD9j7BrE6UVRaP9a5ahqlHZZTFoNSGk qXyY18tMdwFl2Lk7FFei61+IxlMNCD0my94fmPwOeqE3+94/p0Zig2gViOiUcjKHgILbRwTo8BWl PKxbxQG7wPhm7NQEJnm+QjwsMCwapOVDWC2hNo4YDv9xq2uh4GsqChYy0DkvBvdrdlHCmjn1GCX5 8iwJaC3FD7mSrywa1Gd+N/IRALIShHr1N9yIZk25+pznAqs5eqri0XCMYSpH4VxnWzCgWCA0JcE4 hYXtUQlXuoab2CbsNHUyTTNs5zvP7ovpeP/kqBNRizWI8djlvA8FPIp2Qtiiuq8UBPzJB140LKIy rhXXavZ/K7zL6rigCrZLuw2Uvxy5+qwwB2JNjaNHdrpBhMT/j/SHoFqu6HVpgqh2GVOKR490mMu3 qe7gLILwm1KLwWhfdPg72EjiGf1+/IbgjJaK6UMSX/XVAB2I+p+1Brwkasp6ckFN4fS+ip0CFVpH jCNsSDKXmRISedHat9z22Cok6NN64ckuhI1HKZ+bOZfQsM4MpfDMBIbR87vF+IVGnaGa4iwMm9kE 1YObApezux07qGdwhQaQnTAR2Qxg0iAUI1ui87rJyU9odm+g8AAKR+SbVa1eqU+VSbz8xspRZP4i gfYFkzmtgSrjPqGPg1+LuBD8xJs0G8EbNCw6nB1XGAOX3CNmT8hAIdYwATwZ28wQ8GoRA6mDqFV2 KlcPEGDtHL/wF/1xiavkfr9RWR1ktc2gNyqA1olf9yjppemaYJG6CDc2N2k28GDMC5t2FLRFKcMD tQGQQOdNw1IuchZ7YdR9u632ImA49TB+JT0TVs83lZrPenxH+x8hCcuf2fJy+hGUxZWrFq0/FbvE 5w5V0s4E7+mFYGUt1WCMV077CI+k8sJ4BPD6Rtn8tuG5sq7oMegyVh7chJNVUuf0kN9wF/1/qdZC XPAckt9UQfehVW2QixPdKaOHs5JKYulQBy+UkFH1T13Es8jb0kMZpTn40jxtlr4o1BHaXp01fE7f s1HO9Oiox86ehE5HO1jtQ2sbYEHsv8FWCV0FG4tEhdPVGdcybneXhPTW6R4uGSpettmfh6hFSqjI J7eawVgPNoIEpQttIcKLOtzEFBXm66SuH7murgJ1SW9p7Pi+j50LepAp4YvWDw0hdq3mvduE8SrY xaI74rcxH9zJdYocP9GZVsYvoxZF8q7zUJaJ2mM/Om1dK1k9lEsVQFmpC3lruPONsfSAfH34HMwP FePH51ubJyKSyarZx671LMcUo9+e46pPDavZvsRRyjLmn3pApIYmNy4ZdMDB0N2bZHkXNOGzGQVI e6Z7qHZ/ZaA1c2SuSoN/+NOtvNP3FZ2Xnon9p1rsbgzMstl/HERjZBTvRrTJUfxa5rqVuTkHJeQx R1Ho4W+LT0MDAC/wHKeZnelw/4l3fyrtHFi1oislLOZszo912ob0nq/p09NnEzynhYi3JdvRVl3D X1e6mE6iGLJpqujryHrjb0sd3zkuRXIgMe2ifvcFAv2K7ttpEZn8lS0bg5+J/snkw21caMGh7O+j uSkrRWYE5se4PHNRkWjb4vm6Xq5YrBu3zaWiRaasmQab33oZXUorYGoMMgNKJanDT28q6EipFj6u Zq2i7DRPNDNkbZ9Mxy8R+dBGf6jC9IHDGXvgyOZkrzJ4OK0i2wAmc3z6FQ47k4nJqB24Izp+5zff vmNaWYc4RIueqj3xK4b4j/DZepKYBSLRgy1Ih543p/AJOoqlMgaHP5+ezklVENPP7CRlGyGI7P1y mcJBb9Yd0KB1VCJHZb5cPUEUrPDSrrr62RCm6c+A3kyHSMUCnH5JGwCDMLVgL64vClbyhAAG/0Fj bSRT6AOBbJupBRRBhY2PO2Jnx0Fd02Mhdm4YMT5KMXMgyz8e4p2nbKAMTr8Ft+gwVlDjC8qm5meb TWeMMMUacDRV6Th/7yNR1cDRMTKsyMhC+YnNCK5CKrANbpfJeX3bQstJoGS2LuA81yNAJr2o+1O4 39CQkhaGasCXFC39frOq2bUXllva0Ou1Bd7HZ7WaQFyHGhaP/2DOCVISbe4/jujoyfj8bkt+GzYh Y/iyZq5KeAqY1WdBajLkePf71Cm0mhBTRAHxCnamug8uxXqJ96Yk9tOlp4oOoj2PqURl8foCoDz1 loL8GfoYfa4QyHAjXgCBbJeFXbCWkNOI72vHtczUeXDWJSVP0G4iQ6qc/FOGcMJPo/z3p+Xe5muv 2NzpoXM4Aq7YQy3MPvRVIeFMek+KPugSd8wqPrwNEbI+c7OvKELWoQLaKhgZ126EkJ/jLzWMDdXL BvM8dR6BuPi/i+FdX2iObvUAQ3Q+l7N7hHZo45h3IJN3qRDocKUcaOdGCqJHnUE+Mo3k+WFR0h7Q MWa96TnDWUOyLPq4rbR3Mh7DjACcau7y+hILAxzjR+8WqeUt8CTqxpj/frzavNOxenPLV/WiqsI1 RIBUoeOPYXaZIYq2AxKccenYFNXi7snY++Pjs0ZEX8l9S9VqWi69/lLMCPdoE89vrGYFK5W04f+F MC036DAuAPZhTmc47u1yesUvgiKU9EBZFgYYynoXKvlsWi8TsWj0G5UqnUKobTudDas2CXe7vzZQ NYH3YavKHcTCSqrhui4Tux5IAgtd+dKMdc+23kCGNSWZHRlwgQk7nW+yjo76dUvQXUuwsk5z+rSY 3YdwFyFHdonGFM5dIUSs0j8oGJ/IIuXy+siCe7E6a50onODfNwsiMnZs+evW4Wv+DlWfTJMVbsmi DyOy1hiCLVkqfjt6AhoB6J0bRBEg6yIc0uPHcIGKINT64kG3kd1IwM73c0NBaduMUrc/qDWbW79x sxTLESP6Ga1rzZ6fdTVrv3YOk2DsX1xknq9FhRSCAuxlj/PgCIM7SQcnzDwslb1YxnQ7dnLXFnT1 FSo/HTb/y0HY+XkYcTEsveY84S3UuR/NBlLXUp/2JeI5kUMiBupbyy2mAWsrMhudtPPPy4QxlsUL ghdKnz7BPr7O7L3oFNhHWzm8WtAku0vavKCxePep7WxmlPDjuzhRCTxSw5SHWoiuTGgz5Q7RwKC3 5UJPRUyU0+Wpy7XLdc/z+bOYNK82LSRCISGetkqfqzXDScBxgnqCA9Nx+948tFqhrJaQ1ec/umHn W+tJBAqg1m+Pr8Q6PAdBqDbAAbeXP6l5rdsL8ayNSyRs0zWL09t1HLqz9mQRtK2baPcPgOca+FJX uLzuOAzFwZac4wGNL59RkANF+8vmOVZYclZIn+JkA04Xk5nNak/7bZa8HzR8FctwzqMIBEpsoL80 KZ8yCJtW8B7y6OylpUIHvppzknqPnd/Iib1t23Y2QezdZtBtr19NH6fS9gEiJQaY3qwIRd6FbVYp 9ePiUyLx5rYxNXakN4m3P3GYqZ8HLOXR7pulNjqj+OiTcLNhvHWOwgd6/HjkbtGlPjyd3ZnTGW7m UQk+H+r9w7eLMk+ZK8+fRzOLlOVisasPV0l7GgRcImVUiDU24U8UYznEj5m9haDJaZgV07iPQZ5+ ME6kDKBy84t4RihRvCiJAGweshrEBFsN1OKK/0yJWkR89vVFu46Y32tzFYgbSEiGU81je2o+lBc1 WIGwEb5YtVXhcq0UW1g1gg6I612eHtggybFb5LrUelHO/1p2vylTrUzkUROhuEzebIcZvyIAlWSX RvdE6+/nkFbG6RprNxJX7qSEHIf5EtcP6hTBl+wYgcaQ98Vd9cuml3Pu9x0iYTg1gAoQiEVAiQBH adwiIZGdyE/FMHwh36Nnh7+Eh5wYkes7bHB0QnVFkoPqc0EjMRgQ5/qypWfuxTwMZ48EuvyxWbRQ qW2RuUnhBIMWUwMFNvtQFZJwr1sepRH67ik3zWfQoc/Okwf8NwKdC1sjc5zxpamzy6ecuZ/phbLQ 6hlZWyRo1SO3uiwMGXceM73KMmoW6aO5sjZKFF6yRiT3rjoWlpSZsRHIbBjc/ITZovNRYctpxejf 4Qx0HlnVYS9Oizj4nnQGvZa6JOIRrfqZIyFwhiaLaC0TfIOVWv2jSSUX3xJDbdxcuGkkOrCa6y9G sDin8HOpGUrrl8K3KU9utVB7ZpldM60lA/1jTq3x9SEHS4uF8T/1UGHGNFUUXPhqKi/GHYtWw16E fgJbS3pftdWh6Q/9ext+MEqbjsFuBuZVwxpDQV5tOVw4OUEMfGJdoi8PBk1S8jmg+rvsqHiuGk33 t//xoE0fXBW0VMWB42GE1tYcIKpMxfBks7Q6seLCtmDq+BxZiT+LfJttu11zoRZBKXsaTxzMiR/E BtwAniPqSEcyFG/uYVlOsu03NVculDfp/Lo25lrMO3Placqi2yDqJa3GZD8Vt8FJY3b19hcPM+Je efPRvSnlqd8PPF2ZhwqQ8hLdtKYarhYsR5774mYOBkTABPdzm4dZ7DveL69Nfo/MHx+zWTvEgMZc 5zPS44GkBuDUoJucOnV4Gk8ddguuTkgy+Ej/4CwATNILvoKzM2sEH/1BdG3kdHjEjfBdfG+uXk+u kbhB5DQz4qg+ZdMkAzfSzjMXHEaEVTo1TqBRjxG38bsnn+Co2WK4EScLlG+96b4k9CdQimVYtKnK wJtkOVLwaWsritr78R7C2K56OwsHkPrJBueD9NYhO7BU89w1gQkqzwkHDXYGBESYTPg1j/IjNAz/ UYIhBAmlC9B8Dp45XIEgRZXyYrwGQTaZU7Owb7zniSUGvdpHaX6V3VsVk1d2nuggUCosALOhuJEL aHOlF4Nl+mOabH6KUtDX1dyi9bveb9ZzhBr0POxkUGgrIWjUw3VM4hjaWBTcEOtRcAqP7rfJStzd L3SChznCX+EvmBeA1jLe3tHdirWzsMcYZq2GeqwocdJ5daunldZDNM3CwESDX5CdbcKEmnjdWKOx 74v6xd0IUuyELlD1XfQkrTl8dlzQ0hfnxdV4yzU34yCRYOGEZ1NHEMn7fuzf8W5san1aq2yirNEc Se9ghFU8+TrHbvQWyawYCPDLWbQrLplOkFJSDT+c0DVqZUBA/1ugQq7PWja15s1XeCLQGbDDj8E+ YNEa2UnLy2JHyeZo1pmKYIpYnImNy3BfbNN+XwQHLT3bsDrVEnrUJqbCf4rYvsGUG+S2/LdzQBfx tJms2CWYVdrfa2zWlvRu44+Gm2S/EmDRjDBqZ2sTco+TdUkh6Pr3Bo+iPWBaVKClA7yL1xp06L4u 5PM9OsT20IhJqADsF5YYmKP3n4PmiPPQmqmjLtu05ZG9hfXuReCz9SXEuxkjxcpApzZq4JNNxRqD aHegEgoW/1uPU9971IoHAxpSBoiYp+El7BsLcALJ+IeBzC7NkRrQeSv1T346nb89HCCFvz3DxwIu D9CffmExRMqNs7p44G0hZQMYQrnGhlY2d/fVZ0Rp4iOxoySEMvC6127hu+TYZy20JOM+OeweBxok eJibh7tQ3jrDH/3YmCd5+KvnHf7lGVWtxtot5pUEwe53ZmYmCIPQNSfWtEF+ruCgmPyZYNrI4RQV azyBh9tefZFS8qJf1P8nVfSo/cORZVYbQD04qhxYcw8ZKxbIDiDjUkXkhBuoWbOrfB/PJw5q6NCj rwRzKdn9T4+kG4T2Je/mWpEDrZxqXfgrdbgJmCck4lkOAaoXs8Dfv9MEp1WTziHT+5jxOK1yEDJv Acnh6eXxG6fyZQDCD3u0CVwUfE79QAiKIotLlbyHfsP3yg1x/vjF7G8UTburvrhg4pnGjl12XjeF NYEinT4f8lHY47PRcg0IwS9f341SMQmoO8h437TC+onLiPCctwN9yVeBjNCuPlFCEwvfuET0Ss8S NNR0U2aG+1KZ+MGp80cMPjn4vR0IXE6SVrQhQxG3bcjlZXjdMYsql5LAQX4hZYS1Z1A1jcTWa83o W/n0HxMR7oZd52me58cDN6j/6BLui9VcSorqf/SiRCKizRcAr41dtvROTcD4Vw0lpLwnmFJR5W8q c4/XBX5wS8NLOLNKkfr680FCntmVvo8WUQ66+Bk6MH2Pc7UzOxHWEI74oQa8hPXCdvcQlL4QEAUQ LGk/W5TBRZNWfKtU4OAZv3LDKMWxiIuO0HEOfP31rerYuLDfBCustg4a9udct5JJL/lqZKribeY4 1i+6MPneP08Te5N+vyWFbOcg9G68GBed+IDthn5KpVvcHqyGO0euoOCoevaUM6MxtdpLufIpz4at WdJJUNlnqG78Efdu+6CghASIsmEPnk6LyFGxkISCnZYxSEpNQvnj/8Gr76ywBoOWv/uGppNH2vTp mlucznPLmtYBzjsyRbSkTl18Jy3+AtVPzTAHQQsuV3kNvFag137gcrCHY0mYku3RnWN8BZgvxOaC ZLu4fdDSAh7dWz4MUAdtC6lB9dc39L03KS4h78Kd0EsvBqir/oAUWhAUBbton46P0h2L0Xq2kjOG YOjpgAz/IlRl/0TfAjPMTZ4Rhx4D1khDcDJwztHX1dNMOtj8IKEu3N2f798e7kZGX1s6n66542iP gH/Cf1khyiLemUkoiPK0GDU7wMFI1Slom+5CKTyEq0PxR/yibR7VWi2exBV9wkByiSHuV7IEMA+x OFzvlh4nv6+rv6k0dlz8/QF10CQeMcwu268oNpZ7copqkpv4mjMS2XiHrGvGEXL+xFdNaKs6/zqy RmUQPbVl1BRdmSdw4RTfXApu3ReLc6oEzsYM+fs7vqyuBk4rjxJuHD/UnUncEx12qVNSKU8wVuC/ ZF46nbGg8db1z/4TTRJVx/BtOnaZJ1zXCg7RkA2W5Vlgngs5V1MXy+zLhQT/pQvAxRToNAZYxJU3 rjHhHgWzAez1gcKMfyIhoi8CyuAdEH9HcSggR28cOZUjBmP4Zm5xLWLMRktoXH0PJGA/BOvpx+8l ljCaZHGdS8vjZDNRlcxZIhwVgcsqCzhReKIWGk9eaS59WKu3QkI3FEKlywZ8pnea4xHRgNknvvSO CvRrK3GeKG6UXVqGQCbEQ4EvTweTYX7DxRUUv/EPJnzQrrwnHwDrVHtfg5KWXhcQ2Xy+ChPudrTw uFkk3okhx1PjsITbzo3ci63J5Pz2paRtuieCSttUoerVPveUgl17JRM47UuuNIkxdiQ2qanmp0Pu u06uMHK/zhSSB4NoHEBOi17F8fiGA0fT55T3Aa894m4edf/Se+ZGJ+HOn/XBG/b83D/NvG2V0rju 1KHFvIp3ZpeFVnAcsemdrOPRfJvRHn8V8EovHbdCa0vZz4z1+pWzTVpI6x4pfsN5kV4Af4/f0Xz/ 3Eo6lszFIZon63m2T+xERRfc0/nmHgjYmPRgRAwfyzK4B1iunI7vWSnZresX6lUeAEXP3b9EQn2T Gsnz/+F3TOo1xajOM30XjbmJnD2JhlV7MLMzNGFBN0A2HJ7vdpryQkQMl9PvX1ASozsg6agLk7vj x3OjKCpupAjF/v01LiVb7wGxsHp1L02lO+u4ObeuDsh8i4ipB9X4tqC8+sbnvRrRVWbqAgTjWT+j o+3EAfpv3k6ydENKZNu7CbFVtHZ67labBHN2Ts7DVtA7eideQx8zfVlqzq2+EQfBGd3ygWyeXxXX JnBGyBZ8rB3QAUR1XNF7SY7IiwbnxsgpYgIbs3sTBqAHOQf5+GZjHquKUvR+EI2etOUjRm48PgTT 4UpBg5MK5poaSrG993dRvu83ez75BsWh5uqWVDTvdwiVsvvbQXJOYmq6YHBoitoL7HNotlmXra1C F3zljmrqPtygMUXpe3XGk9ja9RWrmcicv6xEV0x6VOx6LD4PMeofrIlTKFJyGNUkFZ8YaLcwrY1T Pl5CgTBuL3po18YgrYpTu6/ZtSsTmsCFL/NHFL2VCllQ8j5kbdA5DJhWJ1mQ2Xy6Ld98gIArQRjZ YXaDaHh6RxyEYMqL+bfE/maVJ/Te3XvrgxTQ2Ul+RCn7cCeSYNwE1ymhCxNo3z9CDZWXDSEjbGCP mGBS+yh8s1Nb3ZoLkXC+7WognBGVohlNlnuG9SPHncJPg4Zb7Ee64d2Su8ghnUwVvI50jhTdAyHM dANai90WOyXmh19fvLP+wR53zbcRHXs3jw/tUSWbZAfIBrFaPKMk6Ysq4k+GRncxeIawQ2Ax9X4c fHdN0pOnsbiFdWKmq763tW1BoXVkY7FGh7oKzj/9YY2VT5aLSLr+hDXxBSVCQ0wEuzej6wzJdCCY 1ygNjnNZlkpk6zg8XkQ5NnThRJAi370SyHa5zr1xrFwzKtiYy/s1f3OjKq8WsHJQYCAEuNf+qVQA BMQn8N6XGK4J4vablnxwD58LLz84G9GrzZjNZvQ0+rDG5wfM0oischib7uFJ7IoT8hjA+pu4Nk0R 25HQ/5b1LYhgkH6kD+bdkoRCHCOY97G1PywOmSAW0z1igJQk2VJqqoH0LoqL2OvdR9xQ0fuvJi2H ZO7riPttX/HG7yL55CzB7cfmt64P0/v63UzDmycg5q385z2/EJ1EbcZqLVkLq+oYTrYSXBVIaOzm 09mx7TKeVDE4z8zX6lCDeHNCIiwW1L9V0TvfL81H2qnjl534pmoBhZBH8feW+lrcSR3oAE+A4dLN 5CUdGSpmxu65A4me8Q3hGo4c8hWwzW1Ag9SnsPL5a5Q7M1RroW81mQMs+XUlWEkWaK+EVW5N4V1N Yd2hXrUjzu0enVK7oP4a2FGKQ7F9xqDfjq9nyw6v1gDpNuRIlq5hG77/Wz7HCWrbipDsRZRrkYoF aPHpNbgy2jfp9fkmAe9asaaWEwxYuEBqIu/BCdQE/RpeLEmNlid45vlbca1FNwfttPAYXwNn7Ake 2BBCR6ti9dB7pvXM8Oo6J6t+ND7B1OiAZGE0TGNGr3FFibX+MfJUA+mMjP4MePx3yQ9dXCFIuDDi ggtG08QXgDQmFbd9g2xQ1iDP/U3KRfccmXV40gvk+0cAzeD8YuzbdlepBftOCdn32FawFKsJfeHg m4RMPK43m8DCa3kNaR2ybrfP5oXePeKqbsx22/A/EORYd9T9O7a11NSj+FCZwVjJ6jwmHbu6Z39E h2YzdGKDjr2He5HY29xMy+++X5edWtOln0a8McgG/E5ZO3sxcLnAjgv93kS1tEIcrnHwvXakwvnO u6eO4VinR2HuGQr9C5q9RcMYG5acpfBvAdTZPWkYPr2INgGb3RZotJBSnLllTYIaPvbw1rCQIQd5 cJwEvWChtpnzTx/kB9qVQSa8aWJAmG//c6Pxk8WXjgocexIa/5UeWMooH9q1oinpy7cOyr5jeBuO FhkKHJJ+X2B26ang0oTmYYsa5FR+BehjhoNBG8p31EOt2xfAI/4niC65KhPeU0ECeybJdsjSr8t4 wOsC4ZOKBFVPhQH5BxqXBT47HHSG4EJf5RwfqbgUQKOR/mdYNd1b0zbZjTHeMc3q8AQ0RLcN6tQh 5vJqp+M93evD34oTvpdvlEoRIsd49SEgTKSYpzuF62S30ewH8OiJlF2TQjU1JqXJ8ZeKVETdYQe/ p1PVazsDhUKLHDE/CY+SRzcVLigBdP2RdowlUi14xD8iU1L5cYDFkSCAqAE3/DDilCLR41n9SIhz 1z2mDnCnoYu3YoA3F+nqXIibmscWgFVy2n7xvHEn8GjgoY59SYyvokMGQZHzeF7JCtGjg6VtAxlT 86+4WKR6E7C+6Z+MTZEy1/Dv4cdL6T6DMYm777GZK3TrTV0LklB9CSvBbpHDiQwVgiBYHYYYTJ2b b3TF8a0c+Evj9/7xvr0FpGO9Xqm2v+XvKRW4wABPOJxSjKhSHzNUf8NZx9yCb7/7PrHsHaABXYWR 17cPzhiRPuFcoElEIKSqQQ23yvwFUpoDvdLBC/TrK/Ikh0sdfOSX3vSczq+3Cz6tFjE1l2vhUaMf 53KTGH7napNwjhFTlZIt0w0YTMKL01mEzD72k1uoemmeewgAHhnxDfM7HDCup106fVywaDRL4etQ qQ6jm40e00b5Gd/ca7qTtGjSQsPZO9tIsZk3bd03PIzXTuiqOQLl/TWWgond3lMU8E4/T4a8TDrD 7N4xCizGtuldeIgTaB3NOg3Gv1vbyhbdkY1XrghuWLZ0SKYr5cAy65Ndc83aT4aIENiXESeHpVG8 voh793i2nJKi5j045D+8AEBKpxRbD8F1jznkcl+3glgsFDX4SFi5q0XD1HoM/SkWXuXNpSAbk8/C a64X0fGo6dRYYFxgFIeHGEdCTelEi0qDH1E1ZyNW0CH+7UgtC45mb3SrUBzihPgLFqsVm3Rkf9d8 8Zm/7pmTigKXbmaLmpSu3LCzcHBbYLzyzbucmfxmyhCOLvrc8O5S6i0K8U4+TjkQqVuMAuE9MJOT ++YNonxcaOTwx35Slx/i1ki3SvidnU9ifs3KogYXWZ/9s4SRcPs7ILTO31GfQAAnl0md1MC4GCsb ptgr+Odbhp87VTE/k35StzcGBtE1YccOLpeips2EO0kCV1UjXIRs3hMHNg12TdcqbdZu4miwJLoa dtNm2AMnMiGvb4puvcftaW+83vakxIFBjvt7wYgC12slJLqO9eBii6GkksFF9LHS1Bin+s/nsOGd vvxgufu2pKJbCSw+PhBe42d362oFCkfz4n4vGhll4OZo6M5k5bSykGj0wwVvWKjb75NasAZ/pVhx 9OKUOu1piLj4+TNicuh2GNQBE0jFEgVdP9HdLZWsYHYY3B6mWnJu7vAwhvn3FLa8KXjmF0cE6dbM clMPmg6TVJuZX1DN/vpvboIX9LwXq3PCG33VGOQvTf2CZcE/T2j1BIQq0IB7m+1dnhLAxRdCsyL7 MXZaODi/6IcOsAio6ujIeshW4EimovPiEg/J1VjI+Y8OHNwHep5Z4TjiIc6NBSf/EYlAAru6tpnt DU8S+ES044tvjnkwCKWxXntWLh51lKhJjxApoEfEwYKfwOjmL6FaaamQgse9+0rIhnbMXQTVi2Bf LDQ+7uzWg4sheXcLoWWb0UtCKkF0+8zad+XJs+AL1sTkXoJ0GSM41YmmTV/t2FidV2eCdthK8dYq GxVNfFzNFS/gXG1pmZxkxsasLqhZEILthZUqBj/cYC+FDv2A+BJPeObXAyX3FjEgA9OjfemFdyQv SARg84hqL+IDhs+9Zv8QkcNwH25JAYXCg8S/3JcJSRdenS571hcUeNnVbnTZXaHNHdUGMj0AwyOx VUs0oO2PThTjBe+O4pnSX9rxNZiJGR/GlYfEMTQc565jPcX/dwNxT9m9Qp/Ej+LEsZCIi84yYJyu dN0tZr27pumvqVvLmQWSLghPJxUx99KV4ggGgisafLFreYKqK+lCWuiM/ORJoTdx7xhA6s5TctJI N3W2/cynX/4TNEEX+yTcLa9NTPY8/heiLSx+4L7KECOTedYsI4zx3ppSRNuQHvrcOxiSs7so3dee pC3KR/1jSeZn6QF/WNHWfYn2Kk6+OBaC343Gd5BJsEC5+MngE3XKsEToxLE69n6DMViI1en2FGlM qNFvLC2rYDBKUMcEQy9WisS0YRoWwaWeIgDmj1FpQFZFLiXGvyN7BaUOuySNvDem2jzmmWGPYNri kYU5dW+wKxGwdyGS47X2q8j20KIkLaYRXoAlW8o670UDhxhh0zvWfWI1t2AgL3EZoWApYsZgY8++ Q6hSjFiYvrrFF98lo+Qc2nVpPV1k5aoEYlHerslbe8aPKdD64+Mt89u76C4jy45+IbYTg/TAu9hL bSzZcVo0Qav8zYopwRFgfKHLYTKTdwT1IY5P09eIWI6Kpj3RSRdfPq7c6zEsPLBW5KN8tnvx7Awa BBlbHvUjJvcyiTgU+BuGInM3LFwUuYQaUU7yefDFkGUtvSXikLrLjUI8iMqHKLisJVewJ//eTZU6 6t1OAZjdhP4DeuUr7XYbn/Wyfeu9NCa+UxB0gN2wYj4FhQZi4XQw2EL2Tt5iilne9OA2DOzWnbqx jmyJaQJtRFmr4HKJ/DvRFNPnzgBVMylyBzonVEFqt+bHhGwVcgLxFoAs+A10EJWDNl5y5jqmZ3AR z3blcOGCwZrsepbwQjEogYRG70DtlzGUe0Jz58xKy+4thNE/0uaa0FPxJjvTDbccL5gUtHgpbcPp murZVlusOh8Y/nEE5SvtNdxrceJpBn6aE2dpn12PFNwdD16J0N3Qhus+VL/3QDastqsIVBAWgn34 cI0cRSdIcEoVvvrHxtG96X3loixYUDLTcUCYmk0PePlZMVzztHksfZ7hO7EVLzA2W4pDYlZec1KM 3CHWQysZr6LJY14zZQzqgdc519IdQ+tTp48WomZyj7COPIhZrCCqmiLUbkVaTl7V28xtuaLXDgxh 6ZqqU4fZVP1j34pFtplaHfrsOgsLc3fRQW0A4YdZRUrO26vCJDBaMKYNOoH8U+QoU1+w4POmHGAk ksOlEimrLua9v51KoGK7pOypiJNweK0j5sIcgzd8Q2lN+Si0fvbi+sxoZs4raz5A5VHow2v3TOSs FjIsG8du73MRwdS9F3LX1Q1vg1Xz10cuPdfRWrQ5TELC3v/OhP1wXk+6tKJlfJIUXvkX8Fblj0wY oS8J7+2FR4B4rdiO+Y+750fPBRhhQ1DJFDM/eUdihyr9ElBoSoy/C0unt/jHHV85nB7AY6gHCg9i 5yokxiwHgzePlxJwNrOdVwvDmpKc7M5expHvSkD4MC8/9QT0v62r3F/RZ2Jl7ZSPZghaTvZVNUTr MdN0wP4ZlVvVKoMC/U24bW6AtX/lKC7L372QXbJwEk7M0tJrznLllvYJbuKwlLygWEQcX5RIbcAy AAF9y4ZvWkK4kdxLxwwkQ2oLtvYm40UyrXr9d+ihD+NV3dVIrJyI2HgKk+eid7c5BHovEozQJ0cu 4oHE3yliWICmp7+6Jliqb9cbp+2RoBYEydZGVwIF8kHphuqekDvxvqBZq62M2r4W3m345upkRTrA y306E8/JTAcrppKI2BO5r3G5178ote6+zQzqYDUFzZtTZIVYmTC41Sy/IPMjotIamREa6A4ZJ+ZW MBNKYnQlrnvyxd+hNEBXmJIQYejm4BuTvmXMnL3oYOXWIWEUfnUI1Kboey8hqQnGNa3Y9OdPfxAy J3k/B4IYiqvhTMMPtv4OQ0KwCdrS2LLQc2ZHQXKLEUQIi72eSKnNlFU/W/Yghec1qHbqZafIX8B4 xLVedhnRssa3yXj+qKel8Xjsk3GA3/JqADddAbol1aIulXaSNsIKBzOxGe3fkSdCwhc4TYGfOEo0 bYB1aFkjsJnLOthjTCKqf/cNjBtU3rFgq77vDrH53O/ZH0aqAdkbMVXlnY25zySWcAxP4fJ9Knvf 1LKzc6p1x1AstFZHkaXJa6EBnd2ElkDSPhwSG1x37ybkQJlPr0mOhJhORar1wzEC0upD+St6IquP 4PK5FmWQqyM4mwooTbfbeOIvdTDaa3AUzwUbt5JK3P+Vk2nWCeGe3B38rKAXdLcsnTYb6FcKqI8T 4GjPh17cxCihk0pZTfbHlDUTyIpLq8DtmWGwtsH8pBCLi6sp1tEALjGcLqA5usRDbZvKukcB1HSD +sktJW1ikEysjfefUtsDBX3r5ozREI73n9++92ea/ep0zM/uyBCoWVSi8VWp4xv63t1Co4vk6idK qDXQ+hoJ53c60+CPp0jc+pnNaKpe30bi4kn8zHyUACXJG7EQsHr0CEHxzMJOdkhHV15sF5YKp1vj GpFgzuBGtE8b/zWe1HQpQnb4LecIfoeD9+vcavvUDz9RmdltyX2yPNhG0lOnB++z9wYOrEuftmud VfKrQtvW6z1YrEN3xccAT7qRmFV19iv+PmyxKxdB1P61HK0zm6TgH5nrAd85V9KHuZxej0q1tWQG 69Ja0eiOzVsx5okoEXQU8/5MY+ZhJXIXK9ikj2eHBRhODv1i+fX/apuWqeyViPhmRPNzi4XSQNNa ePjxcwJocOyMsI85kzrMYhDrt0NShUhh/lkG+rKv0ESsTvBaWZgjv4JMFn/99YdSODR+gNEzzi7v X8nIGlenrWy0C72vscdpQ/BVAIu7h7dhPewNmjkvsBzBN60X14YK8C0oN/oNLNSv/Yyw/3/tcLg9 VnpBh7k2lVJo53L4Xh8m+1B1jAONGCnbN0SrEEC8g2PAgYHXrKpVehDSM2YhJiiOBpn+MPHJD5ow NZ638fJVu4kbhlilxLDww1Xxd9BwfNkDYj8hPdEW9yWuZDqhS5/7XyS+/ZP6SrZeBcz1g7JGoB7b +xhIgK3MzKVJIw1pFRKHiZydhHNftgejdNm/ipx2wrJA9H781E0VMdUFO+6V+dUDZFZ5fjilPgCi qoXRSM9RemXN1bnsoA6t0eqWrEJ6qrIsw76DsfBdjgIlAF/321aNxx2vPeMG0JiQVnyS3Z13Zm/I CCEtb0vHFgcAY9KDv+0866lp5FCkE6CJQ3QAAppO8MAKoo1jYUEodOIbJyMgnkcE3y3ZxuSzdboS MP2wE8E7LNh86AiWJlwpsa4hXO/nMU0zD/+zgBQY5MKzumpDjMAsVpX5MIQYgQjePk6KNUe/E00+ 3beowWbjmCpxwUZzh2rznt/NQ9PstTtJGRo2yz9DXypEBa0j5jyb9ix8U557fjZB5q51Mgizpj2j vi9c82ygd3V/XfnqzRVf0qmEFSMQvyqa/7c0CIxEsA8B3FmA2r7mKGBKl/VgR/bihE7ilIr4umUA 4dGssIjRphKTKbXfHzDTPzJkq+CxIm61cUq5dYCvaWHLwWNoj3Lymsj4G0mWorericBQCPnK6xG7 5hAvWkAU647K/mcJvYMjuZyb6bBjWG5wkNvrOFUbsQzOyZ6Sn35beatrHg8IeQblGU7t9ppruEJ8 gpC/7lA9tLm+F7vb/JysT0VA+R8SEuYYfXNmchmc0GIVQFQ7pfuRh6ljK6M1TE/cwPwvLGNsG0I7 nVZ1/xYO9lKAub94g6UjqF77mbm0iFM0zU2SQu8bPsNFWII/tDXbmbo4XXIkM9KG9bDUI9t7vyop +Q/0KHSITwbH7O5Upnk3ahdOABIVt8pWycglwEDeXnzmTL7IdCSfToZUq7wNb7a5940AjG9Kvndx YKtVkOSX8pmfIs5MbuJc1e8lMtCIizz7ZJukQazVvHtlYPkb4dTzxR+AuFYXJIERGsOLmEjKAhkY 4e/oBSxPq+TsQ6fJEi9Uz4ET0n7rtxMb0zrgSeYdFx4EOad+fYkRITqU60fceHPp8ZINZVyqAc7N UQ9PhHQ2Hnjf+RmrMRrLeGFa9Da7BJ+zXikX9Eplk5z3ELxMrJ1hFcx9h0d1pKV0ilZGtla6obR9 wREdQ7hGVdfgZ6a+5RYVdTEQMWwPVNhqdrdzT+0DoBuXNFllnz79bIPzq9RaDWT2rQdF5sun+Hua 3E7QrkULBcgOEgQEJ1+WoIyO/4qiCxcA52yxINfVjK12TdCJKUOpPIIRdglwk5nMFUqP2FIVH46o FVjX7hIfjIHONlvo0Q3iVEbvDkTyPE4lGQfLsEeWOPXz9IYV+ylC5UmBy0GAc7ZnuaLJtCA3wdEf c0kzGbyimRhOGn7m/p3eLhQ6vMECkWeyKuLWKBj19sIR3E/3VLQQ4zoNB1tpjmWNzjh6bzyUpJw7 /KlrY6+bTKUcnMDWru+yPLKM9JgYoc4v0s910I8PlaDjByzoB42gZYEcusW4AscJbHwqhnX8FE+p 61lx586RzB2y2D0jNLnvlsr9gXlW6iZyGZMWYYOl/TGdvTs5NOldZ34xVxbAOpmZBlZTNjfNOTg1 Iel9/9wu9bHtxoJN9mgYVqGV2DTSnm6z8DDE057lQynhlF4NuhOyRwTfB8j3DaGyObaU99XwlMp6 XY6VXwjQaDOa4sZazhYFBY5MHwjh6IsgD+PWgXf2x2GB7upF3f5cxfq4HSvKKCtQR2Ydcj5kD9gW Z+MiBek8k05VFRdCMDG1G20+mmN/BPpqLqtpuqmG8ajPEVFD1fmoAZCgZwUmvMuMCqlHZADR6yD1 Xjs8tI7c/QFCNuPQSDv6h9sqvtgVIFi+afExL0Q1hY7SyQrCHPf3A7pAOxJu0D/GUfBqNJRthCn6 9nqJjq732hMibcdoToop+vxzpYlDYq1uWTzp8mRL6CJihB/WUr5oTfOMf3afntp8kMboxebTR9AT A6sQZmF7atkrGwj2R1qAso/AXpUJYoBcFNpHmjwe3YsFaujnKqAptgCIJiW0FhscGQmTKtvgQ+or /RKuLt4JphbVDdbIE1ND9UMUnelI3KbyT/UsO8Dj0qFMZnWYx/cSMkt6SK/tAZ6+KbfWkbx1kbES PitV2e/siwy5guRTFyY9u1h0bp42Xc23Bdtnd1c6rOclUwhQ+rHjkKf5R0dMOeiz/sXkGtAvqGOY c959KRwvN8F70Sb41scCcw1oOkrAUO4FE6g5FFX+qmUSNlpkXkGnILp3TJYbSEzhMhTM1MwWM1xr /BFtRl5qJ5l9jqIZiEne5rEo6Sz6zpxTpZ1g17Y7RGkkNu+R5jG9AEvsrfj+X6XLSGnkmItJ/N4G GSrFT8PKzwf8tX4zkbVcwkMSABEvFQAsLh5ukucqE6TY91C1kvDWkfUoddXqs72WZSS46aqoqhx1 CakatCUks/1RtPec9RUD6QstY2iobGa9pzIEiB5tViodPrhFZT3R6rzhMLCdYp6HANbiw2G7zp6A B8Ac7MCZRJR999SxGp2IjUCeq9OXc+fgJIZ7jy3nHSt+Ds2NiVdZYboR3j1kmkX+rooXToVKyc+G 891S13wavoCH8C97zTOhEuxPUHFKnGWIPoKz+bOtabAlXkBj7hjJlFMYZbwihIIRc9eYEd1EIjjt lfciDBV3Q79TfWGJjyZGQcxCeDGDKh2nQRfQmlbL3oDyeXcfOHzKbaZtK2OQAoDnbSB9gDqtRAQg PJi6uI/FmSYhAlZ8HK7A0YJs3rBOaFNlX9gTLFgIFyPjAP7/kI68zMJLtsFm0c54YUeqh550Ib0Q fkB+xwgqd+gWDTi6jypFVkBbH0ySR/f2L5NRTQYYiWv+E5+sccnfC+VSk1w37JccR20ZGQfeblYj wUhNM7OZUuUN9YQv7/8xCV/G5b9sNM3vx+msOVTw+736MWgyukEgs4zw0cyHVWwzjQYWr9BNvUbt p6K0HQw5+6274GX+z3bKrlPt05DYn926PNHV+KwvLrCgCCcKsmgL1hJurQ8l7ib4/86k3UPeKWiQ wMuhO0RXGj1I7Qj/NoppkHbIfpaFC5EQhPhZNBudO01A/HdqeEX+2UjdSdupLS98DhcIk0VQWAwu GJfJHWzvjK+Bs4S+f7VMrrshdo7ab5Mb7jp3lrm1B+iY3cLDlR+CB++1XwMYG9ZjePvA2YsZgMa1 ronz9PKeImW7qo9nU2vnGusY8+WKf+qOcZBNC4aWmWgxBYbvmFgBfxz60/qzxZ+vpFkdMc1+1eZa wFwoCHjNMa0uLPWmokdLDboi9jKR4cImbiXRKfwroZlNv70wVtyq7n2cR9LnBkAimAHYCrceis6f 827y5wtbJX3twqvHLqi3Y9A14SElRr8mAHbIznhYTH0Oeu870dH5ta0pik6Fgp4WC6GDhRAa8LcX XtD+reiS6enDUAGiDM7JxQQoDwgS/UlHyH6GxTD/m/XFGWlu83dHXxMK4ji+u45qogUZ9Kw08L7J JeQ4H8tK8A3v5NrdsfqFNDJnuynsa6H5Ho7CXLiIYGtSYb5HiHXIR3SVpjjA6U8vvQTb+ReKt1jC 8Bhse8JXKhzcibDC3kofsyRAMHSablLZ/r5la7VnXgjLglBMvNyUS96nmmcyXdnuKvZmGNVLs9PX l+bsKWHEPvwP2HnfHZAi4kTWcEjlIjBHj4FwUyoFXzZvHmcf3t4GaZcSIA+2rDJfa5fYpLq9z4bN fT8DYtCSmqstn/V8AID2hKq4+dp51VGVqV8mSTaiWhRQWnPQRSuabMqXPA0NVhpqXTt73uVAP4Bf opfNEnqnJrKFAy6OH58czZHaeX/BZ7xbo9q06FRjy2ki7vGcbK80MO4wGqMKoeN5ENsd6W0x2lil 6T1isRpxFT1utTWJ0bnqwrtqwX4+0G3WI0rokjLjiekLF4c/ho0wfk9akXwd6TTOGMVWCqGO7Gbp pbDtby7HRgp4QaDrIfTf0oXWxGzWuLQuNLPHNff2HaOsxOZaf4eEZYJUsBlx6UrK4odtmkHxvu5v UZx/PSCdckEPYjfHwqnVMyx5ZQY+ZTkZXyilRtK+y7g/e0hNrmP39GpqyxRFzsyW9UhpHgjFMF1n O8ZbpEsMSI5A3cs4PqfHfcU+hqEL2HdyxGYbrh4WI4mhOFjRXfy142AV0QIdEO0rfaYyrgMYO3+0 N82+eim3+XlvEqKXP0J2s3hjvVgDqJ77wpP787ustHJJppyLesP7NNjPOIewO1qzKrskG7tIWAcs Sd6sHaoWPgfGYAUUVmHXOETwlRxM4ZapxRtUQvA3m5ZqmQdEh9MNb2KBphTmqDI+CiVsOkNtMqOz 1jRR7ApJaZTS/QcibNBH3uhuYzvdYJkybsws4HgGWV1n3sfeN9kgKQKczTBvjmshl7oazkHHkfRR Mz6yIL1C35vAuyRmMLHw8mzgtQAmwCNDXqFsxZdgYtSVJsZdwXeClqZaABJkoTGaoekSVvqtss6g UsO8WOMvZ3VhYXtsonQD++4yUriXmEs3IR5lC9Zlft3ZxkvowyHLFp8FPo8kw44bg0xynUCB7TBY eVkMrG1PsueO2RX7HRWxo8GavuLO6nnEE7IzAerl9nbxNYs2ZMC/YiMWdryDqasHMG2JuYyWKI/U WwNxzzm0yTz8wrTgeTQxnGJK5vsutjebYNJ9JLEXLx9CkcwzM7alJxW7W8VMxnHAYiq+qCzn4oqK H3i5IHruXptTvypMZWOIhhk7aKLwvhMkoVuNEmDVsEmTfFwSZ8LkygHsVquyU37+DHGe06832RPX q0RFY7j8GNxKp7BbhXv3zkCoeXuqwbZZEKiW+Wr1ePDWpdPif4aUK1r37mB0euT49XGsPADy6m77 slNzS0Ac99o9etylH8MEVlKcn+bleYl+ESqHUlx7c5T9s8oOL2OJFRUGjakHvZsKhL/pJ8HwID6K pswovnh/lAaReJWHGek0QOY1E/MMlEZJtjPc9r6vvEMH3xLt8R1kJSaCT5gnZApa7+5n9AoV8fpn 7i62KNjXmAJSi7ioYZFTaI8f2hDF0Q4Nup1GItwaAE02r2P6pKj3KqVrT60dprmpk6TU+KefGZ80 KT8WG4yVX50GHOsqsMYSz7w8k+JDjanN3VOgZ0aXTY/voym7ukjBIsFYpuwwmREstFYisw0EMhfg +kq4b/XAFy2Z+Nx+ndyZrPpCngLfZ2qXx3neMreuGMAOT25ECj54Aj8Pno1XkwlMqlH4W1j1Rkh5 qKQ4cm1ygGfQvY/v7r7PQF4RNr0ecEtoWBLmlkjsisd0qi5WWPvCPseIQCEW/zBCYYLkx++LV44M /+KRnZ17RGajhSei9/RpNDhn5IfH19g0iH+jZUEnB6iMVHZ0z/5iuUvx4nfcOQzpV0ww5hKFsMxH MbJttnqSN4zuCqssNJSa8e9gBi7k9XuzJKpjq+TySDeZzMJglsjTLymTsgqi3F2p+RQxz0FrxlU0 EXJyVL/TEOBk3gyBxQGWmD6wAKFh00oU4d7YpGlDcPhIg8gjJgHCWGBaZwTHaEkFAMSZCdQmHS5H YhxJrqhGoVjP89G3m+bzH2yVjcF72uzUXlCH5g8k4jTdCN007XG2nAVj/fDN5t98LM70EfLQjDVe aH3QGRHhT0LcLS5kXa9ruOO7twXNsAQooVDuTdXBimC1DP8IbbW5i7DkaGnAKyz1jDp8cXKVJhXp 75GCM7AYHwdg5L/R0NI6Q4oxOUwFRe64sFb/lz1Duiv8QT7pQxCRgiVkbntMK99PVbhQeIWfo7K4 EHU2Hnlvc4LryPufzptxEx/5xOMri/12xHCQYlTNNG0Qqmx8hf6yO+SUkWvKNjcOdAFJwGTC841V yTfPAwDrBzwodzen41elnhQV8f8fG0hIGbQtYkgr34mnfY7Nu/ldWGxJOcN6ZzmxHtEQF6nFX0iG l/BxDgEdRi7KzZZu+QgUjWb/vGMeA9nECckW9jppF49IuFDQXJ47IOHnj2H43Ht8+L4Aj1aMs7Ou bFzZtvv74wgkxeLvIeMbGPX7mQlKzXK+tj63tFSgZ0vlxEmyfhrYUqVPsZNlnwo30UouqvzL7p+u ZgV15WIx4I9zw/dQYyG+DS8RKq1/wy8vOnK4HQ5KPip7leJwMrD+M8uR8HWuZWPjez0Jwbh0+fkq 1FFEe6SlfaeX+gaOsfwRWfFmqZdIy/ZigjNYvTjO3HRHVrHzSn5GKUW6uIk4gNQ/VeBr8tTTKJ5d fomaTozevRWOMrmvW0N1ziPYyZQneoBKcXfmZ0ySnhPwylMQ05ynvQlPjcFfz8bCHFMoZu1sPXd+ wrhwLF+b8VKKCjIMO8ZdQ0ngYoYEBMKW+Pp53PioYhV7XWbY+APVO79qsUuKWU0BJ7ILT+AkzYaU YVSEkRfgSWWyrwLE4pwdwamyHLGXqo6LxO63SbRmLkPG1Qux9I33Wmr8vUSPlV4XhAWly4vawMG1 n2/CECuLqXU9sCS9HOnvbht/AxEZW363Ri5s6NruBvRRwOi4EhXrxtCGFivJXrxJJwWqNpdTrwy6 9re8VCBddrO4zrLiot1jvqNEG2LcFkfVVSnn7glBMzgkntg9XthX9P2QwWbhMF4Bu9fqREPA9Uq7 qLXoda/N+qOYHIaeehBdLQzeY+Ydn+1mb7bRlBec4UGs9SxJVlvYP8JpsltW7a24XyBHv+bM6JT3 SbqE+4RfEo0RxUO0rl+VcO9wSm/cbSeLDJ0wisIZ4HH//z5pTdCXpAVD1unji48l594/gpSaijVH qhuvRWYHcuLx4omwq11ILI9PCv7CGSxwbG7MFRSm0IwoU5g3P0kLMtPYk5I59fvJNqpWjjvNJ6x2 VwYmp8rGYpMudvaxC8Um16iKkj1sQVmGJ7GRbyeG2LpQe/CvnCO1RSDz0+6PVI956Y/b17F5n1yH IZl01xdoLRtL6+AG9viGCwLh4ad51WVIjrB7c+ZsY3vghkOyOfo00awHmhxSZbRSYERoWCSuTSZm ibYiICYIZ6NuPLQwL9M7T2UmZmvEavE7D/Oaq7IeJ9rURORTaX7sty3QrSebHRdTg8soDLJGbr3V XYL0He2jR0EftFRcZu7fDa1SKPnAfvqVsUb00D6gySkC/htrKgUO4py1IQ8AN/9CjSnttG3sl02d KJdgy5xRM/8+1o1Ir0dtRA0vNnHJ6oTXL+YB00IDU1oY71CqRuclFv09FfjeZatYdCTjPL/XhoMb sZoH9es9GuB+TfDnC7zSQFnW1ojUO0r43bREwqu6qDXmZkUQRa7sbX01584EGM809JETltBzjpUg DaP79IFVnLiq9v7JaluwMjrzId+EDveGhy+CWIwbS76KqvxnCF9vcXcDhFACe3aSKFBi1qvzQ9rW GpfPa3IgzG0ScodlE7C2FLfQ0CHXWCwI7wqFjKkpPNskzsYR2vWRyo/vrYjTf+u1QF94+hsrzVRo NhQac3nUTA9bo6ePhIKYmS5ssxavSED12KKJhRR13mFtlyg+OUG4WiKEq2lmq4DugWyeHWBnJkC6 Re6F3MCIdetKm0kVJV72J52sQJA4otxxwQbV9wVArcJSBbcqoLP6QyN+1PbU0VXxSDd5izcla9B2 cCL5OTcUnBpEoqXXQb/HK+BfUp5LbHNuAcZwi/WpmN+GPqLzlD45pirvedOG1I9P1ksMJUgkBLi8 UIGGNcoCc5LEFZSvdmDPvO+2p9au1XLQ5t3iYYEdxlFgyDxYxF3Fip+AdDoghgAzM/pcnTcL+27h 6vsQHCx3/zJFSYNxq61zWjqXEiDEzk3e5OrwvdeHzYLA5NfdXFwgOhmwiDFN+cINJCBJJFgbIJ2w Vgtg+RQkR1BcjHMq5NCYM8yYlAnkgW4YTyDJdaySvXrO9HXQhuqF/nLpfcXBb7PvFDkIJ7zDHpg8 2/HZPyGLjtdqSHbtTLdfjsVF1EloMstJfgKoGrHgpxMOa3f97yJAyDFCRugzWfXlZdFSepU3R/6Z 7DxEPYZLKzLe9BhuQn1//vkKRIfl+M/ttpE3HdDXZd6THQzcXxDeebUv0/nnWCv6pFpagm73Zyl1 r9/ig7zorqWFKbc/HEhDkhd1T5HBbM0B5IS+/WrKCghe+dsGyzkgdBxyrxcgnS5TElfPK0WL76A6 j+N7eJoUpK+OX4Dg61tOTeqFOvEyTPgGwkFjYVOZJar3qWwVZ20L0IAv2Ny8ehJQzXENCQjt/HVf j8PJYoMlZQGI2HUGgZuvoJAqjzjQiDN6NE5l/wHxHYRWCKHPNTdm9J9l8QqogpE8kQONn/5qmMB2 72P54GQMJ7yU5IHdDfZKBFIbxwAFpAOSLMH4V1XJhGC0BsMjajkobWLwhg3z2oSO7cbhO1OmBGgb 7MzSo39jjDK1Ao10J8Ogcjix8nyUZhDt6YftSqjYMcoykUiNXOMbXc0FdLfXWBX85GpY4jC0ODZR vA+l0+tU7Gmez2ftKYLsFKISXqx4PalNgaATGRL5SF0HsXpzmS6nbvSdO9Xe2p8VlqxdolgdMF/F BrbwrNPoradDVXD5hJAih2Orj3VMgRszsXixVnizE6qm6jTZAQox9wioFV/hvo3iYTpakTLYA/Wb nZV2+F/qzoW4aqOR9/5SG4tCryPPW9hWjX0BA2utQLAqjZaTJSxHCRhcitSXuMyx4suZXtTsQjgF VRHtb+2fVv7+RauaO8YJblk/DWxhGrAJo6iFzLn0lIvBCR5zLNTuRvs226spclRtd72FlqnSxxsz PuVqJG4bhqhpYSqH2dAWxNq7ia5991xqI+3Q3Xp01LdJTUPB1DjenxgaxgDOJx0FP8Hx19h3XeE8 AlcQTRzHYq03GUSyo9Y9Vqd3Cvo6K5y1qZweGqU8PmKxA6YlGkzM7xLXrEL2JGADSWm/oQM7Vsoe Maztl2HwYF8dyJtlYeiG1x/Khj8TCcaAzoN47f91aB+v50Y94bW4mxOsM7DGqPtyt0QwgC7pzZBs OhnZWiOXFgeFaay7+yY/KZWm+mZEZ2f9XzkoIWs6C/6Aum92vrGIu2jOlXAHzYT7znL90A1E5Ywr 3XkoSTfedCziSm0TnEL2yYQnxAvP3pLVb6j1aiS8qNBRh1uY9LITpTMA8ptfWoIo6Ajrj98+eHs0 zLR9T2N0KVFM6LWICI/U5xF/BzZt34Yndm1HDnSZiNeKopVl3ML3G38AXPzhPGqSBsgjnamKFblP ZK6ODpIK2taMULUQjG7FeAViGolx4ZA9WMJ7VY+LVLdsEv0hY85jCHxoCpR8x57PhYdOsoO2h5Ez as+ik/Qk+/4gtYjWIY37JS2r3WnNjAaKJEWaJAdYXyjQwnumn0+hwtkfzllg69AUXX5FLeBVV5sf aDbXFTMnq9bbcAYO1DqrklHwJEHeWwey2sgLlloXA6Y33kHEpwcCKRGTDdgaDVit9oOA+Tnn7lI5 Ct9D+JMFU1hwezZDddQhF8DaaPIeFOhuHR8Kh5HuUxHFBpMYy39jIXpLrOh/2NJvy78ZyX9FuRwO KSWClLrH1kDT+VZ11ThdJKdXQo1u3wTg8T6EEVv99bPDEgDcjf50PBQGMJwWH6im+dhn9Let4OqI AwkOZ7HfJy3FK56R1gS4n3kvjlDEa4L8hu2sLmGyK0XxC2A/j7vNMBmN8mCDTwzTf0aHqXMNBt/H V94nyTI6+aUVhXA0VmFw2CadxspZ+OJUVrRP1qlHPBI5fiJxoLxpXjtYysodtKqEO1dm/xs2jurC iyFfYiGM5ISNQe/pfObp79AbikA8+hr9KlZAyi2F+fBKLG95f0jqXpI2F16D83UFUPbz6aND4vWg WGXyWcmCqP1AZUOpcFt8PShuPv7gpgSY1TL0vFSWjGCYZsz79iGWikewEFyAKBgRde7o2K1UJyzR DQ3dy+dQYzoIFy3zICNudXY3eACvpnlV7oUGaeeWptBN5rIYqrXwOY09j6/FKkkaQwsreC1ljtNC q7EcUSOk2PtjJsz4mbSnnfueiwTr+04V0tlGrXSYmvXwiUkBOiXODi5QOiKtVP3BCy39xZUmYn9H NIiVvSGt2AodjfDnD7F1+NFjFvlATzoaJwD8NKxw4/as2D3fh/c3yuErV41D/1EbTLyvYGc6SpRu Y3f5KBMwaWRzTstywbdNzHs2h/rB/UqIHlDH/pG3u+pl+3aSMEpnSE/2+Gop4M0bRVo1LN7mKj2C hdc3i94OfoAXPK8uSew4T8r0worKdpf9kwA5wr8DJjeNoWpCRxBV0RZqLL/XZ9Tm8I2sJIo4olbf zN1SRKBOJ4V2i+EUn+lM107JaN1Z+ofIBEy4m91A20rNRR3IMcv65FzbbhiafKJw0KtQFU9V5WDn DT9sW82vX8UyLHlyxQ+x0u//GOt1rbBsPxCd2eat0OImAYicLj9JB7Mpn1qQN/ihZZl3OD/OQAB1 Buh1jKe4cL9toUwYi/6Me6yIxta9clD1LpoSm2QdhZrzjKpqC/RgaZ5E59YMNLS1d6E0MR55vnZJ qGdzCwhrE9RMLlzcoyEF4bV3PLrd8QNs4ycBgfQoP3TZlvx7Hg4JFPfFZ8NHSAfml7RpE4QcvyYS KrCq54/ZewC0M4slR7yKhi6svRmJKNj0LiiA/vBqDIbHmMG8GXSC+2basDb+Wz5ntegPfl1CvVCA bX5ADgENa2B4lUzxdCXbHhfeolHLlMuZnecWVvAJQWX3TT7MNXj+9gr9eD5rhReCJw6lxyB20kFK Pu4HaU8jnTdEcaQLdncfG3ZXhEBePT8cozGp4bxc0znb3dSddnJ/CHwz3HzIW8qu0mDV8QE0fmOd ULAXayHvl3AsBtVr7/Gtqm3/qLbBS8AQUNL0VRrXcQdpzQwBQa8C7eaWEsSAv5a3BsljPnOpGl0U znfZJhlLZhu0EdimA3/KI/VtlkjxdcxEtg4p8uMKWRpD8PYSX/A2mwvZI8bnZnVecLuuTP8KEX+6 /YDSLr+vkZk4mjFkVw6a5+8Dq7tGeyremI0jEr3Tv1s6pQmfq0JWp250AAZPsaWy71Qqo818Oi4m n0ZJgmm26uJgCPp/AHEjRoBmMCVqyzihv2XI3B7z85B5sUYmF5UzyE5jBO9ZCS/WmndDNG4KFxS9 cwm30YjL2+xLvrqSDQt5rHmgd1QL+6MZPtnaqKeWpBbqNyEwmiWjk157BkvQB9KUR4NcBVV+Fy5u 95jodXL302WJhDSA1I5ZX3BjdVx0JhDOlhJY5k4ZdOHPXkA5WBNW6DlF4c+qyUFm3ANt0lsoiC7+ ES1EYAU5Nnc3wk9z7Vo7Ztgg0tdhW1INfnY6wyQyHs1dG+cifn4OzDmWTVzyRG4k94BvzYBIsJsK Y8SE9jh5P0H1Z42DoeuN49gyVnEqxM6byap18CjnyvP+GTCJqRXu/zrZfyNolLW3R05Hy8hOj6pQ aHxNuo1Ra4CvA7Sx+GvhCxwS4lUYOieKe3h7Eakn4OATcb+0pvN2IhRilhEgerGTeyAfSyPMTu9o I2HIjeDin+YL/0oy1YK/2wSxbcMzYMX9dLigWzfLBqMp2fpB4sDr/GXPS/XDKEOLvZxIpEn5nkje /K9c0xLz5f3hVi9C6u6v8AUSrGu0C15GGVAaTnsm51jWRUcl7Hnw9K1x9611nGDnlsnXkJIFGUZW ET1IvhKymiNfxftkuZUxROH1xP1kPaaNUaHq8rZHutWAEz6M3jhEGX2t5fLiSh+s0H2wAC4/XICd tP/vI1DTlDT7VR1i+lPxrbxkWnjD5N1hnMR4Ze2GKPhZm+U1fiClZNFPe2gxzrjGY7wzJRTWg4EX AYRTZMOsqSiHObtlBXv8Tcsl95jwdFFroy4RINOZDAB3Pr6I+W9OUPsZuvqqOOURttpk4iphkei6 Fm/m/l+jcBMevf2eNq8U1GzSf5uBe08Zy7t+BctmXvtKnyNk9Qhy6eQF/rq/mVb3hw2siSlvgAaO yrYMcgl+bCKtSgB7r0MavS2CIXaWfMnFa0o3PZdxPlNrrymjQ1SzuqUCChjp7fcQvlnSIuvPFDC9 bTyEb44BrHZPItehQJP8hR0KAlGCvO0rpsAwwR7qp7Wo9jE2NycZ8lxYOHEnU9/71u67IG7V27Ho Qc0vlrCIDJu/Tle9rJZylSYflmB8jxDycVlA7XT0g/DsRTVnG3/sQbnsvNYHd/mn0QSO+6VhdL3W wE203bFGzxlHUb1uBO9nSRw+Ylv4UOWUOBAIiIMHbEaoimwC5F7rs0oJtJpSZg8O5fop5+1pBUrB WKYQrVJRjqdkldNammNkaJd25zBI4ketdDBAyxs+f0Ni91s2Up7N1NUOO1aQ+hVNJ7vOWhzNAigS cRHkgwlIjvpQ5KK26UcySwqoCEF5T3QdIDbkI+aq9IsofMJyO37h9tdHeQy9rTtlU5VwrRhVa6rK WiZLGajiC6ihGa+2n+EIIwnoGzj+/d82KmrN5nOadcU21SbM44pvdyQJTj9ADVRECDLCfOi+VQQU QzIXysgox1OK/yP+1P3C7/MqAAyAd2jerAnpHfA5WKmdVWHeCbeBUmQUhwNmqgjT1JJbWz8WLBbf lRJ5g+2dCor+UY0YqdN7dVarmCojX/3LBc0kJvp4rChIhFTa1wHzkowWccvD+7H61EYTs6qIgV57 mPoBLCuJGnoqQLxvEUSKyUEeWTJJTsZfjcHGha2d/tri6V2CeXoNjsIEEiGkr4gf+id3rFDZmBC7 eLkLNzSuR3V+Px/Kdr1PG3Sm1BaVSumSzirfdHz2RI1/GcTpJIUYd80ZMbEv8aXZKpIf9+ImNcIH fsSUXtQMgNRK5+Tz7jNwqx8p/cTDSOT8nbXBY/VaT0pV1wTvwNibZcaVVaarz10KuUpMpEpJl6SG rNvGK5fiP5fENBuGOVLXBbYbMb4OEzHRdgyRQ0nOMJ33H2qM6HhEZ13/MXQ6Zb4/NCnc7qlRa7Zs X2V9x+/uIIq/3+a/rryBtTSFWvaqphYNe7qkC+CRihxgi+gyrNSsyJVre2hkGrD+BOJoAlvDkHBY orLHkaNARERPYjJJY1A5+EX0GwMZs4a+cXs3eZl2+c8AJaaQKCGQd7Qz2fI+iCk5KQloYd5PVl1j V0iG7jKeGhpTX7FI1cOzeCXfJu+bA3IUM7RYUypv77rkFRYFbgzOy6i+6Bfm7ZctRW1iqwTYKykm bIdoHTv5y/wsbuqdRElczheMHWLwm7rgxIQOL4OFphZPD6D61IEn6BRjfKFGmb1UCROakBfN6iDU iJMsPFMhtdYi8zxkxHbcPpuE3oUJ2KYYPT0UVzL4GTXXlXLE5xUNaTy9NKcboYG38s91YtuMjd6A L/cPkbfGwa5c8iqt0NyFC4rtcNR/t/VQ5UgQu9NDfOxzX6eq7eBhzDP/b5Oh40HLf7p8g3ImCUMg MTJWuCDhZWscHepbyotptjhxx6jMZ1E2NeUAVglz/rhO+sSCEeqy2nDNXv3YOIonGs1ocQvUnbHb BYpemJ66JGmlBKC2qanjcI3OP6qCXAYXEGU+vL63tfwpQxx8unb0AzUUxMbgjza/fjESecCp+OGl l1V+txFOiINKnKvGWmLPWZtVDZ8sHSSyzHnlzZJwyfMpT/VJAkQtIQO6cwmbYRLoTO3mx1jKb8h3 yjZyMTvoYZx4nj0VItHkOtP+UfGD31oAzzFBsEeOgP58wNXJEz5cH3cDAfb+A/FnkaI3ms3SqfPx dZKuKqv+osU+Gbw06SaKgNqjbKGPes0OgFsNhvBwmlfXswC5mXoggr2NdX7sIxyPi0Tl/0O+DmWd w4fGeV7NbIz1jxCU9lHuAe4lPc9BalueYJ020hhHXV9pMGu8OtkGlse7JX8UvIDCLaSDfqmqTSqa l138HkBAytAZaz+HhkxXvPrxlenUfIoOm0GGrFAWl0rHdH3cuGS2aFo2h0QLzTu0hrExyZN7Wvws 6KYm8ec1B6uc6i71Bv79E6Vl4k060GE+b3eXJCV8+iHIs44uTnRyD9rsRkQo6hohdS5Ca5VD/rdg jmiuLuYZRVoWpl8ZN9MZ0enBCRw8XmlZ+9W7x1QisoZas+8wMqj+1zBIyE7AHIq5UIs7gcM7uU23 s4dBo60mRzpa35Cpc0JHDmFaHY+HLfFiEuSd7Oz+zRQtBST4FVuC9vjZ3626hOvXFS+zJPly/Ja3 XvuM7ESAJRuh4dOrIa453YagtBRfWGj/FQBrqt0HYINwCftdyPens2Qt+pholgCVFAS6KudF39cq a+kICuO2SxA1FRFx1itOw+2IzU+MX3PJ6ZGliqxjPgkLyIQbbR7oqklfUDfSl/7r1hdd1GZpX78I QPJlrJXmmKRFT6hH5CP4xJLb65uVyJdMsJpV3OTE5A4JfTMcNR3pLq2Pq3yWsM6kVvOqNUoDslB5 hBZpP1QGNSYtBZCvKNZoXnSy8WNrvI0BdIlmKN6G3+XzWWdZBzwB3zvLb4fY28Zh4V+MOakr9N+c JxRXD6+XeDwCa5fPvXiWmw3kYyzymeUTD3tOJRISJmihr60heOjCsqN3dnx15Zq8T913YVnlCnoc 5jbT6dF0sAYxhaKoWe6dcTt21X4Y00e0PnbwHsiak2hmH2S+7Y8GKktv0uYtfjezpyi4Jxg0dpOu F8b+fZN5xQqLawg6EgkO5qdQPyy9seFTBzX7MAgr6PfyFgzNNLsnvTUltKGVMuD/sZ8VVjYup693 VRVccsdRMvmuG5ztp9gu4K7wEw4VCyr7Cc2aU1qEnJXtPIU50iD+Vvyoxv81Yo1E9bq3xdh0uKCf R2K42qtPe3W3YF4ex6mHxnBFrWbWakycp7p2nmHYpeA5dA4+6UH0tb16vlp82ruoXT1/UAaoGy0D SWirfLucTNHIs1SVuWbTj7KAji/r5RIuo3xV0PVCHKWg2dF3yyHAEISu/aGmuMkz9HvRfKa/chUS QTElDgO5QVZOmhpLg2gUHH5hhaUJdm6wHdHyS48hk4Yeft9kkaZ/J7XXbyOvdajk9eAjajAC9rRP CoPF7px6sgqOvoVjwtX7MOU4nOygMLrj06GCqjNOCwruExeCLIxJIQhZbMYtf8LFJG7pKVHPXuZ9 DapbphdLjm4z2Mvoh0U5oKY1kZXlcZZwO6ahqcbhsReS7TSrO1Gfd5aF4pnNkpOlqpTBQIGQjXSE fHMuHhl2t4nrG7gsq5VKmMrvfyxnVCNLpKg8AP4Mt+vqPaRzYgVJRCCgEwdf4OH3wN62yKzFOy3I sfJ7xjD6SQeaEaKyzOiHswJCCcB06fKa6tjYy2KNE8HHk5Ze1DQBvWmlvZMEKAHkSiyuqnGGfLle n4LLHnFgOav+kxnQot2n6Ebjp6Wlq3NDuFYy+6mXmCKqNxisBbN14MuetSw2oi7sr4cAxGAlFcMH 6fneXPAmmJIoHxgBieFIjqNgD0szwKybRnbFIIStrmlmOKLt0dw44Axojpw3W/xtYHVhTrEuRWFw T1to/nKldy7q/njP5mKv7qZB9/NcP5hH6LK5aiSHwz9n/uGHkSqvJbaTUvo55On9tOPzaDgzRz+4 CcIJI5H7oqTWpb5JXgZXsCBNlxM0OaLUaoaDSYh7lGUFm0llHtOeMFq8ksghGpO1RIP1cBUgf7hu XjCaL98p53qkdgQmo7mQgu7LoV3NuQE0DVnNH512J/VcoHQXHFuiNDjanpEBLDP41ncfnLHmfAql iT76ta4ziOH6NIlR8imK72mx0gPjfV1ulhF2WDQl8uQ4jtSDVTC6vkl5wwy3jxrj5cKudduucJEO erNcMqHbuMWP+nkK6bhbCpN9OTneLcnBwVP7n1FmNbUbcFEJXFmW9qYQzyMcpziGtdmXBALAMYaE AnSx7jp0CB5rVxIbq9+RIqhvo2MA5NK7+yRIW+PDWU8xRc/iOkWqhZfrF7Ih8YWZd11qINj+/lxe k2ssPDgMlTiC7gbhqEdbF3UVIelu7kPT9fK/DDkpliDkB4jG0ApwoY3AQsj1+9559r5+zTN79qsq UjBjoKo0dLt7/nSrY/FadVTXm+4eLJsmkV67biWx3i71cu573nJZBqnUa0YV97R4P+8MgFfIhJ0k HPzDHavC8G9MH1/1jLbrqAvws7XW2NEWsVmwAWGBjE4o+cocWHF30+AfPPrBxqrPNt302HZ6HN6W JHup4tz+Xr+j5vuazBbOVUKOwFUdwa7Xlk78h+XoYy8HZf8daOZfTBWHtkk+xmSGH/cmTytq1u9e sYN2/tDor3pq5EX+02kzDy6Z4KGPCOea5+jOqUmXpcbEZqtKCC/Jguz0smBmMU6qhenOlqLSralt 9PKqDvnkDpeHp6CHh2ayX/2tKLeoYXQh20sD0jm0+UErcARoArAPI12wzr1nHffXweHiDzZh0PnG /bQldpJqvvLEvH9OqHdVVSWeBVYFXNGnkkmqgdR5lCgob6fLT+vwhycJqYSJT/LNPryPEYaiSAwn mJt/714KbfmB5RupmX81AGb13BGCbp9kbYCigx0PcfLMLG+FBvhiw/OxhHFGCrg65egRsf4ufvod QDZapI8aK/raUmwNghh0SnV+bA4FzKLGRDg8Io7AeZC8qYKXG6PtZlsDLpz7+33ZpDv7I5P4tkNp KsHa9Q6U3askBAxBkiM5/D9i6yTj1xzypVXlfcRGFiOCpr8QvnyE9BdzspXFD7U2/nzlH8XF7lpH t2uQHU7O2pFxAQprFKx3ZjusCutwOn5dCJ2G/B/hLlLwRq0qrFIG7j2W0Ktc8o4ZXSyoT8BCJ9y+ jly9fVXEENkdzaO/O2DxDbqUPWo7sdmqLbTcjyV0qnkms7j7Hb5V5dj8JLZfNfHi3fviWh8tVBBN E6N6w2k3FrmetSXtfNAgXrvBayF8lSkIoM80TjjJC9lPlmlJVgUu2yITtu3r7DRSq71ptgrlPDkQ tIzswcvmhgGv6eGQTb/o82h13yRBHXjSsKFux8LRNPNnkeyRWtYlb/sS3FLS4aIXxHOaw2QGcB2b 9FP1dyIc+HZD3kGkE0ucSlFNH2DWyctaOHQJJtuqFHt370Bo+MIB2fpd+tbaJ/PFIvRkDcGw/0P0 FELeogLSnPr38kZJ40OD2Tzpz5h29rufPEhmwffK9SKpTXVTKV/Sjzmo5kmBr0yiVMmV/lNx40kw D7naebNpXQToX7UrXuQmwv7nfoxgCMSdsc480SWzl4uT6zyPfYiVUuXD3zfuSYxBHx5Vf5iWwhrp ytSxB9v/TZO71iN1A2rb4DxWjUO9e5AWBAMouDe5BgRWN1rTcLEI7eAKbw9YNtZ0ozlekJBuYARS gDq/CuVwzMt5sU92kJTIVi+HPBeKnzww2r4VhDYaH6tXpziLOpl4xb5MD6OGm1yz+Iod37S9r0xS +zM9Ez/hHX5cZ6amOo5fM7j4fsNSX1Td5zdhJh7KFmmyGHO3vjE2XUpMZH08jvXeLQXcsDV25o/k MNH0bhvOaNyZEGFJX1PoD/7e+EhgoXdSdo6RA0tfFkvUY97EcAXvRJ+L2snUzAfY0QyQFrA53OZ6 QuT1Ot2nZnSpGblXZhtCsnLSqmVG+u1dURTcnyAwVADf4zjNKIbah5Smn8lZdD8R86Klz8CMWjGr oWK+uzEBKQrM+QaHSigAFNB1LgYTr6bIcX7Qw0QKMGrHGunrVnTvlyzcgLrKhKg5HA0LSjWvCORd q91fkWC0GbOCntTUIp/PVe3m4eZRUtXyt1H3OKTr9ItHonxMhCJyX1cRxAxbbSn2Rp+nqgVP0a6q v0NVS6oQIWgH3+iBPwCL9id6Vdj0tJD9fsy5bzT01LWkZ2+D7xIM++pjDrkQ8b/LUk3KyGa4Lxx/ gOVsh7+rv20muUl12/PRrF4OC4xYY8Hv+baK+G38r7I9QyiRZvgizkfIQNFIZ9/MudXN6RuuR/8J U55yaZIeh9PIifDkwI6EGKNt1TU9eVEopIfvzyH70hLmKtklNqhEDyJp/71+VE3Mv38BFfMt26JO mOpHWNWLM+PjuLnu9j//bhiILsZqWA0fA0+qwXxzUWHPZag2aTPQmgDDqw6X4jdzw1/27JVAXiK3 q4BPAVgZgPnuMMiVY13SufdvjBnA1x9Ok2ZEaOOgp1GyJMAj0uXrES1Tingr61GDWN8Vxc2dHydK GLkpUkjJcLy4nCjQp8o7Zj+DfiFY1NvrAH9zI1sjmhQNUePSaNzpWJUFuKagx92HFOUKH7NQd/ZI nzfhgODy2IlnXTMDccVPjW3/PGD7zMgRw8DQOcd88eokkkNBot5LTxD2lsnyTRE4KEoFbPZUBilp BeuuO5MCWnbSlG8dgHih4PdEZxjDPnIdlGWSfcWwOuYMFeG7kuCtmfMp/HBlbxAJMnI/Mxi3s8gO 0PCZTBWtpa51O3DL6xQIH5z8Q0v2VYF6qbk0UpCYqJpA31g+hoGC00UwoJSAE1b/P0wikVlqonUT qBpr+jks64el05kVw92Z5wbX0Re2w/kZ7FxJvn8oFTUtXKmpqkGQ+ABG3Blf96TzG85b0VP+2299 L2IJefx16A/9vWZ3aBarRrLtbgkXxuLJ2hh/ty+A7OgladxZHdiFsCZHzHEv17hhqXuw/03SrzFI qBcKAznP8d5ReJ/g+uFrnXowxfWOUUVJAOPpA/E7kxlXrYALQvrq9StDI3jCIAEspNTNys4nnNrC CKoZ0XVOWYNMHOnz38uWYYjJoaSfBZWJI7c/jIl80e1EwEbvKAvJkFyYFersZVNuyNEnjR/NF7Ei cEGKW4+JsrQ6/Lo7caeynpfzWrJs7Q9qOy8z7tYQ3UFUhdxAiVn4iaQkZ4vooGNrBAvyK9YOq1Wi adXAjX03wugJQb/CGqa6Ess9gGxGnZyYQ4xixV0rMrALd/iwxSqvB0S5invyOPC4x9IosD+Bfbbs Ao5Evg0swF6cZ17jr/cj2/ILS1pwcvb09vpqX/zJlpWzrK5Sm93EZG2D533Jgl/z7Gr9Cwz4Xez2 8vkM446EuYnfBXeCyVCqYuUjqcYN7ONEbxPQPjyoSuNHbRI3vVmDUC35oKE1AMd4N3nIQBCODuRi Jmmsmtmyo303OUXb40BaIpEO97er3GRf8UWjxYExCysCRdpCkrDKvD83RnoDwq5KEC0Z3ydSXtXY oDlCwlRiDj75XsXdlnENHNpGtFNGHJfwKwxtidK3ELOsvV5Q8P+ODabF4Uvs1rcyULUy3RKEvHl2 XVBe9ZWtrQvX1IkzgxYqC0JNj59pH8mk4aoClS8An4/L2vAStMIQHCL48UxjNX4CYNyhmosY7aax HK22tt6aPEUs8U0X9ISGKqKjbFP9rMeyRkrTr6XUwOUNrSmZQwYYJutGa9LdsFLenDRml9/fly6C UlC1CbA6mSRr4mJHenKNAKbP8yD9YPGfR1Fl5LQPLciZWMCsMbZYh3AeqDjUBCoObMxciAL/+RMa r8X4k3uO7MnmjHibTOdYTj3Uh9hPs7bDUYucxPRMd4ibEP+XG8RwfWT4Bj+T0Ot+SFyToGBDFNYs q1AaLPw7vpNSVWeNkqobYFgKsdj1m9mgitezP3VHmQJhombl2wOhlUJ5brzfAHMtM5gID0qpNkBY xF0RJHAwS519HPNY4dbnqg1ES/KktX7foG1CqSlFqoA6gwSaqE3AFC5jigb2nb+c5fnP2V7ZWWPG W//kDjOCrtLG8VlmT7eWwiMjfgsCjURE9dRjO1As8wyvmHJgoTT8kXlhw+RbINAM5+vtI2jOCdkR cfE4qOu2Wz6K/qBE4iYSG/mOISFH+IA3gRij9dlEmpH035M5DXZ7ZDtT5bjuPn5L+jq8sb3cJFU2 yntLqaBoIPayMwhDAj685/QZylJcSjU+t5VJIiJYbXXkytImWJQp226yaqAzieY/CNEYysQjvv/l MR+JOGSaqQY7ip/3kB9H0K6kJA6q5GUBIIZ90T+yiiHLx7BVhZ16g8lVGLt3u/WNCyMBAZMY5lep loQUwOepwd9iA7UfOFMHWVnZDUBA/4vqOFCFn2REM1F6o9SRvk0SSwXQX2rfVA4g5w7FcMeXKRov Xo8e7lBZ7S/PvWsvpDqwHKtloOe19nFdurmQ0BivsYQu03Vx9nb0RIyEqzy/EP1F4iTvobiAMoG+ i8m895Ls0+2qF4kvL6YFHh20PqX5bkLSIBm3gsVROjGKhH2bFV86WR4eh7zK46A1HlcaLjRrb5gv UeJpZR7xCEC2uSMbTI0uG9c9gE/iRFrS6mbYH18BGUCbZup8bz175Bj17cqzNsI+LkJcQ6fpcKuN yz0M2WoAEkFP6vuQfyohdKjHF/89g3R2TB2yGvJaMHav/VERxm/+dNFa2TWkYOTLnffDjiyybxqu EKbpLZVIJNzEB4iKHn+wkumdfVMn8XpN38lJ2V8HVP5pcr/dIUGJ05xQ9Z3WsQyS+tEhxlwuFJpB BC8mWdFgJAnT0XT0Hmp7Ue/zjqdovdM88tK6XfN67WLCB8DY3DXmWhwGIyvwzz38NaAqbcVNfzi3 k2oPjjnL0LWgSJGk/dqTYIexUdnlum+Lrw25PIymHyDhKVdAREl97oEJQtJLUmYdniE0GU/JsdKj s1gy1PsBVUZITjndgQvAYk7tEUz+htF3IHC8Mk4XBG0dTwb+fL3t5mkW/rMgQpIAE3yoltKfDQom vCe7ImUP8EyhnhlJvdywAy5bY2QRAj1SVWI9KSL24ZV6zUcXKxllXhnZHpfhlZ7gLlvSqvKm0ote Txf9Z4V1xNjb+Kr2pRQXWI94VWqbVNG+ayqiiz0zeYrDDasmvkDV5dkDeiLmGm8wVDdmUFNAseJz kGPmFazCFF6QpQM2m0JI346ES6RQ7HzMuCTRK3tDNMihSmJo/NcedRLzzgC2AYcuDRo6ZpJN7j+e bM9DrAR3UH9akGS8v286rshigdDXH5B5kXwJ4QJYqfDaulKRT7L72KmPBRrlK9lV17C1+2NqQ+vf 6lBEP0Rq1gvWn/0h7JHobu6mryOglW5Dv2muMRkNhc+0P9faLi7Kpq2SJeU6hyHQJXokXK1yy33H Z/88w25/1WhdDKbhGe+gBc6hcwDzfxZufA3a43rkIrtH5NNWT8hHGKGifZaM05eDAp+2+vqx8F5S BzSiH1Cs0oJieRb/sSVP5QYVww+dR7AAofstDc6pggccquFc3uuqySm7T9iW4SI+xxYw5/0T1jcr Jnfz3S67aEXsz0izyFYy691N9ltP8iHlU38jE3bx1QXBKCU0E8eTsyQ/xz4y4XtOXsaRjOBQJPQ7 Rv0s6eRdUvtBOp1p4sjd3QM9erBms+4T6kxbVdJpcVyE9GrYvlX30lewNpXPCb84Qs8Cges8cAmk E6f/wWwSm7biXkQF9r2Neyj8N4vGLOCTvYTgIyzeEgA7ySd0CZojiJJzOZqfFoqm04mLMsgnllS0 QdeUQxhjHtEeGQJC5lk9dlZWgEm4C9qvqBHIZw8HjtI0Xkt3drYdzBogdIqqkoxhwloH7CtwzmSy XvAGSayS71Ctx579hFax9DjiDEtCdtk9GQhw/tzzFQW8ss7oni59DL1GjHgJgiBMRK9oDJ0axNps z/sBDGzboZqZSZvtbZIDzj/xPk4NvNYfTpX3NwFvMBAymegwGMPR5nPiG+7APdUG5Ibr5oDblzJo F7NhVZiwtfwhhmFzUELcK9kMCKt93yW6LJVo6mnnzunN6Zl8K5wt8VrJKbhehIktMQ4wwmUvE9Y1 Z5nWhTck/0grMyrjorIg27SK6pHlwWZSd+5Ah1XPrSu1sCKU/8FTwlBATGcYxydX3wa7Gd5eDjmp IhcVz8rPUICoOH4VNPymwmptDTZar2aUAXUiyfBxdewC1mnkMzoCwLd7/cRyKrDgPFL0cxXocelY WgAB7RfFNMphIR3Kf08gY5Oc8sI3PaCdxi/uz3EkGqFzUqCnWv0s92tfYT0KpHn0MGIapS7ePOBU 9OrPQBuTjevgkceKx+AH9nBx4Y1WlVAmCiAY3vzHYsTsHrkImaX572U3SCpEEVr/5hCWvYvKTLvE v3Pwi7LwftO7Xm0WNBgpeJ6qgWbVssIK6CDDUPVZR7Y8neXxfKPT0dLychvaCQNNMa0u8T2WWigI fSmj1LA5G1dKzq3sgrxBdf10tiZ5YxefvRtFKNaWG59AXVCIjQdQ+L4fdn/X6ysrEkcJh6mKXMIu xO4Czxk9UtftRci+j1pIR+MyEHUasT5Q+Wx89OZBEgRlKL1b3HvB8pwRgcTrz1cUmcUoPxVHxCyO UD6hsKHYhTaJ30IoOSJDFgqbmONzvcOPzzgiowYewaSjq0O1wxUO+e2Gh/p7xLy1XksxLh2dOMKe v16JqsYgSs9KBQYgfqpG8RjD+QSp7LtXQV/PqAjrMFdKj6tzI0TxsEkADqQvtwF2fxId3LIvYDyo 0FKhCIBaRtyjipfLOVF9etlJK6zYIjBMujVhExsyNXwO/YL5aIJss3EqInYqATYlQdgbeDbdE4bF PeU87srXGtvP+Jvu8oXmRTGOHSi8bSCD9+8KVgFxrnpDWohtn7F8JGtu3EiLlgj+f1OSzkCyPxq+ 49FLJQBqQeTNsyPKGkXFmpA0ViKBiqUhcWH7O8jL2pUhytBxtui4iKeybN27fFHxz0mUWyjfQHey BaG7n59pqJCB/ZJvZDL8aXiNVR2979Cvylmr8HkIY+OIr2squ+MrSkX53siCKUU+uymi23mIgERE RgsDYpXVVDpkl6WaecSEQamCC/nzausXa44QiXDmBcl6KNvppx5M7qhBGK+e3GWRU5LK+IBpS01z OQPAN7KnKs6VXhfX9FNQheQzBgrkp+1xiDYgjH139G8uKSiIzXRks8JQZVgDP3Ee7WK4L33zfKwH gWYiBGlByw0mQJ4Hl875t4yDhPgGrWfRgILaNzDBh7+TmN9/G/vtc/0yfxxboaa6qtChQpfNF0P0 lAuH3N68IijRQ/JMxaUve9l+7sQelDdJDGWxpFUwc32cuV+UR79szTLFMwqF/LyYhHN3RvppDYJU WEDprylmaDzogaJ2/j0WEI05v6WfAzkQyvrP5Hq+w6p3harDotSfPocwoIv8LR/WQ23t5Ao4lBos E86qWxF/qr0mlRTJ1V+gJo/nEprqQcAjjFe+fgMwBYAY6Ax+zpbBinJWVhUC6EGb4hI4boi5bwjK JuTUeUjBYNKpA/yjnYUHxzY8G0zyZ86mOoe46iYMMBXLWyJRqSVHiCGhSFl+swyuXYkIp+SfTG6z XT7ajpUKNG2mq2mgBdUOFeF261HzL/NxL1mqDtQNZbLP4ZW4NcRhS5uXJX7XA0tjTF2BQELIhtg7 fs4YOmmVXJWyYsCVzI0frBOgRkIUFEVEYGJ3//TN5iqaBV59ykwrUzKiytTahwR3BPmUN/n8yGI9 5/fUAn49ea2Yp4YmMQLa3JFuQkndnmmIMakozyzLK7gny09v45BNyLMsh0XCMEZqT+J8x3G8xt4q o9cABTh92WyIQxaZ2V0DtRDjsZApFWpWVQ22oCqTR5GsHhs6gP79IuV7fF5GJLPzJVDrVNnnB5r4 OVUC9Ucy3aJ6MolKUlStPTpsSz+PGv2+VzbYW5Qwa3U+bEU5nJSeU4oEy2BDlVXIgSqGabq3QDkW vWvGXzQDhNmBiK0VottUZAWptW8m7rbqO7OfzgK3V6vb3lBt6R4g6HCo+WmYFWqnLLkPtYTX+92c OA7znCbkK6KSN/PXYthPYXC280pj3WEBd6crJi6xlXjN2/c5AvGxUtLnwXlC8M8IVVQdeAXfh/7/ ZCRzD1XZqPb4ayyN8KDg84Bi7wSaCUG/D/4KmGaKGRAS6TFDTXkDXZ6PK41BEEj8o/wdeQk0GPi2 wvZRwbWeSf9XxLwbaPIRSQpES2XvrA078LqDL5U3jT51i18AUwD0nbhv45LVZ7K5dm3f6uHvYehq IOPuVomiTZd54l9vDJpxfocDICvhn4y4IMBxZsYm1Oqn9GWCa/SZP2LuWr6R8rWup0v0OojGeLel zABbsqcdE9RtDS1jL1MXVErRCn6FqAgJ4DZAyXMnw71PAewVv05ogOelMlBSHxhkwacBT+nc0BDS TQmAF3KbEZiguR7UDqQtW5URZfGGa5nCdbZ+ZOzj8+96x6pQRvyaIUYZ4TTKzukVmuJ4Z7Yejvf0 0HbAkgDiAltuQfYbcNMCvEWl4oselj1P//mhGyZCcvlwKcBUf+k1ZkE3fFe5QVBc+j2sKyoulwEU pVwHRvXR1wW5HP9ucK2ZB4ZIxC4EWMFUM0bT+u6MwCFewvuMhxQx3HzrdfYQVrhyBkReBlPaLlWm 7xHaIm6lz/GjTDZPQMCG0P3b08xBmMFDhdsiEDww62NNIpT/bSl45MkCHxp0HCICT1C/o8oYToEZ GYuI0YS+iQ6pebsDS8yH3NSqXda52FAgKMWHfQkdjY9Z3u8EAuPuviqaruXDkbXvIk+Qf8K+lN2I KEnaD/PuAIDYLhIg4M0pCpjBQ1jTfPNLcOCtIJPRFhgq/qiovboSGG8PL3dw/UTD4RIwMHtxA6eB 8WZvslqHkercCVEoHj6llkBItjEVuqYWYV6zgSxk9g4FenZ/ohrC8qBrxY5kM7tO+fTQ/CkEhbPt fpU4QYrYauNY5F5wrXPvCdTdPHtsWX0TSXBKRLACTEcJ4UPj1XZPtceIkBvVxCI9YIwi9TUQgEbp CS9SKjAP4VjXtKtJSHMnjW0ybcTQ9eR0o0lPKfMEwYD7LqPwr2jS3bdGgBkocG92m/klUL9fstT5 vOr84e81yycopdF+EBjtGS93wOCLqXmfSy3eTv8HJE7FYKtdkXJ/NN9NKHtzvXXA+tYA6yAO7zqN qTG4eNk/p3e8te4o1zl5J9LjPM3Oxhp3F9oI/p4dujUHscvfLjX8h4Ymm0131P+oc9ej7NnHLDJ8 /1iEQfJ+v4UmlG3DD1jAUhEFI9sI6LeghyUgTGYsUFzxhYkUkUL4UHUBaE6UoQrXlbxTKP1zcC2n yA85CI3iggwdJOpTUYXvF7SxJProiuwKDYmYoIbQFkCUSJhYIk+PrJVEw/dAb9xVW/y49QAx86aE rBYcdaBsRtS4MxtBy0H44xZS7+mEmMgUzG8z6hPQu5WnEvZbKnAncHlJ51hnK9HBiwYkBY/lqiXO TpiLMUMbhnT7T6A+DMWlIUdfsE9y0xDc5ED3yYIkCvR8MBW8KL/Sk87927/xt7NNP1/t2rVGePQO 4nIDVYDv0c/4TJFE6X9RAZ2DoDoEOz09txwRWi6Xb8W2yV4yMR1qX0OvLxBQI/Kp2k5mcxQ2g5vc laSZLKCZFmh4GoCguNZajXxEeRPVoDinYQGCaA6gJgkCdnLo6egV0ZW0FEdGVe1mgKbmqfwuR3+s gahn/lvG99h8JC0GVcjIvbgSykyfYjJQJCQgmVtmrIyrn1B4IxDl5LJV5LzmICQgzlYhdE9/cFBJ l+xaiYsnsp9XP+zJHe2xHIEl4146eO4GGO1rmndkNfcEJcIk9VWQvb47FM2RQhac/PIWq7Cg4JSO YC3Zg91Sn7FkkjbCTvRTk0lz4Uc5LgP110b3qHgXiX2MVl+sfbliJVo1conTSujbrvSdttgWb2/l xoRFzuOvYJf/s6uSpNub368gPBn4Wj+FKHL2jdjlNAVnXRR9fkD+tvVh+t7XukqyCTduiJ1zd+za bUkvqis+h2jO93ttvQLtslOnU6BNGlqrXZCS9Dorki7k7CzlsF7HO9Xu+C36bj1EGKiPpqO9Fd1q lIlErFl1kx6CvYYgA5NH9wacxhcGejH9ODKiaIvzK26BmpYrGjzm7fO95C3jgOc2ywYFDSHcXr2o Q2QcFh+jiRLg9ppEDSlF2Nizeie4K/kpjUSauekCabBWbSpGP1lxj+ip9Af6cjM0Il0J0t+FpvTB GNbQ4AIcHfQxMlWqo/4ozpNYDOsc7RIOaerv4pVz3NSL4XHfPqg1NMisQVqEtjjNb2U+1rSobi2f yrkcY5564HmvLMGBbl9EIhtVzTccTJzsRjxPYbPtD7qBrAGH1I8dKH+szMaRNVFQz+b/lxfHkTNo 6mqlgcUxUqEJA5vhN23hobF3M2JjlJjlbHqMaQIu/es2LOA1f5HKCjUL8BoyS8ub2EqhpxWhtnPx F3yujVyq6JDNXKNaNtH/pYsMfH/KyjHzHP2k2ddyicKgmRY5Sd22VRvApBK65QcyknjThR61h9AL UK7GWeUM3iUxoOvTS65wMB713xZwqYr8RewNxgP9eJL8FlkQ1DkQLBByewkae+jFKT9hlD+E2BIJ 9+umEGHMAdlFvRw2ABVk72EAtD4uBdtUJTKumLEI72biahxezDQfI1Q/wUVwAogUqhgKpXko2O90 QTY2mLluyd+5kuxFo3fEQUBQXpxQfv+m3qNf2pxBoDepZqTFhNBvfK29xKlQOuLjswD0gncNI5en 1VldOuWzTL8orSiWUg1LxvdRHKK1bJ9rophKbHRzqp2xu7nKdIML9CBRqg+BKsIMNGuO6FiNMibH BIaMyjbqbT2/Kp3370+/OXTvK63rzYq+NIXBUztp0kWflLKlM/Ax8zGIOF6AzkO24SRx4hjFJLMA 3FMhmnA0iFskaOAY1nUBKD7+5Z4Bk+0sYih+cD4Z4aOd4JwcE5X5zDUfmBrjH/ATleI/F4R+BlKy pvWLWBkE9WSUNVZh+9zCw9YnC8EpRre2cFcvpd0M7R0fNT7byAwvzE9QPDyLx1eQGTyeerP08fME cDaV6zUzzGZ586ouTpBzK9zJaJN0v2z7AAptfVmz20rJV/RRIlKxw1ljGVSGx/jJtmsBNxc61oUm h4x55UgWl2/cAOQ2VW+2AxIcU0KZ0QrP5rDmh7NrhhfgcjMDGH1k/fesoh9BkgHP4boPNGPUCvFm LxXstwfdzKd3HhTsXShbTwCw5lu1S/NMjn/v4PxZIplctziNvELle+U+V+4P+5TLuv9q2zDDWwQY Oceo4qlzhIfC5EJX3GvOQRci+z5cwfpLStxwQcUTgYlgQVuyA1rW1nglHyn3ycIzQ2JeH4TQkfK1 /i8ecSyYZx73X6UpoKHhNyXvb22koNIxQQddmVggRN5zUqnYvm072PclVeJDe3kOuz31oy+B+plC 9frvIWTnAbCyU0z4YeE2dI6AfvQ0lewEV7iRPMyDbMNNt4cgnjpXhFBpqNIVdl8PVTFHorYgqzXR PpDvnJujp2jWEEUzU0rbrQoEl0aj1370pZv94nXaZPW1LVn1Oudu+bqSRq93hDaj0ra1S9brgV4B DfnAxE8EBWSy/V4DF4m7aCsgS27xYogNnlF6WZ2TvK8DwNhKQWuNust6W628Ehhhrc3lrh5QIBsc fScI9TNLXqrlGbJG7woWz7Ce2BcrMvy97UdZAksgDJqbztWQZLixfzKhQDGbOxpx8VkvNwKH9EUV PY/PhqW6H7Zyl80n25CrBtcqyFH3dDxXE+MgVh4Ox08M6TrNGqJHWbWjj1GPOa3vec8Alsgvpgsu I0y0/38WpotSnBKrCSCWAme4JrIM4897YUNQXu/AE3ejIwfzAU2pqHa6RRPON+/i3/FGtR0Sb58M 42QpcA/fYrg1bBLAq4ODCwDYCaiZrVUZdngtZxQTQwQMHFgru7w+06BevOxO672BqYl0Jdr4E+Gz ZEF1lilrYcqRT1xfQ+aPwRO9c6QMLfNMcMwNt0Y7qPYAvT48y28lb8RZ8Rzdp3sC3qm6ZneEgAon OCNsfp7aKf3lSNb4+g/zXD1J3JWUrWv7D1Qc9VkU/+GGXvKiRYQBTG+lurvA4TDTG9h1IoPpyDii KC0EvJ7sFIypPk03Y3+KJlpd6Lh0Dy5CZHWj+TliZpxjEr1Oo06gE4l9eZsYoY3QVieXdA8hP4Lk AbN6ZvBCh2+kws8wb0xG7eJQDooL26GyEOrAlo6Z2C7HvZjWGwOpSB/UWeMyBKeyemPiCUhwPfZ2 ds3xjqpi/gUadGRSenv80niB5XnzUZ3mTrb4l4eTVIHw2SgBTFIsDxOkbI2JYv+u6CeUN9YIKGTd 3wvkGqcf9dYyarK2DCCFv6k15bY3VJQiGbdZlRY1oudP6rXkK4EUTknqTtjUh+b24fQkCE5qDMMT okHn1yQ790hCva5Gckr+xJlt46lX1Sd4RyUKzgMikglOi+bph0AyZLCh94z5z45WGJ7TOtkbXLSa VK2cf2e+6Fj6Y1amzr6CXx5daetD81EzsS0H/ZTu816jAfx3PYVa8mxQ3di61UOSNZ5XpjvfA9AL vZRZpYPf5xFlix2c1pnX2vPiFaRCPfQ4CoE+XQ49faWtlh8Tn+jcoNFtjSOw8b3Oj1hjiJGy5cMD Ku9rD/pnsEIoiFGPS/WIlRI92qjQsx7B4UlrJa1Hf6vm+bm+zUKmnNQBrs4TXgj36z7FJsWoVyc+ 0rXFLzjCx3U9GZyb27jPQq8n0bHfXOofAn43W8cIPwbhPWAVG5FJEzWLu6EzioeVAIQb+ND5NaKT 9o12deKHaD2lIpjjTmIBtL9nL2Eu3arqDzd4pA7sPrbdexXsAB+QEn7cztm1Ip7Wejl1rpnRx8rq x8ZjTZcy7RMXYasrzO6HKIeVXC8XV3xwezo4gsNNxfxDVREqzsvntLXTz9WynWgzuE2zzc1sBnn5 PmTI/osNbL7p1LLF8NhQiMaxdfJ/w5mwbCa+urGtlCIAgONkCwgZsSLOIFixyS49D2v0KrrhDz3/ YiHQwq3rWp1CFiH/dB/Pw4LCr8NMMaj5juiu/YpghFMggi7bbVZl357D9lKBzszBcJfAcQXjveGF 2d5VUNuTCSE8c0Qvz9alpf274McN3k/txN5eV3XDsTfrg5HVQLrHQMnEZy93h/USY5y7c/I4ry2d Hf+3bzV/xxLuvZ28Z61tnhrrYlSu8UQwsjYDIulndXefoGwOZx657NJ5qnpF7j2chdAmwEMjzOpZ TVdI1N+1FA4zDBOMbGqrTccdFiQq0xQy5pnqBvCaUymLfLCyTrhM0L6ehw474g4qdynAusbMgJes HPEIK5g5Plrx9aMMv8lET92CCXD9whgHKjuYT5g248GCLP05OrrnEVL0c1KseAv3NADrwHlVSapu As2iBka3Ko/+9m7oLv6FsU14Mnc+Um3vaCQPX7IsdcwEW4ba4L2l7DLDGsR/1dvtroRBCAZlta+0 xremfJKz2qh0Z22H0v+M312Pa7ZgQKNSGFWqn1Bvanx3ZhDuD+dKmYRUTm6QZVy4lLpuV81n6URx 367VObmMuXlsf8VfI7cFKErN25+u5d/ZoZut+yibS5qt8QbCaT1IMVtTObcNR7U22LfB9tN6TQK6 7R23xM1pqq5oHZKv4XulZ62PwXf3b8oX+O+8Or9tePJnSqaN0o+sttrRT+1aJO6Sfn0cZIMH7icF 9EfUUEmFUegF2e8iqitJHH6ZHcz+mHj4Djsjak/IV1MTLNYkelFGuC1Sf0dwZFAjoPeW1FncYO+L Tt7cCVV7/qDkDXSaFOWsDKoRgTyd+NCAfeu/sIuFvkAIPcCA/BfDH2GqPi+AmbskZDJAw4R15O+c 6W0RemM3n3nKt+kQFCsKkbXJxvdSohwI0CzsU7Torv6mGxp9F2it2LJje+MLh5aSabhInQ1Fi2/V K7g5ua6WA5ISbl4TZ+Dx5ZlRmWgzP4iJUrwe0Z/xZlOybI/tRTVmNCtBbKnWChpvpEdcQpMDPr75 1SP9qZCjlrOk52o/ouGDFuS4L+w1EfmpNcEh/89UBNPOYGdsZdxIY05G20492cRorIcaeU2TcsYB JCaUMqq9vrx4a/F2HXTpXNgJuWs/Syd96ZUJH0oC8lhID0BLC3rsalEwpX0RmkQ0toz5ZpFZKpsO w9eolzOI60ErnrxokdI26H4hVdZdnd/lmEBR8mOqVHtH6NSE6DdYgtK8Fe25CioW/g571dv7Pqbi hUtfKYzS/RvFCyeewv9QNeHSAEpPoKpw0M/irMyYGuBpuyOa4d2axUzICyt9RoqL7NhKxixC5l5l mzSwTlEnkl646VVORKqQ2k4+TqqzfwhSfgc07ACNj2lIkahmTiQUWFa8n1jW5vGJwPS6k2UQhIcc DmVfAeMKqNn1izPO5wMX1iU1UYLAjCTRQzouQ2cS2x5I8unssjrlGt2ky8cGYDUSQw0wIFvV3l2c P8jEiK9p1JKkkSrz1KpNbg6jHEm9A94FKTVcwMn3mxJeh6B6tGKU3wQYHYdil7v3a60C2Ousg4RU X2Q1aAOdEX4hWvFUwFwUwM22/JGN1KTDqATYuFdLhGREhkF1hH77Sk2q2/bXvjq4Zm0yT6z/eUn1 M2sFt3xsGGfAk9ybCieLvB57Aa35e4XrWp4At6JmbqtFzoHq/c+wWRMd1byE2LVoDck0nf5unucX 0Rv6s4oiSDQp/+5E/kG/i4kaKSbgELqtwhcL3hJqwolb5KsjpUMJV6aCGQ0D9PElRLN+xAZdgXVL nFS9jOqJrbA3vSNonW31dAfSQFFyAPzhWMisGpydW+qjMCt1OpB6iV4AikzGm//dG6n97h+ZSTyt bjc+yPC9+T4B22vC91xxSxjt4p5101moMznsULt+o0Ot3H/9EyisZUBuNoYEA88kKX5tr35nscb1 AyvyZQdtxYCiaJhc4bCP3aBOfbh2vEH7W2z/tuDzPj1vMEkzevV7zOpIaJeKEs2hotd8BLI4Smua 3x2R0y4VZkG8DnCFcu/NmrNZ6P5v7NaZxFl6yVurOSJlrIv1gG55TeLyShHd42uZ47SIMjGV4Gu6 1u4+3TTgdHXhNkWLMKjDOdF+ZkSYTjbwyko00wqz0Me8HENd8+a4xEsU81X/17b+MBg4wo/+F/oB 4q4y8D5sOgXSfY7xRNjGyP+5RrfK7m0vGM6COJAsjNVqQtOXRhUzzg4p+9UVy6TBKFAHkNFxjQUR mEovLS6fLRjcjqxV8cBVF7zzGywTX2waywJmEu7rael51tjuIUTweh8GPoURXQZPTii77Q8TleND hm8B6NkV/4A0Hbn3iNCFLZR59LMLaoTrIC2+kJBK1l92dGl0s7PoDfAcAzYhF677Oskq9wXPE2f/ 2lGVBQd8tWZXwED01Drfzmm3MapQjPtIedMWeLOnjX7t9/ZxIe0JJ/heJHPqpR9dVnVtHDV4q16M NAk9jePdqFIUoJgaiL7STdnLmsz+nR2RySskc17vOHG0lf2WiKex+88muwyBRbFYxzQR0Seagkvp zB3KlnIrVSSStOUbZ4uI8ILkAPOkjQnAUCS4RyiqG1eVH0lm8lbMUv/i4hUWWOdGB6IZcwWJQzLJ 3xmlBAoebgcE+Vnglb0tJdMxSbmKiBKHY0XC2ppALSv8KL9TxLVATemBkjoXkmx8QxyhTTj1kCXN wwsl8vy5PYFK+7xZcCnJITniqzp17ECzpuY/wRbPg8n8LRUYjQChfPIQkwJz7KdSM45Nnr6Chs/x kYp+M9ElCxzy5i0zOAjjHOTLC8+yeqn7+QB4OBVoT4n1/mM0SY6ioC7yVuwFQ7lb9M0UKlIHOuGa 55ORLZs6Y2shlsqqMRJwJ7k42b9VvlZ8TBW3Os4/TN33zMceWpcjAxh+Ny6fEVHK39GRwwkzm8xq ZMbfvWZg/w8AAbAmy64EPWg0JY0lhgRyib3/QtZd7CuXaEJNcD5RFmiZxEdCm2ypdx2s2axot2aE WRXWr3t3VbZGo0gsDWOxeK57pEMYyP7uDVM8XPRP87I1Ymvqx7bQX30SfqHDDcOCufZc+3BioyWT jdl9TujFuAY/v/78a+P11w5x3hN/xKMn5DdDWyalMRr1b3Sxpzbh4XPxYIQilHULS+zI9XHqIT4o ssPEMIzAK5Ih3ek3QTuF7oJN9Xyqpg/c+/eSiDpTY+1wzDGPHyjZI0wPRKQNjPUtPaNK/5+kzuDg ENlibhaYsK7KL+4juqJPU6zZgmXbAVko0aJd5VLQcrEAG+V7QdIlNbNefzceUfD9c7AUNieE2P/F PmLKVZzjD2a9oDMbn3x+NV5Xh0ohowY2feEfLxRmQAo1yV6DmyecWCnWV+5OAo4uGV9MVrXXqmDN pZ8j0Pqy+t8+XCdST+bqGwXfzpHu5vq0UB0t4c+2KYomFOZ8pCFMAUyGspj9PvZD7wg9lY4ZdHJt C2zADIAYzk7wkH0/pkqoYu9mHwdIH5fSYettsu3I2Ig3nz6RwftiZAZuchZ4NhzpD7gLeC+L4g71 9qHiB6if4nma+00YFDyunOO0W/nl2HmwSZTUuhKwmz+s2w3Q15/P3lgfu7erGoghARltmow6Yu9t FQK+AGxyNO4L1dCAcqLZ3NdeY9AA4SnuKagsf/YOiB2smYzML0jwz7i+M4XZWowsNabC9aUOAqFp pexPDJzfzjudreK99PjtFePDnw5lK9NPjgL1klNwyf6wECTA7nhkl2C7OmaIW7T9tphVl3Y2ceqv ZTZIAg69xHigCxdYFyWZDQvMjRHE4VPpQ5hHUICfA4jSA9skBM0MuQ/V+j/roi7pxhl5niScxEzq 0XfmlNnFNpKfKLeW85IADxL8FL2SgaMP/bXo7P4qUhONVCwUqMuRIGbqR1SdMxhCOs527jLWdPED dUvLDCbkkuOi5bArhUlJXNaTprqssxAJkncXjX1NebQ+eo/D0YjQUoZDkpL/Uy1uw/Q3rHRH7sZS sAtrIlHkgtaOhEmPpws8RMqlZC+7KaIWgLZyLDp0HSKJWcqoBuCgrMYXpfZjRaJd3UOguwkk7SxE SZJsotTumvxa2OBAxlc+WUUYPfClJLcOxepaNmZqVhzWoSvWngRnORhTqV9Er8ay7EkmfU99GOgB mmiOx/4oVhZYf0lHuaEyvbvY+PbWukA+s9wkLC8Yh62sUunFj9vS0UAh0L0yLf3O7WQ7koTfR7f2 giJlMg5L5Eeq766sHkef2zqJu813COKdrGp8aVyMhVNS7per2M1Wwixo4jaNpy+a+f78DjpxL05Y oTBgtD0kRv3flSAHfi6PMXaacoFNpNvwLDCUgs0+qc9cQNe2QObjklSv2/eBu/DGsdulF1NDMUTB +UWRt6FsAIojapxHKfJquxQRb13tzovfr7f8Cp0c2joxymzIefMuSIlBOw01Jc/QhnsxMAxhdidY XuY2ErCzutJ++3E/rrg8tQDan85cIWddZjgmVo6LXOlIaPwqQnbIOwDet5QQb8CBeMHFVVps22yT K0DoC2ivwA2NeO51ORIH4udQvQ9JFeZm4XjZyXeWEewjG4K0zgK10a1MnLjAeWappvmvLT8iNerB aikOkazMVo/YliIhqe2a+BUeB3QNF8t9t+BwoSwkuTMoQ8QWGD7MEpq5/V6Px3VW9kE8jIszetX8 JsVBybH5BRuoVrToLmZQtUN9NUpG0xYusIKu/RjQ9QUD2GBj2FiAxrOYmcVqjx4E4HJ1F2VTWLe2 jQeD5j11FwYwMwuSTscYQNvkTDMfdYbol0987AGal+FVyOeYPsOFMz5emomPzZFWo/oBJfHGoFlf u+r1HU+Pk4gGfp1UiggEra7zjwoP+l1/9avKSDGicP7nBWaH7oiux7MglQwKyFvXqdyl3R2E8jgF F6mTvggAgroAVtEgtmMNtsqTibAZD/mtNUGcV1rBAZ974vK+D0lgArGRgI3dMyRURsWFk0faFA8d QU0NmURDcYpOzNsk7LZ4YgaJDncoDAz99o5I4zq+hzqU4D+i0LOGjmfQx3opglGGV/AHlEyEu+OP Jf8wyNBSypd34Gd2/rrFOC/h4OLVa32XRGfxuhsYWHUqy0+c4tP6pi+kfvN9jF20RA9McJx70KgU iuIjdGJUBSRlT5XWvjADv0E7kx63ZBs9qp0sfDCn7yHP1F0wNtCPnQLDzdhVCaOHcNoZB6TrcpJ5 2/oogHtGhDeEzwWmWynHLkkzGSnyW8r4I9RvmS7Pi+3sjy/lKYROoafcAKLnVPi9c3u21SIsEawr M4khQj/2HEuRs7ZWqS9RNfmyAWskdCLOCxyXz9ukKGo+72BhyecI96S/InwyXL6DZ1y3EtLVzUa4 aYX8oUe88qZHmsBTnxrw06XNH0Xbwie7fjsBmRd1ywYKMOfjiEAwL9wh3ABPmnHi0aeIr/TS6Ku3 rFvqNbf3j4jn/g4rYRcn6Q/nbRLPRQwAWCWyLqewvV9Dnn6RsCo2JucMhV+ARAKq6kEjvK7fAf6y UPU14InLu158u6hHYWWidpmBu72m3FS/y1dcq82m2XD3AwBDr10sOQltUYe2mAOwKFCFhljeZK1Q x75S0gadaGPvaOO3wSv8c3/DYjoGmUD+zNeR39g1efFpI08ncVOKFGbPEYD3P96EjIMUsoPOFc5j fzTXRvnVW0p+YF1/YLu86NQg5wDHQdqjeF8RS98bBML9S9PKsEx0UPWESUIWg7S7lwlDLhaJ71vD eALzuoOPbt4JatoFJ1wD4UY4AV3Hg397VMhIi75qIQNiE57zGzjrjkKIXxvB9tbI5ztr22ixLlFd i89gm9FzG3MWfw/9wX4e8ZHEfL4lg65Hm6SWAC3k/LNSlxv8+e4GI6LZbplmq1BbIp0lXkIp3or+ y1IRD7pCD8SmdUVr5pa/Bwc7CvJklNL73jt8FlnHRDdhNLzzqrBygktfJ+4ErdUyrHkYezBe1bV0 XTXb+7sYf4iF14hpjaPIswl4FxqDfimT/M4gfP7BdslyPmB8uUruLIszxUWYjLUXGtChZFcx7VAy 3Y5+tykuf7a+xC3I0EUxfJ+7ZOCy3CcsWmc0EXI/kceSzTaMnRwi6gyga+qGSxdbqOcxLFzkOH19 3Ox/Jfcol98mTxqCUQDnogciWCO7a1jRsvTTuh8p7oaN2z7MKdCKautnaGg7hK6zufIqes/3eU9/ SN395Ul8+Hvnl0p5b4w/F/0VTSBQD35xPGWGkGhN8VRlDJnLnzpOG+9re9vlpCPwPCVZGhPmZDTL pN6PCbsNyO0ET9hPGgU9W65eG15KPlBA0Wyah/qTnoNsFgXB3Dj4fyoUxDZR/hls58O6BIB286rw XwfLOQVc24ek0hcgkUzy7NX2/t6XtBLP5/Mnj3Q8+GM/AZNT994D4wnPdu7Ls3Qctr2XWgTb2SnA xzdT0waZcKXvbEmdpA72C3LopGl0Zo4V04tVuOju1WQTlR4tlAVkj1tdBpBcvk8mWIjwWRONG6XD cvE+iBN2AqMQQlK+CdZinhmBich7FeyJqJovH4T5WBQd3Riwic+GvaG1d389V6OD9nNYsnlrYZsO lZCxRV9ni0dRgjP5wZ9v0keLnyn8/NcV61jyDGTP/maWE7Cio5LzqJujpJPRf//CK86Hxra1U3ag kTy3STzdFeResUTJCs8sIYUpRXAonKTAbP9FQj0hpujWSqF1ZBeA1ajy9LJtkw2ZMztNpsVSXaeE NI+5ohoihVwIghiA+GMu4ZEUKI4d0gG3kD0OEvYPlvp3XkOqcPnhLJ82RT3J8lgDaIXkww9T9pba 5Xnwm6G8zQUJRXhAEa7ZzRznHPFJmZg+v6xdCoFb+MkisM7ZK8viEZ8Vx7ulve+QrjfaUyVBp7JD cP0Gs8+qS7xOxQm2vgBVNEIABr7msqHtfdQ3A0TiELjWO/X6OBnS7I0fGftF7defhAcJvmn6M4Rg wyLH2ZVtzKS/jGDCB6EHV+Nf3HF12qq0Bs4GZ44lhr5+CogtjR/7meA3ZWDsXSHMPSXPm3Oe3xLP 8fgNBoYLLbMUfKQtUTGbBwUp32pVlskACdvPYZptK2rOTRjvPX1xHC9aLUpHj18wJ9iVCpuAvgjk UCIFJA5Q1QsRl4lZP9uf8I62HaIwjF+8sgN39lXW+4jto5yehecejzD+HARBKk4XA/WeLscxM845 djABIjaRNbg+I3whoyn/758/ryVUpqxExDj6C1FJvF9liccw2E39yddL6G9Z9aTs9ffIwT0KXqZB MIwjUXghAOMqIKsKU1ezalno9I8b68jdNE1PYJvpzXcbUabzbCxbWz7jlGht9G7eNkfHN0LS0QF8 HcX2bbO7r7T86Kef6W/iq9Iwe/2c0PMoQcZs/rzlXNV2GPPmldySetVwaIeG7s99kWUQvNo7u1L9 kGATMZ41I/TrPitFelVWNjxQ6fLhOWFyTz6M72zTDvkvV2NcYE+mjPn0HWb7RcgMUtfK7FxeUfrA 8CTmGE1XW7Zxcg0zRPbxY/9TUOjYMmTtur8De1aJg8rnTxdo9EC+wBWK+yOkTp4vTz1MchDZFxia iOp5UwEJBVURbhv1UOzVMck1cp9zlvz+cwf8VgBRegKi/0L7a7E2O/LBtC+aflc9za7tSPkLvR2N nWUvyPUGBevbStQY3d2sdbI8m2GxFaJoAPJCGnLnszwbNnnX6ZgZa94z6ORO85spkcL75YYE7YYk AYXq95Gurne+QdszjImqhqxZWuQW6brNMJyIORXjyRaeusmd85KXF8b68n7DM+r1XWDvM4Bmqyna N+3SUz6PPYjHUC8iBtaKT/9cixTADvGEddpQJSb/KONiiQK3Ze8b0guWa0NCBtdgRvOPpJT94B2s F6+lpU4rpLXcvnuPq/tF5JhZLYQTN11Br8nWxtB8Ehl7BvCA2534OGgU9+2GAKC0SbKcZ1GULO00 Q/mBxD5eDYC5cnEkn2cKBy3fnjoXrMtwVAW8Ds3/G8xOoP9cDmZUajOWjslDn8e374XyhrDYhQm2 1XogsSKjGsK9HYEmTvD7dJhvwqQsrWZS2Mzx9mU7D2X/1VWGWFQAaVZ2+2OqeIOsGk9nxEpI/GvW SPzKRRa2L/oNQcII5CCNydYhksjFKBkCGZ0HK/u6SNz63dALp9dEbx+6Hpab1kqadVR4ty21vMJB Qb5LyXq0+pZ93/f5Rell9bEV2oeir5sdQ/xndIyIX8BLCaAcgLpk1wzdLkg/ipptyH3NgTtPmX16 ly6zKcNB4ejxzb6rp8EL+iH5i/5GgYGOxHHukmxw3RcUPRCbgVfxRhyJQMuQY7FwSMtYWiawqg41 R9C36dxc3mmPcEthqZneg7Iip4mDL7bDQ+nJp5k6YkmFIs3Vjt9YNQ1DNQXJJEWeczXkyFq37HKh 5hNFjMCO8/QDt7fwEasi5SsgtnoCRimuGvYffKs7+1jh7xbP/I47faCMmPzMCmbH9DD5qxLqO14u fzDgXQ++NYYse9oMHHswJK66WKYOIL8+7lvj3iWuqNR6AiZz/FYCqlLATDCxOy0xzKLkwVw/RtTq hAZGs4k0C5kYSgGuK5pWoxrKjSswNVsKNmBd4Je1mwdQ3EcqCpDby2jLm38pXqHCscKm5UsQL9yQ 95LXOo/WtIJqfjD8tBfQ+LRzsp8HENtXxXi6iyPLlmc9gj/3VWfD3pm84fG0662RzNUC1Vx+qtR0 YLcZuKnEUSus864Qx4kIcuT/e3WLbx7lPyhZ7nm4H5CdCi4ALbmUs81dXVAMPG17DM3EBl1WlrcV eQeNJV2gZMdcG3o8ccOSOKehvYdahYAjxhwrTF8V2t+YcW6EuQpEZH2eG6TYsw64/lVveC/yHC+4 Y//NlXyHxs1uJ7NPnb46e0r01/GBzDekx6hrrUVe6GZ0D35Hvmo5X7e5dyckIRXRzoslAlwfAhaD +J0o8+bCgiMNOD1raOKw0KxXZbevFchCrKO0W5T4Ka9SP3Mml3dm+LSZqCPrJiSiZzcan7BOfYV2 UtcN32B5LkpqkHfrtYXRDqNIp0wP6sViSBmeYvHl3hBgzVDIVEsmcFwF8/eIoau1PcuC4BittFvu YoWOvz+qR4/EYLhHjhDW+8Cq3ZuYPUnk+JW9HBMWoYABFk5sgiR6dcPeNAWL9RwaMx1KOupDtsCA ZlL/uf2kpv+jaJarfSSn0IsVAhND2FQXrig9tfj1cowBeaUavc2ezY6ZOIMhYhnzXeJGvkS69LtU Cp1IMgTqkyr/evlzzA5DX9JeN+xLi3IUydQkEhACupCckvr9Fu+h9E7KTek0QP705ZeL1Qu7dpJX m8+APj+bcQW7o/QiVcE2CLF4wInzKN7VWRkMI/0ag8XNChxcnkKlbEN/DTCNA3B39M3BhzEuR/Co d6vYDri1PaQhVPkhN4DIm98QlLCLLyvS8gEmD3F8ic/WGdxL0J4ElJz1mv98fyp7vUqoTyt9msgZ pMV0bywV860WjRwJyMY+xGTSUdcafGEoAKLEdnxB2KOCqvbtXvZG3MV9DS/KlEVad4ukitXsaUIT e/KosrntpPm/vuVfQbk5LATTcahJNPUhMvLWAFVZr1p+USqFEHvQzQdPt0QU2K2qqZJd7LaWtnYt GMzCC/gXy+0ygzm1WS5GsNiFcDofnP0u/eeFW+8mHHTXCS2zD/K5+pBNppYjwBP4QxAMEXSsnW/m dW1cSTa+i9M/v0ZP5FI1qJ63KWDuYIcl1nqco3LsJhJQBXdGlcEPTmzzLUn+MePeFoOBtD4I/V17 fCHJgaRW4S7u6qvVpYWQO30I+/n/bidgoqxOpgegoi3vmaJUch5d18GWY2LCUte0H75GkOjqn+Lx cX8oluGcb3G2GQA4DstxpioyEGHeLyRCwFtRZ2cWZ0mx78CUA0vXsMRh0jJIx/1/4BkgCpN2QjOg ZksarBmobw6tfJumwgKkBJpuChY+W8nH+qXl4/OTFU/DdxZzX2+QRStgAtwBe5UOfhHvnCPAVHyR zRxsq6CaZQ7zVM2rNLSNF/b1dI6Dj1jRnqTEh3xPMnduet04MmRL3zQb/e1SXq2WDvy+C4klai7P FN+kkdsc4Zpxed9oP2DgKlEO83YCWLiJyT1twm9DsaJdkY+R/7Bk8zl3LQ1Cg/mAtWQUWg/VZabH 2N5PYH2yAy0KDIU960c9C6i7DlTvD2gdRwN1QkgXC4p3PzSqRLP+qJI9gBS8Oj1n8uDr6Izq6+cg p8FpkCMJUsuphX413dsFnUUIFPS+riYJy9HohtRzS4pBb2wIaJCu+MwvEGM6+ij2MABprKRzXWeD WqhbRfmo6qe+nGAL1mhrlFVj2YCrbnoTlLa9cDbJJZauZ7MQivycTkJDQpS4SBP07+QIBhUZNkur bpMdE8iQVa2cSnhw1GvG2T62xZXzLn0IUbkLhhxwsirKFxqFxsPi1VLjQ/JvLameMl0jWq12WlFL 07rDS/wQ9D47HPvzNYP+wT9tk8Ek5NvoWkIAG8/TfvkklG4yfyva4ERNX6eG466A1KgnyQJSDS22 f5XZmHi88tfelxRM6eEdb3xVO2CPhoOJvQ55KniVKfo6J34UbHNVnsQckRhUvSuJSrfr560uHK9V e6165g1HBu0HhgS8ztq1XJAFpZGvydB8n6McqTOl4v3x/qbuJOH8bgFFizY5TGpDfAibA++KKGTC GpbNOvQIOIiLyzVA5/48D7kD5YcpYJM6EARl4KinL6WG5AmnagYpOMOtYgLsDr71eKkROvL76PaU kP6hcELKB0dpxFwEzwp2H1cGey7SpB6xuJG+YiZeqCpPtkWhRUoiaztvzwAVxEt5V4LU+z4rl0eU w0+Cc+QLmWSyW+nDxfmb+rnmgpdXmhaukCjPcGnD43l2R7CU2GP9MOx9kBwZ3rY0o3v+7YmLroN9 kqoOWBFGWoJWJ6CHiXXgtSww7g0OyEh5Jz3EGQSBK43DQ2Bnj04kv5I1OpMqn/3C5+ycQ8P2bvBh tekH3cVSuTdpQKrHnN6TX1JNpJ3qtPDGkSqSEKF7aADLI6Jwu1OTaToAo7b5Y9+l6AgR/paJE0Mw CHm0+wHxW4++eheNczGv6kqtoP+0jZHmz+IYkNzadTHgzFK4+u3dSSWresHxnszMyAtUN9KGPJzb NlZrlAzZug3VzwwxPa8SZx/sY1EI8nGTt02w+sNutsXAmYo1cR2e+R0724Ys7VxBU52l+LcwYyAL hwvCIvcYsna5L29CZ7P1tZGlAdwV3UhyX3KBd1LZlZSb8MYr5bI+8io7mGgSf9QfOXd/74FHft1h IAEOemR1+1hsLEvNu8NlHuP87QSYkD6pZKT+yDpGcOz4SIKnh7dkVY5ZbpEp+abGsnEAKaWXDA3+ YIYWCX4IW/oJulxNtQuvwwsZ3n/b0bVb2AOwagUlu/pmdNdI5JeaF9m4O5fx24uK9G1tckDPGlDs 0J6TDVr9ijYUaWzJCisA86Mc/SFYXyKIcRvZHbbs2E3ef5mzL06G16BUwwVHi90R8wHccQXNGtlA ASbah3SB4foZeSfXygU8QIvC1mAYNkc2jWKFQhLtnqAaSaoWRjxs2fANymM5GK202IpQZHaRbLEV w4cANuhvrQfkdLuQEM4mITOGD59VhJmLEdofUTuu9scsbjHOKiPD9aZe9YD2FkzxvXKQCqLXKkx2 Xk6ihrXnY4zzzfla7dvpEwEA2nuEa1OBfkBx+fcDLi6SsylK8kOcoPz2ichboQpabuBAUnK6TXBk FbYTsdmZWRLHIdtDIkn/il64H/WGZt7QQWtLe6l7l3iek2MA0ZiaeKqnskB/FY8fHGF9/uPAyjnv yIbK2Vqa70SRF60Zo0BXm+xNyVlHsgPkm4wQG69vUafq8HLoXpjZ2B1v0bmP1FtBesm1f1LMrG7x 8sJkTKbbQij2gIZ0DFJqoVgs0krHa9uclM2mN5gFRuHJJttDvQkVlT0ofk464hjN3P0jI5zLE3ZK BggzORfV06C4C8Uz5v2jtXx9abHETvYd4Cwyj3imXegUvc+LIlxSW4h/DeAy0Z1a39qjduzQCMMt CyOOY1jXmcDbD27gWMVcfF3MLgI8i59Gkrnsf3tmsPvL9I4vbf9YJVc9ps9EFvqdKaffmMaLXNwX OPPKe67nuCvYXDPwOk1sHY+Uyt3acXWYnHJZYF5KqHbFWsM4Up+1IkxxkthPwYUlqi9IlquHjvKR K44BunsusN3hwjbtHp9XUB6hca+WSoF1MOrr8Ev+HxZZ5Bkt/XV10m9ZBZAzJsvtsLkh7bMcED4U W7UeFNe1UP5VQ1GYfcOVXff6qPSTmcuw7+mzUUfL7XFPWvCFOw4cOmhO5J8rmRE22P+eu/ae6frA NNCTWBR9ptqgGeMnLGs86+t4q77e8lsI/bA5EhNvsOCIlQrFbxIyuwcVjnaSYxXD1paopf21ZkUp 5zduWF6tfqwWdZn7oKi2EmNAzoACVd2NI6lX9S9ZG56/G/+7iYdtyFna8AzUQK9CaAiDz6Kbdecl zSZFVT6AcGApd0HrFN4LXxElt8ptIToOggPzi9JcePKLxBEB26wvdr+i4Qr4J28LZIngCRtNm3cL uGyulwyXHm2bfpsq38XqZidUNqjjw8pdjvV/AbT1oQ1XuSoVgj7mlurhfxRKLtXoaQvIJsKBA4IC BCIWQfrGNbXRBXSb4MErMBDN9HDaHn3WUVBrO7nax9pOnqiwnaErdMVJoXktG8iH0S8uQmjqP0eY 5DamGI08kZngf1PEZBJnLVB9oMvwufhCX2AoiqDy/5V9/sQ4cKPfo7/sJJbmsY/znupjODWRWohw HgeE01ZLuJ4gvfBrG/3bIZAnSfQqvdz12+6eUYXjJmv3x6EKv2+B+GNw/S8semx4BlzXfOeXjqTo RGBRBHzKpg6aNTzaj2oqo3AGhIpHQCfkBA/QKDHrdCjHlnf3YyWe5aFzsgEiRj5VjrvOy/3ncNd6 ojuLbA+237boOZGbAdIwJq8OUmiE7fP/RQxr+iBvNSp4/3bSGLYCakYad6njsaWpNuGJvq3Yi8nl p9bK+dshZe05azaPW/NvzciA7UHPlzeaCbob6jhKyHujuLe5bRHGSJG6xX4lG9dJKeRx2Y53xocu ZxD/q6ElP4sTQwlAJpNEYsIpMcl8Mxo0t1clEdUz8qOtP9q5DKAnB7fhhCfnlQzYwKD6O8B3l7QH lUU5oQ6vZEXgH0q7tSD70+9wr+c3CBoU3Q/hNqgi/+Up6AhAmv+wAiSqH1xqzyTwqtvITaA5xsY8 7Q5hBu7CsFZVnZe97I4rx9esOACrvI+bps+jON5IH6W/4Qbup/Pfrlil9Wn5jAftFqnbb3OoiD6+ e7g2sYWRleXGw8MBZf72ZKj59Q7p8VB4E3MdC/7SO0TcQkYXYw5RoG3i4VOZxuuV+4oV8HmJLUo5 EuIdcLvPLu6zdB1iwuXy5dJUbpxv3cB+tWqVmavBjcud1pTkI9aTOWIKHO8xyLLzfKzbKf/2Xty0 ygkLwkXB/xUzP2oh8OZvkh1X4oqwl5diqf3or24Zklcd0B4P7nOpW9B//NzpYbi3EKuZzyA5PcWw wAJrxCMbtY6H2cfiMU8CF5YYv5gMvqpUQsFTMCxQ5KIdCitcg+bjN5XYtlTc6SAAH6VFzoT2rONL Ab9Wz0ikswsqiZhMxjuUq8zZpLIFGjLADj9GzNttweYuEUQzCeFpCTTn/5N956S2wZlF3IUAMClW itFRRt0bWKwCu81Bzg4cjRZuyR0q7KSVWfQVOYyfm+TgPTIZPNzRLhAz0pCfX60U22r9alkjTTFe uRJ6Xt68UwaZ8jokdysdMrqj9clzOtvdpfRJaPpGlqsiqUrMt6XZO9LO7WIxvzkgLIB39t1f7jqR CERQCwRgdFzwPXYAKCbqlUpNX6zOFydhDG6jVk6VmDqfk9vhiVpqOHZgt8SxvQN3p8BLx1/tgy+Y kSdZ5YFvKvd2NzR19p1CMQw+q17aekeLomUbFzpmKvcczcAD34gydcc9etCe9TsH1k9AGydIbqRc 4BUgKzJEyOn60Nym8qyR+mFzC2NhLK/q4scWCQfeNXSOQ8aaPzcGPO4FFxUyLzcGOjZYXRBMQ9tO OMSi6zc+Qez5RQXZvLh/+8l9SIuxH/3rtfVvohlulSzToTSlv/iUlYHbE5wgYCPAajj5tuZrJDa3 JetEqjzeA3TsA2SwAMui1s4OgaMYzaE1aRgeEKmeqopgP7Mrj1qjuukBxktV+F777uhHPIJRc1l3 mtbdysd9VkyNysEZVzgjXgYsqvgAvxnE7QM3OBGZ6Q5d+nxnIEODspVFrr03uvYum9WdR7D8bJWb Wt/HioFw4+aNk9xdYUGX1P6UXRhaIIJYbFufpr2aj48ycWYDhZ2GfpLopZZi23ll1M6zsVIGsobp noQbMhWI35VQiWRsz98DSsfWhpai/Opbtm2RUKq8uQsHtlUT0ljJ//LYniUkiW0WtvGBTtPcVT/1 /8nqRFj7yAcqOmZ6nnwtI7C9WB/V0CNFSI6xi3GhhI8uKYJ4NsRcC4c1xC7nloFoRSDLRLua3Wtk h7xcEZc6f7Ey9+u0UIyzQYdgSecd5f8KZV8sw9V+UYdI9WlZ6NQxKbAzaAhDWio/NA3WspF/JPIM jA7TwNr/g9jKVG3rHvlK4AS0/rK1/WcXOZiRji7ZJJuQtZiXxavDOMavCUwxxbdUecbgpIQup4/g qpBgWCAdsO5pTFnotQHA8p2JDlCpyR5N6TD2XFXvNor0YHZKNqKAk+7nJY5Di+5dmhwNsmncBJOe TQ6/ak9KVQzSXaUX3hKfr9A5LERJ6knCGMKYXPTlWCpY4VPvpdAPgvXlHcGhe3kL+SYlqs2hvkwp jLGdquhI9fiTt+JB8hbr5iXsIm04PsYzqv5NF+hAwCyOiEnydRFWuaEUAqyQk1M2mv3TuZJkmbsX Z41Q2K4nOXi37kzD+6vo+fWCgibR+Zp2Re2NkILerh7/8dGu08G6rCK9Hb13ibeL9q50Y3o3KQJh OEaH1qh1/2XYj9vAeiDc+8OztxiCC/uxNTPdqcqOOXAR8uepyxgFxsP0yn0RvPvRo3g7++8/9mAj N0kDC+eHVC4OvoccSMP2PuV1t/wFagq63CMJ0vr08S4lScWh/l2WjmMfMAAJpSvJYKo5uNlrGH72 CJXH3MjOPIt3BKG4JKocW8l7Ugk2bJa50TXahHZPDWoQT4h9MjZad8bTDYmS+32R+Q8j0jUa0s1C 15pggiFy0TiAvLuzLIeKGhIbjMG45AdTMPmKY4EKgZuCwt369chEFf7umj2WLROjCGlD4I4igjhJ YFDay3KQaC5lCwHYV68tIFELW5nEw2PKmEKSHp30FPNSM7/C23iTSzsZQcJEFdcQsHS5Gb3UoT32 +sdlfHy/CxAE3sKjWRe3nXs+ENLZIQoWuAs/63vZA7w483kiE5E+cpRmVzySoJIDV7aoN5VBouCt bBShrgKVhh+JuXBhpGfsSNx9sWOt30GRguE+1zuHNzwBMh1PMWh9LuJIC/TbbAgRzfKteiX7ZAV2 tBYJ5G1nrPloSrP5F494XLZa0YUerbhyZSwn8QIAuIStuSnDxqvlR2QO8tCBxgV9Zld+S4LHkXeV KB1RQhBZyoR1HHRiuR6XBOVtdZ5YcYnMYv3wqnNghUT1HpH0WDhkcjIWcVnYr4EQ3oqH0QNmvZIN TIO+nBEaKjFAZ0gFq4KmdBI9JalLeQCKWwaWotZ+Ox9XI3OYBltfAvw5Nk8SSPhIRg9NlBfW800G unXzv92cYj3rwhKlQCYxul8MtjBKWQmHDpzvH8vbYQhKvPZ2Buj4T/wtpUiEY9WBVyrSNiHUzTpX ARbwdrouHRMGAtxWMpUX040dFnNPBRaX4erXRJ4ltk/M9fc0HRNVS44iu+3mtV5me7zMSGi9XWr+ abENrFQ8gx9tpo1usFAOWuLmD/EDZv4ob5hT4LEJYXMQMBqgQ66fCfJGTCaebhH/KZ+2TE+MyBB1 oeZI7XNsfHlHzIXe0SnEUCcNPYAQLozjXlZH3ATi+nHLcy4b7tsfN8zbMUPHAuVCNT0NEe5u3kRc HY3l78MPqkj5ZSMzpDEUpwia6DiFkzSjZQtE54984uksU4V3NTGlD8tB4ojDKixlgrGSjycufHhF SiWJrd8u9GO2C/n7XzDDYQ5/SsVgrBLXE2rL7YwVY0oZMjdJiAZRhybTNFDlk7+EVxXg4Ol6MaMw i2/GJkKLWkAd9vGyXu2eWApqlnGi8JBZWq9BUgNcGQbXQgf0env+ksui2qOlyxiY9dbSYxv4YR0V xl6b3xyRuCLBsoEvK15MmV1qcWf5ANuI3z10aFQwcqobMfgeywaaeMdFm3/AdqB+FmMhv7eII8WN H4KBIQBhDTiJqsiUw7EbQ+iX285GSZp6mHwdjmy4MuMxKWv5JGfCdTd++rWcX6CHub7rLJ4CXyyu 5fua9qVPGCSCUdCkMlQlTPJlO6Mm8YnWOrmyniY23wXBG6YQrKN2CkzERX9Y27AJzzQKaAzjXK/x QfOruc221IYQcr0T35rV6k8IgsdgwIKoKH8xaUfUTBS3CcEAf87tghSjnI3oO/gPM9S1UmfvCiUD IT/Thfd2qk5RAjBeMU/Jh2kUJLVqdge9yyq6J/K4kh3pa+T+2cgQOVs4K2qhVCSBKtDqCP1jTQMe QVK6OMFwYA6a1sUHqbC/BDGd5axVSlq7EVoiz5tBYqrVj5sToWXcAWT+9xwLDdJoBT/9HvLoc/XF njtbtQUnCz0yXTLIkYsdu0xltSCPn1BOkphZvpOoYvxNIkMqcgFrNkppnVFfVxmokk6ay+rve/OT nD5IKo15w0+XG/ULGezH+pdAyOHWX0Ig0p6d19r0zxkbQ+ZFCuJbQ4sXfTeDvoqHU96qMdbq7HO3 8p+/XPPuWDfDWH802g7CdYms0L2v8oZlXX+ercLc7L7xgvoc82PtZvSe1GKxpaQ0hM5+UO/1uJ6g Avcsh7ujhDHV2S921+S4lOzWkWB2uGlW9/c3QxEv8NzYsyTX8ljiMnY3fvPGLZMAaEL9Uv3+EcU2 rItYDk/eo40lQjKOYfXsMMrFdw0fpXR9Y7gmDPyI6lZ5W37ywyQEk95sWskcV0BxNk9gkXGd8YHT fOK3Ky8/fCAK4FXTLzaDqK6OrBEYlNqtXirplS8QX8UsADCm5k/PjSjt4uUfUxnezGIJbUrp/IdX ctpsLfk1vSEUEAvFa1FiehdJQ9oAct2H7xwF6E4emc9gKJhllH1+w3lFZGioEwsKfp4mCwJ/Eowi nOOdjwApM9JhzojbXl8nHu8JqCHJqZ9mOHsxrL0ksUzYlI6xS9ZI0nq7QOTOfw4tjDtK93zpIMgQ elFy5q1NPeDecGVz+bVLHp8DCOiio/qbc/3cjWW6NrHuB66Pr82yYnZh5yGX51ykRcgqqFJ7Ydf9 SVgjHof85L8/+lKT3CXFqGxtEb1cO43wqVq7K+bma+jE7/pQt9QTLB9OmgM4yJ5ySp9rHwTaEQay csoj/pm79MNuOXpOJfOoQJtBS22s/jlY6oQ7GVIEIq2IFy/bk/wU/xatdvbL86cMY7nG+xUhQssW Pm8qSl9nfnCWiYZeChAYfw8Z4+8lxB34F9H68ZrEiD/di3INkF1ZUxjYxqfoRRlf4XyGHdP4ivUB dVupkxfJLo5K1XJr2RoGBxLqg9nIpvjIhqMdYHpulsFj8gjZWrkdpzWwGWMch1c08YsePqFQA4Ef yr80AoeHwGwn+9wLGOqXv6T4K5vHXU8znpo4U74wIFGYOMPscEmjz7cj88XkaqmDR9bO/tNCs1l7 q58vnGr/Qk9HFRWbGB/ooMGmHxWmg3mOw8QKhQGrATlABsknDtqVrwgWj/El+ZAHUlnnGmDMCMip ON5xrg7A4G499AWNGLplZe72R43cAF+IXDgtr4iPhK11Yd7fKwqmcxyi7saiPMcjvbcGvdqaaoc2 6nqBEMZaVrYqXHYSxzk7IrpMqURmrohIpIV/fznKXp6sEDmePYX3QVpYQQkyIfnW0S9AXWKzpCJF 3IR7q6wx4ttzNeQ1k9GnWXEKE+gN0D/0aztV4KkZRuLd1+S/sTA1CcunfmF45L3Z8vSyeA13TJ85 wLiRa0ujEIdLSINQ40NypdCLf4YDFcSL3BTyCJzRK4RArh0zi7PvNe0BaU85on+BAziekJ0Z3kxn aEGUlGNCuVmyHdxOKPu9OCH/KSpLv7xVD1Of+5Rhg/e4XiJoZVfokpFzUkHw0Uyqii7qDaEBvnJQ l0Zc5f7d0lWn0mMS0idDe9qH/qsjMtSjuNNByo83VbGEfT9WczPSbZbz+k3r3fCvJ7cUaM+kj9Vt 4+qVQKeVbqYsChn4wsnr+3M3F7jsLjkYumA0g5SDtuL7AeNNC7SU2zGkwO4udF63RM9pesUN0AhY u3tR+l5zeRZ9IK99+zGW7rTy1SubxV/qG6VgMLoxHxA32Z5ax60bkSZnfKrBBalwWTBej04rtWyS 9tiPmb0HTrA4VyaRnpeNNGiltxo5p2z2vXeCVtYXhNua/5s7q42G189E7Avq6NVbmKOD25gLpMEe ljrnV1MJRO7o5vlSsUNyIblhr41Lu1Zx9wN09ysomUypeIEKhlMEHxqwJ7n0TQq1dZNitIjQzShJ x7HB6gr6B8v1FQvWaQevhrZWQ1bV4DyGLHsYTLyl7PA6ULXafcJLkMeIj5rgT+1NEeiz7LXjSuP1 DkRUQ28/yiW01QHcOAHdry6oHy0elrgt/t+C3M24UwtI28tIuvVZobG2BMKNBO+DwjafcFnK6T2W lpi0GLAANuXOD1LaoaHsnMwbznKYcU4trmlkGttktJQCcVavsNR6VGKnDqj8nNZ6Ww3UqJp5T+hR pIQy5TkOh8CPS33w+wia9mdpuXHsQVLUHSdhnIJlfagCAN8Fn3ybur0atZqrewAZqdmhZb/gsjyv DgsYUxy+ycv88l17RyfRs+QOBxqt9xX6CIPbJ6zn62+7px3fjjQ24Xdy/ndPEa0LM8Ttl6RxjiGv BVcHKmlQiNPaORkrkbO1PX1lDpCLPx3JKgFzJMzDzcl+rU3mVZ4TyRJN8fSWn4X4ONvvhKEbUHOn fTeYRXfp450l/OQGnCQ6FLbeV/CyE0lgY/THhkUGtIhwIS8OCPtNM97cOZCLmKf0NwBKhxix9wE6 HCB6luCiUII5NW7IsdiIvAnhiuabdT4CAzjj4npBBOrJqGDnnUGtcLXO5AgUf5QyG+VLMZrkpF8O 9pN0hSkmJ4hISe5sKfGn+Xw2ekU/FqgE03r+zEM+hEo5j5dUyWQ7OizF/FroQfI+XAFKqaoZvJuk aFK2XS7TjrphQKxO3Fo/P6lWaI899bHa3FWn4rrzWwj9OA0Y6LHSL9rG7WaO1imL0vzvtZPhjRsq XEhttdd1R3fslq7D/ZZVINMO/FEFhCoW+0dpfBQCBU5CceTVqAScq7AOhIsObaWanaE6RBzxQ1n1 Z9Tiw1c8R4Axi0WOs9FtTTIElshtiNbPDB3X/6Nrir1uWddsLWtS0YwSF/e4OloZ5s1D5eZ3ACVv 5IdQ0Tl38P4nkEPzteNI+j694qoHOhIsjAIh8+YUAKkUjgHigSbFxWIsLW0hWFdIAhJG2hSKh+76 shoDM6japBqlROfodtRW7rTrOln1pVF09+LzHGlGGlIXz/6/yZoiGZ8FJsOmH+13chp6+RknkB1k BAb6Hm8qOODoi0eOBQMdnB4yWwIZPJ4RLEnyUMH7hKNipf16SV4xJSQ3kPsIu0Gn039FI3jJJl8D aVmqthSsVNFhp2oPUe268FyxiKyidA7XpVmKfEkRzb2iYhAJuzVFOGU+pjrqEe9CY4jEjnTHNulW 76aPGCqd9vfq4Dez90Px5C6wc9KthSJnohZn2VZMZMpcgJY/xA5ZjbjQD3JRBUiBuYOogBNkFBtf jZ/4V0UDqL/Rh7nKBlofYQI5luEAUgz1FRVQsbpxqC2gwE9HqgU+C71EKilqoT0eR+Sx5R+z1TjF OSIshWxssoXAzRBpWvlJl3LoPJeEit8hGf1Ol64nCTzninblKcgVE01mlpr5E1P7L0d8mDzYYUiD +Z7OAEyhxjatnqQD3024aOQAjEHx48AC9Zfcl6qsGqIuiCrq23dPa1g4RTgfp5DKpDJc0DrK6EsX 5ogPx1g5M1rWD3TY2qaDubWZ3eG+XqoMo4XgziMIUkKkmib4ykQExYY9Dj0lFgR47CvaRKeqtgIL jUuviZWA9vx0fFGtlRdgD3N27/VCqtPz7wiZrKIYTvaF6v6BLR75AEYiA5lpvAEJDmzA6QjLpvv5 yKS1d7mPtKv4q3mSc3DQ9jvUV4B3DQ18Zj5IB7vVCjI6ijAgdJj52jXNyFEbXUcoZcFGRGreF2WW Hj/NexOtY0lBh0iNpTatxZep/OwA66zh+/AGHfD4d09qYVhwPFh4PJXCZF+iRR+bNWH4HA4F06O6 HBKDaXfDkcS7QKK5PnA+5V5Su01lgZVOevbdaoH92/EcVYY671a3IM7bcWWzDA5bf0JrqV+Iae0z b9Jzn0q3SR75HZRP1Frxo6niuYF6KGCJ7PjyDFLTCJogAN0Vw6PmzVjEMOTUQ0hs8tlHt9TPt5Sc Y/rpO9csfkxbhB3r+Gg7/FD1fftmLl9psaxgtqL0rZppUmzLWOKEgn6RT873BmjpIDLZsgf1VjRm XqWf3wtvoIfaAMr1Fxs8eQheQRZ0s3oWTDeAml0epO9TFQ/inty589NRhXYdjFYkqGcQHHFuNL82 OR4Gvc0n42cdsjgJsuokCDnPjjUfA0bCBo/LvYyhajsi3kWmkFfZE3c2Y881yBhDFiiyWPtRox7X 4G4P7UC6BffCtgeAv+hZwgo2TMOVDyDzI0ou5rW6uMAc/5DPHt5KKbc+Icq1Oje1WPXf1NUcUU39 PWkkioYaV8JM/oflufXq3bGcvfODQNrEzvQzuSrBElaQAf0qEarAmFutdH//qtnyU+2j2gK6aG4/ FJOPxMljNQNEL3LzP+RVGPkiwMVe51gOZtM/ViVOlZoKQKLY8pTZdo9WKLlM3tb9VfLlwZ7GuFad ogaS7cmpitv80llHzs/ruACzhKm/Rex+EUqxL8jC+Za9/3GgSDPfBgmkaN6AL2W1Ky4q6cWXhOA5 hLZ1APO3ISP3SEB7C6kzg3A8rTTPhVDn4H+pJy6FhluG7zjM2Aq9e189BXL4NdvSJL3od+8md6YS rEjMIe+RGfGyC/G5APVjfOMi6yeJbNuw1Rtl0Zrw0jVZXc7oJyWjBTkKJDUd/nIyJIXVLrI0cmcv eVICEJNUzvRHzrvxNKn5+ywvqlbhnVSCSOeWwOh3Mnuxh8u9sGiEkZ3wlvpSilwTEQMHg7DwQj6d ErMM4WGqAyr5zVsALprlO12PwMYpa20UWx3+WkqUqM9+4R2Myk1UCHVA+6v30tS7qywY9blw6E8X 5MKfTI9rl3DlIHRgWf47beYGPa9tA/XqW+EMp9EVf/tVdS1//TQxZyKdc26hXC923gO6fudqFnGg mkgeY7I0UHDQ8SIfkjqN0Z9/kbI1siuIgm1hLkedK8/AwYuDp2OrLeUAn7aWdZrhew15MIKw/0Os 20M4i8N+SPfwXC2sb5nVj/QkWYTOdjmNlP+tqjIW07OvQ7rZfOGNjwYKCJnW0p2XRrER05MH1QDh VBkg6H7ApXSAZLkd2IKVUz+BD1XRevfFwiBtEELqX92fdR9kHN2OrZRqROo7aH+tgNFRh6eRermQ F2nKzaazPNnTsYWODPrEj4rKrel0oGtDTf75jyhq7H1FmFrjza2mVTDJftpWAcXqtEgYxXnC2f3R TmakYf/zzWZip5Pnnzr9/CcVMzh4GEzaaHrA0orMlLnFjKlmK6cKGDvR1o/DjMh4cDu82KCi10RT dgTsxsVDkzMjHIcrSf3HUamkQwuzz+aGOSEGfw2A/GvFy7P/iUTCm5JG3yvQu2kdoWODvxdPxTNG SJkQpQWvEibT35RzSiwmnXcUFln2iNVviefecx/DGEByakm1o3MbgTPvQ3G+Rzd8ekkl+KqZ5Y2Y ESquMtSqaSPjy4oGJKk2djeUhl8TZfsP69HkLyQ2WVYr+LBzAWgbZc8O0pNvrVWG6SoEGBd7RAqu 8oDFf7oBroYZ8Yx4wGmfLqePbqlmFyii39GHw9jpEPiHUUB9zaIGJ5eSWRXa4F7BlacQoy0SeXUN jTjOC2bHRjglnMkmow0KeidCHQhrzbeKWC2We94bBHglupMlMTQjXSfxrdvduwUkTdU9hud47/IE ea2/0De2t5ILHPKmub3APztpnE6sFxCXA1iDAmC9y+lsqR+HrAUIvAgELPc1gAErqXPrUisg6ATE Eg8hZMDW+9V4MVDZYi8blAtnvPC8y2hwKsPmuoiDX7AKWRTPbtWQC4bxhakaKhu8NRK7z4cnrccS pK6cqX66jDdFrauxr+xqbuyTC9FjpMvhOz8dzsIUQz0ZScX1FzJvCAlHGNerxSFfESUpcERT3OZ+ sIt5lyGPoKxGbkCxXawiRBCQQsfSYJJNJQjeWF0ZRtIykjOaGtZIOuYch9jY2QwtQI605H1Sq8Uh LsD7TEeU7TViWNlvYEGTY3rBfotVbumhfYNmvEGQYWKfMECF4fBEZmFdN8LoajDTRbGtQGSWxtuZ optQmeqxaItvxdx4gnXJdfGgz9GSw9a95pBPuErEnylpjVXtAGVbBBTaggmED/5rENcSfPvySYoS LtUPqnMsDE2Q8ASk0CxGPFhDBZuU2rZCBYA9IPLkKZ/5ZNzGdpSg6WMDU6oF2kerRif8zJG7fv3W rUvhl+SMNI+2/JibH8VPChsDcx+Q83pm+iSqVdDHUiOkw8HNv9yxRY2zbZgRisLE/isxtQPIQDON ouZBtepSD+7CDzXMrfDstn7we/8JktbD2j7w8XBoE5x1AWfwbWvtI7qRb7bGKAqt/qLnsW+GgVxa xpYWiS4iycYWOF86g+6mdd79h3ap3DiGd8gCU1+9ozEqOdaEUMRd1uIsqPwJgQ65jNTqi01U5G5A 5E1GC3kG66TI0ZK/ChUWHHfaum5b82gVbUwMwVMF/ycEsc7ZbPurnpnOaqTiouP9mB7p0IIbLqwH Zkt9a7EVwqPu61q1qx1h+oaFh1Dc8yQTc/0Xq4drGI9UWjzUMey7A7ivVqACo5J4+d3yvNi8SJ6S 9bsk5ONHHw/Sb9zLhE+mGifXZ6FBkryfmNKV+UQttEGzKJ34gl8xfkowDvyC5xa7WminZjNLaBSA uolg3rL6/jUMpkQM/G15vd5BY22iNZb/UmKsXnWKjO36RZQ/wEbr2s7AePTJooVphDc8ZAg1zEKN UEEeqEpLJiEIx3YB+zhqrLppvKOOsNHqTOLZ9lfLupw1UVSJuDNAPeGTX9piIx1y17kfYj1HpuXz oAq0bZALOsuCYH7Z57BNqWuzfWGxrwBN4JZivLedy7HkMrTeNzrhwtwK51lUL8DOlCXUMLa6XkWW N1UWZDQyx6/1m8XKfEw01vUcYbFS2F3wYvUP2FLGp1weDHvHB94w2kjqRMPj2LT58WcDJTf5a7eB O7uqnq+Vy13DaAQwtIR77Z7RCE1V1g72QZL9aRB5ZMkEjUv0N2hQXjjS3u1Gjvb7st0POMSZRzXS l2qg5D8twHcbMI2caK1zKLT5XdETOMo1Y1765qVYonW/PeeAwFueKHpJY/DG6DRyPJHb5Jy9vLOl 7ldjsRhK+madxvd/6Q8vZrMyfm3i/pOctSPZXw5Hj5kibrL9sweNiOQBctKCsYJgYZKSuaVotTDF pwvbwbGYtHWaJMo1UEiFovNNdNlnhXZO6O69cr/yLZorjQMgxejzigUKerbMLR4kJONuLfmJl12M r2DgpbNcSIK2OPA2wfd8Ifw/MNP1YKz4K1MYUPfYypkkf4R2KY/AIphK6TMSoPPdAVheZjyy2DGf nKrps6QlOR1F+6fbAp7/OHWKGcrtl52IkixGyLuDWHKopemeeB6OOoD4arOHPUc4ue5B6Snjfc+2 st13AinOfTqlt2VE6VwzKs9+J1sFEh0xL6FR69UoFcY5vC3UpmhnvKjbcSB4IOd1Ct/5+CRqspIv cCFHd1845ltfVbG3Yl6v/BmPbwclhQ3ECd6R9ptgb/3V0b9JK8lagC4p01gtpmMa8IWkeHxPQkH3 61zDc5BWtt6jQLNh5Pp/UKDKsfyOIlkBvzVAruisXffGgVvXk9eDvoIICbd24ypV6PQNgYRJV5Gj A6edEAJLT2TYrt9Y8OwTa60FUAV93FEahmC6S/uSethtN8tLFZvz04YfaZVuivrxJLAsVFtzmAoE y3FsfntOqrF1eK75RHf3yJ0P4QsB2tzHsjGQ2gVDqXeXSFY6DOjVH6j88h+9+NiO0JyhkdB7qQUh eL14m6C1i01zXhfkK+jcitbRU9vI4ex3p3kRZFoIpicRXwk3nrmXV5BlXKP5iizKIl9mpYg76Q/S Vuh/v2MFzaVF2XOxiJmrQvKuHZbsJ6/YsjomTEcCwVOb17rdvxtEhlKKROhP9E8n1mC0rKfIJ4mg 6eFDUw+NN8ySghrO1vy4jaM+6V4tLG1wIV139bzdnObewcQ/FybaBiM8lL4Fym3scuvC7s+LQL9n fqTvZpeZKzmn/e6BjkbFKyr8y5BH5AdJBk/b11AGbP1/3amXp50IAKo8SfxCuwQdJvD1OmX08UrX pKDpZOdEe6HpZ3FjPECYyWv53SAXpM3+LRJ3E8T3DtTnOW6LxhPL4/T/HUzgbhp7eupnxn+BxRyg /rTWlDUNxUHH2cN1C/R4C2sjmPaLZfISg+BLuEGwiUQeHJkQDvggyeF9uG4bmKMKhqnzjUdmWqT/ gT/uXiUWuCTOliqsx0GJtbsULhZ21QxjHh0N4ITNDF0v9i9UTzh1Eh78Q7lyY9YNmmkxJTBj6cXd JVwzeuR64kbpz8ut0Yq40DULe6TYnCDPkX0WECkoZOqx2kjrWT68iAG33E3I0BeUrLhyfB6XwaQs 2dOclHEhwNXosaXIy1A10Y5c/dr3SgwfLKfsx0FqewVC2y2FjN2guSlBi0khXUEiGtPJHjHUOxGK /yr/bJrGgPesez/BZ3XXAiwwPJnJgxuHZBWKjBZ6GGWcTnQk6XDGfbr2395E3MGninO9nL7NhU2L to1BCu3AxYops/YLbted8Glg6VB0y4FLOQJ2vcg6zhE4birTFtTL/JtN4EEo2hik9Lw2xwQ16H0b tfzTSMXwacD+Cl3X2ucoGs12sTAldQ1HeFiGj/aUyHZza5JZAX/VxAHjxDPz4p9mMUJ1Tz4hg+wf 0/yvF2n+vDPA+rU5o8hkMBtr8eyGIrIT+ainRhzg/lgKf3eOrman7aey2r0Waw6SAiN1mQbkLajm Xcm2OMUGN4s3sYxXcquroxT++4rKTFEQsYSKAU195uZBTIKR+JLVD8z75Ntc0zNTRjFi33L/W0jS /o/KhFmV8pu74AndF05laIK0hiceL+0hWwUKtWQ4ZTbXB9LPty+9D5MlaXsXyzxtdcZtgtKTCORh aZje8rxoHOHShIASfRcSiuK0cuYlq8Fg1Mu+9CV8+6VAMn3MBpJQh04K2UJMu0cdAfqaaTIqkK+a OxRqV9o+RC6Aqba9bXlwonRzMIQD6tLSp20xcnk6p9KispHWYHk0hO7hckrNcyaBGctpg+CX4KCi W+nqqYE9fGEdLwOfrx8WRtTKIhiUsCTpSPOcpu1HhXc9AG9TSI6JvcQzmhPRllZrzJXEzmaEv+Ty BITWZ0V5gCCeTap2l80y7nVmuUrT1slQNLCsaNUpGUp6IkXP7h6kY0uFUn29KXxMMN+ScOgczRbp 1txARieuynlrlmDXbpY56M9oWYAiCw/fBQ4MMKGH6KyFIAVduc9uFeu+MHAOQrmekYpbCRFlb5gp 5C7c8YHP/P/xTh2WbQW5fLLR/48we/Bi4W81y70jEJJXvuhHdmgXTZb6Bas6QOV8uoTW6mTvAtgu 7EssUtF+7H5pmiRIlno0ck2dII6/GLyQNC/LbwE78Y6+f1Uojl7WOfOuFogMN8MD0/E4Iy68b4xi I6IOdxFT4VyO0QUJoDzjlSLNGtlY6dcR0KSLDJpRhed18LCG9VEvBY9VGT7vpykL04/+BBwuMBO8 hDH8aDvymb8Y2K5H4LuFR5MJ6CPClnPLWi+PDVGNz9P2d3FSx+l3N65GObmV96KYdVIDGpY3aSYI lyra6YIJvb2C/3mgiGTdk/INGrNKlcQbSvKm2V7tbMquwaEfibErKzu5M51vrpyqWyEd2bMhES2+ FgujTOlryg5o+ehOjLmMPcaugf66dIY4yRopk5lWfHuVhKlSg19EvYLLDkQBZFUG2LGquD4SNm1C 3wgGxkyjaRTJT7pCT09NNRSxsahLWwlcYxXoTOIIMbh3HIb8bCYDuqJgB/+KCPKxBuasmq8E95jg mqAJmezWCFJOTAF5huTwsLA/IWqpNVALfT3nlwZeYNDk6Jnw9K/sm5ft9SDyxkFDvmdpAXc9tJiQ FjW8uUTiSDeMwHcpvvLruBP7z7t0i8JtY6mN+iiaK0cIhqcveKiXomZlSu/0UHX8YwgZKfOni8dx OQ5QM0vqBnOq6AXv2UupwRubnRFosRFxu1cSAgPEI3flKFHbqx0Ms4y2CCb2T59jYOvmjhC7hhbi t2C2MQ+irubW56NyX3L+VTTRAwAePs+xO+AdkWy+gvLhqfCxrtL3XeqSRo1hsz+6WR+wuh/BDrU8 iHeVyVk2FeLrlQMtP3I2ndjqBoLrwlJ0l7bk6ZYUDBP1dAicEhgMLkjVikjp+aBxvrxHiTBvtvO0 y7zNjlwCWo2SFCHs2oc3nQlz7ayHvVeVcxgjwYfxuo+W7nSWIIpObYZFYrjGPWL7FfD0UaTe9A8J 7X7ptlGAeq3iiB96ZxaPpK2B18VP14xtrWexbIVP/AQGG5x5GXxIRy37gmopAnspG2J85GQ6rEDC jstO41OmpcuCyufOoGifJNZCW5k+m4f8hzH0DYzlzXMDn/iIGn4WCxicNd1tg4u/i5BZX88ae0T9 vx9YgaRIC0V5Rs4ngEwmFvyKr3POQhL20L/w/00h6ND+bQU9a7GOYNr2hHwdTyzhmu+EsnjgkghL gnsq43MnMpdosHp3KRlXFCzQquvl7nny56G9ud3y2d0q+HEO04Dy9dSeL0iC6qgN9IJ1DiFM0SVJ x5h0BGxoMvQbcO6RovZzBC2/vN0iSpehYTaqocOvNGVK5ZXCo978+1y0ZZmGqyl5iDHgs7+y1Xak 4flIXEBm1NCQ8vCjUWIbH72iea5OKQw4NwvTWRlMo2cPSO1aCc/SQWHjiMByBupfKARosrVC5puT 7E9ATx4li2gxv30nCJHx8tGkBYifsUTXIHjEiE6L6HE0AlquABaDlXaCqM7fV87OaVcGPOD8cGmn 2pgBcViOIBE8zfZKIXonLMFL5P9Zu+oj5z0VYA6Gy7l76afHH0iu/73lkkCwzJ9MXunQ0tvUVcXi Anw1aIvTGliNY7464ReCAqt5DnS+CeiSAoYbgcc4QCHqY633849v/WuzkgQqp7UpXya6PuHiiXmI oF3DNk4zZKr6Wk2jDNiFfF2PuuU+Ih4z8abr8KQ6bLzS+Gkj46nUY6skZ46kXjOVDnYEuvh3N/51 zyIOxXP7JJxXkTMwWtDCX+BDTj0i8XPqqi9PhZqKPTBMLwTvLLKQpapnh2GU5J7t+7LGYDE2o8ft AIB2uZyDLXbY2XBDbxsqUYx1AwhwG05dOzrdI7JPt0Q/CURYQQV0zA6jbvp8Q7x2y4sg27PvJSAh rEuXR9XKUsi3Pgr6ebTrpq3G/dgInUV9NecTAccCLzXXXMYDJ9ttOrH548C2HhwrFWX4wfU2lgcI 8HsimrGjr3nFJRk4NohrQnnsTmFxXjzkZ6XEjraKRcsO7bZY1/mMgXeyefOKMqRvHurNNVb0HSfJ btKnBE6D8DtIh+0PCZlnLOmVOU0e3eamIh+AuerdpYomw83oWD30EXYGLagQ8jlGjp0AyA/M534/ R1qc4FYLNcETdGiD0mfKFRChYqhnE4anbB8JzjMwoCKWCvPdVSG8HkJxoNZGVXpld2j+Co+iQtzQ 0n4w1vWJvRpJvAizrIcY1XO4dcnmObmluMaH6N5YNuMqhL5pARUcMltmu7IXdM4TKm3ThOxUopPI RGvn6V+QIz02JrWAFpvkhnqMBgpxhIHpzTXM+RH195Nr3RgGcRhHeNntasEJR7zroysYnmzFnrPS L+qjF/fSEwskJTfvZci861z5UTU63lPU/IwBNQEK3YLqimTmRMMoBXX06nV5yaC/rOytsZXKX6g9 GxYOn1wn/PlIVbe9I/GOSbmPvpnobBYHUHO/d+JPWqE3XHLNE91v4QqOrqXAk2+NaZIu4FFblueH OAsq0qoye87iD1d++MiXFAJw6YVjpFIYGJ1rnF1FLwhLuupwIhjqQ7jK6frSSMyXHto8Yc5PFXEP 1CwwOwMOIiMzimeXVVrPGamT9YYzDxEcMcR6/UHpdxNUuCt1TLZyV+QWQZ5WcGaItty5eGKGK0dX SAPPQoNTXR1urxz+52XcZ+ETSZ7qx8eimhKbpRToVCQGHL2eJ6QlU+EwW44aHd5U3dEtG+0VmNMw PnQL/t7V8Mn0vjrXciBRn5ayD4Lo4OKJDy02uQCcLRVb4lGB7x0Rjy/IQIspHuGY57CNIObZqpNu QTpT4IpB7DdeRn2Vj5hAD3aovEuqMQI2rnRGRqIpiUchzwFGU2yIhhEEA1UA1IjeAmC1SotD1+Bx DQEvBhhGopQQiaxYmIyI/iiKBITFdXIR/rY1gZux/lAIYQPg0eQeLsk+0BEV3WdDArCGEsRiDA/I mKv3Ik7znsOT98/k+g4BOvW1lGqORcdYTWvfvhnVjrTAHtkgbVTU5WycMbR1xyUm4Lzkuyk9aDpq 3IEgUyEivC2Thqv7IlwTpGUTWWqTIv9cGS/SRvL/ioiAiu+o8Z7wYuVBsgKTZUts5DOCkVPn42cu 36l+ml9mCvrZD7LMZbBmtNMJcmKXUZ9SmYdHGTf27ePEZwYJDsT+sGpT58mKp3rBf2nQQNve5kCA 0rLXd1Lz2utEkxUhO3OPXbTiWw== `protect end_protected
gpl-2.0
0f37753968a59244c445276f7c04d5fc
0.952518
1.810417
false
false
false
false
amerryfellow/dlx
packages/rocache.vhd
1
4,146
library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_ARITH.all; use ieee.std_logic_misc.all; package ROCACHE_PKG is constant ROCACHE_WAYS : natural := 4; constant ROCACHE_NUMSETS : natural := 4; --Depth of ICache constant ROCACHE_WORDS : natural := 2; constant INSTR_SIZE : natural := 32; constant ROCACHE_SETINDEXSIZE : natural := 2; constant ROCACHE_NUMLINES : natural := ROCACHE_WAYS; constant ROCACHE_INDEXSIZE : natural := 1; constant ROCACHE_TAGSIZE : natural := INSTR_SIZE - ROCACHE_INDEXSIZE - ROCACHE_SETINDEXSIZE; constant ROCACHE_TAGOFFSET : natural := INSTR_SIZE - ROCACHE_TAGSIZE; constant ROCACHE_SETOFFSET : natural := ROCACHE_TAGOFFSET - ROCACHE_SETINDEXSIZE; constant ROCACHE_INDEXOFFSET : natural := ROCACHE_INDEXSIZE; constant ROCACHE_COUNTERSIZE : natural := 8; subtype ROCACHE_LINES is natural range 0 to ROCACHE_NUMLINES - 1; subtype ROCACHE_SETS is natural range 0 to 2**ROCACHE_SETINDEXSIZE - 1; subtype ROCACHE_INDEX is natural range 0 to 2**ROCACHE_INDEXSIZE - 1; type INSTR_WORDS is array (ROCACHE_INDEX) of std_logic_vector(INSTR_SIZE - 1 downto 0); type ROCACHE_RECORD is record tag : std_logic_vector(ROCACHE_TAGSIZE-1 downto 0); words : INSTR_WORDS; counter : natural range 0 to 2**ROCACHE_COUNTERSIZE; valid : std_logic; end record; type ROCACHE_LINE is array (ROCACHE_LINES) of ROCACHE_RECORD; type ROCACHE_TYPE is array (ROCACHE_SETS) of ROCACHE_LINE; subtype state_type is std_logic_vector(1 downto 0); constant STATE_FLUSH_MEM : state_type := "00"; constant STATE_MISS : state_type := "01"; constant STATE_COMPARE_TAGS : state_type := "10"; constant STATE_IDLE : state_type := "11"; function COMPARE_TAGS( x : std_logic_vector(ROCACHE_TAGSIZE - 1 downto 0 ); y : std_logic_vector(ROCACHE_TAGSIZE - 1 downto 0 ) ) return std_logic; function GET_SET( x : std_logic_vector(INSTR_SIZE - 1 downto 0) ) return integer; function GET_REPLACEMENT_LINE( pc : std_logic_vector(INSTR_SIZE - 1 downto 0); cache: ROCACHE_TYPE ) return natural; end ROCACHE_PKG; package body ROCACHE_PKG is function COMPARE_TAGS( x : std_logic_vector(ROCACHE_TAGSIZE-1 downto 0); y : std_logic_vector(ROCACHE_TAGSIZE-1 downto 0) ) return std_logic is begin return and_reduce(x xnor y); end COMPARE_TAGS; function GET_SET ( x : std_logic_vector(INSTR_SIZE - 1 downto 0) ) return integer is variable ret : integer :=0; variable y : std_logic_vector(ROCACHE_TAGOFFSET-1 downto ROCACHE_SETOFFSET); begin y := x(ROCACHE_TAGOFFSET-1 downto ROCACHE_SETOFFSET); ret := conv_integer(unsigned (y)); return ret; end GET_SET; function GET_REPLACEMENT_LINE ( pc : std_logic_vector(INSTR_SIZE - 1 downto 0); cache: ROCACHE_TYPE ) return natural is variable count : natural range 0 to 2**ROCACHE_COUNTERSIZE; variable min_found : std_logic; variable i : natural := 0; variable to_evict : natural range 0 to 2**ROCACHE_COUNTERSIZE; variable countValid : std_logic; begin -- count := cache( GET_SET(pc) )(i).counter; to_evict := i; countValid := '0'; -- Iterate -- while i < (ROCACHE_NUMLINES - 2) loop -- Check counter value -- if(cache( GET_SET(pc) )(i+1).valid = '0') then -- to_evict := i + 1; -- exit; -- elsif(cache( GET_SET(pc) )(i+1).counter < count) then -- -- New least frequently used -> save its index and counter value -- count := cache( GET_SET(pc) )(i+1).counter; -- to_evict := i + 1; -- end if; -- i := i + 1 ; -- end loop; -- Iterate for i in 0 to ROCACHE_NUMLINES - 1 loop -- If not valid -> USE IT if(cache( GET_SET(pc) )(i).valid = '0') then to_evict := i; exit; -- Line is busy, but counter not initialized elsif(countValid = '0') then count := cache(GET_SET(pc))(i).counter; countValid := '1'; to_evict := i; -- Line is busy, and counter initialized: check if lower elsif(cache( GET_SET(pc) )(i).counter < count) then -- New least frequently used -> save its index and counter value count := cache( GET_SET(pc) )(i).counter; to_evict := i; end if; end loop; return to_evict; end GET_REPLACEMENT_LINE; end package body;
gpl-3.0
52e5feae5807551b04aa1e861839e4ba
0.684756
2.887187
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/ProgramCounter/ProgramCounter/SH_tb.vhd
3
3,030
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 18:43:07 04/22/2016 -- Design Name: -- Module Name: /home/robert/UMD_RISC-16G5/ProjectLab2/ProgramCounter/ProgramCounter/SH_tb.vhd -- Project Name: ProgramCounter -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: SH_PCREG -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY SH_tb IS END SH_tb; ARCHITECTURE behavior OF SH_tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT SH_PCREG PORT( CLK : IN std_logic; RST : IN std_logic; ADRIN : IN std_logic_vector(4 downto 0); EN : IN std_logic; WR : IN std_logic; ADROUT : BUFFER std_logic_vector(4 downto 0); OVFLW : OUT std_logic ); END COMPONENT; --Inputs signal CLK : std_logic := '0'; signal RST : std_logic := '0'; signal ADRIN : std_logic_vector(4 downto 0) := (others => '0'); signal EN : std_logic := '0'; signal WR : std_logic := '0'; --Outputs signal ADROUT : std_logic_vector(4 downto 0); signal OVFLW : std_logic; -- Clock period definitions constant CLK_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: SH_PCREG PORT MAP ( CLK => CLK, RST => RST, ADRIN => ADRIN, EN => EN, WR => WR, ADROUT => ADROUT, OVFLW => OVFLW ); -- Clock process definitions CLK_process :process begin CLK <= '0'; wait for CLK_period/2; CLK <= '1'; wait for CLK_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. wait for 100 ns; wait for CLK_period*10; RST <= '1'; wait for CLK_period; RST<= '0'; wait for CLK_period; ADRIN <= "10001"; WR <= '1'; EN <= '1'; wait for CLK_period; ADRIN <= "10010"; wait for CLK_period; ADRIN <= "10100"; wait for CLK_period; ADRIN <= "11000"; wait for CLK_period; -- ADRIN <= "11111"; -- -- wait for CLk_period; WR <= '0'; wait for CLK_period*4; ADRIN <= "11111"; WR <= '1'; -- insert stimulus here wait; end process; END;
gpl-3.0
027c79484777cf9a620eeda1082285ff
0.562046
3.594306
false
false
false
false
keith-epidev/VHDL-lib
top/lab_6/ip/clk_base/clk_base.vhd
2
4,548
-- file: clk_base.vhd -- -- (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- Output Output Phase Duty Cycle Pk-to-Pk Phase -- Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps) ------------------------------------------------------------------------------ -- CLK_OUT1___250.000______0.000______50.0______110.209_____98.575 -- ------------------------------------------------------------------------------ -- Input Clock Freq (MHz) Input Jitter (UI) ------------------------------------------------------------------------------ -- __primary_________100.000____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_base is port (-- Clock in ports clk_raw : in std_logic; -- Clock out ports clk_250MHz : out std_logic; -- Status and control signals locked : out std_logic ); end clk_base; architecture xilinx of clk_base is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_base,clk_wiz_v5_1,{component_name=clk_base,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,enable_axi=0,feedback_source=FDBK_AUTO,PRIMITIVE=MMCM,num_out_clk=1,clkin1_period=10.0,clkin2_period=10.0,use_power_down=false,use_reset=false,use_locked=true,use_inclk_stopped=false,feedback_type=SINGLE,CLOCK_MGR_TYPE=NA,manual_override=false}"; component clk_base_clk_wiz port (-- Clock in ports clk_raw : in std_logic; -- Clock out ports clk_250MHz : out std_logic; -- Status and control signals locked : out std_logic ); end component; begin U0: clk_base_clk_wiz port map ( -- Clock in ports clk_raw => clk_raw, -- Clock out ports clk_250MHz => clk_250MHz, -- Status and control signals locked => locked ); end xilinx;
gpl-2.0
1ea1f58e610f28a902ef9ea9fb3abe72
0.632586
4.211111
false
false
false
false
keith-epidev/VHDL-lib
top/lab_2/part_1/top.vhd
1
2,674
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 06.03.2014 15:08:57 -- Design Name: -- Module Name: top - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.ALL; use work.VHDL_lib.all; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity top is Port ( clk_raw : in STD_LOGIC; leds : out STD_LOGIC_VECTOR (7 downto 0); VGA_DATA : out STD_LOGIC_VECTOR (11 downto 0); VGA_HSYNC : out STD_LOGIC; VGA_VSYNC : out STD_LOGIC ); end top; architecture Behavioral of top is signal clk_100MHz: std_logic; signal clk_193MHz: std_logic; signal clk_250MHz: std_logic; signal hscnt: std_logic_vector(11 downto 0); signal vscnt: std_logic_vector(11 downto 0); signal data: std_logic_vector(11 downto 0):= (others=>'0'); component clk_base is port ( clk_raw : in STD_LOGIC; clk_250MHz : out STD_LOGIC; clk_100MHz : out STD_LOGIC; locked : out STD_LOGIC ); end component; component clk_video is port ( clk_100MHz : in STD_LOGIC; clk_193MHz : out STD_LOGIC; locked : out STD_LOGIC ); end component; begin clk_base1: clk_base port map(clk_raw, clk_250MHz, clk_100MHz, leds(0)); clk_video1: clk_video port map(clk_100MHz, clk_193MHz, leds(1)); vga1: vga port map( clk_193MHz, hscnt,vscnt,VGA_HSYNC, VGA_VSYNC,open); leds(7 downto 2) <= (others=>'0'); process(clk_193MHz) begin if(clk_193MHz'event and clk_193MHz='1')then if(hscnt < 1920 and vscnt < 1200)then VGA_DATA <= data; else VGA_DATA <= (others=>'0'); end if; if((hscnt = 0) and (hscnt = 1920-1)) then data <= X"0FF"; elsif((vscnt = 0) and (vscnt = 1200-1)) then data <= X"0FF"; else data <= X"FFF"; end if; end if; end process; end Behavioral;
gpl-2.0
a4cd2fadd00c6987f3a60bc366072e6f
0.550486
3.643052
false
false
false
false
skordal/potato
src/pp_wb_adapter.vhd
1
3,514
-- The Potato Processor - A simple processor for FPGAs -- (c) Kristian Klomsten Skordal 2014 - 2015 <[email protected]> -- Report bugs and issues on <https://github.com/skordal/potato/issues> library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.pp_types.all; use work.pp_utilities.all; --! @brief Wishbone adapter, for connecting the processor to a Wishbone bus when not using caches. entity pp_wb_adapter is port( clk : in std_logic; reset : in std_logic; -- Processor data memory signals: signal mem_address : in std_logic_vector(31 downto 0); signal mem_data_in : in std_logic_vector(31 downto 0); -- Data in from the bus signal mem_data_out : out std_logic_vector(31 downto 0); -- Data out to the bus signal mem_data_size : in std_logic_vector( 1 downto 0); signal mem_read_req : in std_logic; signal mem_read_ack : out std_logic; signal mem_write_req : in std_logic; signal mem_write_ack : out std_logic; -- Wishbone interface: wb_inputs : in wishbone_master_inputs; wb_outputs : out wishbone_master_outputs ); end entity pp_wb_adapter; architecture behaviour of pp_wb_adapter is type states is (IDLE, READ_WAIT_ACK, WRITE_WAIT_ACK); signal state : states; signal mem_r_ack : std_logic; function get_data_shift(size : in std_logic_vector(1 downto 0); address : in std_logic_vector) return natural is begin case size is when b"01" => case address(1 downto 0) is when b"00" => return 0; when b"01" => return 8; when b"10" => return 16; when b"11" => return 24; when others => return 0; end case; when b"10" => if address(1) = '0' then return 0; else return 16; end if; when others => return 0; end case; end function get_data_shift; begin mem_write_ack <= '1' when state = WRITE_WAIT_ACK and wb_inputs.ack = '1' else '0'; mem_read_ack <= mem_r_ack; wishbone: process(clk) begin if rising_edge(clk) then if reset = '1' then state <= IDLE; wb_outputs.cyc <= '0'; wb_outputs.stb <= '0'; mem_r_ack <= '0'; else case state is when IDLE => mem_r_ack <= '0'; -- Prioritize requests from the data memory: if mem_write_req = '1' then wb_outputs.adr <= mem_address; wb_outputs.dat <= std_logic_vector(shift_left(unsigned(mem_data_in), get_data_shift(mem_data_size, mem_address))); wb_outputs.sel <= wb_get_data_sel(mem_data_size, mem_address); wb_outputs.cyc <= '1'; wb_outputs.stb <= '1'; wb_outputs.we <= '1'; state <= WRITE_WAIT_ACK; elsif mem_read_req = '1' then wb_outputs.adr <= mem_address; wb_outputs.sel <= wb_get_data_sel(mem_data_size, mem_address); wb_outputs.cyc <= '1'; wb_outputs.stb <= '1'; wb_outputs.we <= '0'; state <= READ_WAIT_ACK; end if; when READ_WAIT_ACK => if wb_inputs.ack = '1' then mem_data_out <= std_logic_vector(shift_right(unsigned(wb_inputs.dat), get_data_shift(mem_data_size, mem_address))); wb_outputs.cyc <= '0'; wb_outputs.stb <= '0'; mem_r_ack <= '1'; state <= IDLE; end if; when WRITE_WAIT_ACK => if wb_inputs.ack = '1' then wb_outputs.cyc <= '0'; wb_outputs.stb <= '0'; wb_outputs.we <= '0'; state <= IDLE; end if; end case; end if; end if; end process wishbone; end architecture behaviour;
bsd-3-clause
e7f7f51b68c58486635e9b802864ac23
0.609562
2.923461
false
false
false
false
notti/dis_se
testbench/progmem.vhd
1
1,548
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; library work; use work.all; use work.procedures.all; entity progmem is port( clk : in std_logic; addra : in std_logic_vector(11 downto 0); ena : in std_logic; doa : out t_data2; dib : in t_data2; addrb : in std_logic_vector(11 downto 0); enb : in std_logic; web : in std_logic_vector(1 downto 0); dob : out t_data2 ); end progmem; architecture Structural of progmem is signal mem : t_data2_array(4095 downto 0) := ( 0 => X"CB0E", 1 => X"FFFF", 2 => X"C7E0", 3 => X"FFFF", 4 => X"001F", 5 => X"0000", others => X"0000"); signal di0 : t_data; signal di1 : t_data; begin -- "simple" xilinx style ram with byte wide write enable... process(web, dib) begin if web(1) = '1' then di1 <= dib(15 downto 8); else di1 <= mem(to_integer(unsigned(addrb)))(15 downto 8); end if; if web(0) = '1' then di0 <= dib(7 downto 0); else di0 <= mem(to_integer(unsigned(addrb)))(7 downto 0); end if; end process; process(clk) begin if rising_edge(clk) then if ena = '1' then doa <= mem(to_integer(unsigned(addra))); end if; if enb = '1' then mem(to_integer(unsigned(addrb))) <= di1 & di0; dob <= mem(to_integer(unsigned(addrb))); end if; end if; end process; end Structural;
bsd-2-clause
de202afa4fd565f36719bf07c8385b9c
0.529716
3.185185
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab1/Poject_Lab01[old]/Pipeline_Control/pipeline_ctrl.vhd
2
2,402
---------------------------------------------------------------------------------- -- Create Date: 16:41:34 03/11/2016 -- Design Name: Pipeline Control -- Team: Team 5 -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Used to control flow of instruction words through Pipeline State Machine --States include: Fetch, Decode, Operand Access, Execute, Store --Function of states is controlled by the Control Modules entity pipeline_ctrl is Port ( CLK : in STD_LOGIC; RST : in STD_LOGIC; INST_WD : in STD_LOGIC_VECTOR (15 downto 0); --Instruction word PIP_CTRL : out STD_LOGIC_VECTOR (15 downto 0)); end pipeline_ctrl; architecture Structural of pipeline_ctrl is --State Declarations type PIP_CTRL_STATES is (INIT,FETCH,DECODE,OP_AC,EXECUTE,STORE); signal CURRENT_STATE: PIP_CTRL_STATES :=INIT; --State Completion Flags signal FETCH_COMP: STD_LOGIC := '0'; signal DECODE_COMP: STD_LOGIC := '0'; signal OP_COMP: STD_LOGIC := '0'; signal EX_COMP: STD_LOGIC := '0'; signal STORE_COMP: STD_LOGIC := '0'; --Pipeline State Machine Implementation PIP_STATE_MACH: process(CLK) begin if(RST = '1') then CURRENT_STATE <= INIT; else case CURRENT_STATE is when INIT => CURRENT_STATE <= FETCH; when FETCH => if(FETCH_COMP = '1') then CURRENT_STATE <= DECODE; end if; when DECODE => if (DECODE_COMP = '1') then CURRENT_STATE <= OP_AC; end if; when OP_AC => if(OP_COMP = '1') then CURRENT_STATE <= EXECUTE; end if; when EXECUTE => if (EX_COMP = '1') then CURRENT_STATE <= STORE; when STORE => if(STORE_COMP = '1') then CURRENT_STATE <= FETCH; END CASE; END IF; END PROCESS PIP_STATE_MACH; end Structural;
gpl-3.0
751e6bab5c7af96c51391e1166459fcd
0.491257
4.206655
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/bram/blk_mem_gen_v8_2/hdl/blk_mem_gen_bindec.vhd
11
10,218
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block aoaiVYtNKEi2IphLWpIYjhvGKL56iz/1hZrHOqzlyh19Tnzq147vxUgLj/EcxcTLR51bcs5dOszO wlKfbCa4VQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block lejGYRNobHIkkh7AcLRdJgDyNmrlmFzcR6R55zgS3CXlES5RJ/8KwMnqbXzIWfuTKb2xwqA/Publ ritBFmk1IUZfNdhlN5elCoQ6cAJiogE069ugAOLS3qmNg/lXlDeToZFO97UDDHA6Pt/4T1hGxQ3R ViWNx06G+gIkLYtoiaM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block fXY0SCBIfBmx3C+PAIngrpitGp84fUtrDM3GaoM07m/CqZ4bE1nhNZePa5i9ju3W56rRhWqZxF+K w0TufAJlbcT7yC6lY4Xt3pnRw1vzdLBRwx1IOkHCc4/Fyeu/eZ6VhyeIhfOPGfVtzapshmhcH21Y hJu37LINaUhWAWc4waocECWLC6YhUjtjmb5lfvi1PIK9xzCgIzebnl/OPFunVaEV4GvTJ2tEDPYa yMKvOF2Z87c0ocAY/DVhXZCbpgoezPS+vCDL2PjtQe5QuElrABhwbYtHIL/kDtVi5S4sHHoa40g+ c7GQWmkJ19H+WhKrwgwn9j6/ej6j4oX6ALcLOA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block qJ5QYH29KgWTd3pRXcHFFJQRAqPmxVl/Ikmgsj5cO1yp0WvvJ3LqgO024s/esNqGuGny3F0ThToe EEugA1rC+gsVSSJ5TES4Qpa0MYXN/Tn28KZuXOhtqq+Kpw93uC1kgFQ79iBlwtg+Pt/d6Gs3qOuA 8IvGyiu6A39e9BuaHms= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qXXJ2SQBq5UdS8pqGKLP9O1fOlC1GJMDsLq01JcpbvAYvPpDIuFgpnWA2MW2y5sWKxl0eFFo7n0c 0D2sV2PYINxxnSmeiHhAl7rjUlo2/BicV6mRP0CB1vdkq0bGSEmI+3wY7PTq1IXFFqZqeY55Gp95 8Ns2SwigIHSSSUclFogfWpynLrhFkjBAkzA1XYOdHTX1UKikzl/w58cor6e3pXqYl075iIwAABz6 WZU59++1gEuEDc9YAzZW9GtgcsRblmm3CbJoZKZ4MyQcgVZm2uRSQTcgD4z3jD+ZlGIXu9EYy3wU ma1EpkWO5L1EZWCgb433YoR/SdJALYQc20+dVw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5824) `protect data_block rDuxC8F163jNS0GGKhEMuiD2cBL33FtltVj3vzQSFMiPaRdGFoGMKkxN5vO6DRcdXs5oUrr+luPO VIK6o2akDZUXRM+a5cS/+54xYx6P5C/muio/NBgZUf9/VMtRO6UG25B4uJ5yaroQ+ft8yFhjDgIi PinhhJKkR7pPpgc4pm0jRndAG4MziPwAOEYgGht4A3GVCX8M6TS5Z0lrwnHKYYfo3obV1z/Sa1fo wMrzyHxuHEjkRTXTKENS9EHgmhJEJX+JC68K/N/T3A7Bc4DADj6WZTGtkaOwWZ945Wr7K+4qNVXN +TSGXlbB+dC0TC7xSsZue6tJN2Yv2o1rwNedyfw+kN6wwP+f7BFpbYMBnbovmbCdS3zjs593KCF+ Jia4LrmWyuZrSw6FLKBEPA6bhXYJ4FbenhX0oFH5rJii1k+I9BBnuypVFo3vFftFyaIk0Xo2izXb ty1nIudtCTOg3AQEFpSzC/GQsjYSZXp2IfMWEt7sVbBHu5D0KHJQIzW1joJFqlzci7eFdhV+Uz1X 5v8fycIxd/trTPJxYwOEdllnXAeuqK1ef4lj/TN+alL3BroB6ESrk1j1mR1V4X1h9KEJncUINhpC 8M3iLzC0MpwxsfLjFljsiOeH0On6P0jnNU1TgfCM3pezHcXHJ5vtvIvKcxL79WF0t43FETw46N+Q hXZDPzOLw9w2wuOFx1gNrEJSxy45C5Qz2f3erkKXSZr0zBOcK71ILebr5IuscSzAmqUl89yaQai6 m6IfKL19RQqW5l3JWR9ZX3u367JGFC8R8DOqAoPcFeEQ5K6k1Z7TZ1iSXmqetXyaMIlj1s/fDA/6 gbgP1sj8N0KClpL84omws1kQRYJhgECxMLJAsY7qhqDP0iAj0cwqVxvdzMaL+3rpBlF2VXr9FLfi wsfwdqcknfK2hes15FfuV4wtnLG0JH36h6hd9oArMAbfxmQqOJD7uvxMf2a/XaouyOIjYaa6YyiS ePDpGx9fsbNcW9HQZphjxyW1/Z3pTh/lJ5HtFeBSZAkKNWB1ClLRC3PQPa9sbAT/6H4ynSeKiCzf FdzRUPDWX2/dHEEX5kx3qJw8oLP4Taw5p6vsxwo84SUImE4TgGokzP2eXhCp1cT9OZjZ1uL/lScw LPFWhHL8yXJiLI+UPeqL5pD3Yk2/0KRZVbsetDYP/JgfXx7O+I9ITvMmiTIdXJUeP/54XUHQnv1/ 9+X+uQTDemfjXKpFg2Pwc7oJQhNete5qObTDs2O94yUuBrqytLZuvCq1QhUE6xkA0Y2ohMq1cFbf zW13KL3Uh+hQ5CoBTeUb4TEizN+U6Qa0UxqAJCBC7jqWZboPhrPciY4ecCxBfhta4tcoc0Ctu+/7 OJ8dPMCgWuO1gc7IzAAAVEpq6MVvqnZqoGhm2JfoZPr/Dw4dBeO0RkhHVRT0tzqg8Zg4LyD+Prwp EAFiGMmTKa/TLh0kij9x/rQbyhiVFqru+GxNZzaGA0spNjzoPYdO8cWtScyOGXD/IeWhrpS6+6Af 0Hax/9nLdf1DvGwBbE0s8YaoF0mQIgcQQGOWO1wTrB+IPNvOZ5r5LnpDnoLaeD8SN4loD0tGjUmR dR0+CP7OAyyUARR0nBSQhgD/ESzVauWeH2Ro+F3A7qb9EAx3FUIj+tC4kwkaKhRRZSIfWYixowNg xzRksKDK9H/ripzPBcMUA5LaGZMeZqag/vRXUmC5j6Pg7Yfhq/OXvC2Oiv+vU9f1Rn0n/e+NMdWn XxblTb81wk1d97PNeXSJ7zGkSv14XNikMZkkyf7mWmfKKsfXVLjlI23+03ljPu15JvwAQZxroF/2 qrE8sq0hmyQ82XoXtfiAv6gBZy0NaMxH2cqfF4DvkUperIVqXu0GSNILUspnKyO4RF49ISJ721w4 iFIV+UJdyV6WfQFEBXZ+M0ayFFloUhdWxBukHP6R8Y4w7aSCa0b4xuvH4AILnfD8Y+MdNbKoiKWt K7r5bdQbIV7bfX7t1Fvrp5QUT3TT/oDXx2NXf1CTRYES8kHNGGz6C+YMRQfKlxSFieLCTx+BLVuL 4+0+Q9s7tsj0QPYUdp4wvZndeVKFjkiKB05P+7CqNtoVBhxAfLPWHQxU1qRISwIMZwq0yDpP349b BVIlFwF4o4uqyxm+dEc7MAFlDk7ZHOxtBCIdI+ofzzzhfXmJDDQZUaHMyyyOp0RB5bxbETUW3Oru vEeGLirhRXZqnaiT6MFlYI0iiEz5xmhho/2q+yVDvkGFxjIfQtNXh4b+UGx+6ygQ/z4eFLbpsHGN z5WUcUX9SeaMj20tR6+kEbxskIcpczYS679Gdpxee0XIZ0hkC8WjqoLVz93GjqJWsGpKJyg5UzpG fLIVZ4FiOHKEmgeewwMEI4bNMMkSEGxkgqyW/YX67ZCScwHugVzF9niPTGcau+QlyC/yPUy4txKY NFVzXD5U1cYvGdX4ADrPEbpvfiAJ+E6FHEhwBzU8MsjonxKRNSf/hxM9E4nSGqWwtZJOkcgGoLIP GZXLhWMHtxXyUVS1hL1Zv9xL8mOpdu/MkRDckgDqEVg2loNMpnRskEhLiOHPu6JkSOZnR2kV1FkU 7W6WfkL4RRx74NisFFSF9i0ljLyGsjHdoTWDhLlbHKhef8t2x26PgCaSpSPbquBC29cobgy4iGM5 kEMHhuDVBVP8rhbCj0GvnNTrao0M8Z3suTR7sh5prjnRCLBEzd+gBg+LHkdP6ennlKc3xIxYsLoT 8Z6dym5p4U2jYmLQK1rlhEMx3oa210nom6+Fx2vprtu4c82HkxMxcW4YAZWr8G0fppTcs7ZWDohs 84MfuXc7k7AvNX+cQ8mE7DTwQ927EJh6S5aj0xvwlXAm6W2boBuJO2H5e4ZlCeC66W/Ae8UJ5Iz2 AMDzt6YWKrjJQN2x3H2MXFCpxU+3+lnZ2xCXVuofVMDHTxdRgMJ7IqD7rn62QMaInDfVf2PnUql9 94VuZnOCsj33frTN27WogL7HzPO/e1yYr8gzYzQDSfguM7HTJ9lX/U0wc4D+dhpVap2dFX+QN6Gg xbiWH1EmC9memvCPpi1wa9viw0MmOdd23nbjBp9FMqh3ij6o2AMMfc91QDw/1Aht25d2WeBfLmUi IHbZYUAmkZ2lCNWpeD0z+STzV1IxIACv8x2VT8SduRvwgZ7bIOyIaVEOHfJrZiRo9JHx/n807lPg /mgjTiXmh4/wuQ5xlWo7bq5K5Cm6YhvCKGWvuNHCDMv2Hq6sHYMdaD1IA1qfszd/HijUmEHVza98 1+KvKaXtIvD8InBM2/pqB27lss75l4Gfwm9UjxsF8MCVhlFdev9ryCXeXNxTVA+3bu9Bn8axXmUY 3ow3vODAjNTm97emAzywJi1q2RNcKrV2QgTUTHfLbpX+VafDcJs4oNsZEzrt0gyt9EK34WRqRIUZ CEbGahu9xjGmRl3pBmVealEqcfVcbPnfW69vyI46Ewfak0M6nu+sPlAvmusV4yLd1G0hP/p3RZNd O9HO61Yt36nMAnkRa3kvc8UsxjnkV+3/C0bhHeAkYocMCsTUkzcTNmEbPak0rerakkNJmGLcvy8Y AjiuKe9ivcGeo3OKbgYQYvKmYUGAlQP13wq3OTp9sGXbKBeYoafXf3Yg6uqxCa+su/DxaFfOkcbT MHH8MYrEl55Z6ckLRvqyKBtFclO/nARuI6Jr5iO3Jp1KouZVUcHOGmXmrcklWuSFn+IuYhRohBtH UtwNd5ZM4LpKrHeQ+83fcuVKPnuHqScQJyToBFoT8SsGlWW9lznjzQIPZHR5c4OhLfiXwtP43fBZ 4DgXLk3vAS0aPVkfVcOumbSn/Xa3Rpyl3y87Zh9kqRyLMVO74hRK+1Z3dR/BiFccMCklHeW3Fqm9 IbGnp6lnws7SyJPCCfOXKDfAVhSAa29r5rOIOb4M9Y4FNi54G1Ff96KbGdCW3IqKU5ULuo2OxOuF 35NGXX5nWfVgtNSLYWZ1N4iAlb6R8Wuo00nF8cVEO+UHjmkUMPKcoq6NPpGb7byryMZUWwflJGAt kPGn2zJTsAn6f6R0zLlYOCWdcpjeJKEgPpe5gFfVY+bPeGB6CXeXJ+86yJIoiMQLJ5lp3fP33U2r OR5GAdkQWDGR4hzIvLgH9h6n5vsQX9fnKoTZLI65VJkk4IZpietYQmHGOIrjgmR1odV0wlSLNaUz /nDSPra0r2iFJL0w/TdCU7FX5SjmUHmgn/43w8nN/O/3d3OL3tj3XeWwVww9yYHZSq2RzIGdB0K9 Yo5TdZJuKZWTD1n6bepoSbaAnQk+5QJUFsFwU0GRl7k8H1xGaA2CYh9FGp3FVJQIHUk5bPQ7h4k0 7PLIl8U41WFKmIJ5P9LahtJcayyeamqNjptlES6pWKp9KbvCT7Mh5exQIVpo1ZxVwrAOBps1H+Hs 06ysIKJSso0ijgjwB7LbRA9/+WtJa8AqAEmiTcxZAXxpe7wq3ToRUZm8Hj9GBOck+oPeIuyusmL/ bCMM6Xa/7dqljzVu0zIDn/qb2XQeEhNdDOEdMmOA4UPFlMm5CTfBya7XXRZe29E8MeiYCpml/MpC dspy1CM1c76yToL1Zfw1UMChyynVOd6S7EvanYowCLexIfP3Lk+sRLu+dR65SkzSoxudDpgtTx1p NJOrMrZhRX03/EgO6Pbspl/Ejl4Wh2oAlFfI8tqgaXjig6rQGp5dLaL9k932pLtuNfI7jBFDO6pc O/91Q6i69npJuICYs+fuy0cVlX+bMuJU6PQJpPA9MfY4WODhp/vcAGSILKo8bnOXEf34/WCtbARr mbht7QZl/zh+teLY21WQSqlMpyqsdi+LB8IautFg0Ck4XWeCu1eHAB3ItKMuwlA3JwU21xweqJsw gr9I8oj35DdrmySCnI0uBvSJ28LhlHCZma/MxUW8lYpv+8hKZdGEYQd9BxxpUQBRfyyDqB6UGLfN kRtfBPYthYVcR5Atz9xkDDEqnR7w7n5tfY+rqntBJBkrDJLDPIspaFLKMA0sM15LBQiatMcay9Er Ge6OrX9yCSI8k1kQd2D3Vhnxw+AcW6PNDldG/XHCkMXIQUXSLArgcN/oSPjEhRoVe9v1r4uJSaOw 89MZVTVesQ0JkNXyFlM5PsK4Ztjt02LkPRLKQcq9mgMr7VkBCseGXKm1iLxA/9sxH4w5KJEiOYTy FcupYL/H337mtzuHkrG0g76XUys5J/xnzW84eB2fWRUgElhulrX5ltiTqGn5AP8A1xZDSkSzbdAI SKbIQ1ZmtyDJxnkpNn2eursdmQrr0pIMEkuZHJ3g5jehGH/OKy/lQ9vg3vskvtlI5XT6gb+nEk3F 27w30vro4iXLx4X834jJzjNwXPnf8LD6vMFZOm2jpwqZOgKcnqPymJULtrYFD7hZt+InxNcUaJ8Y baYJxtKuOZBb91Ud/Uo21s8FgO5Yb+H8O4pEw4c3ZxkrGG7r8LpFVJ4P4jspPRaROB38NJv5WKoU wvlcr8/FSYzgPnLkVg6K/3UvZUWRIeJyDFA8lsmFbO0AYnTXDEfmGpkvgLdMCVENVmr13S02yujA VkR5F+RBuIY5g0adpN9slWq4V0P9d+P8eBm69r/f9wSbgHRgiTIrW5yKtbAT0VpH073kON/KBsuc AEQ2KJr6MRXRIDu1kg1kDnZ2phdGu6AD0HwN9wMa1n03rDJ0eMhATjmkL+O881z7d8Ki2ygho1g5 4PAMUNhN32+es/DppWHSl1K/gYGbE+AS0DGeW/x41V9D/LKv6vUniJ+bmMXB7+K3y1tbfExqQeVe VhqBP6u244dswWUhV4CdwcdiMqPG04v1/Z+ZJbd1/CWBm5O3yZqtsJAqeipFTcbVbwucc3eVbC8e DsQp8XwZRF3PCxOXc8OC8nt6k6IBxDOr+iaiqkOEjIt7yqseqK8PzUh7gABI6tpfoMOf1+4Tiewb /Z3UWIfEJutXiJJ9sZevDrfVQz7T2Q4G20B4hcXGmrgsA+WwosE7mmfKUWHN4XwuT0aAFJxvdLBG srQCDXrVQsjWgeQ3toaE0uUas02AkZ30glNCaGz7ceScygeJtzcpNSyDsVCmtdYP5hsYtGTMAIuz 5u9TQnfTdDxVFgZQhgSYuRRxLmps2uaLlxOsGbmjsUXRsSVaF7OIQL0oi1/mS7/O6tm9EQyQ2/XG BGpauKbQxwkVDf1QHnVqbGUgzO9vj6tMj1hyP2FKrDaEXT/9203NXkwc9UI5Cijj2UhZd1mjnqur BqIzcbegRkJ1mtEnNE8maCV8tXHrt6jctRUxJyWL7cHCB4+u72gXI0OZT93zk+LWAGlY8GnZQ5uT lkAzGlIkW/5cDIuwBsN68clAulIkx2ZoRZPjhAc0lga+lGGFtSOb7yc/Mbd/ld06qy1LyRuZqJt2 3gqFe2R74X5wWSwd0/ECiqE1EE+WhRwvHJ2Lv7czOaYq9KW/x2oato9yMTms7eppVB6cGvUdoo/s 13VbB6xUxuVzd6q8Rx2OTXK3eu8CdzyI95+nz/kp9AjFiow8xVeL1zXbBLrJw7QAH05EV5jUrKgt R6AUet2T0ImXSr5AYiYp4HpwAFEON/QlSSPq26aWA8RZKGPH5aZxFwq0Go+NPZS3TO7WMoSS4Yzp JA1TmnY/p73xEOablE2rzSVIZc8HrY4Irq9HBdOaLuVijshXjEWLwK9FTsmrRGVxOKQTk/+nEFMI mKrQifCut1axzygPWFT1GxbBhpCILE/GTBcNr6bwQZC8fqiBnUYy/UbuPGnS/vbgS/RIZpVB+Yyx EaC7YAq+BKehn94m/+wq+YAjHrsiiCa9pftqzKZrM5bdRSuvoSQLfwJLb4EI/B/AWM7sl8GR5lJe ZG6+OPKbMIyYuiib52abPf4Xk2V/pyMVFS9j4I/Oks7+8be6ZWmgr49C/LTrwHah8wa8+uwjUQFv 3/HJ1/ua06MRTDLyeZz1DTG2JYq31TfBT/raR5VbsMfLIk3DM7MmYcJKbaB1gX75WDRu8muQmfV2 c8LGyv82iXsTD5NHSV4/JLzx7xL4x0epm8hiP1NA/JH6O5shd82ZBzOQ1jqvkQ+9Hg5bjdnHrkLg BWlYGikxuXrnMInTD58p1fmXs61+m/xob7sA3XpODOf/9LCo19IEPkWqdDfTocmZwPNn9XK+igU/ Iprr9iT7EpVVqaX58qlB/QVdrxWWWP99nSuCmqYgsEIfxJumjj8dZGh/O9ZNmxUKHsPaNEeYMLAQ SP9BOCrqmLeodGFZtZIvubbYiFid8NLtdf+lLFf2oRjNNB0mdoElMHCoRiKuELaE8DjNjldNt5UV hAYHwjq/ogXCd4jQSl3lViWM9qtqORZ6odBUIA+glCp79VX9fVz+6vO2Ij3ZRxhRon6ksfGlQKTD k6dNDkCDPDCgSmHF+9lAXNAV1jc0JsNlYvNbe3XVBxyxPPC8ECD0qhh8HEnYiGmaTmJMMkdZt8W+ 23peclqwxiwZoLXMcyJUIuaNFvC7VRXEjLeJOZXlIZIUiic6IkAoh9/8VnfDM2eqSezSPpLz2SbV UauPMOKKO0Doa8oZmXtCI7wgdoYnnTiSUEyd/XpIFnUrbtQPjkITHEaPSxfpnV7nPqKn0qELAP8P INZqoxTSWaRyZRjnmZyZnxE1vWKA2ng3clDaNGXs8eVWjxWDRWrNzSo3jbzSahsV5pPTOEt/Vrst 7I1VBwMkxMxBiVMTjlimTKeziHtHoKlEp1kxn2WKwbURL93cyBq9i0uPSHps9+gcjExq43am8PMG bSdg19vo6EG3kg== `protect end_protected
gpl-2.0
f2142823ad13a1a2e6383864049cd94c
0.924545
1.887329
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/fir_lp_54kHz/fir_compiler_v7_1/hdl/fir_compiler_v7_1_viv.vhd
8
86,817
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Wkm6jePTcXZSrLXq2Jd+2eDjYQwZwP3qX5rlLbMDOgVBPjNOBNcdGsnCzvFN/pYcPHWGDqRgOsko 5BQ3JkvOGg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Tyb52n7XkiWlDtHJMD41VIlSnRAM3dfYZJ7gTRSdcKL51ynimywbrlnC/YdSO1WPFjHw0C81R16J 60QW4qvPByPWQjuTLTfXX2/T1qYa/e/qV9vQIr3x6zJtHO+KkpxUeoMU1pHYVzUhp9SogzH6UTFl SxbNDrV/OxqLIg4mI/E= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Tfu0c5ISmEtEvJ707zdqpBQ0OC9fm2PtXzd+zPpV80ckC80HVVG/0Px98WmhvXmwdqfPST9Eb6DQ /pb94sD33CQNidaqjmXpNIOFE4SnY4LRy1C8c5XANDF+Nq+lM373V+Q0QiGBPvngtdVLk7tSBGDR hgCQ/3/JrPKaQdAT6gBznZajpHj5W6z+6Xh1BeSKdz7Xv86T4hREKVzgKN5nL1XaDUN6UgGyZQGR U5lB6JvohsMFJqq4JipxFvTjfZBgRmKFE9Nxy4DCvIPxpdIQtJJmRAcSj0LJDxnBg0z7xE5sZ48E 1pM3Vgb0mBdZT+5N4AtJ0bmbeaOQtYQiPYbhcw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block zm2zm8gOULchEIfartmd9H0efnchn04u83YMeWSPkRgtULV8jZ1+Ctvia3r26KF9+4QaUo3nlRb5 zQH+/7WV7UNSCPl8OaG+kWIhyK9Gadgk9zGPJb0Lb7zcy1qqUfxEB6MH8aSg5tx0CEg98YXuHdZT iRcAy7zfDsX75vAjA9Q= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OxVOdDIBmPWXieAU8BOmqlD1npeoA4/wE4GEToNxbQzvGvKfZQF/ECxTnPBLrXLX6tgkpr81QFK2 Otcl1Au/RfcGNHftGqPquTYZ1SphquLWy6jUsgOYsHCxsFMrcMPlUPz+bc5GQlP6rbFPrU0sLS1V PcCUci1AsrqEkdeeCiQuYObFnsDx3hPvsADyEE3S+DgyeztXgAxtAtKHADX5Ps9XkuSTcHXf35+e J1HBZIW206RyfzrLX35PhGY2uahxqXHjUq1glaoo5oQdNlC9cAJk3sAldnEl+le40VVMGChf+y9I CHmu9NW7q49dzyYw1JDsPjFxtF+BcqvF9Cnt2w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 62528) `protect data_block EDugqS9A9P0Q+BUAFRrnd3cmbfgaNhyW9XUenCyuh0lYyZqzP8XM+oBFQ0GaYwU3qoTIVuKYqhWp KBT3xyYN8LOr7Au+dCyioBEmZV4ASJ3x4Ckndb/F+V9DpHfrGnWA+z1KUpYXOVloTvHQfWCamQCO Y8zLTLjnh1fBW51XHPZDd0SIfbLyX/WrI0TGK42scnZRoGNpXLUczs7RRq7BdpAvR8NkTT8f+JEa eAOT4tXBcbVfUJGGx5vn+sUhQqC9VWjKgsGgUcp+yeDIn7/zEYVfw+lDnBVaiGYwlLwsIOlrMFr0 ywRrHy1abC6UvF/Hxinw6VnJiX9KdchZ5X0vYxwQ3E/av8DwrtnE82RPYdXTYve+iCGnxCch9loj /smXbL7rq+S2VOftowC1q3cYXTTGqWdgrz9lkggCbtiAi4hx+8yx6Iu2mUFxS6u1W914jyqdv3ng IzGefMCie6dHJTs+HlOgvxWX2zq2B5+afLD6pW3ng3TBP2L5AndGuzniOKp/tSjx7/Z8Rpp+8wbI 2dcBcAwnNNg8FBR5NI1fm+5XMpw6PvHKoDsI+hy/nmnkTZvTsBcdSXJm6E23JSOY5vyiIZUKgMjl I9KLeuO+zTS0CwvkBu6w0xQrwu63CNT7OdfpfSG9m9ApaNZmmoxZrsv3uhrUMebA5ihi/aJfC9zi Rw31F9c7x/DbE7ZRfx5fX1s1Zv+OXKsTVVXgZ7/Eih3Rr8RGOHbMnyPNcNTtDGOkhaOLvoaaKaVr I71sH19JrnJhrZe6B1S9o7Bjkt6vIQcNdOjmZ/PhhhAeRwTn6YS8PAhz8KZj33L6EyLOt9LDzzYs d2NE4CBDRcKPbnlEVfKunCDVwFHx2l+oPqgO6f8RwQLdHrQOuH4xmBW/+l5FyMl8YFbIUowR/ULT WCXzKWrrVkItIMcLlJGvNB6tbriTreV2J+VzbaQFJb8xPLBNsLdg1WMoJFno6r+1UT3D6vxc2aMu 11M1df2gTEo/hwwrL3Qwu9DTL7SLt/a+KBMG+BkyIDU4H2089cU8IVKLFNw5Ls5oAdqclslX181q JOIKgVoLWELI9gJO94rPW4SJylTufhVqwx5pyFBfBQwXzfh7CbvSJSq+hl7BBJ5UEB2GFjY48NYt 5WCD06MItxWwZyGcsRM6ZSx64mZbqj+eOV9jizN7jtdgKsKnfFBGZgxcvp4Rktniggop8OhEH34k VDd0SojaIuof9emc/atn6CdEWeniFj6a0RqXQFPC319Z9YeDjwzrUrnOqEjkblzpyoAMSBrcvvaO hF2Mz50SdQ5miSwMsE3dYgPy1omxjNilS3m8vkWBc4R0aecVDhtCjotkfZP86E+xfdwkt4H9dXfL AD6Bwlmk3kB3oMQRvdFG2piRska3BjgfH5ZZBaZbmU/2oOurfM7boLyw4Q0uT35gj7ge8W4blDrp cKyX1RErui5TGtJ1TqzLHR941HZbW86UTxZSu3qS9xhghI6WJTUL6NvzwniMr05Z/H74RYcAlr8V tMCLpJeSjBIzWZHQ6pfszJ/p2tsHrrf+CKyGjNJlk3dGZy9ld1//6xggRbdpvuMaBN6Cq+s9B7lO +yz3homx0+x0P7QuvEDPy3e3q9CPlpzKotzs+Ijc7gUJovE0Vs4hk7TTO1DHr3KJzoinOU+SANTz Gc/Fl9AuyihiW8ISrsDJjL9b+zJGcg/F2H+QlQfyX8EGA+nQeS/EHVEzhzquglhntaEQgO699Tvx MK9jUTvBcZiRWISR2hkDxo4f/WGIugWDkgL5izqmYnznLISZXxR15JkxzcjDGiUjgtEPyagQTAoY GFjLTxMfM8rEdPsizUzg4EF4nnjge4U9zmPbtKiQ2trAAHPqtqyfzgvPI0N/N19lHTikRrDUX/OH cvRNZ/HvX31o1ktxAbTiR7wg3S0LV2GitdRA2x4G657Xat9E8BcXBns2iCsF6AjnwU7uXFFyDQLC 41kqEH2CTrQmx7w0iTXUuRuujBYSw99cfaDc37dUbLBppIWFwW98rQet8ZToFWFjiFAZgstv/EfD tm13pPJo5GRLNacp3IUy+n10eaP/bMPw2RwTHmwkoGJvPNheGCzV/nGXc41Z4yCUU7NlAWIqb0hr qmYZBZUQuFe1ljHHy/cRzL9sjJhbfoyZfaDDq0HO7FPgP1sjTiH46s17DctRJ/xTsN5XePwiQfdn N736i/ukT47E72L4BCyFFBSF4lm5SGQtbaCbIod7ZJBTuO1zGq+Z1i26+S0+ztWhdZLmw6hn1JKm UXa9z1EA9KFUY5rsL9NHDcz5hprigM1HPTPrfG7W0rm98bByllNdghMxm+pqzbPFx+6XGlKbH7k3 GhTGKKCtUB7Qe73LzO/4xxsV61IoE7r+WzJmnOjkPmNQFXdhYPkbNQeR+snK+unMoDJGwluX88lK M+TI+QxPH0CkBxR3hKbVZyRenB0sLxEEHobukIGQbKBn2fk7yaTLKdFRE0v1JaVUv/nfcxY+akhF 316UXMyeCrDM+lfBoKBSTZdi+3WMVZHoMupA3uB85ZOiPRD8zPlovTNUNIWuy3k5hf3Ejq415L/N hT6cF2EfbhgliXLqwCQ3WUBGH/zhSlC1ALSwNKgj3WDY5oiPBGpEWKEt6pMnUqgsLedhfT+TUOp8 q5jPiho3rsWV1/jnY2ajppzxAMNR9ym6TfPzNYBzHRuKnlOqIZ7bfCEFPKqqv9WNMeqKCjQzcc02 3lGTGiFipJGXzRW+NnTk777T2czZfI+7WmH/ePWkrXblN5nGYnlFgb3JwFqAOcoD8m+8bPRbTUoB CBqNQVHtwjUs+Jt+WI4eDjd9DfDnPir3QF0xiondcr4moBCQjTP08tp0EZeZXLmMvQpLBoWz0+AK auPygEyDqYQfg3RLDR19S7+wqh70RhQQuLyaLJemT/QyXN6cFyRLNeTljQeoUljXxJAIyKiiGhEi /6TnvxYOKM7byxnlIJrO5TxWnSLawhE+kUBI8p616cN5cBq+SKgi7CQaPrhjb3tCaGQyOxlkRS7y 4/cXUsomDo6moXbWzZ9fAc9bljSIrDEW8KdkUtWXWz3k4cabl/ZPoGTaUvQkovmYF7OSLrCjQ84W 8rGEFgeZXukBKtHgp9A6TMQ0RPenzWicbD2rsxoBcrLMaRf7eGV6n3VEr7s8k6IX+0WQFgbM7hil MRywzhtmeNxWcOS9SRvY1gDPoxriUfnKVvX2IaVllJHrKTNvOo2gmvDjDsuTuCISyKoJo2gxLSWX AGw2uuw6AsFZImYMubZfYzUWc2C+c0rjF2rMDQiO0cjaES6I7VYw7o8KsP/H/gquNOcU04uv/jFu 56r4kVO0X9BDQOGE/20/o0twTvbJpVI61SqSGvlFbLrRne9mNVOqL8xHmEuyqk6k7+WAjTF0Qz02 fTkfJcgpVOlz7Xdy0Ah7j9wa9y68jy2cjjqHUw+qlNjPwEvEtsjSWSVWKexlbXL3J+yb3yKf9wV9 4C6ff/b4UltB3nAi//TJR9nb342+MaW7R/uQSoPKwbqpoLNdu0Yqpn4Yswldd2ghFwL5JVYqS0Bo 3P3AhTGGQC1IhTE5HeMf6G9jp/MlL0wi76KOYFhhQ184dPqBZQTh8zSCSwfft1k9EhvIVG8X+FCl ZU9s0lczts7m+0YydKnQ5Dw+/ZpQ1lf18s7m/PxynE6MpcCNcRCygX7rL06tNpHBbgkfyh+3caJI 7u6IuPeZMWgfaTMxC8JccZydhoABZX1z6/h+iO2h74jSmpHqkVgKC7KYnhypKV5DdWO8qmllJN/8 rv7n1yY+O57rvjpQhQCgsUWnRwa7ECZpGfnJlq6wp6ltVOBpLnKVSz6gkXLVnJR8Pj9lUGITeC2L izSw+/ssFD4gn/P7ScumbjW+HmR9D4QAjl6hEQnrDRByxiiZ7pPi5NMIB+BRWmayZid2aAMvSVAc Qg5TDuqdsqeM0RUqVK01zC7KI8rITN4M5qO8mX1vuu5nkmm8/a0fM38XcLcd1AWX/PYSXuaUuaz/ yc/Q4v91Rj0X3AENdWw9hdLATvzbaBG5wNHwZiemeYhAmFrqL8x+8TG+OJeFg7Dc8M4QkSstSCsn 9W8DESgt6zLA/GeoOWmaA1KghRh1BXIFhU8rZq4xRj9OOUWweZy1EFQR3EmWtXX4z8g29J+XjGxV gU0cRGmy8MYcyMOgWedHSsTaaZ6v2qEDJ/x6levZPNgSqE+0CYKIQo6Gwn0FeK6LK2G78r7G1KT1 pMAU+pauKa2bBEbYoF59NtyiVO+DKJR/IgxlLrYb5eQPUKIQSHwYdOoBlgDkxg6h3i+fQy5JJnnB ZyGRipskmHqA0K702IrEtH3zuKnpzTIkwfB2w2a/FFdG3ayS2LFqeGg27HegnxEfeQ2XSdb2xB1M 8NY5vV1UqSCqQRDUcpMBDHJIM+dA5iMJMKcLheczsWrDyzZhoQzSLo4WdDE8egak2kxtcY7whGQW OtJvhOhYjxN8z7Nd3W7TAodMSeXPZC3Jn3dBAiD+LSoYqnnkrh3yDKcUiBE73g8euvQzjEMQarA+ XHIdgwAkGwURgp96hQMdAlWBZdWsZDR3/WD3AtE3gRAs/KXxqagnOLHMlrw6wpR3Kg4M3g82eIos mRt7qk3W7aFjqB8Ti586pPoNzuzb+rkg9C8ny3/Mvlu6i6DLaZkKrIUfarqaB8al+WA6oQPCki19 yBOooRbwe67+sr+Jm9cxJCVO//SyM/QK7gCfkxj1oC/QzT9PqaDYUxnSyY1CHySBSeHN+RB0kQJj 7Va/gvGATgiuIQdVJTJAf/RBkG5PiNL1/WhRTbVGiEVUSPCdfXUJ/byDjpaCFmtKIGqTTD7MIMXg 2m80ICA9taGxMW/2pyXqoR4Wm2PcQWZfm0ny5nRnHZJmiUz5EKitk6F7he+13qAuCsQ8bZdSMZhx qDHngBL0ogoQKEN3klv3umEXv3kdI71o9o9+7NeLnYS6I/qBmXk8ny9qdJIOtbrWmwJpwzcPxyqa CLSJ1c10w6xuQPQ3o5YA6/4Z7CjbrPe2HQdBtr1EZa96x6bsWqdw4NRiyvZpD+xLsYofU/YDDn0P Ph631nBkdbzZb3w/wXLeFf9pk9O5v2Z/4EfiYH1Ja36/agqiHhgaGmAL8EN+klaHKkVZawJogwK7 JS4U2wp1iPIsqALEvsVv0fLzXmsrUhFMXO5lP9yLqOJGPmggwzyfwoQGFXQ5B9CcfawBf7rkzcFE ezfBL4I764uxy925IwAgBNxTmloCIoE8yQRN2A/nseTSeupq+txDXJ+q644ViPNJcaNCQQDt1mUQ POMynXWGBbxYFRzEi7CJ2EYyMmrbGJzYFIUN9iy3X6zbEPDZtIscopTMrZvClpS/rrKWSJTrQCXP 28chtq9Q9JuYBxMUj998hgF6U2galtRfdhCHbud5SzL+0pdCzWo1oo4Pagm4+Nup4HV3xP3NHNxf RQO3firJngtjfJoS+UepKnKx8J53VZm3Bp3lGrENY0STWhJx677vcm2jL57KWdToymYfhmPKeuAG 9dnaweacrbcpwoiYz9lSKlJfhu8OKMMdXZtkEBKw06KXrwfM/2AJg35hSnzY76unTrrt6hc/UeVX 33YZOBiP3SNZnXtM+L7va8/t2Xc8/WuBjXY785f2k+Z+Zw1JDR1pV/6AJLgdwabv8BTX4uJJ87Aj dNTn14i0Op1zZSo7Vp5RrYZVyGwvi24SvDqFFxxMl6+Qt2NQKvhDwXlL2JXJdnt+dJeTiutGDMfd Hcf7OzrrtkPuCKN6b2xqyKXIsl2UdRMxLy8kWtK1fnfaKHjTezwvRCWUchqqKEAsjSLKFso+nRF7 V+9I4hdqBEwsMZUoTbnLwZNi97FI9/Uuz6Kan5rXQHziON79R3VFhNkKBkvGJ+AA052EPcbQNsC9 004B3B3RakSawhpFJP4FaZLmsmD/IKyL1SHVGfCruX6IeilkK8OKo9YSPpKrFUz8UgbfdB7oS2cE eG5Vt8fAoU/+nYlji323yyPAyzWhUr5QYNoXnwMVK5MAqKI7PibHNFAlC/8p3WyZa+JU6w/0fs0P M3LNNCT43rXQtZkoF8bKAdSWT+dCZHDtnSzWdoyWsYbEWBHl2C4d1MWacLW53IqaWzb5x0Z8lpwb e6jQRIWo6J13KhYL5o4y+QkD9T7+a9RtIOwlncftVTCKPUaOxit6JNS2MNBDOjnpdBBsQrcjNeqm 9rTB+VfK4D46WlTefoos6QWj6jYTTUMnt5ahdlJAhXRv/B5P8RjkUX4DrqZwMKWH8Irc0vcvpJPy M1ezi2F6zXnINC3DLLyIJkOMe0YE1501U+wkMrTRMyFjQBBc3Qu2bxfXQgYaOkQR5Dal2UDS5fOl ExCB5S4z8BCiXe8b1kN5Yt3IQskfdPPfBNzqypyarLa8MVQGo/jo2Sa6zQX59vQwmhd3nBwN+/RH yCDeVBRsXoVsP20Ov5c1bZ6QvqQB/LlbspdFkWTNqCpe6nYPaQer687GzUsvqEFEsi3ceezjkNLd 0L5tmOzAEsgIV4ia1iuxKEDpsFuBM1GQvQFe5kwJ/OnWLdW3WEs95O3Xakii8gIZTD0BqJAq5gXk eMhhkbcs7U2+ntW5Si3G1ujS0os7UtlN9TOQ8OnHm2HOhIFSFaSB8sOSmaowCkakBo8lArFG9DpY Mk3Mb2JCKwHYkNsQv1tBdY2YWeOB+OddOsWEyMbOpUkOg+VlbipckiPYG2TLKMBaxx4eby/61OMP K4EQEe05Mb3g9iSUdj0aYOj82T6Ew+wQ5XWIPYTOUjlxRNh9YRxtDP4dj/fVTPmxQ2AG8e1Rn6SP AouHQAoRbu3oeNWJ2GFnPdhonruhRAqY1tSMLP6kU+sFFkFzEIeTITVphkKt1wAXq1z/QRQwopBb +hE5PpHvYSLgvHcBj7e2o93jQ9qmPS9hoH9XjkCEbo+3gpo8bq5vFKtth/E6EvCE8mfRNRn4ASdu fCPGui8UX3vjh9tO03XeKA7osRUEbZwXhzeEQxoCKRPvrF5Tvk5hGlreX0w04ptvnwgGTSbykBi/ ebADvRfqqesEd0c+51kyxmi3rrT4dZJKYARNA+2L0fOQKnfZOdvQdKOhz9lnORmF/sjdBENLVvEF JumbBmKK6s6nD2rb4IvNryJijGiBei/jWJHq/RXuDom2b4LbA2NeYEbN4Y03hPu54BPBesKuB6t6 u6ak3NZN38SlXrB2ABFltWtTStEG1on7Ds5XmyRQhRD8z6BMiKBI1JOxr1XIwPDfO4BgM2f4Yye1 Udut6IJhxPBRSxr38lC0V1XOV6540pzJfbbILnfNwTFRigc3ZMtQgxtxewtjhojuPJDFiiz9Gl4u 86Wnu7dlETAvIABQPcJfnjAVB9KXyBRatetzYlX0YHLcDRHG6TRdl2AikbQ2bmUoxTH+np/eXjfC VLo6eOIYXLpW+RXabVu1pd6yzrogplJ3a6f5Zg/Ex5mq23/uZ/neyIZrsdSGKdMgvEcvRzSV4hQf mUFLoOgHzH3dtzE1Kdejv3ArRK84ZMDDhwEXZAE9AaAZpCO7ZjDTRAK4QZNdGDi6Oze53wEgQEjE B9lln0v0EUIgO8oDaMJPGz40jZj+Wor6scUkpCUpOjxup8ijNHfe2Zp9oVbs6v7msEVc/10wE1Cn +MP5dgBUbLBlAuXe/MX8c7fbAPsMufRxMZEIza5YySkE0Uef+PCHIqf8ELnztSWdUV/WfLytL1Du 5c1o3wF0vxzaJfBUCyvlXLVGJ3C8rAX9hjrdWt94zRkZlmdAjiGK3H5gRXJhntEy8g5G+jWnrv3L /2rY/VSxgBLiqlhs1jz36YuvFh7Ac+Xbs2mVailfryCr85F4KOu6iJODosgTV5osAdhw1DAjVTh3 qEw9Ms4fXpHbOXC6ioSaHruHwdWOZGMolFLv/1muRN/wALzgWo4bH5/MWIeuUuoHudRLEON+PU36 MZ488dpAMH6jKhUoIZkd+zWYedvoen1V4dpk489doSX6bTvd+LTtAseFkoZLq7ZFMpLjOJSCiJXb ipiJELe5XlyHuwQ3TAaH3QY7tHmMXcnV9JGg5/4i3EE3YS1SX8b0TQCQOCoOiEbKsGxR0vEDFj9y yWV/doaMNgk+t2EeJterIn7CnZ6GikWYKarI3gaHH3Cl4/D8MS7v5I9XXl8GONC/oBNgTBzfBp8j FZpuDh08JXU2zzbDEAiecyomiOEOOsi7xfZqVEnpoC7634kjoB5hOr/39joHi9Mv1bGR4hQHnjn0 gfYIgBAa2y6DPRDz+Q1WUY5DM0pR6PUbcpe7YjxgIZ97cmGnvrf2rpugiQJJbtaJ3QWfR8dh9hY6 su+A91s9czdeDyX0eOkbrvj9R5WBYwykmwnUxy16oHbq5b0eqOHk828ZcvC3WIDTXcQV1/mmz5AO Rp6uuoPeDEpbg3L9I92xat7e/NNeVBawS7IkwhI6g8vumtyYj62H3kemtr2ecYDZEhnF93zpTjIm rhT8NO1UxKLwH94sHdcInFsyurNKr0Ay6lHsxXYlcoPf54zmcIpvNXazML9rZdmFRzAYyYU1060c 0W3/f/QK9AJMETejLP2HKACmdz9Ctaz/h7V2zS7/cGuNl7w5haIhVAV957yXNSPfwqpfTGC6Z5GD JJmEgZhLcWkmIrsfBypXwTOCQzBtC8ph1RlSj6pLgZWO58E1K5mDVWJuywBDP93Les8yjdfto45q By6Z3SDVLi/VbWQmAMKKMzUe9eYyofJgkgrg5uqNfG1JjfXxQ5mvgVR8EKxohwrlCP4GOhIDsHZ7 8pUPD2qPLknUtYk1f1mGWUsQEavYY4/TRQOsjcUUDhDOSOHfnAD00VYzqrYNYx4YNimP9PHSpJqI QeZC+1bofdJ8WSXFlF7/2CnhZDBpogOLodhMYVd6FPslUdQvKdg892PQ+RNPUQOKE8PIhvbcStB1 y3ZXuQhO/9RBJujARTsVZonr5zJwgVfA16FGVpieWXAKML2yAR0Uc6NqJ/5M4P9+fADEljgKkLbF rn+0wz/HlgoYpcB6gZE2AbBA157opXwNDSyF3HvZRwQdlTPsdBOF2NCu5EoAtDOM4VVX/3Q6AlNU PeupxmMk3WnRxPlkBtBF/xXpLkWAtRtizBJoOc+Rz01nO3NdnyPZAKiNPJEsxf2V0Rl91dCcLA2O p3CYS22Q6yyBLM3vABhiYE/SPZoFFuP+aETvqj5iDQU1beC6VE9Ppx3iPBM5RnXV1fYyPtO8C0W6 hzVxEl5PaR099ZrzdnyTt8T0qRJHy/EfkTHKy6sLhxE3RUvcD2U+K390HY8Q7xdrXGmQD1Omonbm VuljTqnTak0KpYEadkHWx5d9KIchlScutT1FHYAeu8C7vBRyPkZ+Hi+m+ToTvuHP4y/o0JzJmjZi zA0VdLKsCw/3d0eaYGfaprxg7K6rWbig7IM3YclpEEqLrMeu9XB2HwiZYPmr8wd2bQOntw0gOFOX xvu0jp2FHPTMsErCZoPy8xvN3xYzBZd0R/CW2T6jewntr7oIC5dUWasAQue4ywSxNgF6ms6N/tlD zQK0JiZ968EgLSvAvqjN/7Y/z8mvXke8HX1aLJIJtmUdICR8T1fcvUPiH+7VsGnykYVEfL7eTxFT KehNpAPZctut+26KSMnvuxpkzHGIO/vsQzMCNLQy2cMFvwkLSBKufKJABdDnErM9awOe5XbuHlv4 7gshL81ZGD9zNuM5i+xPdVxqhDmjS8L7auN146VOYfiJRWab4kkUht4ht+9zlSv9oMd7viiPIpDM oDPdwcDcIQQST1yc4I77mVbQUp7rO318vbmot5pICa2RHVvpM5G6KZF4MtifumjY49rG/rl9q0hb xwt6uyKkm7RQOhAFhrWDtY6MwbUzLzIzVEni1RHAZQMyMo0Zgc8Ttl8FbNNdS9DVYCc9M5APNXBS twYuiGQ/hlqX9L63fg1Wb1lmWM3kfd4f1PVrmJBmuMNUGlhu6aa89LQFQaWUnsEtMQI5b8vXaQWX Zxb2tKSUfwC+n2U/c3BmrJpuX+3sA4wIoNleYIrNDed6fJfOG2astCpJ+UJwdPmcSeOfRoaHfSXu aB3xjnH2D+sH5ODgwyABQGmsj21L/YPLOPzl9zKpu1mMYV4Oiv0SVH3EVkPL0m4wkURq3hoIfhSO EtgksFmeZuUmIBQ9Ew3rt/PvIT4FJ/CcA0yXaDmQQ96MVCYEk1cECAimYOZIyiuyxeU/BdGfAVo0 TxxcifUxo/FFoYOizGxmOH8P5WiAmFHP2EKqFhT3y0dMKKvV0TPkYK0haSuKeKHppmUXC+WazMLO 6xtBcwFCrIMGGooGU0u0f5rVL4S1lbmZvP9UH9RtgLt2GumQEKIqYL7XXZQbrGjgh+xlg+NxJXmH y+GieyJXblwBGztsDsWUXGhit8kqnR+ljjB4WZk8bB8qBG3MpFyr+PHuzw0KXoN4tXZqzYKLUlZu 9o1LklDEVFqIwm7SGsOAyPcmen1sJD2b7c2HWxiS5sWDSDKkEZBA6/rnVMFSW3US6liKxiKUeh+Y EBMklh5B1FfZFikOBgr9d2HqpW/2Xe6Gl2hx7ovlAOWeohBxJ5RLeewmbrE4TQByXFagsn3b0n75 32hbnjJrIfUTv7BySVNHHpHD7XgCewyEhoSIb7WChYSYNSeqAnemzA1YSsAzvWIboyRyAuHiZ1yF 3ECOhlSkBgERHRe/PXUHUiQPCh3v9phtPF/qpSONg4VVVnYS8MTfDk4NbXVysdG5ZExuv2CtXd29 iFHhJA1PaqiKuwT4a0fvR6Ucy9yp78ek/zjeKDHe3M5ANMIWoMaYcFuzAUEdJmthsH53gC7ql2Ch COyIf8maof5qpZDMKke/i9qq0UGSMcDfGMxTQpFXGvvdCLL0VFSap3NR2dvhKOZPb3BL4fREVGvq GESaskUg8pS/cwoCBedWzfWPFuFAtk9fgP0Q01+c9qqztNavMEx8K//Phdt1wdOfUxzqFOEYfc4C /B6OcGpDQMbR4zo8sbJjPtcFpot54Sq9jebtsxmvC3N8bU+c0GN7AlMs6yKXrEcywPruJBcyq3C/ 5rbJPH67mdOR4/YlrFF1SLPQudcUWVlNd4P7seeVUjmb2BtTNMcyOfzFOFFv3DjcAcCygDThAgLZ zHtG0u5PqgYmJorndiJQv7naCEpLZBh4lLP+zgDlvW1cBFjjYkjq+0iQmBqutFI4cFT9vWcZqdHK Cm7tpyQ8yuCKlonr6byv6Rz7ct0wL9/KfWBc0jYSrqqmITCucXOZEHuGlmaNei0gopYAb5w8tCnn 9aDbcFzqXHruovyFKd0babNmj9F8Kij9JTMuCFAj06DYEf17uGOhlAnnJ2zbBNsubqXWtQAK34My JFYNzQ2tgfgq/7FZ79NsZtFjsMS5abzgIGCbzRCUqGBWzG63h9pi9xftHpYINXtf2GkWysnDmYqu AeiaCwmok09xcCSWfGGGzh/RhOFMloYkpIWqp0x6gEzdPYGhgfVLXP8DHrsFFZho7dG4+DublKRa Dh4IWj7gIONYAHiVqv0abWMI3fFoA/54kRHgMcbOIHTxXh78ibyu6xMpeXyeh3euvsIH5FOyyyqL FrbkBM6sVOLesoZrLWMqOE4Bz8ZL1gFO6YRY/tL8ftvP2nMUd6kbct80lznHTrFDYPpyXLLieL5A D4yhSW8ZvwBeQ4kz/gbkF4QlmtQ3odQiTT+P9jFkEJXyHwIsDraJDkhiK47lP4bYwss5kEi9EqeE J+lpH9fUQ08ZE91F68nGacch9QP0nzJiVfHcxLp6n5y3eC71tzx6i/hefwyQ6WFAEZYBEDJ0AHNE hoffHFJ0ZTBn/taikvAS4n4oWEbFmgpJEXwLXEnUadz/gmOLu+o5lClBdCZbr2mD9l7VPjiKSPKE KOu6pQWkljoexeYzxfSKfvj/jfabOzr1xwulHHxwD1kY1aIUAq5jZTLUYRtuj7GQjximzGlxx0XY 2l69P5LMRaKuZeMJNeOm5ZtzxIl/ygvQbRGA08/8MT8aCZ1yi+pg4HT2NLKuWrqiJz/JjG90NHTI 7J9eQEKXxqoimCQa6FeSqn1fDNJHeAm0OJ+LVRgrAQWIedNsA8wc2zw/0JBU+YSDyMWLXu9aoy8t Eq8ndZI2ZlRgDwN/aJ3z5OXNycc+eNcmuIuuxeuzURzTIR8vkDe3rEcvzb9DbrnLbRS8+lrPxg28 9fxdCaHzlkLDUV8c5nU7tqlHagVC1X/JBTQ6vxZRh/51Q0KQ9yNuKYCWyl31jbeyJd5RMhpfq88r 89Em4JC/Vc9x4Leo+3b8k87WXl4uX/hCAinifvK9Aq/rk4/S7O1lhOsuz2u7E2CpXP3KXIx7yKd/ OZSSlZWZoHPfZxn8e686wu961xov/pKJYuwspfEZuPoWUkPq56gLEps0vD93f445IgCEVZgJAafS L5cIsdzvHsEyJddiq138SqJpAyclWumuIWdDZx/mBOo38kgx1Z8yZD6ev+voCodkDH2ZHGjAgYHd GkdOapccmu6AWNt7VdRyUnDLRSwFVGkRBZhKlgOUJdyjDyyXYY9kHck+elF+7DOetB07vX3ioZeX MR83WdS8miA/AGogCZqan7yzyG+SPnESwnn2wEA03vRqs8DvNz88Cuk8P2F5vbPZvcf+STK7LGoF vk8no/YaZrjNh+9dfGqcljtr0VTms8+T3nyRhaLaE9TdHczGjCuW5REAuFYOV9AOeLggYJtOuDea z3Pi/mFhutAHLKHYbwc0OaLSS1lFg+8H3jXGdLKqfEo7M/i772qru8PvS4S0zfZEX83AHpTuyWca gnDQTccArwdUcOAZf6XhMVM6Fo2KzjguhDAi5aR16zcoVzAc6Iqwp9jNXtdFmsJoJlBKMAwbs75b xbFM1jwz96fTZk/rBpSY/HB989dcyes3pnFU315G9zNljuyCfXIgmz3vSckHOlSM+P3uyoehS4gp QKwuI2MQ8jm2PDv/DPJ2UEoHNdCRiurIU9AhTk58DXeGfLhMN8J0476OtVgRB69uJH7KTtBsnr92 AZNMoyVfC9+CU2jP1BCVahVxuRHP6r1IkSWFnvgbuvtpnzafFJh8M7ebS2BJPcX5+7Dz15+WOjQZ f9BaQCyrk7OUCTLg+SgJBLoF61q9CO5nma5TKiOokQnFnhP2JUVf5YFYIqmZT6lzX4Pz6ClWy/JN BfyDuQjPNBumcZ4G5nmULlRCsLrVirFsbWrj1AtcC6oXUcZcgC0ALv3UcK9aI5lU0yradNFngxYo 01n1EkPFHqIBDtPPH4ULkLJo2lhiCG9j5kfZt/k4PtsHTX7c9mUYssjSM2ZLqRPHXSVeFJmwSklM UKY0WhVuecSdpZkd0HRZRw40htyM9aofqBdOu11NGFD2R28OTRppkfKPPPRoy16BcXBF4r8ZjnF/ aTIz4A+cS0LlU5CUXYbyZAoFFXGUFf+qfQ1dwLhXvnsuwmn6hoOeNpWMUEXdrUcxsPc1FlNimbJQ UZSgSFRmSTYm7T4PmYak9IiDb32EnwK6hMEzbtH8KXOD3d5HdhLdUPGCzKJuU9idyWkCwSIDOAx7 Y6vmkrgwJFCirCn6embS7gE3TJfpn2a/OGoU/Sn3Nbx14xx2ZhfMHuws2iW3ekokMJI2vCuv4IRH CNlNqURSjSGK141Haj+HiF9nzinodi8zN+OpugososVT5jiyYqgwTfCYZWq7R/oV4cgH8nwlgpDi RHr7CoR9cJc6AZUQJNdZp6IsqTRZ3a6JsUh40nZ5/scf4DglUdlwpWdMCUwD0Zhb8BGoUAwOoWV3 qD+fJD0KGt33S0t7dXKUTYsgDjcgErzZyzeRs0x4DBti0CHR0W16YbsTA/+byJYKk5UBJY52g5HE m7qRxwdtQwb9sbKN8RZV6ASeTGT9UdHF8coI6k2HZmdzZ960PsdKHCttBzgDEXylqLVr0fhBLAg2 M1aC8JNYiwodBoZoz4knCbJTdfjHJMMia1DEykNaISi2rT/24hT4pIu3VxB8rzw+OO3+GiNSxLeL XtGdpGA3Q92ewRj1H117AN/4+FCCGPf5JZpRxFMAxCo7glTjSw7daaSj7ynUXT/6Ox3v3RzSIEQ8 J4AHf7DJyMynFlqaK/zeM038X8XzX2dRSsxTQeXeoL+Y8lF5P0DxIG1BDq3KReWv6+lvWneQtHjI U66zS4/Jw57V14zaDV5fZdERlimjP0MLXtJ5jaoVMqVvk5yhrLxzXLxivFDMHea7xn5OsFINi3pA 0giO7lTSRLDqX+AXeMdkvTvwlWADXEmp3wBgZd/YvP3USEfBGvlV2ubvkG7c7EnpYi5UOGSWtPl5 ohMqPiYoB7LCrna9fMdQ4LdcO24J3s+7zvCw6uzgoXzLpHmY6yhSBcFdjMJdL23ZDlS6OgQCNIRt a+Wz1+np8HbLqfK1/e9xJIk5wW7aAq5QPYRLKgLkuu8Oyzl8n7yOB9MspPk+D3OGj1w76PV4U2kl H2890+0digvp43TJSreLeTZo421v7Gpa8Gj8FT4sHHHL+RAqfQO2ffmaMITKrCMECaYeBfEAWgWo lbiM6wR+0CGGXvUSPEOIiVFdzLUwcWIvv88ks5n/ARD9+wXxJZ7SY92sTbKimA3nxAKpl1tls5/F MNuoKpSCzOjy38hgGzyql2yMXMBN4rUmTQLeOxIs2CTinqVWvCgjG7pP0mLC94Zg9bNxLm219+sx 7bDPQ0xe+yHVMX9qxbf6kmbPsOf67QVfUf1pkWVFwQUTPnKkIjjdODPLTajyBl7SoiWBD2gQe4NS qCPzj/e5aLsgabJ2uagZkKMuvfDdy0uKQpw+YcwpVvzUjd13PZI/2ZvyrEJmAD+HJ7wmCywva0BI trtcF6KTFuimr1G63p4JIdBjDCpKl0lOZSN5946Z9Ej9HxXyOGOlKDo54SeLpybvYbfeFu6Q48jE o9t407DiTSTGY7ei9nnUWkmc3uSV5yFjAVniqf9vDHDpG9YXcyAsSgSIFPeX2RBKf6hKuU8kXZsQ gfAtuZCvMp40FqKHUz9iWisuMB19iQWraYCczjAVTksvAeaxAqCPHLZ1JVnyxZpWR4uT2BZCEJCX rkWIodoBAlOoGU59OZr+GB96nPPUSyMZIqp5CGHzUr3vU2vfAuWB7nhds8ni2mewecXlXEpX3rhT pufnzmX7d/UiM/1GLTw8/nT1I6u/uQOKMzdjfp5QiNQsSfhxKF7/dcttw2t+wGcz3RPxAs+av2FM yZzRD2LnPJfUGaQD1wi2NjwGj7eius0M7OacSf9d5q7q110xGpLLCmFAR5An2pFiRSlhQfcfvUsc jQNy4yrn0ar2hw4htgS557zAkzTPzMIj0GgOfmdDMO9crWPooEqWcmCezHX1iUOJmgEagau4ZSBT kGmPAB1hLogizgNR6fdz9EsF4thuK4z9D53+Er/BzNhdT8dKyDUyhbBcpvDfQGbyRzVzp3PWBhKV 4OGjePB8xQ5S0yRMFK30KNooSUwUYVp4S8mEXq1zJna9c1ZnK9YrJA+7eEALjI8TvNa7LtiGPclR A0VAnDgf2ItoAv0YYykeQNatdbNlqgGFkQ1cZanVTzFZRKLmbXJUMcxqY7NbIQrkZ8MqooJmgeMp Jha8ToxW84NJTikXCse0n4sQdAcQVU/ut3B42NEJLINjrCl1HnmAjsK0PRHSG7crgz1YA3nfkL8E gPajb+OBoWn0wxxh1bdBb1eWw1ZWyIIzE7fFnXkWuS4DrvYCxdUqHZjo/bpMetETp3hRe++tHY/v 6soeUdbPxWBhYrA0zPexgYHQQ03LWFQGk6aHw15kCW7iax/vG9R0Z1zjhwwqStB/ltgx6N4pCzfU sAhwMHrMi1WixcoMObigyfCF9e9btiGXK4wJ0dUJIPW7LSmwpNx8cbacewPTGR+cklFdmiUHL5wj T4JQfEOs9th0tVhMraQX6FdSPBD7Rs1p8k/lqg3d1tob0abjnlAxxQ7jPbcYZdNvU9uQ/HFz2eEm f92t6lWN+PwPwjzcg+JWI6QcNMhxOFxOYEwFMLhhFT0PYLI7pi1w65y7RUvn8hfeotvv9j9zfZrP DqhvY/6J/CmiPztHGqcHMSeLO4kr6lsPxOz1BrU5ygzvWLL/bDtOD5HGwCyHCLEb+zShOMEvslLM cKGPlWGfb7fqM1V/srPSySrv89e/bsLbE04hi2GqI7OjcABbFPWK5xHHBvnV9Ft/0/G+1jCAkNZT Hb3lrZK+sYBYpfCzxjNnD1zXmD0nOS4lL6clxY0/pafLl27eDX5GUjAGHVbNVFwfhnpo8Pu2iIJ2 yU/4dj4x8kWSp2lhmHnuJerfW1w70JVR5kfjEy8VVPmmmm4kEZOkkhI3S1jizyv+sd9cVLZ9DTyx jJDWid0Ccq3vUrRRF7VMJzKkEDj7XtB1hL4BDtdmG9fYwZWEvQkF9hsW6Gm4VPeUC0BHwBLJ9PbD Yzf5WlvyGV4i6TT/ipiwhu7gAnNeFdaNd/Iar1bJXJUFscUOqh+Mx63cWK69sRemddAN+0AxZ0wE IXWlf6L5TlCrFsG5dgfJQhheUL5M64pCWD/6drDGD5vnISQiN1SHJ2blnRS14APq0Kpy14CCDvEk TUHSwP5Gjh83OmKTn6qYL4iAqwQqi12KtXnsyk3z53Hqc/iETKJjazRFawtXlpbqMcKex4zDKFj0 Pa52f52kq11Ju8qlnDYWzGo1eMu6XCgm0QFZDzkV0meUlCCQ4SQEkKs2i/95rW/q9K7oGIGJsVoJ VFt+yvl2pHPtj+N4JwG+QLVxBE0yCsqgtDpJW+2CXpi/pf80YZg1QNz4DLC1y6LJgSHxPd2dxaj3 gKhx6rSi7O7iQvCyzfnrNbH082qQTKtxFxFC9TGqowtYrDecsNoQtvWYl7W+RRd3oxjsU+u1fKeA qNAcFvaVKTdFk8AK0PnD76ItsbPO28c7Iw8Cl1qt5AKoZXDIkPWVO7IKhodYKU1sD+f0T1oNnA2K pDliMirh9w70OgKCr7sDSZC/IWo/vGlG7Fzz2GcD9DVXtepiCJXmdAyY0nnZUU4jYUmqNKY3g+DW 2dPB60kOm2wAZrfoSTQ/5vzXw8qYveEeEYiI3AosGfuec8hIG/b32HbdODab7c0ygv6Am5O75B70 q5GgGSAhMmzh/oKlaY1zrYyEqsisputPxhHrjTQ56cXOgCxcmgk8k2VYBmTfmLj6ayTFDm/jJkFM ipIHFTbK7x04xnPtLc7LNBBfQUYI884qwA49lPlYd9fQ8o4X+WkJrkPniiO4AwREAETUs/FXA8m1 7dbWYXXTHqUGKRqXPoTTzjH6j4zG2yT2MuRWefXYkubP+PQ06ZJy0eHJEpTlXgw4wxqvvz5DCmki bLnYFiv7963T4SjwWMc+yTCIUl2ZAC2Jsviy86PlnxxsZ+BhJpbnmpy4Ln7JIPQMvMiuYVhNrdbI 0RHet7sLjt4LcktmtKcddawoM83fNQzZCDxM5AnPUNDmWudjHyIEddw+5IlYP1wzfgPvOxAYJ4rD 0+yxQV1DL3M9k4/dakEoyGm8UIMX7SQY3t9dISgw3cSlJZCm0RYXdLNCQGsLaaTea+MvNjOINmex I5F2r/ekZ2M68F8DFCcd87quoc+FMbfTx6ncj9khKb+tuFBkPMQryZQde54mdUoJTSqFZqMMvfX5 goBqHKjDiimuL3gzallaDqzOTBxXjuUYn2Gmdz+CycJBTqlLlJhLrmgudAprm6sP8VSDFp0jk0Be 7X7CigbJCp28UbMcbbxH6a9Vd5bazNEvOB9iRxiVkB2PDDvo/VpICHRdlJutYHmCWWWS0SbKFvGU jXgZvbsDWkIcWtNsYvERAerpptIHxwwyxMvQiPpcWkDTRNu/gzM56cFpYX1iRGWl+2abqrz9wmgr iDOY9td/cYZ85Tk7/lBRxgjkX3IoQ2rBzwAZ9yXaaQxh/axu81bR1a4oJNl3vX+vJRjZ1sKftzbZ 4deMc99qQZ43W0/KTgv++lJdHN8viOfyR7uO2mA2vKaWV6vIBo8KNs8MfsvI7ij5hiwZI5fhvHMJ uynE2efq1H5Pap0AZItI9EuiB2EVRiKICbfhBVvYAauF0uEQjdLjiiXEcxo+lQN3RrYyyhHhdbdF 7CP4SgrKuW2NreU8PM3Md7129fLvv0gf+jXKE+8Esjxq2qp2sGSWBuI0n3tzE7nsMB0LCvvZTnIH lYFup6UUhlTca9YgUbgRMYF97juL8mQqwFCfaRmFm5naPabbQnDhFPLO1eqhUnM48JWBCH7spBBE BQiDvS5sQn+VSUL1ngXJsSi8ph6vDMF5qimaI00C595SB6bAqsZT0svnZHEwPrNFn5nLoV3UvVRK ZIUEF0KxuthU+ymnzEVb2JPwcUksAYMk34gFXYq4pkdV5aVdw2YK7Me9rCmw1ECISoQ3FGkYX1Cg /u9nbxkqAvNz3KmDFkp4uaug4RdHFd6almCAI7/ZFPTOBCP2kPMWRMZY843FhWLQIIsg8fELtB2C XCs4Qhv/44OYcUW++zr6qPrYMvtzbgc6cxV2HkH+LTY8jdInxnGJhpqJdBhtjw7ai3DaSFSjmBsB tdald4g2QXycICt8VfSsj+Uck/opc07V4vg2TKh7WpqlbKcaDDQXLuU2k1H0BF1VEJqYn3/qrn1U qouUhb7Qb7D9K7meOO/al08B6Ml9W6Gq/AgfCzN6PIK5YmlGSlwMuUpBHjulf1Gls4ltELqBcvxT zOls0wJn01Bz75ZmU7ucFMujh2GkdnAr4h50k4cdyCSMFfBihasFVI8z0+S1lpELKA7TBebh8Zsz 8OVErBB+wIwo378ZSv8ddaWYJiUEwfQdPhT8pQPtCk0lMHnR+OTMHhjzxO2GvFrgIbDq7jB1K/Up 1cRIy+Uyu9PoaACgdltGQQQg2a2bi1AXgs1+j/a1dLiCb4VCYBmtJPOsJNq1OzoLAnMKwO6/H2kt fsClNIEtQ0g3eAbVT/xkzkNDOPOHNIWARpI6MiRxrK261pOAwFKG9hCylirZ930vxSmlMHLOwz2N TFZt3yHY9QG8w5ywpW9g+46MzHO/uTL8m2uz7/V6MuZRQwDOGHop7HVBWMEix/aLvqGpfp7szmt7 d0YpbAEYMZLDWm5L5+6r19E6lP0E8q6znqJckKK9qZ1IAnIKHsgqc5M9RH9WicoOdUk5NeF17jEh 5oRchB7fio2DkzWQZpp3gbhH4nDP2AcU59hresrwR7o7+i1HNPCYjA4iuk2FYktZQ8t9I1GNpVKF QG9k216Eh5JuMwHdiGxslRxjzUqbBtG+/m7ERV57l4Mz7XJVjWMtWaT1KZkrr0XVgEuDNewoKnjL jAkX0IidJyJwFZv2dI4aO8FMH+skz3CIxoac87G196ukYdk1xsJ1UZhQ13/X/e0/XGuXE++HCU49 8B7Ac0dPcyL+IxmZib0ihmp5dyjXUqMQNrFiHaKcfVCqIT5pfCFUo0P9iiuQhkfdD/+flPCgQ0hb pPstmg4SDEW7RjlS7YwAfVqPW8d0oWB/9NIT9J+j6fwXHBe4DnemuXmur/nT2mDku3BH/s7MYDA0 y0rQozjbTUOI9+VpDSL4x0pMA7rBUlBkj2lUkUnA/9k/qu2RT4DXnwNnd/2tw9NjhBqav5lirEST KUpicAnf6lwce1fyAhGLIwyYXgXu2Fp5VhpkwyXPXSS9W3BgRjFK0Il42mD7j2W5u4sVzT/oBtB4 1K0aHa4SXmNn9DUiRgiuiHmSlIjyAvPYe33o0L6utchlRhfnsaRZPDkW/FwcZ8veni1CDDEtbvKy Ub9ro/qiTUxc98AWCMNvacAa8hULPhDytFL9zEnopTa2z6ELe7raZYJVvEXi9HGdwpvlIwh9IC3D di3NITuIiyKu1CzIsQYYJTlV+IIw/8K2x44I1ci2JP4D7LmSGz5Gy0UFvHQ6DFCmXvfkuxDldRFc 5hywjRP7GBHgt/b+cb3t5dy7nW0VUkkY8lTSdJVteRq1duk8GBevbUYjB3UAbUB0N1TIw19J7UfU tX82uk4T7okMB8hAJ1LLprnS+cfXs5hSC0DYRuhT9j4qBmTiJTwTwz7EgjEnrF+EoP7CdERdmqN/ Hryv//V2WJO1b7Io9EfPNI/08TGNLRV97i4/TIpoF9FYpsrvhovZhP5HEYuBOQhgpD9SEbW+Rqav cnJV7LXnF70NAWAxmzl5wY36jrRmRcDI0tqG/9FiodkA5aSnL4EiGC9ktHICks5kOGabj1J1XvMr nE1p9YnEp9Qq7vOawTQWZeJ6zb/Ctoy6LwpKsH9Gb51IozRSWRdECr3m3iN6P9c3lmAj7oglP9rW j6XwUzo36RGtim7alf6bso6b3Q39Uwy6jtdRHJ50nYT/CyOvp9wQ6Ben22QeU4k6O9PJ414t9GVK 6Hkeuk8M+yojZCdyvcpVBb1NGzUSjqoGmaLbcJaAF7HctzOtXdD4u+9/0zndU0NJTVmDwVKJrOYB Vcfvm4ZvYJUxRCTd9U7MHAF/OE17G2dvEzEclweWK9AtrXUmsG3oFskTphu6pVWwDCaDmTbVkdBN 1mvPKeVgO046JLj/Q8fo3q0uySmVyKRF0t0hnfSI/xUHerL5WLgbPSfHab8DyeJXI8XGVxII0kVN Xrv3DGj7PYBeouJG5YmzNZ2DFwjt1RE0tPGtzjeFgkbxjkqNjkn9BkASxdy+4aQ/Y8sHzeWL2KB0 twrr8XAbekv/LUpJt9C/AnIbdVB19C7Ca2neE5y1aU3hXHSmYH6JvsxP4PF7+YYVj7GdOr4z/COM KUTdo4Vx+lx6RX4wY8o8XHxXy2y3MrdP3a10MBe83fKdgS8zcAGTmvQPM+N260pf1G/5Wwl8zH6r HuMxE6ezHqmOal57Zx7tA85Sg+azIYXV+d8I7oLmzOzpd9+xQqszlH98BrmeuFtVQ5LUouqVFaMd sWCfv5BZxCrzPijxCpMRJIYL8g19vo3vkTZDGRZlIl1k6R1HEUyhz3kyiHPnnHhqZZplADjJ9jGJ 8N3m8JG9MZFshDQ4+QGQbdcw0ToEL61J8saMXm1dRJx5KL2N804xjz4Ua63I0JSkpS71z3EYjpzw f2TOd8XH4Y4y8G5h67SORSw26jb+D4iWzoQq4mB00rXWCFc4sKfMODO04qKAiqI/LUtD0BVyUJC4 NxLZl5kLerLwfMLbOJY0k6cwvl/E7KkZqENpoz0xK+yB/SjBwLk3C8Q1ff3T5bZ8IZtfj2MBhsU3 7fdZ2OY/NT8uT1g0z58K3EX4JSEABsiDDwLrODXVeYyGjPjy4sZqtn4QPY4GS4ZUHlReVGD9mDqs OJg8uifhoe1PPKm4EniCIQ7Fw7GP8JW/n7DgV28cef4LH60CxHkdhzM5bXpS0UQP51g66SE4kius S4fd3kurEFBeLfcMvzzw9cc+ni75EVkb2V9FakVQdHOC9RD7grVR1NsczGIqe4j/TebWTkW6J8JW DEJrona9z5965hygb/LeNhrbePI2UYF793+gBWyDGnP0G+D/NaNYBc7CyblXBGEb+F3qcTWuM+Rv a94fh/QM51ZXieYCLPKcwFvG/93I9b84FhApNwvPoDzjOqwtQ+57kR/xr5xzj7FSYCC+mHf6gGJj EqXIo707MVY9/WEkjXVLxvqGP0wKgIYkDX7Owwo1WtYpfn2JXV6pyrrApK1HLwLyoqquEW0jtFQT cudofcy1x2GXR5AXm2QrwwsrVBeyMPw0ILdPFdQS6ia8QjsOVGXh+Pirdc0AII6TvjCYJnelvHit WrS5bglpKWg3rZjyZZGqoqFByb0noELzodnUAI3yclBY+4d1/i3ojNcSWgEz4QgGKmdsdX6m3sIK f1/+YeVMwCC6Cq+nDBnr7Kcmf00XtdLzj/y+CSZEWFolL53Tj4o9JuEnjHvWuC6OYKUiUsT5IDVj btn/lbQBh+kmsTte1WZx8685fA8YK4HJiYdSZdzcu4iJfK6fr4w4N9HVVBkZd7a1/q3/jJ+a1aNb vx+anQtg5RpE8kMEzXRsHg8OqoZElbUvSJ2OAeKCue1woclX+038ozJAZRgzpseif/uLYrVjJxQ9 2YubyBpuDojWEo58xWPcur1wlr6HXMciR2uCHl61GNv5VqY/M/cAHJ0J/Mii8Vb67Z1906S5+xDy RmZQcV1QKZ8aidJGvLiEjbesF95YU6Gb7jIJPrFABmjQRPuhavT2ovPnsrhtji0ZzZ/cTFlFsnPB 2h4j2MImC1GR86RiJH9zNxU54RZfQgghDQV3nIj+TpDZOe+JKN5ZAuZ5h05793osZw2xy0vqCcxw ShHp0Rp5zvVziQXiLzbmUn4NYmBeSauXCs9c8ZbDfe8O94rkkPE10ZbDrw+zAnwCAP8ogV307JnU 5gOd53YkCWO4iCkjPCNRj03IHYZfp5DFEjU97bmRPFDMHPsQDyBLOo9Uw5TOLKy+9aFmdxecD6Q2 9rlO2FMjoQe9fAeo37lC0B2KwgEr13137B5ztfoOeYG0QCpHdZ1gxn/VjSpgZbN8bM4kVXcwgiTN G1aZaDwVZzMVA9b7IOaJf41jkSWt7Er2/PoKGBk4JdoGkavdY8BqgqdHtsuBHqo4KuKxEv+uZTxU VNQnYrYo9qgyHAPklfi3fQkqWZHByDBunZKUqGRJzQd/+nJRUxQCBT/XnDcMFq7Imwc1Vtqtsxde sd5imhYQE4vZBB0pud7VTt2nHSxEb3Wq8qE2qFBkr7GmmHug4vG7aLt9y47e2Zey2rOEzYXZor6I dnHkXq8EopZq0O4La0OQMI0v9P32mrK+IFH57D1tE0sATzx2p5AGBWNwKFSJp+Arcp5TTr0nSiC6 2dtLKLZhpzE8WG7uvyaYknsQYv88SoUxq496Pjk73/Y2mGuhDyEYmCT+GRHP9aPoTONy/LOdJnNw AyCFptMyIIgK2+C5VXa5XBQb1Q6ZQ5R15srp8SEQItP5tCIlXplP3ninn7xlRBRd3PvTSA7PhXUF gEcppT53D5IhHIRNQEf1aDMiyeq0FI/tIbZYtVjEZNfL9Z/Qr0nLM7XakG0qcab0bnJJJrQkn9bO mfWJiMzpUzM5wqzLtGIaXfuoDfr09LZcD+3SN0uPqbtvgqhs1XAlJ92kjo38Jt+X8MiNhbhTfehb z2wMtiLSZbcNZbImvIVLOMhr3ZehmmKiijNAkf+rQW1Kpuu8T8lm8UEdj7/T+d0SI376kpOMmUdl yMnRN3fnnKJS7u7LLp5Z5dFeSB+lMwfZKKb7I9dhGlMWadPOkPs9/KeNexsVTwOARZkX0L+OSrER yJjR63VVcUTCCv9/NyIvy9My94sUR1Ks2IGaNU/oH/vuALQzdX/X1aD7uWFX7VYCXmixw1w5ZYn7 aUkukkTCo2B2F+wCEEMWKQXck8a0WaHCNmdPtuJbQa7u3T4PbYvxLwNNKuwlSoefXvw10dbf4JcG CUXuDUcvA2fyccQDR27NxNfQKe4Gd3MGq2BEAB0SOZBb3eli20ZgNAlvAHJIHGYySeY+PuJrsGqt 3e4eIRlscjJxe4Tpp61rxu32vQsSJUf5QVMKkP06t5L3sYRIe7lS0yU+PPROAIpjQhXE8Ex8jZPi 8ej/knJAY1LIgBXt6TmtBWr+uM8ar3Ohgu2C/qbgNRRMgjd0zf51bxJIrjhcgnALmyox4A9ghCFd LiKewATNrvaqWZ8FZZKwnqPKRWybDtdP90yQeN513fVQjZ+Ln/NXxR7lQaoV+JI/59vgFao2k5Yv FilsAk0C5fJR7BhbndrNF+airH4zMgK5j+HDb2ckZNfrVhNxmAD9oBptlk3f3X3ovV/6j0T6Ayg0 wUuUOkb+RRpi0dnhXcgx9xUxXQq2umKP0A2GP4JELFyh4G/9G61LvQj1hb43yb6u7an44UNEgMtp cXtM+fFiU5kdEyvPKWpKw1lmoj33lIsiM1voT0+g0BTAP13LEa4OSauhEg02hnxWtofGfJbGyu4A 9WjDaRqSQe7XtVt5gJYxNuUMtsNIgYLVEXq4hygjJYnqvGSuC55OMahcRJAvtMDLnz4wm6WlQeMZ KpAnR5X0pc6wklRPNCnWwd/n8yILd0Qxm92j5f06kqvW1h9pL5zd/v902LU4HZHN+lQfd+2MzSZO /zUjUqtEOl5xFMgKB8VoGYkvx2cogv/9rbwraPM5fbie1V3sUTQ2BkUbSpwWBIR5WqQ91sU5LOcR KxWNKG05hFzKcpdYiZVBb3ArPhmCQWFhVAXBoZPNh607jgfz+zcj88dF32ZA7IwUxNtX9t1UFhdy yZph55QlOoJ34AsdUHDSECGwahPrRCFQ4irOs/tdKV6MVlxMxl0a6t8H6Bfpm76UEqxFVrn0/C+2 YPstLzwKIE1xI5bPbvl+K3buim0yMRqYbabKaDgMtoun0lj58ntgskfhJ99A4jPJP3vFoVGkyYdW cKyAVGvm24EzrjHHc2pqzg++d8Pnp9zWs4BSLmO9j/9Xpa4TbY+s1dMnmN5waMvFQRRCQ1E4gD+3 RE22v0/9Rdtn0ty7mHEbkr1M5KqHJBDM6KKSONpSpph4uMIo6AyVbRg8Iruzx/ke2V4pjNbGbPmR oaetMF6dBqu+S7YiskKHkbJPcl/pmn8VIKiaZUr7MHraVONfVdYhWjUt7FehtzhNxznawCn84Ao/ yW9v7HQCUh4AuAEpYdrPK0p55OS+IdWntruhSQQu2fFYT5XNjNP3w2MLsJ/Al6BWcjGk2JdIrS9h bPFt8VN1I6EN+eXaTcufuz6p9gOqeRVTxYEQiMOlrNr60x3jB5KctMd1QkufVL6UEu/FqEvd7OFM VWrHnhwnnW7E4poMdP1R5BJ+pLlB7ZcVszCE0EyUK8QK+PGmRV5myJvrcfLKxWjCh/73E+x74ULK S9ReC8VIePPZ41yeVLN3Qc3FMfhlmsyAa/oK+opag1d36chlrJncmWhz4LmOs2Ph620qi8zB9sg8 Hex7Ga5ges3ZRIPvQWz9Pu2PTKvsej9vUBk48LPp+vfmdquL5RuufXRyRXaG1nlm7XfpHWtBzIQ7 X47NChiwRnc+Vy2irYcDOgE9Xf/y+uKSfJdh8f5P4ykcmNYubSou/ThoEu/AP+YUgBCwZLvRxj74 AZS6r/TgYxzyVEIpymz82cN9A6hsrADD4XqgolrZPkf4nawInPabsxV5JgTGKHBOzU6VKsVYeNdA SOCH7PKxeN+dVNQwHEzUldfakRX6gYvKmWNsT3byQ8j7qEwF7ZwnuZP/kcJrgQjNFhowkv7rLepD S0HElbNLw6B56ROFfW2UnqQo2OAjLXuDdqO38Vj8ciC1XaZtOQL6fx76u5pqNbz4X99NCokOx/ca pmz3M56Azhr0T403RLu5UwxZ6tibdfO3ABPp11RMy0j28iOkGAjw2hzgXUQu0zBMgWiR2AfGiiI/ mrlgTcO77eB0LqpI/KgBRy9e525v59AWdolbDJVSS9M5b0s3rtOTCSINFc86QhZB9FdGumtA1U7w 50kmos9m7+Xe+CVMwWj3onPaDj+IDGTig66T94VWfic6YNp+E5suH3Wl39loHwt/2jBQt4J//U6e zzaHUSGe6DejEm2CMu6V9TEK70c1HIN03fReymiJngY+Xh/IeXYReGvjk/kKsbBQ2NnbGu8N0Zm+ 51IR/aZbu8N9KkZyoHz3nlJWQgrZfOP/NAk4X1i2fxrKfQnlnTPByZoGpr6HKI4fJ3E3LhYF3TMX ggBk1mpuNmce9O9UdixoltAp7zSk2B3VuaZnGHRq+cqpjrVXOeNzXurHVcO4ut3hNlQbVbbiwq16 ressA4NldAbs7bTw+47iEIxJhBZAFgPUtcFEbXSkjwf6X4rwG2lYixJEzjV0/00qXnIAtwGZWiiX iZVGK6VzYk8gz5Sv+8GuTkjNfusK0b3fLvyGToqVc/XxhZoGSyJNIQySapfvh8W9CPHWFRfrCGi6 t07ksebwJS3Jr3jvBh8W0EfP+erRQw54Q9/dk6WkqHcZt96KF+9bc1bN7c9lk6kruCp7xzs7vPCE /TpoLAMQphgVzl7hMuYn6PKSIzRdHoswlV5M+gJCwibUkTylqNL7iosGaxNq+N8Bm8+KFsH1EMGr wG03XVCZeI46asORCnlAN0yC7ht4z1v0wAWb1t5Bw7kNVnIXcuy+ppyHVWOlas0k9XjndcJdVJ12 tHKs0xe/wZ+nRL5a2FZJnrHq92EVJKDfH4r3za6mQFD46jmK/vtuobpLrfKOqM35iSM8+Ih8LGt7 tc9vA+wT6SIvuk6OgbpOdx2MaDWhsM1SEHh5vMsNWasUez1cqWZ4mbswMTYG0SLk49WdLRFOHEZP zR0/y9Rsra0HEBPPttovGHmW5wrezChM0Er667RxAzro+Qvgc6CGRO3bjg0h5yIK8c4lJobPEtPt XP0WUL00g7HqxaAj0VKvRPIP79JHQfhdTmURtMhWf/hIQP0APF2L1nfcWdVoQc4kMoBwZRX1OiH3 ZcMhXG4MAalAn7a/o/y63OJwbxMrO4Z573pqGy3Xbiac+lKi4MZyL0pMtazYAPtVZbze3zLnbKPK axgg3B3hYezEKNBGywXzbZQculyXrL4ihZCdEaEG1FdZvkeFtelS9PWMZtXkWpbusnEqYSgiH5PR daIx9+H6uEu8xVRLTH6fFW8Kgp//5VOchrTd760c8B38bWNkQtI/JvD1u40XyHC6UkQ1e61e3Klu aoOi9fR/rRiJ2Vx6uaxxz2tcvXnlnLQI5iHkc8D7yI/QbepwdRTsxFWeYO+sR+1Elx6NApgs0ZhS 92oIfy1kxkGypYHDxpvxR0SqrpmGhGpgmQ1NXsVq93M32Is6kCyc2eG3aqwkA3iHduhjhInjICZY eHt5zavFBAn7Smko7jhvK31opG+6oCFy2VdzvV4VqWC5W/gEQfJC5coi8bgoV90+zI+7iFM+f0BN gnR4PfG/tLFL/nqZbTg8c98sp0/OsjrJPfJdoOuczfwiFfG2q6MDDj7CX6JNYeL/tusjhkORSHdl n4noNRlDQhg19EoFVs6QBWtVYqTiShCEdCivwFM0T0HAnOAnWQZ5ULKpzoHhXK2AMSr7oyiWF6al T48mirBO0DItGNHYQiM/VASwd5rk8/b7AKrCgAsXd0zClgHNmEoj+BO6J7nGT1KqIcOanYytUqxL qvNElZfuKCoVvEG9w9dDocVSZh6GkrFwtqB5dvxWtWr6+NOyyuJgmRXRP6OzxL4EjP6dT5wJ/F/G CQjVmGIcJw8T3dH8w1W5S3ft3+8gukT5xxkr6sv4O1Y6BsZnnmn0sr9DQN1rVFHFtSWRMbF9R4sG HjGNvCqjQIS8GQLziZPQKeS3oZFsWAFGM+ZJNM4nlvsbAQbx5Y9PRkiZiWf3oy109fLfHG+6yf5y rZLczVwx/JWD7gY2zX0HdKGg/Tg9tMfMf8/m1IVhstQ7FudJkD8lqBrBcJUKemRsxTtPBMbWpejj RS4IPMcRfOEFRPe7hmU4U8D0zNZ+mJogS0OOk9NCfxHv5Ww8Y9NXSbpYVpf40rod5/bQQ8/j1JoM KTmcbPNv56GpyhcHZdDBa91cNw+eemjKTwr1d3ZyfE7BASIwettswGqCQZayL6Yyehe8LX+/1Obb V/rA0CQYk2k6/ZV/ZNgpxyMhf9bvH1uRomAMvlf8OHxoZVEhOPUnDgE7lLs7nbb1YQoMWATz4aN8 8+MbZEekhVYOVRgYDPTW7qY9r/OnHxWGXzNqrPeilyM9gOyQhoXQ4UBdqhDzPRXHl76TsReRHau2 LhpcCunF3O3Kb957bp4EJ1iI/5qyjr7QapIeCh5GSX16ftX7hiLXa+pcVyWYzbMIGJBleSP6bZgo q1Ecr/iL830UhsHqxoekhIEFxeLRa48MeYF8fyH2XVO4RG76iZr/wslA+DK5CxiJ7gMbLLtNTDqP +uMflSPfSeXmi//qs/8cpkC2iWnOX0pNIhrpIZZz8D4eIDad/TDRDOGgMlKRlpF3c/NmluJJdfDA EzjkQh23cT6L7OwJIC5NUi7UpoLYWPQsm9x9zJwbWpHNcBE4lx8qHoDa6ayZL6X7P3JFYFoPghpe s20XVk8AXks+QhOFErCuNA9/oNiFFNNblNkJX3CkdsMtAC7Cg8okh1vcK1P2g8lzox+QUdli1tET WDaqNqmFJgPQ7yyUXvY2IDxt3AB5XTJE6RYbBKMr86zz2vgDEpxshYuhsy7HL9VXO7y3KdyUf5+N ObLEo9IQYCJDIv0bjfWBDePsLWRAt7HTI5eMIHrtsnE2wlwjj7Srs5WSvuvVkwkqtM6dJ3ZyDk1/ KBmkS0g0z3m0Ult14knrIXQSKsMcvzSHDJjnpIpumH5d1lP/5e973yBS/zcM8/bRxIptNRwJep3N TSmBjdqXCqBAPsqnmAqHkuFmBxvpVenfcPPI+qQ2GM688kPuOK53HTl9U+/2BoPXNTuc7JfiyaGC LMlsDF6FA0g9eBSnAVr977Y4bbEWyv0uY8YZSCHBMnR/OAvnzwIv7LLO9NUeFuskxeAunWe+j2d/ yDnc+RECb21Lxuw2Q/qPVHm7HpQmz5AjYbHQgfmCPD418muN+p4BYoYrgQjITVhKc/gv9tHGQmin nfDJHHDdOiAp8WEOTFNiNapCZpcpYIAuCRABsjFwLhKEpRxRnDU2xQWei88tYmAk4HuiwuNFgy+A HyNQIPCx+vLjaooEn8VmXYX+NvzdWT9oUii3Qx6O5miEdtgePMKU43zdHvoIVUTdfmwv9gPspQ8o CoLPPGj0VnIvIjZM5JfoTczSouQUfKpQfzIFw6gyoyRITK71FHHNdnuOcVJD4gpWbOWKJGdSWHPm AiLCEVM0KEx6zwk1HOSTyWVHZHS4np9Q9U+puIwqGO/O/xHh8rF4nMpdfCHio6ZrJOrKZRAYSCOe Mf5py+wKVoA3MoeaRcmb39ALrbV92qdugYix+eTghq/8tmnf/zXoeGCIXCcPtbTM1yDsvPOpWEa3 I2bZqCrZ53LpNaENq2SJW1X+StduX8dG1MzgkaXhj32fup0Vn/XaYLAuemp//le6pA3zLZZHs7th m1M22uuxqgdQ1Rk2Iyrs+yZhM/5r5TO9J7qCZgIY0BOHCOX1Q7GVo4XpydrxR4Bxz9QNoc2ehoIp uz7QUo5w+oeNFzmXn1pOTcKQrPYSgQ9wibIhV86oJLqZ7RiRsi1TLYtZhnymS7sXr9Z435O2nPhi +xcPoYJkFyezU6v2Ql74D1szctZRE2MM2jqaZmtq41c/2I6Qw7am1Bku++QFrUVinljNgl9GQBe6 s77ylXTZLafmuAF3uKSKCJQORFc44A0/Xl7FX+l8c7EqpBu1Hrhwtg95G5b2DyT6df8aEQXCeJ03 7nA28SS6ommoCHoMoxcHJmmFNd+fuDpIhoLOeVs9tk7ySLOHfMOYmnACb2xJFyJjjhqx1oZcFWZ/ 0Bn+LZEAq7fi+Ne6lYk9ziQ0WLfMlo37kxFEy6EdvcFOD3KHihWyyM18rTSeAitv5O2qpdspEHJ/ ZKPdrpsVd3a503xs85a361itPo0Puiw/VgqRyVD1InLc/QZqT9tgEyojBaNdDs3Fc5F7GwsowNC9 VzFbvi1IdS2+oZLozYa+r930y1+wzhcNL4lQwcTRr+CUGnGJ4cD3aloXMm+KmDL1ofpiJFd/yO4Z neUtmUDIxXAWZRzOWB52CAB7HDFlNKuVmQJuoLwjF5/ipnak/k1LJJHZpa2kixHSeDIkb13urBer kM0om1G/D4QLem9yVDBn3dFx7+02m93N8FxWi1mwn3jxdKwW3PQiHwzUmghXCjV0Jf+TNl0k/Qrg rwSbvx7Wv5RJgtlOLWyMhEMRAP0MVftModzcigbjraJBjpuvuc7bNNxx3bDugEeqPruoPnjy7AXO 4E1crcJnf+Yfxb1Ns4kr6aJj4zW5Iovk/cFDmwmBotmjX4sWupBJAXpBPqVmYAMGZbQJr4FYDee6 2SYdzfo+IahH03u1HEW/r79puZhrfbumfVLJRx1PQ6RZuo9hImEmS1tiHrxqNzyeNkAhh9MMmF3/ 7aqWmpcqlmtIa/3f4sic7F4VT//unn8Ec8Vr82MB2bL7saIh+jM6wlY2arfg2pBvsTSLWItZU4z6 3PoKY2TBWom+asPhq1BMS7uGRVMpoTnIlhg/LBiHEsmZJyki1FUU+H7IZjeY8z04NHiZwX5mxWSi GU/N9kmzHBmiXmE5b/ahM+DsggWdtwFHHojWPw/X/uMb6C7ieDk6JeXNJ/W9PThPhV7hv87blJuO 8fNrann4xzfxKZ3WZKJ/8+fyXQn9oloOEwRNow7NJMA9PkFelBdWIYt8Yo+0sHVwGzh/vEGxD+43 WBMAll7K4vnzGvu0HAujDo4YbZDT7ahcwB+KZjHFF6BdAVbkoT2r/HQ7elIXsvLjsjpzfonYTRcJ zWn7/rb6JSl/hPBUsVc91bFMCYEw4tI9O8FwX/O4exsL7Hkj6A0R6mVG27UUcEz1EymPQtzBt65l XAGDSiHAuSSWconbMsHEHUwlXfVi4XGiRqL6/iezQ3fb9uc6RENdUzjxA9ICgFFlPp+nXmdNKsYC gAwSTUAIPpVD0ggVVD+oExU4N/xSmSqO+p8zDPLsqD8LQt8pqll7hbY/K+F1CEEqmPQ1rgs/mn7V AdWF32ANhGTrb0VC3CoZ6tTuPGOSOGYoK4Xe4d2fQt5dfYZAzjAXSECyB1g4yK78hAD6ggH44K1L eERPBoJa+9lNSOM/9Ea08bAzqK4Bv3V0MwV8N5xMYp3OkqIWB83lpcxoZvp0XlzHC+ebQAHYaUrC yniMiy9xVkpO03sO5BE0Ibfrid4HMKiAdQLQNDKbf2A2XxTCitNXeDyxh/BxG8lEd5y3jMRyNHNl 1uuQ5wnRtUqw8mxXhvB84vkqw95hZonGyvGnW3VFOEoOjzLzZB5N8EIeV2bKx9y86ps6z2Mz+jR/ 3x5JEtlaaFK4ZURgAnICa3y5w7+fAFXqIa2JpadzjvzJbc6rCu0P72vWj/vE3kjnQzMAo0jNoRtt IzIMjmQMrQes1DDLQxfF0Q4g7iAQCzO3yAwPZ7UnXl7WraDUsjHOInQtfKdYW4xPBHqcNFVzpKff G/ygtmwSXT6ROc9ncObIFY3r5al9L/WpY+Jjgf1ZLjeOkd/HD+1xxU3clcZzZRIbKm6nj0Zge57R izIgN09IMfffDBrNO7aUpyeNa2lny5iOJJdwNTrwvzwasdqnHS4j5Icga2l40MeAWfcpbbS89xKo LArPN7mTscihyXTfMDXOhiUbwKvHQxteN95JHkB6tNQooEL+Tf1F1P6lGL/hF62uZnEGEUyqGN3n Oyvrug/s3u9GFBa3iBnXh8dhb6KDvg8y42s8+7iPE/HUy/beUO6lZHmT4NWTdbYHr5fKApjKFuok iylP7/MguqddI1V3MWmn4srOSkaAezd4Y0uXNUrhKlhE/a35fuyHELIjLjf3v3Gs37XjDPEfvo0a WGVm+tYvb4EUkRPE2yzQ1fWOAIfkKQi0uZ40t4duTETi41t3rbZARXaQcloLyvpIHY9xCtBuz3nL Jd2GGYQ6U5N8YsHmBjNSCLZTK7hQtaiWAbTI1hZtYHtAwx5KS9U3f8oSNIRtHfJwvbDkSdf9Djul psx0XQ47HLqdiRJG2bQu+WgEaqpKlkId8FeoQYrHoBVNHga4402ZIY2tBwJ/MiHObb87Ttdwz0zF UqMRaR3wYD8pjvtsmWbCOnDvwrSJl7r+A/VcATC3zPQ/BuFOpwqfbDlfHyVdcuhJ6oE8+f5kqQaw INVWOGmFpGwcfikydYHiOrNR7FcLq7GPRbQ8eUJ5xC2jnR6+9Wk03GrSzYQo1PgT6DE8mvqNBaYD Lhy47FZQZxYUHi6TxjJHzwBYPR0A2n6sTDtjj/SI6d6p0DwziN1TS/Kv2ZE4CGhJhCAOZn520NA+ l74rVBmZYaAbdKhc4RVkdFhoV6cDKeplcWeKstK8kOaqHifUZXiqnua4OZuuJUn7xyyFkBLcEYcj YmZkTF5+UScXS7lgYS/UnFaLC6vQnggQOU/UTQq6cgnNL45qQADuC9jLOyJQWC2twB5ooNKjDYiG Qj/34LPBDMoLSNx2/phOufs20znr92L2YPvg3OgFJChEZ85bh6OYuXDf8V7hH8fIhZzuUC0eS0HI ZYHuxkAaw2AbuzE7mfgRfnVpZhMFEScgeg8I5mwwOvGybc+l8CECa0MziSaTT4L+M1kTqH1e0aOT JdGnSW9bmhJYPcL54QZ8xKmCzaac1xZoM2RFAaMoOEfY19BHpGyIwgm23F7GRPVc48RJtsDZCU9U cC+8AkDfgc/INBov5d1nXSot4xsaMbtjFZuA462vo0Pb0fVlko+B7L6xk9ALcXUWvwbCMaep0uVz 2aX9uHsbkEPIiS+gdmxh+8GTQ6CPhSWTi7RcNn/xk+le8rbW6fd8xGbxCFOZGVs75Ak/2UDtzakR 2TQj+EWBhwvGsq3L2/mv8vEv+J4uBh8O3Aquyq67aBp16HGiw/CjD4XQAMsWDeCr8HmQME/8d8sf xd3l+bryTmxOwQlVx+/01OYZjXlTGEbnF8ONZvPF7K1+98Ya99E3jLk3Pq/owy3DmZc/bJR4ANk+ 4dF/SW2H7+vDRPnE39/FPjIY0oxfDNJNJ65GDBei5xVrU7RSc7MFj1L2tj1SEYunujHhe4dY7YX+ jGFzQAn73AP084uXDnS3l+hvnEowY7Y1TstomH6VeJaADuvAQuKq3z8gEKUHrXiGoqyDps9XZRMM niSdPLufXcO13CKIEmiBcvnI8OsHypVcErmqhBB04/z6Lzh/tlPJfrCVILmx7VPK7Wj3mAytEwaL wATmRUPuPMeAOvFvg1UX0K+LAhMh+gJBJGc65A4kr9circsNlBOShNFSQVT1BjzAtkHgDMSfC6K7 GxQM65+04ztScuokiDfcPKl/G1wK0ePhQEsmyskz7g2UiBKTaMbFG/XU3/lwG+efgsnX+/e7sUU5 D+ZCGp/yWqQu/pPagiCW7ODC/e4LrLHb9I8LD4tuLbtMVjBtHUfQCkYLXq5OXmtQTFCBSLwDbMML iDg90MQA9U9L/uv+qj8AKSJkUwXoKTptVvTpvT7DWZYOO42mUex2y+9AwkD+LtbqO6l9ySntf5Dn efvtH5MeohfrH5MeyGXDAp3jSK1E8+OkKw0OrXSxR8bc03Y0b4t2DGNqwpT+xmj2E2YhsnPB1jsY z49zCJSLBJevSqkkhzecTNSIWJjwJG1xIsm39Lp5Mel4QAJFMnjDDjyyvZCz9X9Y1VO3i6HlJ9gQ vzfcUSy7wQ2B5R0C54EBVdYfStOLIRVTzixTF35ZLHrbK2wYl8zxXPPJ4+f1HKu8ErVJubOMUvtf EoY1bOnsLaUymL/CGObmoWAFgWAVtBujxF3aVMe/U/ZFQ4+fntS+89Pyp/d1qb4g89GrNjhltzLy U6W/HxKLBLBPKdblm21d5gNrzPU1XMWUg7UE6KMG8ZIA2QQrzClQVBznA84weSRmjirjC/928xmq /sLGHJwjzcmtA+hxF+DFeyiFGwrt/RkM1p2COeO9DXwqyn4xH52XBsAkCyatvr/0Pz74MBlXpv8q Wnu+a5YDeAr0pDDBUZuRDJOopypyLx/ocEsVat5UgtihGw6Qi/peVf0Kar7y8XylD1ln0UHJo0DD 8MT8shbdJm0Qjzgy5VWKDmoZHCGQ0xi2tFpVbIEZ9rnBCs8nH/wUjvGZyGKXoKUTzDptKXR4pttH 0HMZTmOnR9jdGNMvDjqDXuiU0WVLCUjcV95J8C84Wvc/iGzl+hBBmIS9o6Fk5mqrm3S2KgKsBJgj mTuqSXbC/nWb+8aGiRbzcuSh7XvhjOuAGDAdTvk0Wfce+LceM0xwRQzuKS+rRLGg4vl6yXa8e1w/ stIaio5Cadeuoj5ybaHKRqCLkh/lLoQrPknrR3vPWa6WU5kKM44F2nyaYexHv2ZugaQEUp1viilh gwQ5k9/m8OxWp1wRYMWunMcGP3U3L178bV+qNvVdEK2d5zYeSDvKLsPi7EGVqfIK5mCY5HZ6UlNq 9CYQGJuhcfGQv6f7iqjU6Yc3KU7VSgM8pS90h7IetT/IFMeDKtxgRPQd2owYKuHiYNYAoBZjg23H eQLZJgfuaLXdULXKx+wf9EcXmr/3EgC6Kj3UJYQS1acRA8hFEoPyGmqaPMb05FbbhPcX4U3vR/hY jM53fV5ME94bsWHasg5hOiWTNzKcRh5s76xq/L7NB92Tv0lAbGpKKnuC+u4Fc1qh78iFMEKCyV3v sqz+5MPWu4Y4Sy8ShEXU9Q4dGPfGbnf6BCCrQ1jWWxEzgeQdeme53dLZJ1azCQ9lcZ4qdp07gM/d mgTF4wkWsUAkUU/BtZhV3BPnQrRC3KfeILTthMGA7x6pFTXaRMoIBM+e68N+/xwFTEPpXshiiBQO m2CwDWoeYnffrgcy40WYTUBhGt9fLJUxs8utu+8J9g4M2nWKctSAwfO+5kdcLWK8bR1XGmEWVFd3 ru23Sd28xjKi28UcZVKKXBFc6YYmNLLIhPJkrwbgjoAK0tMHUbRbPq30fgATU8jia6brmZr7y9XF 33kp5NRjODCBMNJQKjip6XjQXuoBb1WrG+dIgQ01pxlMvXroy/uxd9Ku1PpCTFARGx+OF+GvvVx1 YMGMIWjsBnvDGp6j4MYqJXJhcWpIN/5zY+OGB4bYRZqSwG2abPYWQBCH0thxtkoFqMmUl6TRlRzo +jzE6sIZeLlpx18/0Yrsh4pNx3DJ7eASlSCGrHvOyTYMTTpvOA4M27LOnJW9lg+Qjy655//Uxr/m glSWAjSPAXJ1M66NK8YB2MwzJy8bXTA5PVq2eMyKKOVEgB9qnuaAlxhFSowxPTNU+OSSnAu2NNqF AwRsjClmS/B1B1Jeo2RO9Rensq0z+DkiozRFLiajN3eY6xkH6P7gpQYkbysJkVQdVqCpli4q+BoP zBfPPCqDGNbTKL61nMbbNNIusbMDQQ33rajxQVJ8GG80ax8gUhBhpp0uB8yIUw2/uVcoN9TjVt5Y SecpQcvvUyk/CDqhH7UHDy6YVGuO+CKZRmndigrIJvuJYBIOezsHB4t542OpWh1FNoeqbkC/ackO ckQE+CQKZnZUPf1tcJPmEJjXxiTPcylntGb7ZvqBgu46Z7im9TvHUeYO51x4obwyUzXPcSzSiYTz JnL7ihU3ypP8jU2z5bsU8uOMmYiZrTSTFnlgaawTrMEr0I1GVRAuitO29KDneY8CrSFdQO8JnRvj ul08IrXYP3KR1xRwJD60DPZTbqr2On/brY47ymHtRQCg18PvREnsaDwtaSs2vL9dPEPYQS+dE5Sc FiBGPBEM4/qg28992BhJA12ZmBSZpW/hlwpcbDJ58XX2j/Wa2P0swBY3NBDUhmF4qHwBQfSY4vay A/pMsyWpSE6isdlPH17RMHxRyrPQGd3LnfHZrS6YO0PGWTde8YSlLZrKqNMxKxFFKEXa+/I3WNSb FTuIkGg3wUiJKq3paZUugfm26odnOgwLZme1LHMh3aFu5/kBDHfqO8if2ojF2GUGhE509uYHfrWO mAT0yTqHm3bx5l5u9yheZhxVtoOT1c/82iTGm9Y4kw5JWdZ7GBjUEjEkxhTvasZXFO5xFOduSgFm q+ZSm9QT3b8T/55VoPf4fZpYr3guMWxLjaVEGGLB5eCjJf1cyKUikqrHKwZ1hRGVZB91HTGlQ33N M9avbQlANGHsg82pf2Smf6ugYk7adAbeCQckkGOs6IFRK64cxQfXcP4ypKzezjB4qnzoqmm6SpdA xNHuc+0Phz8vPExWg6k9vX/FdAKGgYshoPkAElTnE9RlDgIX6XXe0Q+NVdb2tl8LNW569Qm3L8An FvLromQdvUHhpWBADoerdsbdHr20z5e14Z5rnr90GwXx9d+SFhkYq8wyFeaS0fU+SgBOge7Sk4uB rPH+10ZLwE+qrAx1d+z23MjlWHIc+L7bSiosZ8dEIkteZaHANISH6qQZzvgUXjrt4VjGCOMYFhVg Bb02VoaJKgV3ItDz/1/f+rCRq8AtGhisQMfplMnA18sFCp5PzoGN0+NryAsHCJQgiA7hjrXFRQRl 44f0ARmUcQ3BOl8jIJKeYZUkcd1GKHQuSkNz3Xa4w6bPFhhgDrnsU7GLeK46LiazDJ9ufTps0V5l NgXKb9fl9ATjGnlF9LP2RxzhpfoYdVSkTqoRF8fBNvL5pPTcVBBvi7drCZeP7tW+YYGRyxj+9y9m s/RCO3SVSMKZ7i92ENozOQI4TGB53mwFVnNT4UdHjs3pkHCmABJ240Adzwbh4MifTCMEyHRbucM7 lRG2F1f0Xu0dWC4Fq7Fmh60eOQbEB6E/+Ud+a+Aevf67cyem4t/TTwGvKA7QneJl49W2sY8jPuX6 D/hrjxds+EKRYyGmgRt7pZUnUhdtv3gKPqtnUnXzJmTW5GU02VvxtZ3wxqAzzZLy/wl1T2/Hdkk2 cRJPvpyPs4CQ5I3teZ0FgMtGsVcips0PmKs/t6fADfupa1ZE1H0wFvSVC+FmjvMG43Z1S/C/dhgN KslPFc2VzCweeBqTumtV0Rs/cP/WblOUxhx7upLMCSSl5a38gsLmNryTC4/nnJy5ZPSelASs9WWn pCBF9/7b9vfLLEYjuGfvmyptCsUhNpQheqfIbr1mIqckDZXNTTSSEIzxduWwtbo/jCZ9+ZIFmtMw UzUJF7yv26zUgD+C0Qse7MX1Je9cfYGXIYBFXQtrS8hDo8SPJW3tRcpz8u+Zxxfw5/p0CrwMU1L9 FxJeEGiQ4J627DYTVw68KbZLK7GEtdxznLy0b8+HpnSWGgss5boh3XhGFfA+tATiU7LWr/b2ZxY8 qyHmfoM4onIbHx/f4zzmADbVvBdr1oY7dr4tK24JEKAUOChSjsDYqCdd84w+DQa/O5EkmuNIB9QP gmMMYFy1Kridgj6JtfcsHbRVQdA/n8DWJXSGK9gC4NLzG5tKMSD4f3G9jGtpZDefLbcT6pMtsnGn aFw8GQqYyKlMkRL7uABCt90MQuH92KW3Wd4tudQgaaEbC/Mw9t79qNrj3lJABSp8smYst020aiN7 rKeZnwSX4OF60NmXbKfCDT5T317sF6xSvn0ZUjt0hXEIobMi8gQkaV7LrTH4qEeHfEsBa0adR66o KEHaWUV9Xw/2X9Ul+yRk7Dmk8U14spUahhG5/gdRHIYWkMbutEQSuatY/aVd59PVyUPukvHaV0nw FKOyaXxDiQD3637IZ+TbXKigkogMx2tkR2TaGEnUZVdNCa6/rhcn+ZUSygXrQLVpTDYT7Cizf7jj DqpPtF0fBtV3PlFM04UQebOPzKmaLlyG+18I5iHBCnydy76JD42cOk/Anj/YsBjKNIeOMVp/4Rdx LydzL6H2DRoODqhmxamGxt5KyYN60Ol5psrEm55xUdH1hule3344GFhrG//Rrua60rj4OaTSfh28 j3urO8DQF98HtymOC8a6JHM+HSWcDHXpFZvWs/IynFf6r5RMAAQHSsPCLHIB+CCN96YhXGu912+y EPtUU03/TLBSSC5PgL+p1fI9DBzsf+J/rsD81Az8RBZ7LHzUqxwjwCBNwpDaYLMQruoFwnJL05T1 HrFN+/VcWaXqTynRyN66jeaFwT6p16Qmg0aeN6F2KfQljUugCqdKnqJXFkW+RnStMzMYOyGEzQLb eoSgtLwF9X+y4yyKHm8vaJOvsXqBYCPll9NvLyPyHoZqaK3SPrvS3XkUOJkDbZjYzMP+i7ikWsqy HOuVWw96PCb2fobnCypsSlAlQmIlngVSUJmSUtgX0IrfSCTxgZPIsyHgtK1DPHmaCNuiECjfTAJq MbelNnLz45xBa7wmln4eQsucvb2yZgsHiVSxp3G2QI57sv127pxDBNjiB5z2qDOvBObr/BNsdrCZ NwkapS4Y3nf1L6Z0QRobM0qZ8f5PRWk74WbRkQhRnlZl2XrUazZlkr7JOwARHsczU2NNKTf1QGXH X6JP/IYd5Jgo6IjhDaMq/l4W47zW9n8t38X+czFuJ3fW4u7ZCLzpCdmmc9zkxO3iBR0gkiuJoGfR ss8w8/xbRBKrLamv7L0WD9jjyVR66eEyhcSGkOrmHtWiH+Qi8Ziw45/nQ9CKbRaocWeN9v64/OMa KaYZyKZmgA7MpCAW09xyQ7699tYcGIq/fSBNwoGU6Vf+jacFj9fbqDky69Zn5jQesRiCZ0GORJIC NXuLjjiRfiS8O7jWrCPrQLE+feBP/JMRZtH2dMv3zqIrWbXECXve+0vrtTcd6gR8HAH9NKlG8E5B fj1z068YnZ3RnTQribcvAEIqkPacSz8CFWFNOOcXhVcvhWfR8j8TFxiWJRvKfnJgMo2eXnRn4pY4 maQ/LWtTZZFV2H/4FhFOTSp6J0MAzgdj8xj3zuHLG9IDT0L+A48m7SR8UsEM6OdtV3C/enxWEwlu lZiT8G9+PpIm1LWGqxW20YyJKaJGEUrvJPxhS9wkh48RSBEtz2pWp+BYDJ1phP8LgDfhbOTtWHhG Fg0dyWxkI9b3sTEibE346kjoO1+kUIQn3M3aDUz/MUINaxX8Wj9zVTEJBFR31LHIsZCjXAjeylxa 7W0QJq1tef2a3XOVN1ZxfPU+2CyPiizBPF7qt5cdgfwFrPX+IJ19tMQhpxqE2yIcRpHiLKJ3Ldzj 4le1os4Fhjc/92mCW3BrKPVTWN7D0KuwN67pSOxTWlPOAVOFvY3iEPeWQIc7uJ++7m7vxiWKQUmC BpYynA47rQeZHDohgj8oCtOHjqjam3jRWOgaDub94XvlyhXOKnsIP0QFWFhLnIT+5e8UnFKGpXPC br0M+WsPJ0D5ycHkvl9BgkjTM80++CVToyX6Gr8/icsh+GX4hrBOoAhmYFa/VkO160ycDElLuQr2 8CM6ex3dVquQ4Wsdlk1XAJ/+Pd2xVNtjTSYp/ZlYZhyUUzNQXO0LWSgSRLMlO0v6PDPMtyAOjjFg 5tyvhA4bgqiyrcT5+4lJHlOG/XTpymILMTMVcYYPC93PauQzs6wjC9pQy3XP7oxkrw66XDaXfH2v ow5RXuhETFBOXxyiMwVR26dSt66g8QvUwVsOwsdIhYWQtU/uzy4THIxUIscWRIrg2JS424kGgO31 MBpk80g3xB1HqN2wu+2M4AqIVnUE1Bw+BYzjWeX+rRtTBo9J/Qhc4qP159UWG0ADZXthKYVD3Hr3 YFCoQZCLyZrnMdzu5aH5v3sze8Hh4gJAMXsEzn2rUEuoPLQUHwRYFQhO4n9jWfuTcsEfzGuF0WpN ELHe9sJ5h1BzKBGei0p23tQlF3gEfcza/RgAPlwq+jEatR9Za319qTYlTCJ+xU4GsesPeVHRcT8F sQndTw6GDfR2glI6abLWecrcbsRwFY+bSW/ULh4r+cxiOJiPQOYdXIgKGbjjHu1TzC+fxLSxmYWo MXpiVvbBJ02FxxjNE/nJrFuRuxJ9uRKkByUMvX9RSgCCdzN10xl18MOjHQo0gxs/JeZhkTi84fJQ UEyY7wQkOr+0W7M4Ux7rDoH9ahzoWmCkJD7HvAvcz+c/FRNsOOGHgJK0HanN6a008w6hnWror2+3 bOsLkb9NskELNrV1WymV9ivUVZa6SK5E/0h4o5Gdo/II6ze7Kh9odCkeHNhsM9nyEeAQ0otwqI8O 0//WVpuOVNgdNSmhhZVWHR/YXS37zGt9lkn/sbPCKhONux02QRD6jN5gqMkWlfVEAVDik4zcJz6x 4sDEdYqNu0TyBrN9ofgHFoVoIbDhpg6d3KnmfdpRXYsqdffPb4bgVKX0H923xmKmExAyBSc9AUBx c4ilj0/sEQGLR8SJjESWGvZsVf/pT82Nc1wDZvDoUrFxbhL9CUMSAWfftMgu67fZAY0BijRHYXJD EKZ9O6VWnActUyG5sqIjvZWb1eoFpfJHSRYLngE6jiyq6+40GlSD6yIczQXnMDeN3qHz6B93hv+/ lVPWFX06tnMu4wp6+MTQOXaCEgPZvyFp+k+tKK5VKfVlYvXklqT4HtGmm9cwlgcd1fmrzUKj5jjM xIBMvRQzpH4I53x9rtYJuylvojAd/jXSSaENQdiltMMGhpg5J6ZRsLdcaljUfSz965Mwzn6DwCrc uEC/SUfSx6nkbCb/Xxd1mNkkGN3RqkkaquEzxMSW9xPZKsI4v3x/s1tbRpm+1MOWbDnunO1vhvb7 7+BcqZE5OBRufemA/lQR41W/fHpFvKX8rInYddmRKAaLd4qEZtXyrfalWzKfync3Sg0NUnK4Y4Pd XxRkNE/e9WnHJZMoPNNk6wAp56ivRtXZQaid1ymea2W0XJymcw3LNvD+NcIyHROaTHgOdeomAmy1 Z8iLwLN7QuyDVaaooZmpUzjAxjt3/dbpadzf7kzvvEvjvhez6c1+3Qc29eWraHzBkJG7wcidliaQ DkM23LvXmW0lCbX+GjBUlY/F3Ls0rDSBPHBF525EHeSft17fPbnM/xmyc2039KmkgdaIaoO43XWd GqBrq43xdLDhWIoBxjXC2EKDg8rKfd+z1Y5H3DipZMHyvrTv5IUvMYbM1g0zWAMLbLy4IDEf3uMv QuU8beGji5/gdr5T7/oDG0oPxyUnmh26vEqFNbCRMwTG+PnvsBVObLqcpzIZCiAIdv6IOt52Re6u eI2caLbObvn8jvix7JyUbC5u7xpdc3RKb2ha2MpgJkxZXUbR9heYDBlSc4md0Okrd2JKsuEKGjH0 i7mI62MU8R872gEAHlehynfAggyXTDVhcqfq/7mASmhcshS8VE0XKuhnn+vDipkc19ayqo19IpJh 7Ml3LPJl6Nudz9yXPDfkuaObWkt/QYa52wJV9x0VNLUR7Au5kDbaSt04Don/u+Il5pJ50NfsdHaq 12dP1ky8F7pEs1yj1AyVuTovZDQkt2kQBCr1odmAbONaZpuL0r4FgK2shJvk9Di/0Vpko7aeD0HH ryil74ZRXYHF4RX0xysO4cruLsMC2MfcXr+8HladTXTih5yvkIG4U/ZX4/fLnQK7QioUaDwlHfNo FI7vB1OxCSBVeWV5QUaONfFuUHLIzw2wUhdIlS2R/OG5k6uyNoKQGylpIBtGRZBIDLq1U7OtTnRo 19RL0Gv2V+kl1bAj4dZ7PBOe3Ak4V1g+nYnIIR3pb7TpOiwcRqxUzROshJb2iClasw3exoogLwVI gyy0y7NyoU6QK4TmotoBekM9ii2OAacgeXShE/2n70y73dF7UR+3jBaEvGuLq8JnDGEfE46Uv1c0 vkKLhyueOFhFtvKjprcuOoH/L7lu+BkhTvASpmpDeue/cXz4c6UrTjxs5tGnPV0WhKzTpNEWkEtK Tl3hjg8ItM4r3crPv9JP2A76PUnj6sCFp4N3K4uAmMssdkWz+oRQHyFj3P1PjO8zR1tUT71QBGnQ rb4SmPpnP86ipTn031lVmQk8ZWoOqHrzPpiXtZpMgF5ZeY3XyRQld9p1/bv2/n68i+yhAYV70EiL uwxPO2EPwjNSPXk6bJoYGob3hMI6VPhsZqbhsvIxqqBO8cDGwI50rvQx1fenQOiTIvFkUuu31QqM hBspEUy0qsgN9653rNpT3gbYQvJsyQL6AyTaRP6mtojfzgwsYHTYdkoS/che0DmS5YX+9JaRl5bW aFD01+9eH8JWE+mw6G3oTKrikSExKLa/dgjgPhPrybelDjv1aP134Jnx9/3BBDROmLXa63JDIwrX l+pa6e8j71Bsfe9DDu3j8GfL2HYbqWiiNaEE1VfbiZmaWyeCUYjRI5tVL0zYQpI4Umn9MKKUnEvb ovFX2ALda5pixiFeKlm2P98G4XO5ckShguCv3kXNitY1DczwVoDbEEdiocJBt9IARC9ygl8C17Fg IonBXFO/mjwijK+rHt74EeO3dhlByND1TAVRJFqo5XYA4AijhkrTis5nbHNsC7JIH4rimcD3lW5o v3WM1c5nqai77X2bLH+YTgtsBnLEIX51+m5zy3KjiL9c685DTYrFyICtx1ri0cQFojMco/uc4v+M 9m1ZEvEMtF9eguRwxJHAzMvA94urS5SvqInNYKEAijbEXqiP5uSSrlMt7aAdgLFZT3upUTyJbpK3 rEBORTIEzvDLcOe5JRQXHGkRqce0KIW2Hz4xeb0sye17fRaGFhuZzMsW3lTrQeiJd8CzHK3zh4Vm jdaMfr+VIt1QOP7OlNecUhmE9gwri1IyNCHKsc4AxVOflvPhAr2xauID9QDJ/VJXOdvFjoZtNahM Wo6U+BKyHT+YL0gkbQj54YkhDmJU5etK4XngaG8pDhDHE4oSCZYMMnT2FpV09tfgSwtdOAxKqHyB xvXLezNv5U+F6GXRuWr/CdrLK6zKUxpXRWamSpn+taaFFkYhee5JEB1SRNFKeMw3fPKg5GHKCNuK E9/HxEYvbcFon4Q9KCrqsavMqCjnofxX5OFQuCDIeRh/VgG5yy8pfeCaKPfgihCczoTex1NdXEhD UjIR3r6DiwUKefV3hs3vweeGHTnAPE/BUO4kUgIEAk2eRs6SjWpcdUtl7myTnfsL+W9M8BmevmJb 32VVjnetwjvHw+3xvOHwZNcM5RBSApaBODKG0TpAKl/tZ7j/tGlB6o2YnsGwW28owL1E1GgjxGCy qQAdfi6RiuVlByUgrIbQsjIJGOQTaYzIDY67JVDgCsjFRhFoBuPR2qMNMFYEGDktqtJ+zVStbjPY wP/hebO+jaegdTgKTsf7CqlC8EUaLTIRWd9iYzrTv6fLS/nd0U2PeeK/R1nANd5zMQicUzSBqupD 2mxBRKR0r0qoFqdK/JF53jL09M0MLx09sFHDs0fLEx/T1iuRT5zkQyYVIZRHRJrDMD3NvtCXn6eA OBC4tmCSiHTf4uJPC5S9KOLSUG0h4IgyVoKOwj8Hp+oU6ErIZeTj5IJNL6OxnWoeTQPjeB/FkiB0 FQn+DbeZk5+npy5Rucb9Mz+QpFsQEaXLQRGCxmgUKw2tHWi5CDyTXdGWuOX1SRUtNuh5m0BeZSpU RoCGRtgsD5E5BXsGXCTjo0xVAKpmgZocjDRsZaWeyfLCfWgd2IwFIVvvq9Fjn5MdK/ekDQGI8dVf qqyKm9cEEkHuB7OS/XG10nFnLEmID9eGiEQq/jbpL9PK8bbOwKuJf63KaluNVfJwb4J6l6qzkiuv B1ClrQRjd1tkTXAdEqCR4MweKz+DbxKNXC+j8OQqPlU92PaV7HKMtAu/v7wgJ4h0ab/HE0+6yonh YvbBMhwGl+g5OpJd3eIwQxB1WXFXgU3I9dBR7NjJ5UP0lpm9ELMd4EWAqZtoY/xy2fofe2lsB3mt zHr/Xjp1NGo+cYKcvzIsT50XhWuuZgZKFpiqPEntPrXCUxuXl12g+SOuPdx9yH0eI5lqb01rV7mX DsJYGDx+vSeQDBCI3BGIq/pWxRzHPwtwjvNSkdaRu8peQ9EvyJHaoWDUjwe5urBLHDdNDnyEh4UG 8M2exN6ngYpj33RA7vy6llhTwt3xXVDw8QpQQQQc5xWhMKGgTo0/GGPhcvjakRF0GeR/NYDms1Sm 74RX2+VxcY5PrG4QPJGZbFmzm9YF7Ar9C+cCOBWhm4vYGOT/RKzgAA3P5gNKTEtfKfQOcT5TfmEl 645qav0oy4zwfVX74Y44N58flPFF7p2DpxADcmTa6LZ0prXq5RFsWUL2MyRbgRmYSqY9nOTPbeF7 1NIjvtsWnXAiS6IvFFc20UKJRZHvF2W5I8XOX9PfT5PoagnelbXQ5H5FuX9Sml+6mV36NYgtiFjX /npYoEDau9S/dROh/rWfZ8By+eVx/1GrsiPisLSgtxzwMO90xoKYG2wXXvlysJZzMUk8QhK8bJ2g GCyYJwevoMX8Hm2tCAz0W+P5AC3Qj1VdxRdr9rYQWo4nrjqotSTRmGlRas6zKjYwxXptnNbjlPSO TOnwct4YZHraCBkAT90jJm6IGzHedewdMFisFvKALbc4xfsGmS2OnKs4mwxNW11QlX+Kt9+i+eUs OVXyyXFGLXXMOBnp5KWJxwBCdzfqAECML5esgpDdxtxzJHPNOwowD5NQurVQyxCnzgzqHEyGpNge YeJrTmVmzXcA3kcKJgf0xnnbFqNngGLVn6tfn1vHLhh/dhu4tliGqtitsf+XgKIJ2+E7BE6ZzvZJ 249NYUCP2hThjc1I3iwvbYfcqW3+tr+fDq2Sa6cRTMVjzwEKgIZdVo1tr172zqOmjIwjyPhFYdKx 52t6/RUfFnTx1U3AZu9gHI2f2CByKbWwVxsv+I1H8I//XKDkllYS90nm9fF57Bl/hwpCu4bfvIYc zgn9jj8fPP4TmaNk3CPj2JALhpPRN/8oaVpOqang1C5nn62QMVX4kGXCmdgIURNimxa7Pgm67oj4 nzw4wQlitlg+FBQDikrcNCxuEtLRkbm95M+T+yW1ZaSp6xgu4XZ4uUQcjrsNBLFFdQ0OalpmzTvw AZa1CffUtjbMqMWuYWA869mfSLPEXTFD4SyGY3oP0/0DOxO2Ap/12irdyirKviJTI1U0KMoGecke 6eo9VTOUrDUBUIMkqnmhwC3ktGRpNyobfg9rvhD/DdMERLR1sy7aH0rilBpCTVOqeA4Y3hrjOvTI kSfACG0OSwmxnJmxbXB8u0O/I4qyCLXAbNmc5laewdYT10hKPT5jYufGKuXUq6e9kaHplWQ3PfH1 rm9JOGPFCvxi70BcPcWDGRqjY+xK5EGcJzjgTg76TTnpHiz65ljivO2dkepZKPULnIsp6ySp0b9G qRP1p6St1v8QC2J21fOGqUQh4uLeQvJbiiH2+TcypCxUqMf1MlJAtE01SHD2FoJNG+WFr/E9MyY4 xDeL1KBnqdQlm1L9G2HIU8H5cF1ZjPf52IVpc/UKj3Y8q1zxa3FMmFkeZJzelMpxbP+kup/YIvnT NVQE6wnu/50ZVOQsUCf71xu5eS9AhrVsJD0gdAofDUv/WkTmqOx8XS46QbRpfjoD58aV2Afz0Wu5 qjFMjVtDjy0X7S7d4xb1kBKvSAJDDKcpOdDK3cZIVrxE3DDv9y8aP3PZ+7KJ98b1E20rJ4YtZ+gB on7UqWV+Qq5ZlE1osfbLqhMkq/WNF29qElOsdkMj7qnKlLig0nDxh61URCO1PWmwPvpHQJbBXc0a lmV7NS3uSAttgzHwPXB3Bg22YNiFCMScxBU7mJUIPkRGghM1fcxIpo98t/IjMSyl6xmS+N15/89u lpqRjYgFd5Gol/YVZO4b7gthUIGHBxbKetRqXcJcFHlr7Z2TXR+sObvYrq4tAHC+2DXSlnxdGL74 jjCJvwTAVFAzUyxAW+yfmbOxpukMRkubDy/XEktbn9GcLLpYQDTITNg3lDZlSv7PPuxw+2gH6zee 4o/fyxGxr6GAh6YM61EX4IOoie8ecQeaWH9B/TgIKaQkt2B9adlTvg2YNlJWk02SWpp3W/uM0FTk rohz5vMVT3pKlqR5XKbFysmpWa63xp2J7JEL2wdT7tSrMbR4nGp6sjgmq3FoOvZBCp3AcxTu+JuZ W8YG/UGRMAS9exdIkZfyaWkU8lLEl1HZSJULaBUamAVUUtM3xe9is3I7lzIEC+LFjvU2ZbK6v584 Dxw0ZmmI77UZRVJCeudEqnGaW708pwxqKczep1hnispcVjfBS5z6+3uCiKWjreWPq5Sqayv/+wLB RatbDyOHpjsZdkS7ARoJiynmuvvcBOpxqhRakrlVSoaDj/S8rHNooy1HsNGK8cwSDWPIdCKZ8oco PEiRfbLw2l4h2G3/+YOFnO2e8NCD8vfAcf1C5XEwxNlQE0wQYA30PfFjbxU5FzklvmjWJw3mbAWL p5+U0AhMR5oHUVaDrbUFH1jmpBszGcXLHJPzLH5hz9Eyz+PhnRH/LfPdcVqfXKB6VLLTuNV7rx7v a91IPRqXN9Jh0UhRPVGB2yUaQrulkIV2y+EAXmvXJoLjfXQ4t0MjxgTF9WWDYmdq5cpACVwMwNSF 9N2nqkrTLcjysHsTK9eIGiq/yo35extR0QYElllTWju6WCW46Yo0HkNx/jiPcR7BVbQVX78zsWsD qD9R/ZeOcV9XMMJdX3eQ2QCOd3rzVlqZ9Pi7jJPjjOy8AGW/mwjDWN6wMipn2uqhaRGsL3S0Z84+ 3lovVzQQbQyVmHRWYq0MBvoPYyJZYCiYiu/hgGrFKmGUYWlfTfH8C56zCEEnSoyDYBbGITPxHZPc b3ibDLpNVg9Z8KXjPiQNTelGO0AYKk6Il/viWnqQXWG54BSWoZ0zCjq2/3XPJUTifcD2Nf/EbBf1 OS+Sf3ehU3hNpeLeBbWvZBhIQmmDs8fEfMq47Qwr7Yh9ETVg8QBtQbbhRCnboMMRg6EzCqZaPOez 4RvRIpBy2HRoPy6NOLnj/wY9TZPVeiZFf2+DaPk+MOV/Gz/MbF0tDjTVetODxL0C+Rks9kvn76Qb huMBvsjd8KEZwbQlZ13Ch12BVo2GorSvW/UNS25tKUIDGHQa2Y+2FdlN57Zfg7A1WG41EN6jis5i PmpvlPYE8tcEmhbPPnvU0xfGkUTHX0mXT3j8Dmx30hvmop5c2yAVJf86muRu3gLQW3tS29AVQqKx qYcTlv/W8F2P4bnpKaQafv3Lsqs3zaqU6u0z8JX07e1YzPGCYEQKMt6lzRS3eP+my5PE0ZYxuCCP E4n7l+VFy4wth5sshfWC/0fT/FvZW1bniuu0S5+qlE2F2BYxpVn+vkWUDlfE4+pK8aK4wBg7De2Z RgJazrgnaNSMGMm6yNGqFxJJbycR3v6zNLD3xKiVZI78ccsaaMFAUNWGb82cZcZIfqz9luWa4DdD iAMH8t9+VPfh5vOFemw5LzZlQ6tjocJrgo43bBCudC+FBxXQZg3uZQLtFd2yHDUd/Y4anVEZBI// fknPSw9GsbpcHYIJtHuagPoHdsph94oyAMM/tl8WWtF5zL2ySovgX9VD59isXsu5TyqcN2ehbHBj kCkkV3Bs+Lw0jYRpO3sfekiBgnXoOgOHBIbWGuXAYg8YYeIyKk3jDicApF+supYqTkmnEvML8vNJ rV8pnr7XEnhJTxaIXFIBN/41G/WTjWj3zBygbApZOhcOIfJgxBWJBOjsnG3CGbBA8ERAg1m26exA DHTRraUZ+y1RAtsvrc7oDD2OPJgfzqrspQQjZou4eGRraE5s4u3um59moxY45HGqmxX76U+fnc5I 6y6fzHd6SL6RbhtTunZxkJjRTlRTJxS4HklJ2dH3pg/R1noGrTq5wQhpV9HAgtnxsAol/sN3BDy2 4zKJr35lsiFOI2/jdE+SeeWgCootbp01o6B8BUc0D2noh7xu1SbFhdhTwntiSyUqjtcuw1uOvU9B qYyR+bMv7rvhzPNeSsaShT7QLASxfejmJTXiIjMDF75HWOFKu7O+yxzG6TEBH0NLtzwvkBe5SONV W7QuQXQwvI2mfq3aVdyIf29etUDxmw5iapmeaa8Ug1OjPzqiucq5vOKFJ86vNvHSHY1e34YDKhKb CxlmXLflsx1tIs2+c9PH2gGEHFZe+uBnJ+q1yL70MrG9UVtJ8/mHaIT2j0qkZaWVmBLa2DbN0YnO 0bcKF7HBv/+d7FJ9gOYIULnb1SJmH1lfNYZSAgGElDLnOl1PsqJ3JluyO5T+JgWSZC9N5e13wA07 0VjakDOPTpwZ7D9QKBW3Vg0u7CMBi5mDFWsCO6OBfZlPvGNiMYs3CIIZFL2t2kAMG23ailvrvXGp osmX5JCXlEpn+6GkoUO8qvx+VogFRERTH6RVUxDlSmZfRoj9trcGscZdYCYPbwkPLmtuxcbnL+1M JmyFVU8p7Ny/gCPWNGV171vYZZlFfY2oWm3rmjgv1glKO4MrH/a3mc+wXecE6dD8m9XUYotRvgAx Po0sxgkaGtudCZvr2MYBRDo9QGz7Nm+bEUKFVF9GybeowZk367Sn+LduReUmjcpezAbkXavHutne vlvWVGKS7H/5S+AKF3ueaYw+yGhYCXamQJQ3sGTxnGWFXg1c7VLv2beppEb1+AGgL2TfMoCwoMDl ss4uQVtdY8pekneILoOu+oLM7vNaZI1d8ySKFwIz6FF9xkGwyJ+J+xRIjGLG30SquqF198WeQcX0 b/Hv5XKX23OSlArsjqrk75ngype+N4/WqWAjT9mmaHKehLO+iGELvZ9WfsMGpdkxpaLU8i8W41ge ItmoY9A0344QQWpi/iFqKOdIjFlLfKQStCOsjYqWQZ6CzmgEAaTIJWxSek8IpNtzxjQIlr7mJWyx /m5pDXK8MfbSDp7dj+HdBENFbpM3eOi3ltp6ZiuqecfE6zKwPXbDDWBFU/682Qg8ipiGvkhrJkqJ qEQ9rua5HTWeBPrSyjBEkm/hBYlsupkYrWBl8PC9zjUwLp9xikmpi7mSaLA0Qet8bYJsEe3J75DT hGCQkApC8MRE8BKAjQJPDjOS5QT1uXFAPbpaYLTFzqWnpD9ILiEvGuumMR0Jyh7jKdug/+f70mZg d2FPwYkqQsyOn4hUuvpG0X2aeJV/lWLcFm4K+UL3kYGeucn25CLrToKdcLy9u7+WM2hIpBa81Goz dfsw+tpFs3kBl+6/d7vognx+9nlzofEMMPkHnIvwaUToceyIOqLHKEqtd3Zxcq64Usl8wk8D7mva AtVMzQmzELjJPG7D0C5QmlH9AJ+/ohYrUR7myG9fFFE23LOfpRaoud+lUUImFUPCt0IJzzEqxDv+ FjlJKq9xw5csqYJJzAuUd/WftVJ1vM8l66gIzVMp3F1iLdySknaOGzW5PWn1Wmxbj1i4nP60nhMY cSsuyO49cFHhy31PiqvqBfmLQLl9tgJGLm8ipxJc5jQmflw2/2GuZI1PdEUjzWROnCWcitC/maI0 /zwBGHOK9njF6xsw3vUWtfo96n1bUloOvNuqQxfBrswUZgVcaOV8vljkfgH7evawJfRvWzFGjYOW FUtwU4P3iuNQr4dk7MmRgWS1trai/TkRkdhaDP5zMgG++YukP+mcKbwvdGDazFF15GJ0O3zXqV4M r4+fNS0u6EH/Gw4x4Jo1AI6rbh3jV9Vi4W0LPs109gt/qFwWxIcrKRWp/yNb+6hu/uA130fdZWD0 KvX+qLuMVn4yGztvc+EZsgh4Ck6+SDS5o0bD7XTjX2VMSytFzpLchatLH2tRg/hPccOjumCr2axd VRLk/SFCYIppzFkALofvDV/Ez91o9ri7xWQh7vpU5dILhu/NDDvLSBXgApfCVVt27flSYbWjKn1h XLrnZ/M7tu0wg+lZUM1IfPB2LuOTHNe+4NKoD7051Hpu2jt4vt7tZ6ShG7K0v66bt4k7Gn7nO5SV c4cH5rlP5X6uj169ZLOZLbDuvDVo6wwwppW35DF1OHqQpzy4bhoLQSjWQ+ER8QKRpiMaro/+uHHT iI+xeHhC/JIzPYIpdD/8Qu2aT8o4TgZjGTkm363Q0SSJ01bT8LrzSzrVu5GyibXOdqVz0w+03XuB fp8Gb0y1N47Mzl71JgtDAfbnprVWkrJa75nkkzR6lcuqP8TqjGSTeWNbJPCeZE14SDmJYStumHvi lxinm9cyMtn0q7ImjgdGDZpsFx/1Oq8RuLWn4gyOPTnmeFll9BwvPjhG5xUgzPqeXpB3ZuBXfft/ Nq0S4T50NnusGiGQxF13kGwtYLnXa0hqk585pHZWbZIFUXxoXOuFFEYz8173w7nLdzK8Uw3aWequ PXTIX7WsROz8FClt3uYqV2QfnwBwx0m0Lg8fCMEMnU7sGrNdGEOhiin0OBRFU9vg7DDtPMMyHFyG kdaGjYYBCy1LVEQZ5zSV+k3qmwkTKCAUgmXr0CLNtnzOB46VFfeslbQ7fk1hXPpVLbv4rRzBTsGp 0Vr2jtRtJnDfmtJUcohk8+m1Of2v+hBG95TNSHbO+/jbbhrxDrzfLAecZGss8I36nJMk3uRx6YVB u2Gn5C7wu9LpcmLbHRQn2/yQxZJsrvQvu2qDVU52BvSA39zrREUcfjqAib/D+2m2NRpqboDLuhw8 tyck1yMD+8IrR6n5Wl57cIFwA6zHx0lh+QtStzSv/J7+O7GH9sCQvkNP+1V8wN3cSOQDD3U23h3v l6ZqTHNapnk8TuWUXXI+EZVQ86Zub90gUG4/UvfBWYERXHzQFrvRSj5Q9Pzu2VadJU1ZQy0wBog0 3AT0V9ueLN4+rurVtcVGf0vP1QOlmOGBtuQZNVAxS7CpVWNwFS7R52frFcouFfk0AXSkY4meKIpd tKR+c+Mt4Ixt7vhxpqiNMJkAPKxgg5wARPd6r7FRtnfg12NS406J9XC+HAuq/olPos22Hu/oRuuY VOAQFLA5MqSxRHXBAhyl9xjiJdXRAoEiOHG7nF9ThbjSmw0wHESlvOg05RzRQb5waCYuwl6uG4PP mtB5g5MW1mYaEqtrrpRm3LB4qCNup8ReVr4TuC4T0MIdUe7CqRw9APtID2FSQCO3y2EF0np96sU4 Mwf1yPdJ5DOEBNsDY6U9/Q1w7LB42dRCXi0XB5N1Tz/vEhMwAcJjGm/Va99CWrgthXL+FbhMQxfy WyeUHcUV2ElyW7YNV/GmI2+xgLtIBXV7/rNF2aK3MOLZ2xqA8tjcJtH6ATk0h3SdK4NsOTybUBV/ ZZasqGkqt+9a4PD4uglTEGWhdmNbIfL7f4f/poakOwAGx4DBRkf7rw/yNi3J+70NWwNg3ajfAIC5 69+F/64M9kd+RBmLTS2e4Sd6AyP0VPUgq0eynd17pSwJ/Nhat32014zdeTBGJJrybo98YEtRBc2R ApNeYb5ypZihATw2cl2NSUvGsVANkOtjs4EoiWJMam/7ZQSkrP9iJxpy+4Rs7Wss4MSK17Lbi4I4 jPuO1TdO08ZMJCGOv1eGTabnsXVZdG1CWzAf6p/4EgHuOG6GZXtgXWaMzF7rDN3GHk3OkbEBp6t4 Mp1z4YFZOmNBr9hSh/N6lPl4t3W6+5JaDftXPFznfqef5SJnTADtt5bDkAzcNDKFMdaVz0pOs+fo SK9DGn5KrX39ZNmKlhoXoWXrxG5s7OF0JebKi9mnPU9S7gzdeaq4v85bwdxKBkCM17u9kFzoWdD1 Y+89cZLurrDWNx5619JvSuL2QeU+5NjwaGdMPOiDOtDhPfoAagtq1E6LG0rKwZfJc/W7YII/Z4By Jm6m3QZRze9in7vAKw8CKCdELmyx3VMVYP6t1A39p9cq18BS/nfSUPCXfZ367/S+5+2CFjSrGfRq p0fDrLvwCzvXnGfa81EDNFQlpVmE8u5VNuwCpSCyAGhqIlZvq7mDYbdd2UBNTXOYs8iIs01zVo6M 2/3BykNfQdlElggmXFF+LFcxg+ThulXshI1ebzLImi3+sCZc2dXJoOJITDYwEcAEFSXYwiudL2Ug yVayuxMOwOwffXMlSvBGXzhagbfMjHT4gr0eMfzwiv7UJFmuRu9cuGng4s64LhPtBhyf9RLL73mC 5TV12bO5qK7q1vultGtf9GTrLhkPPsUhNrRmNw4bAqyPl+Asjjr5+M5lrGmkePdz0Z2aUPSrHmAu 3Ccb+tSfvyH7STEWS5/Sg8cw8PnOJW230NMjl2VR51oOM+UqE91DGZ82l1kUYDxFs2t7zk5jvxKi SehsTk7tPhjcBHuL5qDAKzU0ITmS5onx4Psg/r1rbi9StSyWh34pUACsLBE5YMIPhvIVVo4syJFr qKYzIqKtyw2uHAOS9AWrAqWSvvQafkj8LMLIO9YMmdM76+ITd/XZVmrQgrC4+0CfoKrOhEEfd1ek qlKWEwPncA3iyB1kyJktXNKv0sFZUC8Z2crC8cOeWXOc6W1WpNUJagLOU6BUL0Vc9+YU+qmhO9vv 5Y+jasfBa1Zk0fO4tzIrNHlZluoGp/F9TpmNLoZ9AnEtYPCCPbc2ZEn7/HPwfcYqh8+m9Fbuin5z QFJ/fyOjOjq0rf/NI8uaRYzHEDZfDSQWoWxNC2vxoSVo959PC/PZ1cQwNMWnQptx9v+9A4TV6Qhk UhPyUl2z3b5hv4S5mfzN4Co5G01L38e3x/khgDMfpn61kgj/0ohHQZGOIv7sDLe4dpynCJjOQtFC RfzlDna8yaXCckKbT95HGlEIlNHfFI+DdMbY9PSG3L6q8kCpFcNh5wkYD4oa7PW1fPrNKGAxVeuZ U9rpo0gqT8KDAzGkpWggFNyn/Ou81g3D/GR7ucRqFdnN/5BCFzMVwd432YZYRupb89x+gBFX15Zb 8l6GdcOYWpumhWs1w+FBeiN9Q/TrLzaHm1oEiKEqGeWCqkXhFkpr/YoNT6mx/iRO5l0Ih2cFkV91 tMHVAf1au/ETKhiVeXvEifqqU9HGtr2807nUfotWrdpnwH5ZPAZWUfx2Vmd8T6cAzlzfL3ikDI39 HT+hlLC7NiS2L58Q/nMYfaI8VFoxlRjothoXDipcXYxn228R70QhLmHebxIPnJrFV8g8L2qLqjfv cM8NUovt7KIn/9cx3/ZvF9mzWH5ZNTKrJoTxUlbN5T9K+BBWhLBLRRW3yL4VMEWtxKxToaTeR4CA 0M3yfcYg+2IjEWQ0hoO5s7J+kXnVcms37zDgADNgggSGG4O/Z8Act8t4RlWlfgz3v2f10AmeJxUS nz2RPoHHgiUFbatQjO/j/fNU+kS0r44ndPLd02HknJtuunZXeQwrLATqyN52VAPX2wfpztgrioXz f58cDdyjOllb8PD04V6O1dLhiOg2MeUrGaz13sQDhG+zJzfBncdKE3nTXdHtpe2ROsJDOjcoLH2L xItEluLI8PTOvyByyFv8tnsjKu8/UcYnEgViCJrITI3iwj2ilzDKKMyB8FWYBwUh+qLFSuGEmJOf UY06PEAzJDmjr7Lwlox3kR+QMZveyobG5zlCp6UZJRAZhWCoHb6u4yyp+kZLUOM0E89IfIVXs9qg HEKl8pnBmCw/44huwuGITstO+OhJJan7SIPH/ucvkpUihtOeBzo844A88jd2GOCmxefkZJJao2YH ow9gjGfbQNiBnLlvyyAddQvVtctkSkMlaDChCFUPpZqOs7gW3k5I732eDrHBla38gDJG1GCVtCPe WDI7SjxiRyo3tRo6gha7RJXdm1LXDO4PS0pBNQfZuccRFhDnNIpdG+2OFWe/PbTQt7+qrdjogwgg rVdFrDW5ybwJ4eyy8UycI2+rFseL4NIg60xB3jVPaE2CHZvdxNtBKBQf3Ohkip/pY/EQNE/qOJXN eMBYeajhBiDDgtxFMV3nI2PBnI7RAoY2EyHuKYpAIzB7uMovWhPMgH+OtR5PFIajUC+Zf9WvrryJ cCAxTS+fVKrGWtex5z4YB144lk5Z6TAN0oczf3KOqNDFKb4nabbl3ZSMcIpRuHs1U3qNuKuNzNIc VlHpPJLslsHvBAgsLVoaEr1dsoHjzbqoZZQQvD2fHE4wr1S5RXAQytCv0UY+R3Y5HxmWqvnIuzZV A3/gK896t9b3Ry0F3RLbhopcI4r2nzqBUV1J3wK9LvTxtfVMHJMCBa1erAgT9X50coaABnQu2Vun BQa8fZC+75fPLvds7ewtVB/tIxk9Ee4QDAr8QwEQzpSFymbs+VGJjsMGoFU6rMho6otBGaDjonl6 Qev9pVKSFuwcmQxHYAzZJgC/OnP3iyDvhwsoQ6OjeHmZxhe9n1OMJWcwmoIVIq+cQIHER/je/1h7 LZ2xhaSe3sOCr11xwSENT1IXPcFp8tqmlx4CYzef7X8x/2JvoaBaFM4x/ux19Nk64M25OflruJQ8 TjuHPIAUzaroTpsH1vwYvjnK1ZToPVobGrQYRpRsFy9ziLY5kIaD188x9jeOw6Pe0yiGHEk8/Nik UfYixdTbla6ZwVA/TMkCGcV0bptW8Obgn+/lT0qk2uuYnmZs8/TYzMRTsPi03UCKaPNL6dVDJ/x6 X2TuSazYRcsm3YkDALqYgxASX4vf1/37vm73KqbosVg+XvbKM7DCJJWJnZilD8/eh9NPvgnK0I2/ HlpkmIQUeMj+en1XjZpOLwyLOfkFQrc9ahK6KsczjUy6B4rZ2mN4hLYt7QJIAP8LKB/1Z/5jRVHj SlZk7kleXSplizhDBFPtiz//YDDa9FB7Klb9qeaTKUEyqo96qtZpLtG5Yz2gmCiWczMZ1emv+qIl Ky0KmYm6txZjT2y92Vlt0qwclTBHH7V4BIDLrta26GC0X/pLxwMjrX68H/x5lk+rHeSwm5ZaSFSz nBJwt/CUjn2KA9kTOdq6y3b0r+SL9exh1W2BkCUYoTQF+oc8fgkoSH6zolUMTgb/gA4Ge0Y8oBjz ahyzVyitnop+YN43TBn8TJGX36I95gZYmIIYeC4KP7sGkjKPFsEWFoqNBb5CRQSvKZvMPEFwTIlR HsE1dimhHRCV8ms+0+CrWmqJrrX54CfNYdebNciphQlNDcad3Ha7QNbk9398XhHap02A1PF3gb1o sj4k5LF2JW+8kxu1DQfGlFoZHtX+NlLjgGDILOD38gcg8ZGvyLdWBZYAxjEBfgaqedAV+SP/QyQ4 jFrLUtLRMCg6whL8cQUbEiRbTbhdcSXUn66NObSCGbXh8tq44z6ptazNwL+8h4B4GraBLkClZpqY 6eRj8wGXjkP7TS+Yx6qrv3AKszbIxE/EKpeX4UBlHPJisZrTGCbjT+SX8/IpZvqHAQBkbtw4LI7s ZKHs1t6n+fMDebpbk9egoc2wXLbq6/IQNBDMeLrOcIBe/PPU304RIqcfDpdyfda78y1HrRLxyt+E VGW1LfslHTvWtmEleMdwX8CvzloIcFxeFOn2Xukc3JlsNmWIAgjh8haOBDEs5z52RK5+IipERp2Q srFs9Y1sdnF227xF555wwZZllAr/uAVUPkBNdf08So+Qt1M5L90o/PNs8ftyORP6zfa6E6bVIBV5 mDj9txC+/xbeCCKt7k+HmQTptE76pE16iyZqCw3BypHKBOeMcukvelNC+/Ozr7rYhedxhE0N1haC sqcS7pIrqOWm2+bWQLjraUh1muC/fki/FAQwdf6KhBRVl5t6yDHScTZoRPxzRxXZH0MU0If7anBo c9SCf/Lf/Bagt8IS/dWAyEaDfwJdJm832gtn9k1uuc5E6eSwcvkVKYSt7DL9itJzfMim03viU/0d o6E63YzoIyJ/dT9aEk2u17xnOiMgIbStkGqixrYXqW4U2DO2Sb7VHWPPxF1dp+rauHSQcUp69RqQ Op/NJUAWTPXgm5V/7TawpK/3e6LTZfjlHW1En0CsqXzdLqjD9iN9JhWjcnLxFFeDW3uOzq1RJg/5 KzZcTEaDyGJiS4Xy13wTqp9UUp1O2pdt0KCxZH9vqxnOOl4aIcpRHyYylcYDGSlgFFZAC/ngYz0D kUvrQy31nN69EquHV4UMToT8RAhWOo0Ag1lgunhNIyKRnjXWQjycsJYFVkVyOr87TnCRLotGQ+jm C2qM9Hea8NStXT8Q2uCR9gR7dFATgVOWKNL7R7vbs21JfIUTPH+BpEGY4g0SHFGG8nMZGX+IrW30 rX/soqk0ZGTpcQE8mznEh0HikFCJk19KdKWNYbLS2VRPSdPRNAy16byirNuwNUuVAUnAQvVg80qu tUVg9szRDFITRCaPC90pieIIsUAGjJ0d4lJl0RKaMGqH5bX+62m/+8Drc4+mLg1ah05iZFNW7HiZ JgNz4VVTlqUrgUCEkNjw0gQlq64JegEyYecgMnf8p42zTbsz8FM+cqFO+0D39bRHRvn/dOxPkMkh Va4pY2ok7xtfMuitTdExlhaXfZfXGYEUVVocNs1oxg4rNESjYDShncoW5rDl2AlNPCIbl4HEL2xe S77Vjh00YgGQN2WtPTaS2X+7xPkCPgnqetTtPGlYIlpd1u/FARQKkTep+LKB0uPufK+PVc1pay9H YH9U0O6mCTJo/3NevEa9vvSC3bpHHFcQoEkz90Euc0z8ctaKdzr8PCQnE6qEO1HT9DhctjEoRGPE 53Z1SHJdPx304lsxb0ByHX+Jmx9XgT6ZLAe5pQ40lmVgapsRcHP0rgRhDDITFDt8Zdfke3dEjYP2 CBPtpcTKv6xWunFKvUCU7mwWMQ8Sttkr2k376ZactV+CfvpJfXblgv+0ziY56SowDW+00oUk0nc2 kZh1Q1TDkzm3mqw9IEEckCfe5TZuJRgfnOh5WZMzQW/nWagdUFvpFiqg7lGH4wpDcLibs9YjDj0Z N5JAkL2n8kFCV36gxcdWP8KPwWf0G27Y+3IibjC6jyqtHaDLQDmidVwuaaNKdGhmaCghZQGbDqe/ roBpqUiaJYphpDwql+iAzfnQvi1PCJ6Q9Vguqe+fnm3TbIkhHv8I2VniGunY86WV5jZYquYzYMDg 7l1LNVrfjN1Eu8wXTn+gKexgjYHBy3gpNtM94QymOf4Muw5adBMz5+vPX53d6anWS270BfHT9U/4 OG6R2ipamLavPqkdqG26gNLrVIk8+RXXumch4+PPoAvjjEeAAPjqjY6F/s44dT3Qhvr+cU0jVKpk 8EnZqCZVOVvvbjM3IXjBQ6Cfdajlja5VyRsDgz9aFgjiJqkCmBuubEp7C/oV2y5Vhy9ESn9ETavt dnUMjpBHc/RsHQEdAaop93b0ru/zIvJuHS726i5RlOyP9xJWzDT6nLeZVxF6zuyq/tdXazi40Rtf M7lQH97IQRdcV0R4FWkfeELnm9Duwwlc9q0PA+TCdwxUy/DvDoU/MXt86J+Piyl5E5d9HkDy3rI4 /scAigL1bVLsgrMV7Lddd0Psx9VX8d5dEjBno7FyxFMCYZwVMb8UMaDjpwCYr6iPPJNg8ltDCsWG OPsstGQwXUVMSHOC5CiZXk1iYsy5FuEvUPW7w9kVI6D1sHLBnnXf4SfBYFIWfQCrJWEDM5AXO0PY BwJrSg7sne8VjLp8VRTLNJZ6MCwgvHumjKnRpdRTstQJlSRnHrHSKeoL1nfTXu7Bt5qUbhujQbtQ 29YosSG8gYNAPpdO0TerlcppRyBXDiJwfHiwYriNy1xfBpVAh0s5728paQLa1JOxkzZCWmis33Rc cnKoZSc+b7TfFXgTi6m99SLna9mndalYBLEj4cs4BIvGE9Bl8uSU0GqeHa/4gT7QMkmrgKJElTE+ JOQ/blICvwhk6RqJnipDKhU9+sVIhR2PbWX2tEqtOGXytoJr26I7FtD3iZnrXa6EoGwj0W35hvl8 iLpSk604Yf32DXgsFLel9qWmk4DAx4+Rjr9ZYaUt1IS2d219tq5q4p/TU9bskuHmZLf86nAzg3iB EB0kiohSN/XOnHKzicXOh8X6MscM9rBffvrxOKaX6TLt1+iGlDrSsrY09R9E5irNrh25sIfBDIOn SJrgSUoiQ5XkX98ihypclnh0KcXlcckvnLRFoMqAj8EDj1PnANm7m+x7hHhvpkiJrD6iprW8pfAe 1GPrZS6Ld6IFfaXqoY+VmSoeSBOrsFTXtjArTllPOb7pAWJQdMItkXk4zLeBk8sQjaSojqQNBDbf mfY+qMTKiYf0Ma9wHoGh4CiuNsaEX6wxXCF0MMo56x3wX04+vcz+WS8VYSbjSyYbvqiX2zqv8yhG 97m5PPg5gZi7pL19LeXrUXYY8ZAeona7imkNidXcvIhP2hAunLNmZfmkhpi1RS+F2ic90bc9hosr ESDAvSPhazLnjSR4N8/QhtsZrCMXRPhdBj9z55EhU8lqr3qb/ycwOqAusYyl94y7+0UpWVWuHWGD 5l8Tt3tYH44vUI39677wxIvMSfYYpe/3PtF3PfQYBqZZxIf0us/PwuSOCt/NK+lW8VO5Yz4PvDO3 GKcdOsdRnZw2zlNVbmnRNQRXXZBGZSErD4XpGsYHR9QNLvMsHO/WCg0EegD+jNUnxI7gPYYjE+2g 9eF/LRJCwDxriXHoEVe8oApaa9GoX2YfK6HnHovfazRkMejfMmfj34skniZRXqzO1CG5RB9o4Wu4 ZDoFZPNskzRpJl8hGSpOwGO9YIcTqTapt9QhGqInR090C+ZRFHikaRLeicdggkzWfEvoIrVew5Tt qqS8nES7ihHNQ4S4/M8Yjnvh1ZKQG0VCPC087LSmuGCp5PyjrtRS+T/ghpyid3werRFSUKQz7nMH WGg9Ako4dpi5CEdhcAGSAS9wcJOhD/CAd+sGU906ilwY/ln+bucR8Z4xdOSB0XxTr9yO6ERDyU0r VXCXq8+5ZJJVp0pmYsvV/2y9f1bL0CYlIgSgnbrPYvpF86EGulDXH/S7YcEthdrNa0pFLQ8q3dQz LcGDU3h+soASdY4eFFYojSo8Qp7xzGB8v6wjcOIH8FF5N6WpQXxRQWsuDh9ngslXcDCHIuYX7BAH u0/yqNksftxfsHZiA0HaCI//U1StB21dzLOwmoB+QAfIAQaC1GvEDaG0P70rXIiABl7ULnIuEdxs GZn0emIeO/eBmRczQz5weNDkfcFt7DKNUtIyJjmJ+O40vy9xkfN1jagdp3zQAfY+c+LGCzrJX+xy TglGWtx+KId8zk10ML3YqHy0yZz6JQY6n457cdSqh1NeTSGvJmU4WktMP2nVpwOS6NoKRNhZ+cy6 SrdovGNineKAb4P0jOMiBZMnRdCZ2YHeJ28bmaKfR5tk5IGW8c1za9qRsaucV3OWGQsR/hBECn7j RVe28E3x/hDquZ8EGTghcMpIbIa4gvzgEQu2kHbvHHEF0jTtJvl7i1dtMDalkHENWUb7HIpuk7jo /Ha+cl+K8/ZvdT4Mcq92K3J+e8VKktay5S2SdoxGOPELU0UC9QL0AoIge9QDcBQ5ujkVGGCI9v+o zFYW8LvqRma6N+4wWKghgzoxWPfOXgqwUh+GB2NC2ZuhpLdd9bW1VH5mcHGx+YMXh0bnym8Fkkk5 3fkAwGSjQ4MPbsA0mYPhUCV2nV7hxK0skDOCtP5YdOlaDAbvqwhuEX6ASLbVOvzW3TCAjNKisdRE KPCgnE4J6DaU7m8GePwXHWIyaElsqoFkfNF5OmFsfepR8AdqSEBBcjr5aPFJttR9OLDFZWf95S8P 1thIRYDGv8Ed3My3IaOpwFj7+62NE0lnXDTUFP+Bnm9ixGY45PVfW3j9K7OuwTxrK9jhJkKi4IBc Pd8xMf1os267mM4F6wnw7pjzB6bFo82cQ3Iecq7HLy2mG10sEmVq+m3KKfvTrgDuUsZ3xMCbU+79 QsRxwrtZ39rUJtKNi+ubkIvtEP78MIGO16RUYodAl/Ie8mirGtdnVBF9d9JNDtdFZMBMOBkfd7zM 7M2EV/5bgWy9dUNPRFVKkfe3VEZnUfkWr0ONimyUQTqy9UEmzgHWZTzQxqserBeNSUgptR373epr aQ8HmyhfbiqU4596PiRQEaYLOSztLg+8rXgIFnhZjrreshBF7qVspnj1CYfvmHeGeOXGVhR+mrWm cjtk2WZjyGCDb7enmf1mHbRtgPQ5eCkrzwMHi8rUuvuEUIDSTciiQa0esEDYzr+93pxIfMf5GHxC lNMh+yiG1zG7o+VOJAfFuXHsxz1AHTdGRVuTbX3akoga3gQ0YZpa8WGPNrSxUpT0UUYg8E78ZzFh 1Xbs5ALvjLwXHBYRLhTRevelMPtrAqbW6ipTsGApNMqq1h22xSi5wsxGO2rdch2+aujyWpOjZW22 bcqxq8mKyO8JKdjuBSTC/a+ZnFx6nW73Lv2+PpnoTWQV0XOioRCe2Wdoz3KX0twfOfM+iXG8cLlm pvP61xoqU+9UkHM7mBWwxyLSF4iG2pz7DH2mMHBF4SbeFQdi3rqm1Q1pXTMNXQ1NpkN20Cy3cg5s tC5sUBciY44PgeIURmq4ApOPVBZVKuVreSblvEmIO6tTTznrLByFnIFgq/5Pl9Ow3Cvz/NMh/XII OeJUXMAHz/WLPR9URnGe5N3fckIj+iFH3CX/OrjJAIPXMDKCuX3hhtyXmgeGLpL8tdpAnYmEo3cu AYs1R6SBKzMsgKULSzbYJbgbzDWnqg41ET/DTyryL3kPfYEx1AU0c24+81unt5x8SZiwmtgE3Rom 12WBgK6tPYKt6vutu4H7kG8aCFFMvPzbMmdVbfcCBwOno1lCvYr3YtoCE8jne1LDDX+U1debEKon ME8s6ojVeM04sL0wz1gHu2ODCQqcl/j+Sg/JF0BS0Rg3vzjquOMpkJ9qxQo41dGmwqfIToEI42lm lW3W+HoPybzv8D2fQ4+5gEd2ucEu5Oq3HUjr90nE7UghAbitSc3jm8GsCUIFCWhXyl3bREITl0/2 Z4PJsEomoCEuvgLx8HsqppYwwwLy32kKybgH+gn17kpQ3TqGF5N1kRx0BEnUnZ8y9r8i2yIH6mbP urHkDcJuIex555WmN6X4nhAMw5Su2w70XcCsGmbhYpLGKLS+licKr497QJG0diWqJ8Ul43YgCtK9 j3u7972WUfBzovIRCxhUgL9baFapQnb0q8jZ2WYsq8dMmr157uaMrajysDRPA5GSTjE7LjNVsBCP Ime/aaxCU8GUZ2GRB/0lnw1T9A7QDQy+usnSk8+bqXEL6x97ndUPYFHMafc5Vf4LJu30vzkK8Kok buFVVWCQlDzbyNjT3yfzqHxK7KA4lmukb3i2cuiYKE4SUROissHh1J31LuTLHrd4CTVbuHdjw37Q TeoniVOA+KBwZ2ek6WAH/ofG0HWY4YAzntNLD9t3v01NZ2mRKQEs48JzAoVSmk1K3k7yN2PRYa+d w3btuGLXZNv5Lx0yo2dAfEN4V8Ez1h76z38gQyp1Mv8K7c2zpswbuLcMsIa4wMCc1GW+XAW0QrR2 nQREmxgBC+OKb2m4uyVPYXBVFDAl1pShlS/GdfLsnC4q4NQpFqscPlkaHNhh2gqp4xvxEh7MjelG QzTK35422MujHQ8YjRKVvBpK3AGhyGUlnGIVCTKvc2r9QfHT1GpjqgEnTT6sCCKFwuGh9PYaACgO OWUxxGJp1YYt+fPwqPqkNzxVgi5AocnyPsx4AITpzFGWAA+0QDUAqE10qnwqsTUHDk3RJzZ08wAy 4Md2B23mohM6WSAyLN3UR6ANreXaYlBkKpHhp7AD/brAQ23psFqBioORs/lWShmZtGdLrqLTgzzU yXeXhbExYY7gt/0pz/SQhgaVlQV1ogToEEiaA3hnlCh3/bm6xLGPes24x1GmjYulxTsr4tPBo+T6 wcOyroULLwk0868bONdd2ew4UnYtD0dKCPFFJq6feAZTkLzL+4GAPMhFDVglD/Qtm0brELauF/IP 7d7/KLKpJ9bp9LCuhH05Xcx1bRWgz2QxKrDgi6pob1ZbuM45Mcma7YrooEDX3tYOsW05tyHk7JBX czj9MzYNPOvChmEhovUqS7ztYO+gyHGzlPdYpWeawHeIijtm0X/iTq3QworjyiC2RXy+YHj2zoNN 6eXfMY+CmB4sZ5nx9JEwYAIHBKd1UTJMoLwFYNc75YBBoP7cMotwcUijov6fGK0cXeTb5pvXEpxC Q7tdtFHuhfDG/diJxw61/0eG3rI0/QmaGw+WKiDdSci/O64Mfe5FrPyyOqHvRA7PiT14b/kj5dz7 RZ++jdQYK9b59cPU0OySdDrw2+J8+vGAGrWYbN4jn+hrYsiBAHnKhzjrHb5CuZXGLZSB18L0ZII3 qRMCovZuQwB12f3/ZR5caonVa3zE1SeJDJIZ58ssvSplEWzsKbo5RI1qDc+AfoKLvbutgRB/NeTu rdjfrwtdDknlL0oW5wfY8Jp8cxZt0heyMe/y0Vs0OrDLpoEG9/9zJNHDxfZ/Au3VkMBODu4fL9VB 7X4iiyNMOSe0U6WxVmgQPMgnext3t80CTxevNpzRo8wlRwchSbILcjJCVUwY7+Fo2BShVqaLRW8P QW42/VPhETvvy0MAIYAqvM07n7ebDA2/4xucRNtdIXfkPIEIFTyGXE9yXCz4LQoL5Bd+vXnPYUsX 3bbO+x48CUS/Y6+fWQkuACYPPt1PRu7u6ucFz7bbeRKcuILrZarsy7WOjR75DsF4ZfS7pPIZ3sAr wXNsUzPhCc6fFUq/3OGwLZAbbnPoBz9AaTa+qxDBkW/EQUMypjBi8FWSDPoRG1yJvUlVII25H6XY J9ncpkwoynb3hIwPrpCqTOjWt/UGbzMSPUFDaJ8a1AWjjEktXuhcwnSfKCsE5igI2ExQWVWRiFZW imtAKRHb9y+Bc5bIepDBAkDP0NCc5gKVgSNi68OzsBQCXYGZx4j7YgctmiHfRNNw8SktEtvQi7nU awFEHM8WrfPlKrmOBF5N+f73s51+UNxLL5ymH5Z2MA65RjCrqxplx4uQTqqJ+599TJf6bZ37G2Xj kVs2ojxeP+RDl0PkkS7NBKq217HVXArOcReU0uhvDr9lj8REl3PlRYUfOej9yiE1uJfm0qFjkE4t 6uZWpk+X2EhzZr5IlZvQShpok/ZNPH6YO496JEWTdaLNICpFHx2pKnjI947mAFaZhSIV0SA7peHV HvnhJyQFyJ8Qas7gLAHnj8JdchdKysK/7vtxHPeC4zJcDVuTUMEEyF9fZOiVQLVrTv4Ozgd4UjMI 03g4j/HDmBV/rCoMEvmRCgFyP4LI2RbzLWXIW9eNyxP6ssVOYFlHr/K3A5d8k86BmNA7h3gnBqVV CT/VzOMJxf1Lha9kUDU2Vbcb84Yb2QPxiuPowTBJNXzrWURaBbm8wHacHqYuIWg9cOB/DO1SVeiK U4NlpIMhNe0aJyC1xgN05+RvBvi7xJkR3IGGmQjnqIbbDctDTPchA2SJdAfv9c/tqa1SXaEoCLXz 3BJYPv/XZd0iWTN5QjDO2pIuFQ/4gIKubqGOUTZl+TtNFV5B0Y4vcq9J7hlC/WGhZU9m2aGuw7mr 39YxkWPeyg/3P/eDhTNRXYsgohriovn/HXhyhVuqoQ5oBasG/gm6y28c4gc6ACMwiixB2yAS5rpx Ubjm2vP6lcJq+YFZqEuVp5HkEb34IJ1+DfSPXm/fvtXNXIdvkVo3zIwzniVy2ixTHGA7aW2NRvx/ my37ZjUmftQAwYOFd8r343PlqLsbkpkywy3IX6ne7eF3A3j7dzQr6LxEWOV0XcUUQDOMQXEsOvTr STK1fukTL716FKAb4IcMedaQQd7zNymJO9HtFPRi/iCoNKozXufV6O2oLmco9DDtN783Ht+Rg+UN HZosUn0EHLlcBKDGE8LlkKypu0nRckMvDvdtJpS0iuy3RMhMTSDO+W3SYbyVGhmttCMhskQhdFPU lj+k1iZYbXXcMl1uEkHHDUH0u5zzw6ZlLAV7QZMPhwYXbAXHyoMQ2dScyXE77bMjmzg2DpquPdwj tywP4ewVoDlhzieu0hGKxjAg17zk7/woKx9YZMSU2kYcVDvC+/ryawjOM9w46uDrfA8MBU/SBu1e ePsDjL2gHg/mwCfkiyefESFPqg6pbx6k+5Ji3L/D9N+TCvmBc0iN7QkkLEs3uWUyydl1L1crFqSp gV/8ld6wZ/gBPKMovpOkRAkB9VtpoOBENYSiPyXKe++05UwsdDz1Rm+RTGPI6f2F+4RN6b+QVt1g +8LnmjRNUNFOiqj9HKu8tRCfOMeKP5S9AifFmSLBBCOnXxxi0TRgdqx4CZX2OCALunbHoMSe6p8X qnLXv3Rw8Dr5eU09HEKLS5LZ3LWs5unhL5vBr3Sk33nVdGptFTtgM3tz+6ln7uMlVlvCnwP180oC 6fJl0At2N+i7tpIN6fa1+LjZgWV45KLpyw/pEoh/6tMIcImoDd/8eriGkOVqpOqHpMvs7WxSP4fY Jw2a0usyZGudbD8vPxlWMU2PMWjpZQFc30653q40aSy/XTbsUL5IFkXEy3DsWpnrloDHwbiGRjiR B7fITDwIR473mi4l4u+oNsXDoYS0LKAC0x7RyVGiwwQlcbFUPd7+nSEJV5Db9Krh6rvnlGstv4fV tJvLL3m9/zu35IHZpedGuy/X0TpNvtk+EXSIeuFM1hLWqihccFlYMyj2UazthzLuYbPghNFgxIYV P8Vq99lUONL0Ai0DR9aOF/gnuyzKa5Ar1GEHkQ9+tq5RltDfbZbb48B7BDbcWx1KrTpC2RPPu65q LkJ9ZSA+dFgl+fCFWendkEjFr299+GpcvGLWaxRV08cDZTJSBx2GC0ixDcYkHTPFrpS6mvRZxtGQ ZPkFEiQARuXb9+6ithhdOZOKXpxqd5r4mUeXyb9mvZC79B/I/JK60H8cdfKXVbIsof50nsX5uyL+ ikQTwlT931utXhYGgO1Z6YrmWqDgvJsgHIoV3cvlrQYjFzjYbXNZvmIDkh6KG1qW7AI+gZL9+pnR s8lWOtlg7NzgeXZOqOScubQGBoXgQ2BWHG0p3kCpbzPZez5bPSG3zFzZsIq97CTNgNHH+G/bt4Tg MuDitqb5Q+0r+tShuxNDNTppZD4d+uW+0PwiPTH87e8Flvoqtt3glavVKV9mnEnfZQGPShCi3f2H y9H5oF5YAMhFsSWlIAhUfGkAJLMFN0EIH3/fmtVIL5WYZN75ORDXTWvsiiY1UfTh6o/5AXus1uyK 8LSsRqrmVVIq0feuUF6FbcyemGpU9jyF5T9ISH2r2hVbTF1W5AOBRvPgvSL5hURAlEWrMU6DmXc5 7Xbs6fRXPtoUS2qZaKdoqGZhAJwYfBlOZ8qSXy0Fq9j8ApSpz11P2B8QjixAXP0yBwoJGdScOIyv kPAVs/2ScfawJy1q82uI8Ph3GnpdgiLsIBh8u32ucBOZ5F/w6n1AmY1E7rCd/syxuwX23qIkd2Y7 kc7rHVVCY2+anLweHBVmmapCHRyzFFak2VnsnTm133kZEF96hyhCHCgQdAZvG8gcYcewSI74AvgC S78mH1qxEdY/zb6P9Pox6m2xLoG6qqWpTaS6QKedczRa9u14vCIlRAlqSBMTBZO/72sEbxE/Hf4q 9TqCrMkg2y0/BESgQCycnRcjKNbqhZaWL1Pn/jVTfxZe7lY3LHRIIw1DYQzlnNEhwsri0mXrugMB oRvFWY1cYX/ntr8sI13u2RIra8vTyjfVQkJQ0GZBbMeoUPTLH6hj3Hm5nkwvmdNj6xq+ypGxzo3X dly666Okyk7ZlfhxvNTJwhFqVadgtyy2N51JUcgi59bQ2L9ORi5gJ91qA5Yw2lBEgJ8zmSORcXy3 d64RQBDEwXA7mW+zSMXPTr5LDP4SJzmJ39mBQY86FWApqRt3yCNntTeoz/5zuWhnqzl1JvygVYu/ cPK0yJIOibndJwGNT78gAgJZ/EOQo0rIo8mp8TgybgvNMr/Qw627hcljHJz35+mJAjcAq1XhMMqm F6L2htZdagP/xo5xSKiOvcnpmetIHF3U0yBQd66vhrWV9ALS+iOkw33cXZen00bwwnpThsVA0d48 qI+RfrcAi7LI5XlcRP5yS3+BWk6+TYg5PaL6lTSLHuvuwMFHLexr8xKIQJIzzJxM7KZxkMOZK6L7 63LVqqUueBbVVYmmxBtTzUm1zkBLgS0ppzDPrKqs6qlSoD9iRuzfWPjEg8mYzjzYMIxYF6UmAxah AxuZN7CcaOPZSq2qTCDXU2aal+gZYdEYZpqAEJ8tRf06V/xZgIuieS3Gi2YZ73sguWFi7pu9HWr2 R/7YUC2Xr02jCtE8n1dGLQmdAbF8pXTTtCFd2sgf2y/iqUfwxXz9K4DE5JdOwyYPtnkp5s6ohQfT 5gkstQCfdYV+ZP+W+OEykNIgETAnVFymDhQrmZRzqHy1KlsXZMqUEHzQ+/ynksLUeQoLAjXOywAK nLOB/hOktH8fvfxUsYPF7Gr7vO9wJGErBX42WIi27uE0gxBunxS1lPUOEg5lAhzxgCMZGvtv9fTw 7HqoQWYHm0FfjxYEimHbsGRU7Qxj52fkmFeEzTuSSRJy6XkwS8rQlxAxn7AVoFUoWxox0AD98JDS xyY3Y3imjDhMaXof39woRC5upzxKGUZqfWERxzcg68vByFcrJ7Kfm9aD4z6nWJ9YHjxAamPvmiJZ EakcRbgzGFNbGbMLhRuxBfd/+c14ipwTG7aP9l1dt08scdG9HQHWSRc3mPBaamjw5vfIfFsgU7i+ R4vwJiDcdlZLOdOo3Ff6nPulKh5zpXm/TiC897k/CsZflVjQgx1ZqABKATcr1FrKfbm9h9SDuLAl vq+mWeSV3ChuBXJaCp9BElmbRdU2RXhFA1Bl0/nQ07x2Uyx8N/S/vBqgjNvFDJa406QFkvrmVb4e KrhJ3xcyiTGws7P4VG5ndIVWHWPgQAjo5WON/d9MXl6kASO5SArhhuIJinMx0G7T1qzcOlF3kSF+ Pa85I8Iz2tgTzH8Mvwp7kHwfjWE/4zxZMPjT1/M4o2K2YjC+PJUE96eqYAP8k07aLKsNVcLh5O0Y Gj5zKyAEXvdlxh7OerINz382zRCE7ThcmLihTID9iVL6A2Ua0YODZrJO/BDUQJ7H5jiyhKoGH4kB A7GXx9BV4c2U9fhOm+uLarwCTj3pBEve4gWOO6YLBadBfYtwQOsSHlqy91ADoLB2+En5S3qFkfcn T7UMRNftIToD2iVBXj+qvXWYZASdW7SOvwGYoNMzadsxXA7uD9xCHY82Nvx6WC5uwMwzS52Rx/Wj 5ujRiySVhs6cqzgFTwmHp8VEj7OPP4zrKXwtAPb+xJwGm4cm2AYlRT2NkS3V8zuq6QqAVAzSMUuW 9D8+r04kmK8RZNmxDE7RtPOt0qS/jhVbGCuHYzyG8iK/FCBNz/xEKZKYKuVb5lYT1rCgDqklMLV1 /TLG4ZHPyPGNMuqtQcpLXXwOA2a/MITQN8db4lsoCKtEFu46VIOxBWcagQJ9QtlP3wfOlIlaXPTb NBIizkPcJx2G/AU2adh7ss2a8XXeqynMvRJ1ivQ/gsQrVJibBYTo/Nl8Hd69G6yacOB69tLCwwdD 8bcWc+LEKv5eo/PF30O+dr4PQktc7ny29zOJtiVkG3cbEh/QA8O652OhLjTxn5yGgW0YqXau/US6 9z23cPcwASP9k+oFwJ/t07FNxabZefHP6dpM3FO1rs5MBipGxACBzbLAz1c72Nf1GP8FoIOcrxW6 dSYqho4lBDiy1lvz18Z2tHJCd4USWTRZM1jPoRfpRalNqB2e3RpWw6H7ia3M5VBJ8L9FBTg63Ckg EApd+79BR9kdP+RW46+yFDJTzAau0pr5MxfiwSSTbw3B8yyqQufH8reULD3cxnvk4E34UH2g59cb m4zsIUtWQd0Fq5p/UuADvHTc4flYglF6RyB87S81sRBsrIerqde0HHjVa74dML426kti9vzp0zj6 zNMCM4rKssWSdc3pWyU/lHt0bLvydrzeYtZBZVOTRV4ZOXikuNJidvR4zfYbckZppzzWxcjccKA5 fPGCEnkUXj6i/3l1EjP99/v4whIT6TcXF2BSF+yy0GEPoBUm0mUdjc2JTjLS4tU9TH89YVRAufsJ lfjw0vzRhSBPadbsFhEMDZNtP7Q/AzztsbP+wAMXVIZqEdSDBIF6OKb8JSoeyJU2/jJoQ67nc6L5 PsY9E4yeI1PYrk+7TnDv0erSwP44V6hl6jAA7CPpEbqjPLX4uj+QL04xBuTAwvxnSFEPZlbfutUq hsqJmR8r7UoL+aVj60Rik+oFYMJD8J71h7cQk8UcPTHh1Qvc4zcVyAjOqJLrWsF1a8tZoNeBWzKi gW/FDVLUyBzeycki+/mWzpy6EFnlHSyWlsf94kjNOGJIPHc0ex6pslgAC/MtUDtAJGiKhe5AjfBd Wn8UuDEivB6HdSBr5P18bH49lIw4tg2uwhTDJP425mjEG1xXpZf3EL3gu4DI7+6xTRCq1q6YaG+e j+1gCIQuJlyn1uicYR8h3O33srARFR6egK25CVycYw/tQDEEqSNtDtxbFNmlMybo/cNyPo5YuP3z Bzkg3fi9LDrNmPYk+5zmwE1q52tYRzTTL4PytqX6m145/Xwr3Sjl13Su2VkGYa5sTk/u8Ta77iE+ bIfdrWKELCRFGdSpnv9Cp8cJOu2oYxKMx92ibYyhzVE4WOIA5FPMYffvzENIljpFh3W8SY/57pi4 afdZmrxxO5WOki1IYR9OjKf938lbUSZeoBOuqJ9bDnqI8vrCtY1+gUwwq9Ea1Mg46EaZVHArCDeX i/XQzHm7XBJ1fgcVwT2wV0d68I+hXJU8/iYmDSCcuucz4M00EdfCKZszweV0RB0u4HdxgFc14Vbg HohBubKi/Tw/Z7BW4xnF1gprAkOq7ZASTt56i3TVQW8I3VbJsRT7YBv8hPb0oQaUByU76v6Ca1z6 jBgF/mJ7CEgJl4cXLudBwgABagYOBZLQot6miK6olO+rt/8H3cAY9SD0zUingT8pi2JZTUNkJFe9 wVqGrmhSgcXChobyagL30kGC7Cg+ZE4zCziZkI2/mivxoXo7qWccPqsbzY+MkiLUk76jjL/xGqcu QAfqp3twk7W+kDgZBJXHc4zoxaMMrfM19yot8M+LhrnuJM+qFbi/o7rsnA3UBxwbgwfxnmOHmYMo tEbFE9rRHj19KBB1e+lzdqi7KCwRiXH8ZFd6NIjew1uahPga4T6oYCYUc5Mb8Wq5qpy5jVzmIciv Foaf8jjocdjehjjnhsif+EqbeYEh6uw4QNXGPVmLEHn39nM0JzD+SY9tbw7ZvHgmFSf9L14aTMCd CPrA7u/6t6kNGF0EKxMGFfmOvRTmD2faZKG6vw6lWGyOxDzacJcq7f9yNGojBhgdUd1fdiLLeI0l rOvJXThsAPGmcCQd/zrDzuxHJAHWvhHjFtwnKbVP8WizzNPbzKP19S9I5GN8VkonZsspvJkv5Bb2 +Hgb9WI3VZBpz6Fi3Emmn/vnCNyBEgxiIHrxKJK0IA1aGIVOMR+40vvv1oWZt8q2TXEjBX3L4mRw UBpFWMD49DfQBNevttEenmpTY3alNVd0iFMmilKueP+g495bMqiiIqQqOkNiJO35d2Xl5TlyFU97 8TwAJTTELuKxxea40GQhKoBAknqxJkwKA5w5X2UXHFGLU07nk2gf730GO6/x5SSOuyfGjuSK2XCg M6lt1dhVHRUsKKGBFQ/C4DKnmPN/D4fpLpzzhb/o5Ny0uV3Jzqz8Jgy0AIBuTchm8+l5NtffZQuO jJpWegf3baR87gCFBTlWCH9Ks7FBNujBqn86VPZNJll8ZGVtFlnvGavzqbObYymHujhkHx4w75LV 0OAP52tmCQWOK0CR8xdldEk/E2j5I19Xcz3XlyGkvPB3sm6RRKfejX4SB1RpCBBtPpsbolBOxi0j ahUokeS+p4vYxUybfOXZ7yzOiiibPHRHMCkrfpBiqZxYQzEwROgGighGKw3i011c/Hia3YqcwiWZ js9zbGiCmnuWmjsXrJkE2QIhmIPUH4suxoD+4zpjJJt8VJmQoxhd2M7y/Eynp0MNZ1yWIzNDnD+T hDzN8HwAgB1ksR57aEyHAswdv/yTPDcevoBnfc5L9qSdZUE3ljyb5hehVKQt8etJ5NhB5lJMKlU4 ormvMmXSqQC0W0UE9rVMTNlEUeG3yAdqUqyxKYIqgxee5LiSQsk5Im6W5jHRXuAg3RGA+U9U1pt7 ZGTPiDe4yLUbFVr2stkKe5myXIuyzMcoRheVI16IW5ql7KFZr54SawE86tbSV2EXdfdtUJL7D8CM Qo33UoOpOojef4JmcvknY2jXt5CwumFSTbvjaiCvB5z9UKw8rOXHQ4cB+3yYsm1xNjkTb1RaH3xv 41uMM9QL3AE4T3+0t3JrYkApmbbLYrfyjiYrWiFtDpJo4zxWWffKNsuSOftKXnQ9Q9smwjUqMaka isrEwP4ELxDLa9CQnZ7ngdTa1kWzOTT7qqSbeENUeezqgOqRvsS4bUIG9qnV2bBQFa8SVgXol/hR XY26LGegfY60Dj7SqhF/QRDQWULwZStjeRQ3PqJSoe8TiY9GRMAt3/QC+8Gtq84043ySULF3smc6 SbJGzz4c9AzFI08CpXT764wV+Ob/Sc5LHeaeaCHgAPh1IgtB0zAdx0pR9Cr8IS/sdNuMdefBj3vT vZeEcGgPGIpWbUzT48Omaux57zgJEb6H0uNatX47USKjUtyPpxKU5G9NUBedqW8c964cvuUzWkmK rsGDvD6QEANQKZDBOfD2/8n0iG+/M7KEt38JoVTuWd78uAEzLD7SVvEUqoezQIrNUZXxRgXpKmNr i7krIANDZVfCcjOv1M5ZP0KDwABsiBFcsovdkck2c0GnwZBI0bsBQWj2IGY9jktUyf0q7BzG/yOb O07rr6XJa+3A3EUpopPlV9wb2oUqPVBIGHntuDLrK9bmA0+2Mww/1ncD+W7F6h7CP8vBovWjMZA9 M1ziKuedfZ06sEkb8wUig7THxnzSma2H3a37q85BH4LbdZBCnOmfFblnp7PnmQlhhhEbpS8uTBvj /DcTcyt6SVa3iVvQyHFZkr88KxHOYrmq2no9PaQ4gy/1c/c+FNSvLwJ1WMCUQvyeQmM0wlUuIq4p 88344xjxQGOzWjacI9xBDr2yozNfZvDS0gXe84p29FNvHGTIMmhg/RmnSfDFLF52R09xSzq68QiP MrJgiGiuOSqdxZCORDAbI4ak2HpxZtRfXD+8C9zxnOtj8LIXXHhz6GPrXueFclpWIBZxReOX3Vxm NM6C9jejdt5Aki8KipNj5kEIEfP8uqPzWNaYD0f6f9YtpLzdwGJjJD9+mlg5Mm80YOoRElwXTBfB jafjWwAeP4z/mjFAXUXESS87LI74xPdQhBnA/pmQzY5a3oQbj7hD3vLCEUEExaeOsU6odRFWLpPx 4z5DLiLwKPx49p2JjAq4j+AxDNaAUQGfsh12oAOqdu061XR8xrOa38LfDdS1jXg/KYyT3zeb194R LbUvReQrvY+ClQtTSynQDAm2Ut6pZAJNpcon9EGBKy2I26EgrpBlR9j0EcFBBUAhSIlMJETW6YSN Fuopn/NGv+CDx7sKMqw2AdMtBRF1FGS/eB34hJoIm6SZBX1UwJyC6KQUxETBWjYFbF/mPvAJOt8S EULKLaeD37HNwrlA0esbB+VFaaDb1k3iiWzfBfMy2w6mN2CXtzfCJaVNJQjSyw9onzMY6v8mEWl0 twYHyTh8AdYDgeVYGVPIsLkdKWFTCepXcoU5ew9BjGj558ZjFIku1keERYrHY/h0u/WtbWkX2PmC Nwh674/RwNkfJ+kbEvRY/Naq/v/MNYsbKOmuO7dAM5/t3/2q/XaRZyTU4rOBuDnzw+BGuSYcM+TW OF6mju7rHpzRNlt+pd87qU8/mqBzzRSCqqlR/WB8Dp3L0gOnJL2k5tV4ef+t+OuP6aV8kJx4qYwd kjIeCKuymvd1sUXZPTYQkFKJ+cwekr3xflLko6V5MLKd8tnscI4RCOZKMvEbSUo7sH6mudP5zZVp Pne9/tbh5lAM+vmRC1/lJTTca2ZA7rwnmNff6ySd92Vp9JwQZvehKtwe2S6IWj3zajZbINMPFb/U CVbSdxY0G5cdCTxiv937ZrHvDi6mGY16NBuwCOB43NHA6Aw1b8Nq9cKEe3sFYiZCpYOvNXOLkGZi r4qHma2wfWRlWsw4RwfdzHZP5fPKwQIAEYXAD3SuxeLfatrRMxbeB9EPT8FM9SEPSJMo0Ce+UnJR dnRVJLg/kCToXJ8zkT5tVuZGJBQyZ+cIJTAta4YacP/3Ncpy2+g/JUOPEtSDP7+483B7NV9UITxx baRRj4f4dT3T4Z1am41dbmuiBMQvuXDeZF1SwwYNnDTZNZDnhq5gYkJC5L2P9AFHdQQ+RiWUbalU YuKfVR/2mqCDBu3PQjv/KBdoaWSKhJdvT1JMoc7hCnjij86997VwfP2RlRcUrefvidxEb5B4JKHV efHCXk2oMVKUH1RWdOm8Ns5CRfFULC8XTTM8hK3LlMYEvlBZ1EYCc8VSF7dsUe9+rMTXhhs6PtEA y9MJm6PMQHOerQjGvvSBFUzEGdJBu+fwrsqkNGxj3M5zxotnlBIlqSTDC+MHj6496nWp4nA7G8Nk 7WSPcUWWDs4j4vFpIHuT2dTJNOYmTpMgo+33+tmYMjQV3aACf6DihgG9nO3b0q8wEfOJFxOnsOr/ PuB+oMHDm1F/RfO+GSf6gQF82ExOlzaeD+3b5bobdfFk4LnuqstfkQo5xTIksIR4DRekSY3KInWh 5nOQRBs8HPEoRtmbX3RfUvW3zsxQAFnf2K3htw9pZ9TCqqLgq1wdrwc8RIUGop4Z18EgPvc+iT5I ZvTdgVRVNrEhJ6dfRf5hoSvBKG5+1xynLqfjRB5eEQVTUo9rvAq21HgLCZhw/TVpvCMElF2D8lcf ERsF03T6ftYn87D2xnsX294eS2mf31iEfJIAgEUF28Ol5Wgq10NQVXMUE1BkyFn8K7J5CZ1DZsIu Q6Zw7q652NKDM5Ol2w11qkZSb6AZx2DU4jz4Kyf1Bh8SrJBfUelasQ4ir4XGK1fyG40LvfHNYJCf aw/2XfbvbXhIFOJMkrWcSTc9DWD6UVGOhH8hcl28EZTfcRLUTfXSu4wyG0ES+BW3vfa+kCjo3ecv crSl9zLzi2+IFE/STzoGUv78rhP37SlIIaL0UCVPhu+wWGLlKpDIofVhxmpR4qPeLyT3kPLPviip npAg1c1TTK4gLQmjZjGQtE+SMRwcQQJJmuUFo9c9Q2Vd2SV9pGlg1R1IDe0pjG8qo4gakBJkBFCG KbbFnBEfPHNfpwJQViYK24t2o0LkPfM5tMGSFn6UokdcxU3i5DiPwKeVnPjRkhutIZgHa1nwnMDz N8ToMnPUhrvRPIfA7aTy8+QFba/9TncoxNMZdYcU1w0MJ9d7bsblrhUfjV6D2o5SI9BSVf3fMI3u MblZq4SAoMdHOopzGg3LxOTTKv9JTM4j0/XxsZgjY1ArYMUAOM6HUu2x3erKG1Ih111vfb12RMP1 19JV7Y2NMIYmitJ49JwvEHIkHb3KkVEWperoVXSyM/fFQQ1bxQqGW/aN2QHWcF/v9lkwJ1ctuTXO DlU1aJhuyYxFs/lcc42nez6zo/bG+lbGiLi37egkscjsqFohHLasWZlE0ijPg3ujZSkqtVtDyzHa gZtl8V824xQqoe6nvugkpD9QSgz4NzOamvduYvn6Yqd+A6ixIxmGk67nC/cJtMnpmmDE6tVP6q3R s0QtbHIvLjB9CyNLmhfBaNjkEModO14zR2zyZedw83tr6xyJH7YozS3PlPV9yLMn08vDfxA+kU2A /VKEFuQn/yOHYNhio35Q3ojV2LzmKnBPaXlKjEdK8A3frOSx8EuMW405PnxMD1lTP7qpsyXAD1Fs 6NbbydvZsp8Iw6LmyMeFews6Ny9zqoaqA7DA9rnjD0iptrxRVnWtt9Gv4BgxdBoqkNYBskq42FJm UCakvORXVNa1YCYo8ev5yqBTPNQV2MwC/RGCuKPaBlHCYfxUx3nLB31ff8XjYShqfq/qlrG6fi5Z aUTlqhR8qiY1Wv6nQoM2+mMYL03H0olbmGAaaDgCD5uTkDQTB6oYaLoObni8+CeMFxbFCkqPE3mU MhsFHdAibuY3tiMJIK61gYZ2yOOdvPy/K5yW7AgNa1RGH880MUtZPPpCDCFKHCqK0b1cZNI2VAKb o7sPaR+sTaq8zBv01sPMuOpwKSHTGo4A7RvyOmSpMdY4FpAqb5/5sQvcuX8IFLeJlGMTY4YaoTeP LkG/crlg7b2LYxFO/PrTCEH3YmTalYbfOhH4y/MINVjJVf6/Ti8vCtqEfiTmsp3DRj+IC6+LD+X1 j6vzqKO7EjGGJ27IrDf6I6/2hmoEYIDk5DXs9JLB8ttpKewxLaX7lSDYjnULVjJQaHT3129G+jiD AyxYVTL5w67CGVkcvFsvlMdufEwOzraRZJ3tXd8E02Ts99D6RAYZJzR8jH/DYm1bCvmK3bvYhdRa eFCFzcWpcue4iTK3VrPmnA1CPFwghqMQGzUx3vbPWfPqHcpnSUjBxd2w4bymh1GSi5Y+7DeFTOCA NF+NwFTgsXdMOdEedw73kHtGUzpSMGvEuLz5QGKyQHIlsJaTMeBtd02kI2P/AUGz8DW7nI7kGcnY 8GP0c7ec34YbTYDb1ikf6QMT8K3T16eGEvssF+9vQjaRiur5iXY13GXxd29pHiqVHGF6UchUUK35 9naBjcxustPi+5rHlJyIoVwu5KrKEynOTz0EKF2Q8FyyRLB1FS7pPkS4TMe6FIECtO0/XBkHTBHz IBSFVsGO9QGruxkBKyJfue81PcDD46zKk7azXX3aepthP98xVlXHVax/ysNEYC2IO3IlQNjseQsZ IwpiNi0lYOXMN5ZPANwYEnnR3ntJulqLhNNYpvl9bxmFbWWq9X4iSIA/6zg7f8menjMWMp8P2DQR PdK1N6lbbxaCjJ1inK2b8+CD2SPM7W3rTl9kt8G2hGDGOIkTL2UX56mas3DmG5k47vBbjzS+gsOF XRi3Rxa4Q4qz64/sVgqGiowD3W37G6kKUnOgQYGASno/2E+nVMJeZWYZUqC/UNwrd2YQpP2wxTxx e7/7+CLFAN5+wWkqtY3gEoqo59MX2wIrRcC95U4Q0Fup1J/ct8/gtfYhIE/Q72RaLze8useAi4pp Wz4yMY4McuUHeX1cy1IBG5Zj4Vcbrpb5uSs8DIdmEJxj1tuIBym4vSn40XJEccvQhXH90MfkHkxx qEqlUh29G4vxMpSSOyBUEK9CVs5gjUfZeiNWqDUeu8LPPUCQayOXGMARp99lzrfyNzkExemEuQ5R tcOMLVdoNGyetfvezDhteczo/6y5kWs/QlgKdJ6sd6gN2wfoStcF4AwEFRCFauoAo3S8RwGzERFq FmePMzYaQmPfXECZReNzmgzgly2j+Q4Ve8C8EGQU6xxPBSTCH0Axj3bUWQGnEqZKkSzf/dDsIGB6 PZfvi0g/uwehdFJ/M43hPTYATXrfeJS6CptRDeJv8H3tqsX/ohCuivW2nnAnjD6GZxKo/8j38oC+ BhXhcsIxnsfglyWsoiVoJKofV/swb4v1DvFsIA4zN8MsTRZ0/csv6fBItDh6Dz8zOsz+PpB1loWn 40tA7pMZZnPZtvLItaoRZPGML5MHqLkYcCGG3tzEFuHpW76xjvVnDn8W8+/6CZcRXUIZ3o4s5yra J6ZEGFRB8kR+KskWygSXUsj5RKuuaQDjtIAjDhjOxdyxTICpfRvymgrtRI7NiRha8jttxTwmQM7I l07XYX+pVKUsrNsQZo59TjfXeMVkddWZjH/4Cjz1k9nnL2lNqk7+DA5i8EKLDHG7E86w2QW6LDcJ skpuXrrv7J7mQpyxlGJlsmyESN2ZD6oDS0qEVQLrpUOZBw0a9TpDw8c/zyKsCV0q/9c1M1UKZnfH 2sevZtSZ+xMmoKSg0pvPDyuw9G7LcajOTG4G+jXxGAV7hACufyihTVWZkeZ+xmuFgZ/LLyGaptcF nTM8FGIOQyfZzdMkeFTQ//D7hWEmz5U8vOaW1HXp1P1HZbdrGCEdTDTXpf1mCwmcHHvh3dqIuL67 IGiModrlQKM+vZdVKmRNVNxBkjcbXOnT6ydFsqVr88hjgGdvuv5RX8Xcgtk2yXuJ6+jR8tsZs0ju 3A4TA9TS7htnafyWn9OCL3mihmlETl44zcxMSKgyIuxEGAmkPNyeKCuvi+PIqdbm494bTBjG57xr +fDc+ZdfhcAQzv+/83aFaDmXMWTW+PmUGlLtNAPW8ej+VJE4W1hRRkSGbpR7qkOiAvCeJ3yAjK7e lRm0PeXciw4V9bxl5rQoqed0Oz3Qv5+hLHLcyOr7HDjFd+uB/kxwo2+8fku5oIWzNe+5qPsy59fM 4mE0LTA0/b9tYkENrPh0d62AZXSLAMOx7PMoQrCT9swTMgyOOtWP8gj4lzNzZ7+a1uk/paekrqjU +DlsoqfAy7QYq+cJj+9ka3ZRerlFAgOZpCNl7OokGiOrAImc5NgIC2MCDuoIcGbFX+Cfv4nPob4y FU+WkU/2FiW45bAe1Mk3tJbemVhMeeRabUOYAeRijJhaHOnOHgUDa6nWRLfTew9l824t53P2aJci ZiGqig1uEweVHJ8n/VSp6iRO07HQr+2vT6CO3bQAgE+h4S8WoTKlEiSgYy3UIQb/5pPYK8u5r9Sj DWolFIeD5v7pYn/HlJd2ArxppdZxw0AR3p8U2I3AJXGxfKO7sKw46Ab91oSkUKMUMWiNKzqXcL1O RXBTFyjx3/vUF/dK1fi2BA1k9Gb3cE7ePzTpWVpt8uTacjRmQqoO9ndN4G6fJ8k//NhzLrugxlz9 t4RbErA1mvkGcnUGH9iPzi62qdAbZ+JOTTuy7k4nuQalRubA7/BnnLVSOXg7uNdvc5/Hpxn6tfs+ z/A/qwMYXQdpvd2m0UZM0lctNIpQXWyITgXNpKCMLCI4+a7/kIBokhZwmniTFXIv3xCjBNxpBypS na0A0UDJ++R4smTZDzR3yq3/0jdpBmTh2dLJ7R0t1huSNIHWQISu6v30rGJGoQ8kzVqA8hF7MC/B hbfME33DaYCRr636aFRFpQXpShtHoh5nZwkmG/MgvgcvwdLn6BeQYJxO3b0o5G3GF5VSG7AHMtOk +ZgoD7SIkSjnQpDypS7zuPDsoMp6KC/uqTxBaZjoUXfVjAfWmFsOSSXLTlClZ/r0jYnh562hEpty 5V7mVwuyCn1VHQj96GqJiLx2iZvYnnunh4yk6eLuJEz0IHVGXrYfliPqr2B3j3a6xby6/qLaSx6v cllTp75tbPu4GR1gMFCwVv7jvo8ucEVT2VuYa9kouXZpMWaeytTcM3G6E6SX7JYUIiZ0G1pt7iX3 iscBPL9LqP72WTvGD24/bir5rEBdYE3RrAHetEetZajqiXjPt3jKPBFLyzAYvZWrL/uPPe6et/UJ En+rFcrtPWiSLSAZLnr9Y4AdhKlxFMNP+lZq8EfpK0eTUT59Bc6Y/o6Q98VjthHKiKpSLNx47DQ4 8/EAfKpguTcW+562eecs86TLGmvxqEu9OwFt79Yrv/rpNzltqcmXSvkiWcZkgW/bNNCuySUzQsGg zBBZ5aAR+sknAkoLpyelqpOzXZ/apJFip7ygVdM1EopKGmL8fOBtbwMxukmHDxf9WqBk0ukr4WC/ me3ZEd++qk70Z83QopHsRSlmXdwVBkUM+maQAwYsVmXMAiVyRmcgorUaVRkjs3rDF5l6iFUYhjph cbBHF0j7+twBqPTQvDPQa64ttk8WCIj6YIiAVJS8ldzmyyXP7SvtiGJZEzs9m/mdnS2YPwUeK04Q QKDpPBmqvMUrxRK8D605SeT7W98LJ0u+D3gG/SdrouyVPrh14NrRVkw+WmBz3x79ypgM9esvJd2S DAG51W/PUeSqnYxpYI4nkAQCk621D9XAtYhDcfh8DgqSN+veQ65Vdu0xUpjiNguQNWoYDJoHpBsd fqfsCzJhuv5pkpCeG+5P1qf6ivehnRwz8MmT+7iRZOkDgPG9EwoTHKuExysY+kZ2qRV9niZx3Zdb u3i6YMfykro1ONWRo5FtBIE1RC8lK0j+3vJvaXEWBuChGEd0BcYAsvNUfao/AGIB7WkP41ABskm1 lJm5V8+zTXxz1JyoqWQ2vqp1Lh4Vp3+dDpjGNNOn35naRARBDTs5szRuFGTCI+wsj9y4R6BK2wzY +suYz4TlW8QajIZ085dyt6OO0dI+HLUuuBCOvr+8t4B5N33keqYft+xAdbMRXcdDIfLRvqAjMRoB RUcQZTQ3JF6kmCAXoLRA+o4rGBkw/GgfVosHsrrU0/OxZAWzQ/iGGkaBcLBeVNNARKvsreoYE5+L fVp0PaOV2wxOj0Y825l3mfhMFksaOuEvkhzhQdLzPH9JENvkeqM5LmtsdGUbfIhjlUno+smDtfyc /KYTIA4RL//uGqR4WFColm3P/Ub3x/XyerSr8juB4baOvqNDJQDZer+8tw3C2wTCds09TFRt2SXu Q02wvAlFLql77TEmC7ORp41hWoFIApuZcLFhMXagemnAcYD+0rvSwgD6zpUwonZDwVuGs021cNem qncbUe5M+WQMtIDb8+wx9RpnQH3WOKJLdpO3B9mIV5wqfNrUQ7Xpq1mgOlrSDjJg0HweJ9rEIjQM sSEyzW3RfVXAA2NLMWAW7GlQm05Tnew1F7N2Wv9nMYMJRdAEgmI7ebBK5hx4AuxunSCwDeonveIm GxBk2FXbNNV+lmyuU4pUCborw7+Mc+k6+0tITa5r8zPLGpK2msTGvQpgAUJROOu2N7Qa0HDqEE3k q9Vryr17uPH7V8qwELyV8jII+miylzhdysszJl7Tz//c/HNogEguTSE50cM31gSkQS6sWmql/AT5 +BzEbrV+FkRu2gch0GnMtj8Oay6RDBTc1ry0olCmC3hbBlLQINZ4YbzWFv3wodKuYYBwXLEJkE6e EsAWTGH2KqNqIY0+ipdLFnfiazIgF2u1B8XwkVfZza1pChFobraowwVk8WdyqI011al9UNRJPd89 rval2kI1EIKvTeabpUSZ3V473F1/PJXpveiG0W7r0r0eRdIfwdJ49Ww/nIXmMICGCV6KGtNfr75R qMuiY8mQGICX+1R3BbGRzET5ipySXmxE4f9TxhdWi0DYoEfYLxauqf3SLpIvqVLR70/PUMUgtrB9 RI8Ib1f879u2MxVpd1PvCR0PY7rmt1OPzcCOUhWVmh3EYHqhYRbnrZFC4unzHEEAjV13jWbYfe2W 2Iwf123aVgmMl31cQBA6lvaG/6SJrCJ40acdQVPT4/WaLd2RyOBIvBq76o8nxplx4hYfoqRmXJrM 0tWd9oI53istmMicmT9BbzaO6LdQmAuqIn0/wdWJlDMM3Jr08aL0YmGSzIjkR3Frwyg57okFgXAe Pi/wZn6JN6oAUiRNjMKDdScwicqMZ/BNhE6TAtUNL6ov4fcVNDOvrEbzsjlxzkxJq5UP5Chc3Rk9 K4a9JiB57jr4KJeaIdei8sVsMiDN4CA+AscMEcdEjf1j0Dt2VuengBWsHk7nnyr6BP4Hb2LHCca/ fHrH0CEposYboEHOl0Stbp6QOZSno1Ha+xaoOjcq2ENPc1mKdPfuUTpJzP8qisWggSy6X48Ym1bC 1p2G0UHtwoKJ7zj5La7a79TEoRctENjeFb1hXl+SV6ZWkHYyx5MKsM4upJ40gsK/7qycQurrIRku BoWULxv1OjIoVhvPsRblRHfab6wwmmYZ7+xNqlMYxuDEtVMoZLGe+CDIZz8g3wd8bX2jpUVGrBVH BGK5ujRJfzBdr52qzs+YP/dn9uAhcDLL/0FJRRlXRYbhcaXezkOEPaeKFM5Ivs7QB/JyduSNT3C4 eIG+SofVMHhxULb2kx3fPS7eZm/xUAwtU2FGHnuzaRN9EvBTmpPMnfR3LRuFHKHmFDW2nM8L9GlB mxB7c2HUZIkxpLF1IkSY3DwVGWYTs8C+fj0uWnJoDGp8hTba1r6pA778OjVdNErUKFyZXpMNpOlx Zgv8qSByK/wrDUTlUSWIbxtqn8QbIDHdhwJXEIhC/69mSJ6FBhumDdTWcwQXDDr0WhcFOFhV5niC dYWpjBuwz7lw/5WDouKtv6g+VWzDprdlt41Rh/iPv4TQhAbeNk2ye53Mw9ygvAoBTktMQpiNF6QD Jft71tvwLHAYUuZf5DldQbfc/g7IixXzfO0oNrGJ7XFs/nL67hUOT8DlJBA0kRZVUgYMMM9+KSYS WeJtwpc86HDRXDvgHkhb6YHMi1OepQ4ci2et4nPKGjzNa6lVfBfUJVrMG4Fn/8bmlF2HnxnH6owB oTvFUZ0blx7wj9LDKpUanL6SbggHWSqhGZJZQMmDrEIPauh8yGluj2VfR7hs4suOd71W3AK7TSBN rPQcINST5M4gV253+KIMhe40FY+Ij0QFzSCG+mgRHnSJD/HRTRS1R6nN/oYOm/gAtK1JxltK6A+e WoMXHD1r8BeT/jiBekP5siyT7O58RYvM/BcGLNGg3e1wlZ627OGmiqg7QeHDjVHobOcNrUfEbysK DwIbeQWvHTuuBT4j8GZJMalyQq9MSraojDHfm33pJzbibCY5hBRiFPCHlHzz2CKJr67HOh9HMpFT 0+AMRRGELStBk5Nb1n6hOviHOTwyNmjX4UD3RdHP2AfjBU/5ENzY2NufIxhpc46V8k2BfBp9BfH0 Y5tvANzwnhiLSiTwL/z0Tft3Habu6pcWiwqOHqxAS4giBui3Nw6p6e6vqMJnaoOpQ6WjOH3LgU5u Ndp7gTtl8mDaUCcLQduQFvmJYnaiiyEEZbSH2YJbfZZ1NJtLzpftY0Ol/y6rYJG0BT+AtJSwTmxm 7yxeQY1Ff21y771qvIcl4stvgIcK8FTM1kPW0RQTtwdwKj5KJCV9okPhrjt5dg5lxKScCbA7FzxR mxAxveguObhLzN8hU9zi/qZJOMW1bVKo7yWIWjodH2aqBzTgk3nYopiznft9WJ/9f7gEcm8NOCZ6 ui/ERlDEDdALnW8OzGtcbpm4vbOyQTvYS4mRxNPFWmSgcuN5fyhAeb5xCWzc/8tSh+RUOufZW3j9 JSze4qpBTRjReLEyz9dTXBPYtDk83qA1CXm/u4yL5KCHeEMqwH3Z8qBRx19gQh/AS8bNJRv8u5g9 qQtIm7DcVnGyu/EANjmU0CzIxCqTm11g1ojVYcw63VjEZf5rzeuw+r6tcz5dikfyvKQaAkY6hjyZ ZOH4E1H2MwAqnXxplG7klr41k0Pk+lWdZ7VZLf12g83elE46EZLvbi65ISHhyhBCfzkFf6drTNDC e0jAMk6OXT6n0CZqNlnUiw7//eImtL+Gkmmfj0Y88IsiZ20YNTbkOUiRcAW0jdHLZnJN2VXy8Sbh llf7x1wvfdjd0r/+QKvaHaPo7/Obte/j1c4+zRoJe/Ers3L8CR7GxcDJvMl64/43QLixTEbAYnzf jOaMQiWrBl9kAe4L5ferjuJqdcvXtzOTwGWvK4lJLhshdoMY1U9tNz6WJAQkABD31jEQD3lVYIIB X0F3dpI9vcV9emBmTdO9Ly8gXomVSBYnwMQ8Q+ALB2jOwxTi3nml496NcP4+lOov668P5VIz600H kqpSsCr8D7eygEbf+rXaoCKKGLNNdskhf3Epz5NQ1jDgAfyxFWyOFZ08pFjFfDNIDYssht7WaiJC BitxQtjN/6s/KUgVWcFprCY3NMrYDdWBQs7XPGgHj0UsnExa00lFhkCcGp9jjfef6Wxr6Nouprhd LLBEpDZGLvnImTiD7dgfW0XoXyHpbSYsXyh4vxN+41FX3CTAL8ukg0sCWd7PFPR+0V3zd0H0EJN+ pCxK0hYRp/4x685KqRIZ5pE7VGuksAseElEjSKCpGeC041IzXDlutzdJdinEb1eY/vIxzKuCjtI0 H4kOlb8B1QrGU6Rhg+KDjT/y0FJXijWlg4svM86NybnJUymnnJY1y1J6ka1X7r6YOHVWURslq9jP hoYx32m3QN3uPYVFzKtbTFMgX1n4TIHO/mtK0oFA2Q0GbETh4VglRxN0rOslzR/p13ILOU4PtrkW GMla6I75y80V4E+hgwSiHGaCKaem74VV/pEeRqHVzUvMxk5r26Cl+kuSpwmU4DUjafTngpJUGlPz kB0ti4TzrwSQHNImScvvO6BPwtRds7ZBEogai+742/U2kJIaSawbOYy0MARewix2pYIciVkY/weU z6RBMUAPIhkH6EdeqiBdGhtmNXdwUbumb1pSxN+pUHohOs4u/jEVcvnfXmzrKTuz2QI7VU/wNZzg /nhMM49dt7TiolKdJ84BgRKpZtPeLnotw37ksgE2YQ1OR+rsMgap+kPgXyvre09lqy88kXzQoOgN prxFYLDwhTBiNk9jmZHOVJY0gT/kJyTc4ZMT+sF/jUEMDMNNu0z/xXTiuanZd4E7M5lY6m0876wj lI4md4jsox1q3Gi591nuLK9M57Pqx6k14+KBMNAS+qdKg7ylKszRT8B2HpCfGF/+ZrQjaMWZ6dee Q8dzYLHzRo7lCwkK+5z34Vemzdws2JBZ7DC8sATTQVq1GsQehuo2w1IrEKJ1iAD6CCK7XnFDwCNS xDgrez/+OSpIzamDjvVDx+FYhVvcJkOZTCKoQAma3yKAQl2lsZ6NARJ6C0tbRP6FPy047qpOJWsM jCF5Cw3hoqn+puUsUy1b/HNCtq+m6tSfXEMcCt8dFxCB/8vPNtksLno1aWZNlwOVZVNtQu2nBzaM opU8/TNf730Cs8PoUBlxMjML1LyL0oO5GmmbIm1LKEhIXbQ0vDYEyF6v49lskDUxAx9p9oGMGWzp smKIiw+92EM4iY86FaJkDQEmS+6/eiVecGJ9ltMUyHHIl2IjnuehvLLfqR5a772ESwp5vyjHCati J1GTN2jFlTvLzCEonpYvV9CSGnGQ96Te927Ky/j2sr70n+t/07yydYP7e7AxwLQArAIYrLnJtu1a QJzjtVQVd2KnoBCg1j1m1X8mFRHViKQYAY+VLNxxxp0FwywD97h7toTtoOrUpSdlIIrdaISNijfs BZeGG77guAMpd67P+N2+QnT1NYnKy0fwMA2xd5T8GYQZ2bBQ1oz/uJYl8J6uG0wfEARNF0HQjvfC nEBKeZcDhfXHPe9IWlWTLDwyXl1Tzfolwwy75K/b5krwWLM7OT3HWLKeTcbp025YWYZbr8wQUaIg c3CPGC7cpF5BsWTvsFQvxrtxWNTCgW58C/qDAD4zqEXfeGskiRC+T3aLmekOZH03M+WmkmHD1ULx Xjg/4AlfCUl9LmAyJDXSvbAWVtbge7CTnOa+y3G1A3V7ZwwZ254g4pT32LqUJszJE5lDrFMhL94T PHQPwfO+uingxxDJlAmxHAtzcpeNvUeQR6MdRaNczQpKV43CSiPrhtk/Hautt9hbGlsZtAAphXYe bdcn6VMjKXihAgQfJJICI/u65n5MFBbAh300IRMoEHKNSph5SdRa7sDFQdpxTQdl6aInXwWogrxz Hocp3ut/EXDLnUYHkraZ86Wr9iYouumPbY8ROmFLJb14snYy37lpi0M9tHcbUtzRFjP9IAE/rGef am8Kb5dziqA/pQlWTBjmZQBJYSxh7ZLluZZglGnZFsEDZuv+FvAzuZ604AGStd9GDEk0p/aHAkgk Vnvuu1yRHStJ/N/bjAWSWEkjleytwySgZ2IZcZ5/20ONCMHfpHyVbJ2XRtEaqQjRAtxg9To9vL+5 oApieLbgkZFFLEK0E+dUF3dY7Xc1333x3Q6sEZrgxHhI8YubqE7RrnjRzKbk46X08TX+HArUqgQb 3x6TbzAouYEJmvDmJwVOTh5hH+0WV2kShvmA2Yzzp0y5u7pg5NLww5+vEvODvYdakA8SLI6TjOMv DMV8dZ9W9tpGpi+j/eo5vmbhiVYxo97/97Y/pxZ06V+QRGr9eGPet0LM3bfcOPkoXwGhCWr2S1U= `protect end_protected
gpl-2.0
6957c5bc25d80faea38c91e5670f27b9
0.953488
1.817853
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Shadow_Register/Lab04/shift_unit.vhd
8
2,129
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 19:57:23 03/28/2016 -- Design Name: -- Module Name: shift_unit - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity shift_unit is Port ( RA : in STD_LOGIC_VECTOR (15 downto 0); SHIFT : in STD_LOGIC_VECTOR (7 downto 0); OP : in STD_LOGIC; SHIFT_OUT : out STD_LOGIC_VECTOR (15 downto 0); SREG_OUT : out STD_LOGIC_VECTOR (3 downto 0)); end shift_unit; architecture Combinational of shift_unit is signal shift_left, shift_right, shift_result : std_logic_vector (23 downto 0) := (OTHERS => '0'); signal sreg : std_logic_vector (3 downto 0) := (OTHERS => '0'); signal a : std_logic_vector (23 downto 0); begin a <= X"00" & RA; shift_left <= to_stdlogicvector(to_bitvector(a) sll conv_integer(SHIFT)); shift_right <= to_stdlogicvector(to_bitvector(a) srl conv_integer(SHIFT)); shift_result <= shift_left when OP='0' else shift_right; --SREG(3) <= RESULT(7); -- Negative with signed logic sreg(2) <= '1' when shift_result(15 downto 0) = x"00000000" else '0'; -- Zero --SREG(1) <= RESULT(8) xor RESULT(7); -- Overflow with signed logic sreg(0) <= shift_result(16) or shift_result(17) or shift_result(18) or shift_result(19) or shift_result(20) or shift_result(21) or shift_result(22) or shift_result(23); -- Carry SHIFT_OUT <= shift_result (15 downto 0); SREG_OUT <= sreg; end Combinational;
gpl-3.0
13b7664c90acddeb328fcfcde77ee8d8
0.616721
3.42283
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_log/flt_log_addsub_taylor_combiner_fabric.vhd
3
14,343
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block f1oLKlzJnRE8UIre7s+eZT2tqUCg766aeoNKCQTDvkfwejXH0v/slhMQ0mNOMn08Xn5vtLGRdroo 52vPpk+7Rg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block XOLsvnlxjm2wPND25Fgeq4z1pNZeOpkcw+2852G9G1eOak6vlzUefyafT2+Cxxh9btEaNqBjI1zc U/1isioS0HXNZtUKQg9vLsQwt0iMhDyqB4X9gTndjAdOpTKaMRrzX6ipVGy+0/GVTABL0vusZ6GX c8lAKcjkTUGcw8xdVvQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block DgmJV4yIBR7DrPjaiG9/R8HPWMvY81pRW73BK0KF17A7kIH7kXyv3dEWaRwXtUPkn5QSKHyOzDuQ LQG8LZzfUlWxgQQ9PO4uzw8R6gJQkAmTWd9rPaj/8iLV/o0+mZAHztkpXiBDhkcnCn8BQP58TLn7 7Bj7xl7n5dNKbhnuNmiwmh99XTezqwR0l82pUiDGuRgoTJKPj+GqOQV/2dC+lVptC27Ys/yEFeHB gvvndKWC21yFQCCeQ9GyJ122l9YZQM8It+BXho3m2yHV/tDyCqm1vGFTVETN19iiS1akbBPGUiwr pksKB12dwl8sde2IhH3BYsCYv6T8qw7ZXLA6RA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block o5E/Dj/gQEFGwcEUDRd0DLjyKVOP4uLUkuOlxPSc6FHqvqYtFObIEBZAA9o3/fWn10wwUCSFMMLk 5KWiCB+oLhNRFLhEO+UHPxe21bz4li/0ISmqLec510CKWoNwuTlyk2ghdyv6q9GMSdpaAursotus YKP9m6G4/I0W15nC1tA= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mvJyKhX14rbZnDMh7fK7KshPWr+GYZwZVmeO9fJtx2Dnri67aPgt3Y072/EVaNs2gtkGPcw9lRSv +2J5AXXZMjVGsZt55asvhOc+keHMSM1yrYrS0Zr4EwLqhHqsp3BlgntYoezitMcVeM5Ip15XAY2p Oxq81ZkycpyYu3Ooad3kDs313qKihnT2fDXh18EyEMN41RcLBwBMcVD6qGNsXtHD45SqUwLVWCfb feT/uceXq8vbEfTQMprM7hTraBFQ95PrJI4iVEw2vdx1dSDaXK85d+wqOIg66o/omQzLINbvS2bR MlOUPUPmjnr2o60SU0Xu9ZXjRYxquoux2khbFg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8880) `protect data_block D1RL6roL9c0Oc3D9yVMZ8Xmpn/yXnPJbFf8uUsaQ4SOXjx9I3yDtT5eDXh+icGrJrhAZHigd2I+d He+Qu5N/xzVgTki7LoY714h5e1RKyVhoCipmRatOexbQDhZSCMF/qbnS23Wzi84Cn909Pvpflkmv 7D5w8xp7pYnjlCTyXnzqMDv4QXzIlBrVKHEPjVks4l/+820aRdKcnK0DxTsVBuFdLHVhXhgMOkYI Wd/PPV/SmrpsAu+WgyI+aGdexR7oMnfGiY/nfGZK+knhygWlwGVgUf/QrWtuTOreOFgJ1ff/eZMO t2otY2410lXwU8osr8M5+H5SzQZks2CVWbBUZJ7crCg2+0bW4KOixPS51r2LMFxQIKVHc05lMzWK hshZYUTzc7R3Kc1dkhIhc7ilmavgSi4SVV1WjmWNE2atH4nP4LzBgckL2ak5X2HTWLS1cCUcwnk3 02qirM2LBrTNvmE2fdjhs8nid+iKwdKLcsFQmE69DZM/ebMuUrTo5GA0o0HZ3LcCDVr09wQ+wGfU muwr5TImWrhaJjzTmdRwZXFR4kDT7wUALG3BiwKsJ8JyaC8S1QP5JSUxWRwat6cvFLX7X1eH4DR/ +ynSrogilisg6bprflBXyDj/+0gArvrORNRgMQFynnScvWc6GcZBm5cnnbv2gpqI7BhF47E/kRXG JYkx7wf+o/WmV/Q94Zqf0nKsCNgEgFDmRcmEKTqeVUhRTBjyP2m+YVHrq8q3MXDO5/MMjIvVFauy ijm42BQiKEbrnMx9u9THrjkeoIk6NW3F1005/Jb4Autw/H/72iW4ekjOCtDLuYtCUSMfserE2U4Q MQC9jb/15vn1sokXSMI6xSggyEGvfZRaI5Td9G9pMPawKhsqMBderm1Y938UblWSqE49uoPhs4Bs /SsCU9IgaLFomxZwiU4WzPfjVkg4Gj0MnGFLkLfYRN2/MJK9t0TyRlw5igZR/Pxy6Sd0JL4bcavc 27XNB7AQVGTBXdruYbK90IqsoSk9G2pdETPU3p8N+UaPk+IgrwH/GAoJ0jcY89+wD3cIaNxpshGx j6cDxgvrgnrFNGGun9wEoOa01o7bA4dE5o4KLU9IUiMxRVzGQRD5aXB83kEd+tci6B1WPsXqYNV9 qvWsimePq9L3d18+rOQ+A/PsnlgNYFqs8DZ22CCbLXtfwPsVhfc8qT6ZJVaaBmR+qV2Y/ktRD19p lvifAHMUhfTm7C0GfsRbuOnu/HqOC/veJsRCt219TNqdwqaw33ghfqQV1aOgHdH27gMU3zCaa6z4 IKg+cnEUEGx0TtWsCu491TYmuTW5R9DpGm6AIvoiEyNOPHR3SX8XMPkkjWztsDxcnAez/heZW4oi QHtb4cA6xHQbIPlxHR6T26dwAiYIRVnANS1mBCjpVdvbCBzI9AaEdM44QBtPFTd15CTPB1NnNY/E IaY1IKynzxmzTPuiPmU50tBh411P8YoebbXkBREJyFglkeYRpfy3OH5K/GLWK7yQl/nyTK9Uz9T/ cLtiBJUgc6eqhup9Mqqjt8UaarKep0qdERe4kK0kLDbQiwX08bpdX9OA44IJ0BTHuRx3MkGMZGn1 H6K/Qood3AGYlGyI8q7Ue9qpwzS7191kBcx0Zob85lSitPLc/CIx7XbH/P3kV4GAtFOEykyaGtw1 2F1ixzW5p4nZACqW2mGTqC5/Sxi6sbBAS4iEpFUfu5JGoy/HO7aA/JTPHb+ZCBRNFGsudFSkQaZL xpAykG9d+hdYGD2gxHfYLEOCVdNIrCCDwUe5lTEqHhPKsNh5ZgMq7aUuter+m2FUjzm/Q0DfP4Ti QCuih+0QfgwZBm097mp/Gj/Q4ZcwUEqqQeJy35nuzKgAO719QOsRII9zsDxBvK5Hj5cgyZ3prh5a O0kA4hQn9ZdcQFVul4HOBS+oojpnHNUWn4JVuLEc88jAMINstN3dqYbV3UXHJCBY7kTPBE9ET79Y FGmrps59WTIwcd46pKGhF7izfUNDeDThha1hbasilyUz+k255UypZMBDO5z2fg9MSECm+n7NUzgN 28dH3AwSHOU9iTHVumY1J2Yrp256e3foQTcWicBjYZ+U2f4030Fz2+2lXbczNbtiEDH3cCAC2hcd nZuebF78LFEFWYv9aOBRNUeRCbchKUsaUOWBS28VkYqtV11nfdGw4VotHksintpNTu2RSwg59vFh 04g/eFwY+wm44R+iwuxlwcvkK30pPgNmETCCibp2C8qBRPmBtHYdmfKrMZGwgIGwhXWJppxej98a 45WaQiIQwQYIAtWsJ0j2CZU2VSuzLLHnQhPAVlaPP4tSL70/9PREJ/u+mBKi3n6XpmcFpSeE645u VxS4CEQNWfkWmYD6/BBrG847H3HTcT2ON0wetQ1P3xnfbK050bLbeN+17TpRUu4s4EOJMaye6yFz uDp+okArWbrEWAnmpy3LpZKmmhXOMZevFY1ISFuWuC2TpqvDsDlIGwyOasbWI7pMja9/RL+Y7UVa rqOesZPhUbqRp/2g6tkRckjHifa9Gh9stRp8U+Ip0UefSf9YlsCW/KLnUpMQGb0WYk9B3OXz2WtL Bw57PDPu8dtLbYUxeNoSSZwBPP9Az/t88ejrrrvjW2QAnq4gPXkYrATk8yznsBAJJNNXjsyVfa2x NTLdJdVxnRglNrf6maatfO6p+o/RRxyOlguVT5tl+UDqJRyaRG7UWssqRZRlEgipfIJAN7BsuilK Ne9VWeRXsp0dj773QBaO6T6txGeumL0N21Fyep7R9dz3YsKOmPpe0qvVjVO+7LKhVmbEfroz2XB3 O5io7wqRWSraOEUplUkAID2mQsQ3S5SSuh9m+UkZH96YxthpVIRZy3B8YPxZXuggadCiM2Yceq4n AexRLXZSG0zkeaoUbiPsw/uI1NxWds/qdZDaEavxft/o0o48KYgjC0+QHSiOMWUfI2X2A7n12ck5 wh2xg32bRwhXNeDKcgx9wwpWZ8K7DQYcGOwGNGTc8IEUa9gK/ykF5cGQB5CAXGDwfrTe+qLmgpER h4MU7KK3eyS+rCZ972dTxYheDTxbo8uH+qsPGHJcLqnXJY/sp/L0/CSg0abePPCG+qZsgYz4B+GJ BtfEvPvH9jxhc4XESyttcayHB1SpYTRsI9hfRyC23oFq4civYzzeS3Q7DLwRoBiFFpb8tslkyAQt NtOq3Ro54c6Jh4Yyj23kJ2F8W7wv81Y1rgisf7JupFYH018KM8Mao7QGo4ogJjFicFYGlNCMlunv 79uzOXp3AJF0ubPAIMOmqob/eExuDGOLHLa0kvNOCD39++fq90GAwp3HEBKJegB32LrFz8Vu6vKA Iz7ay+x61PTe5hCBHZm7HYqevm5SXh3esqWgms5lBUnYs0PZJneZ8P50LePuWFubc6tBVilfThff R36PhHm2F0NRBonnyxUutnXq7NhSMtZrA3W9Jh1qvyxPcHrM715SKLsz0V7zjwgUhH3o0JzxZCkN WMcC21ADLz5wEl6XY1e21dmM0r3UC+hWJByZeqWpFn1dSLaPKeVmoBhM6xAXVlfUzKYdLzvwkbI+ 1siXQ9qEUhYRmrQl2nBYsRfP7wg8itsO2WJK6WLtf5x4VNtCObvJ47mCL9hYAURwO3c8BMTmtcCu 0Cv6lyf5Hg9MjyDumPMix+eMr6SnLNr9Tr6YrngZPJLT8Xrglfqw3bG4ZwRhVohIjcAiCZiSc6Tw 4un2I6T45nmihOiLjdvNfQMiR9YGSbyaPlFwwoX23szclAWZjDodIoLlTmWZOChWYE0mgXNHi6Hy +A0tGOpNIVojJw2Ilje64EwWsxDtrF/yJxB2gfTne4brRQezqxADRAFERnXSLrVZaABub/+KNfHR arbHHzQHffzzSbeXjJz05jOPswAJpWMuKTZ1gr++Dmk/Skzyv7KDW6RCk4nIobc+R4w14KnoidhC DXSWpQ9/bgazdQK7mJoATmHu28r1crEripzAWzmDI14J9z2/JKFGDFdXIUZ9CCBGdM+rQAXyZubt 7rNeKGK/z5fNFSEJ+adttexpigNDCPtL4WcFZ2D1RKwvkjbTus3h2T9TSVYuCw861iSYdVL0+8IN 3TiXVwui+JEciERJmbI3SDtFlI6Eo7Y7frKNZvc7Divdg5jj0317QVx3WovK/BtvqZ/FAW+DHSnL YXZ0WsFoYYEUCu5h8OAAoWZgFZzJ8joBhtv80hB0C23l5O0PeOeFu7lcs7Ki16ARD/jBvS0JByPX 8EpynWqo61oorivew6yAd77m3nFer5pH0M2+vdGp8XttwX1GTgtgDUxy0Qa3omQZqJxQxPoQdA/4 MQPRGI9h1qGYS4zUqwvpHMBVR967Md5yZvJDjYPGsgEO98nMVmuPwrXOBE0vF1sVnyUXiaKsgjV+ kHoPeJ1eB8EHT3K0EeyWnIyZf1nFkEiP1s73F3/vglGwTtBvTlbVtA98kjUQCnpZx9gIv7SoEGVD 4qH4+F6N8cgpZoDQnF3t1A7X3g/yjW99sj/xPn4Ia6sKZKjZzzQae8hMpgwHAY7gXL18Ahg1y8J7 R2iOnSlutWnQtKLBzRNJFLPzTi6vmwQvf7kEJeHAj+n6Dopdi/bGSkt72JflF2TP9ZNZNGzfXVeO 9UKL4Gou2I3dtk0Lzxb3fIT/mf5SpXBcdTv4UJqkvjpxpXraRf2HsEXzR6aWvg25jnB1SE8xjQGM FP7lm5hI9hhEmzSnY60KDVMDqBQuJ0LvIhVpPYlMO1zOGLCBQzf9IDHEZC91Ex9z1Lq6FfOnxUFr hgDw0Jwo3UQaT4hkYgzQLIDAf6B4A0DQi1zHxTZJF/+VPZ2WDSvG1IDPt5Vo8ij+QKVzrttBSQM/ MfTJGlkAdWRJ6KsPbwDw4ejZ+Jf2notdCIe0AzIg8QFvqZTY0u4GAv6nqO0gD3YOK2/9vNTnfqiv F3W3I09/ZXdtZeVXwIOhoBICZJVYratYedofvXk9VfBbYkBCoRG6Q6u1yy78Vsk1TX7OrZW7zKgK pfb54vBb8mxSN3fZ0/pRT4RrQj7Ejb6KAheH2jaSxILCdZKYxzncPZS6m5nt0+F97B3qhO04uoCf nwR+tb1xBiXNWuutzsUwleAcmPPH76QOUFAQ8b/I+BwLQRbP9A5Skht/6yHga6E5al14wYgYV4Ux Wgt+oDi+7cPyBwtqBX2VwHPfWsoWVsS6FJ9bk6J0d3tF9CIHtEjX1piORAaItSXaHqrrEzb1G7kB 93zF4piuu3rwtimubRJxQlfw4ElcLxCveM5deqwCxLjtfAlvtQgD4uvAk07zrlyGdj+/5JJGtpwG HvCKacl5vnesQnqg5O6T29GE1GInki6l7UeOF+1Pt3DpgLWeNVIgGbj9ldDbCd7jUaHMBveeBM52 rPXTug0Q74UbAsdi7T9GoOH77cOWxkVO2o4M4g1C9myX7eeHW56Rij2bStlliNrvkD37V+LAH+Uz FRFRpiG71vIRHN4oFNqX2YmY+gxxlNa/hmbKAdClL9HZgyqyfR+nzgP8yHCtQBiL8t3dbNZWmYNk qXdVLHXCxAvhhEqNvQEUnl6yDX+Qz1OhczcuTOQZt0ovw/osmbNZwL2LOnmkgnpAhJmCwG98XvtB utRk+zRw4gXIlUrhsZGkhGNGDlIcP6KFcxw8l4TNPmjX+mV3sVY6cnIMYMi0slDZQBc8h/97XKB/ y/sz7HGomR5Saop0oEKe96ddCnYhgPhG2fK9J4TVOk2M9hxDO7fq6Mq5J7lRdyVDB2+/+0vyThRc tIqOp0ZLMV7BvCP4ijGux/GRIvkadqIgggu0dxsgW4g8y9gPWSXwwLfkdV35YPnM2ktvHbVhm5Vu Kxp9pRokhV3YKt+/c9C0nhb+6u2LycSNt/wdGtr4WxLX2fYW7ix0RlHHnWf/DgoDwmDCiqs5p2r0 jiZQZ4zcTzFttUf9jPaXZNoPYrR/n6fh9sgQR8XDjByqxIvdgyACQIUXpxaKYoOmZWpyHnBlLOyj XgZTT+lx6BwHR+d5tqtkQ78OEaSmccZ3m1OgPMJ5sxzBxpMVfOQiUWndEOa+eNPuzKycnMZkj8Qd PnAiM4qHFIclcJOyjhSTA7ylYg5Frg9ApC3Svwxem4xietzjpQ9+8LI6aPN2EQcp9G4ukXOnI0gV 4uHa/jBCuD14aS0glCDx1JD0Ql4h0MAAzUHidGPD1gdOJnlvVo9A5cH9nf1VmtXzIg9PhdlfgS9x LDKP+2MHafAQPk/D+uyCGcEHGdfHlXsHeNV4GgGWNZSVBcu0xZAXiZdnXYwy52VG51g0Pde6QKrH jw/fvZREdmblgVYnwvvDu+lBWwDMb+v2eF/KMVfaQUVbE11Kb1czOQqrS3kwTaFhwk3XGP4nTsCp Zb34G3NEqx2DPnkYVVd0da5MD7YkiNr6LEgJBBQFGYJMyQG0hq8HZ35muOM0DBCqqC92rpIW42PA arCnKbOvZaKM3sm0LGjJFwy7qKGMwJcjFR+16Ao+fTYUbu10ahbXkGXhJz2FTfvYGFQUQ1YgHokr cTflSa4kLJHA5z7JY0ZFFbGhOIs6eGdvEcMR1GoTHXBxfWHzlxjz5vn4Qrv+XoP32Gpt3PhmmxTr 94Ai2+KB39CxxpV3WB9UU+3yLijAIpFpA6zujTPZL86fe7YsnGo4ytCqg/ErQuypDN6swzKgiurI o3ipPl3WvOVqm1x1igYstZnnzDtbGXVHUy3SCgsbjB8lI57E50AgS3nX74eY4YHMZbVtWrY8kS/J O8hrl0WtbNrNtmHgOJGTP+gRrOTeL2VtNd6dkAzjOZQxS1AR7/L+t/ffK4ZYXFmJDuQWTEX9x4/2 XVn9dBezs+E8doJ2Etilv+L83O37BRwCwOetoXeTMleDnsosBOSyy7lxRE1mzuIRoufE98IZ4ggN XEHNJapWq33JKPmLNFQTEAClkCBHFk7/dhIdRTxqExeUcrnbCmJXJXh3smBObSuomvuxgSWiDzFD 4uLo5a9Yu0jfoU+du4yD99/9bO/8pcuMmH3/0ZiNZtA9kvFVU/2xg7C6sHi7OsLzXgqwpo6Rh/ay s9GDZLvDtAjcVSzwEpMq9jvqYL2O4j70VJscYE1KXjaZEWd5uoDZp1G4AoWKWIRhUfx+SgDImv/U +Oj5KmeUVsbmGjRtO12isxi2fPxYQCtO796g3O4+HqoZR7dgQCfxiy6Z+hBGzJxggQmnJORFAUB6 p752F+ux540ze2GTEOFeHKUKHNieaB3CQavYGxLPkngLO+mHsmXzU2GWiBf75QolN+pioHgR04Wl 057xcEIrPLY7M0/+kZtyHWWHyUBYzObJfdWFavX8vG0vRxWx+oTMGR7MtIk9BuhMdJIGBfaBRulY AkTMBhgwzN9KtzKIBv2q3FvkYwcQFPFiaiJLtIykwuMPCBiI2NftImwupbZB4O8haq5r16dZhoMb vnid30ePO6s6uZC+by4vNG+bmfWNQy9dOH37fWkJEybbzmG7k1C0sZX6oWuRSkKWjdDXggpwwnpy 4P+eF4X4A4DNdELnhou/flxJhzCfOdBeWDR5GHN2R+yeSJZ/3ZZf63iW4Lp+R66B9AK4xLnwf68x e+vCT458aIWIs4Y3htHXLgqeYbhC9GeJrcSB6qVQdqquchrDPXs86n8e7ilKGF2oXvcmJJAEMs38 5XF+Cinbvb5SvXLs3E93XFAFp+eqQOqHLUOcOh2+cdDDBvCl5pAPBbJdHCRgBviQronHR3PJV0P8 RbOvKIW5BV+2+FiGPVon93iZOtIiq6uOjRa2WyWjgYmnBke93YgvmmfunquODEUKJEVSbrdHGj5b LhmyEK8PreimA8MjQPEzi7YdAOBqFJQIN7Vl0isew7Qa8+vvol+dAOnQlAcBREkTCWZPMLeNPNK8 NGh9Y77xVbhcnguZoK/2pK6AvVnuD2XhnzHZA2cdNZ9j5EBBGdoa7aok124XrNkAhudeSreZKLja vKOSYelBymL7cD+mH4YCKYGhl8Ma/ETRFYCv3r/FZppF7woP3/jaUqKX3hWg63yLMqpCFTOi6Mjw 1lAhTzg3RFn/LwPub6HhBuZz7+u4NYFUdwZ7uWF8VQ2adGtMH24pIkPr3SHYkgNQpKRFa2sGNkF4 CYRrNx6jkcuNGeKOlnA0EhBVr9GGw/MQ0PpC6pmUiaKt6GdAnqa0nr0D9L1aFE++lu1N62tl83tG MUt14VHnlnS7Cp1IX89K5u+cE5LOYPVn4P7y/ChVUhW0BmQOB1fMGn34FtC62MnlC4D3jxDkCIrU ESQSIkNCozTO3Zu9FpVCL9aD1WuRXzChvgoA4FQa+PugrYg22s3wCD727P6avYCb5jGG7482zdh6 241oU/ldXbHEDCT67woHLkcOUYxxBYMwlpXWyrpvsMcHxpr5VQLfMHLDG4Uf/CBH2BitOf7ajJSi gSRaVrrl440iCwPHtU9/ItN3utxTsuF5P3TsvpI4fQCS7mjedfjy8jxy1JERfRFIs1a2Kw4gdcnY 9Tvev1/eBJqIPy677O1PF1lcrFyaoh40uHZWZoXWyMYig9MNg9aL5q0+9CGcOQU/77R8tmMY5YvE bpaHWyfQ1DlIj5F4kXOBFSRhbrkHQBdMSDadzoQGGDcgzgLYjpFQVfy9m578DfkD3xS+N6iAO8av oH+KjHe4BNZh2Y0WW1JKBxrlKqwdeMDpJXvy4x6IK6zlngoUwZzCLG6s36zpXTxCGewC8dEeMDAa hIfHkfZU4n7ldHI84lQOlKgJIsziVhe1csfyCkG8HwsYzrGeBo5IC7FMDWD7NglmgpA79hY0fpZd DcF6nWPfClpNJA3RIcWe8y5QJNPvM6/nnpAB6zeMtQjEisnkR671jdM56HKNv0NYjEgzGIp+2inA HB4+rerbgB6ILCEB3OhfWlHih7HbTVEGq1dZhlH0vgkTYzXz/0GwbPz2ePGEAhO+i3RQF+YgjZlO dIWHPFGrmGYYwdkb9VmJ5JZTRbLiauwfXFwDEykP5c1cGa8IZTY39k7qA5+5dI2flkeLGJormao0 pX3yjxrkKCO+nPf+XJgPc3u5yDjJLL8tI8nBjbrtZI+NicGGjQw1DxUGtmllPs0Ldtc0H57O/7cW hZluQx1/Am0b1ccew3VkSm5Vrv3e0SDdKRIKyc2wzXsrom/de+7FnLGOs7f9Sd+ahDnhzvIuRcza KfFtWQASiURuALzOLpYI27Qyx6MZwUwtWYzOQfaMDYpTxShjMLvVMunu0+KmtJfhrqJGCqrg3H8a 8A1kVEYlucSfPvP8RabWbj55rPZd1WIeUEkOyJ18JNUVauu0ooko/m+4gVskv86ZymP0bh0ZVBhr reeT62VBBEdpvZT5Y9zt/VZigqGiEl30epWwNBl3t1w8ftWhWiUM+5Lbvx3xM884BolpCRhr/Duc oAmwOsTSy2GcSYh/qgZzVxWk6E3ZdVVx4r34pdMzcd8IdDvS2krqLhHBwOIhuEz2OcVwdU9wxYpz OlkVkAeZCYwrPqzoOXL20sk/ebwweh8YGOwbJajzdYn0x9X83tRfU7sYyMIqEBWKSDzlgBoyL1Tb EjY70/wwDT3hAxOy9GaVVoaPvJeS7oyhhqlrEf2bf2TQIkJtesISzisvqHhSyBGnkNfOPhveeoUh /qdMVcq1opaYGEKIpVs5AWSwvRT6RLM/3zhSMSJ6exgtyXecCXz9Csy0RJMXb6DIjRkjHYzNb27E zx7zP4xCZVW//0JFnO+8xuLYJuff9B5Xqh6ZdGUb8OhecHsIH9WBFwqHP+Tav3p/+rcCiNd9vrnv 3b6GALFkTpBt79iQH1AjMDIEaaKUv5pn5ePtBCtfmEiMvoSXL3qYlxr/K43tHCQOsl/lFg85IX7f AYAFbfuE0LJCl0cMCP9LqVnZbELXC3CG+xkl4ebUYzmwxkdSQWHHE3zqLeR0Cf2w4CrCMtmYCUQA nlPMLDGg5GNGaHle1WF9ijD8sovRBmBVbkwmj+kNaniENTQPv7nVJ3IOCMzu6yXUt3QP+WcVcSeO SMIJjAWVwXeRm10dkFTkjbvZRxMEsK6Ekx6FZqepQMK94rwVms3+pDyvEjyuuihWDe35JEXGhjPL VLYL06g/8FciiYYNfYvvVZzdhe6k/IjVLIX7yvKgpNCxkgyz6kLQuIyzwd5PgZylBspKWKTTxtLa jp+4mRjxicPHZDs2ciOPtsJqGi2Ux5IzvYbHF1kp1s99Z2s/zAlUdV1oY3grrfbHiQNZfp2bOJFH V6MtyWPVnIcoWCANWcVg9z+5h6paV9WrX2cNOMDr29dB87vYn/+dBEA1umJxChb1WMFWimowQC+r BZX1p507K0BNeQAQ6SbtKLEF3hRbp1+HgUd/0RfqcVJbXoejZ04lgfs8mCtC3Mp8nTe2UiNb7svh HpgYd7JjXQD2zz4bi2mwsQ4XkdS9ZBlJlFyBo/A0k0UE8y/mET3lUiIazQNEOCptIUoxYIpCNz5g K8GDABy0MxulsfovYPuSLzCKKWGMu/m9e+aPMOmk3rrg8MA8bsH1etUrW0oq3YF1Ri5H0UcNB47z G2M+7nUvCk3kOEFWEfhw587oMfM5uIUDdXJVbrWeRqquWPextcJsVXF7wCxLir3bZFgIXXoJtpsI xYfJsyjhB38Ay/AEzdNKuTcgfvtWbd/uRyEDaBbcU3aq0jc/PR8HBk+pV+ahJ84i4KOu5oMQppNN HvDREpmnbEmncWL1k9mE7HgdL0Qwrueu9wT7P68hEUwRNxW9o1PoktkM70IOQzdKqmDyROXS12ti +WAEu6IcdpWKgUmPpUwNVZ6H8YFUiOb+oN0g8txHAjPYlUnwiuxhWR8OEEfxpw61CyAreGTKEwXX RMtKj1MBQQvu7H9Mk/SM+W6Kmm69l+xJoF7Cflw/xvgcQ5lvl3EvSRway001teXbf41GEfhwaaMD WOPp1Uiheu8wSj/kfd/vDIM08IPze9YSS0+AVQfyNuTk3feTRhbYZU57wokZoSvkzXIhYAjZxff0 1NC9RGLW7z2GzLv3J+Gy4UyRnwwrzndH1SmW53i3aAhXEEx7MapU0X499XW+jOYBghWcd6SSTutD QxVYiEQRXd4+76ayGpGB2CSMclXe76jv/2iLe0Hjx/Z10Z1Qztw/K+dYLAxoktctAMJ64cuAfNrP UE6slqsWJueGj4978O4W/04UWGU2QmjSVHdPrRJaE35mRIlgUuJUV41Gu+gfnXJksBlW1dCl+eTH Pt1x2bpOretd/GnVwstOcfB7/SZ5wTh1olAJ6HUF6pAv+ju+hKeMt62m+r+PRT7TAMeXRuCucQNM Xqulu0fuN7UAjiuvzlZwUiOuV3FpVMMr9m2T1hr5+0ZIBvms9JMerLcF0z6Ov8lvm5drfVWB2xlA 3/rplRl0nBcgsEEIaipX20ZjiA4gvGdViJymN2vrUZ1t5a/yajgRQSp+GZUCNi2edBxMpgmHeJxM cXa/eQywmUYFUbLA8nPsds7jb/PLiCXZ3koQvgB/g73Byf0dO/xvjrmnLCgH0eQOzpVBfaBCXTDq XPG8scVykjrMOBrwuABmO/ZTrWxVpDROQIjeyno1ls0TGGXb2fZ8z+6nSg4H44xWQaMv08VBTUcs Pud10cLy92sv+5Srb/IgChy/LdP3l8H/XR1sqXCPzpWyD1whnGc/nk0tYiRsFdqsNEyIVZ9wUr+G 69qwQzWvFqk5pOC8OWhfiCv50ell33KEnYp6t2KCCadsHqgDBfSwi8gm1YgB+8Xxu1TAiipgD+2c WMFIRFqPZZC1nvtE+zWl3idtd2wBPWlbi/H4QKXJ436rs45tYub2ftRTJlR9 `protect end_protected
gpl-2.0
1dc1ba9b1f1f4cee3d247b333175fd3a
0.934742
1.884014
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/fp_get_block_max_exp.vhd
2
51,110
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block ldsPonNRCmr9ZC17v2gRnkpAuiSWnE4SE7Ynl5gV2dZulIL6sMUaiEbpxdwld5kjMDA3Pqit+UCh Vb21uZVzLQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block lP5sa3ofBPMj0lCMqaSiz0b8aD1SFgLl4Wyws1bBSXX/odVIxjiUcOLsoloarEy8vVORXlPLqL0N jeiXM4sMmSzPvQMrcpBaDjLFKB9rk4+Ypi1rGFpcmuihCJUEuikzT5poqlz/YKwb3XKlWOfMiuFi e1kxsveoZ1go2Lzwss4= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ZQlUrxP04XREOanrHc4FmH6nSdDPTfGQLCRYIwiSydhdaedTEfjmoWk/ZjMFZ1BMpPIRgOFRws+0 udteo25hG5oVkVPBpgboiOmK2MlvTFxeZYrBQYE5YU0rDIoy2zFh0drTmDYf2h55My4azRtlqp33 hKG1T2pKiJq8u29eBzWy1R0+kqggpnC6EKspF2EbpbA/uq2kVOpefQq8t8pkDb16KnXMsY2jVqZs AE/JGgwTJ9M+sMFs8RkYeo4RtY/a3l3HSDx0TiGEb6MEgjoqnLIlqf9S+iWq2cuv76nN4frJQS5N BVfrHB83HFPpRPYUgR+s6KY8f9fA0d/l6ZVyQA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block sWYeGfemB9rJl0O9uutynqdB5sIBTPBKfAGpA3/chWMr/CSFKh8XPmGSRk3CFaA7TD7L1PEVjVDS hQsWFoGVvqkZaMOzHe5HoNc2vujgMfuezz+aST8AO7ISb/UdgQJl63uLxynJT+Z5Cu7okmOoY3Fe baJe03WwfTlok9LYEQw= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Vj0wB5xhrutgd5oHx4HsBaOCBE1WLoHISfxJwMfaB/a+c3wIwlH1kcND3A8FWQHMxr6Tc95TDbp5 kgD1kTcC0F1xlw3aa4KTBC6yDLAqp2J4K1CmCh3KtPgG0f6n/kVjIBi0AQyow07Xu0TvbRzZbz/P CwWpGBS0041sozT+vV6Mquzc+e9X/N39j7tldUKZ3WXCbhFT4u5cEYSZaeB1gRrbxVDNa6T0ejyo EIBu2RNC/S8DuG6bIGHl+Yly6frCvNCBmq2c8MIy+MleuQMxzfIjh5NyH3blu2LtMIYIadZLr+BO iABJ8B8GLJbF+iRVHJapmsjlwe305tEzL9xTRg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 36096) `protect data_block RKBoVQIUTWWaECmNVtCGMfwEYA521UyFiUUTpFGHAr22Qvxs8+UOElYYtWhdUjTzMkgkAugzk0Ex IDXvPUwHxTm0R32/bD0R+N4zubNQJpWhWnT7s/3ZKOZ5mbTkg0v7OkCJFAOAQapFvIIGKFg/wudA zhHGo/AwRwzf8miAvG6iukTB+gsQzSKTTq8iONpU5pBsXXWRRmiufa2jadPBa/LVVUTEihGeYNT4 D7Nw66wJob+OL5NbVzLQbOJy/0QE62dgwnQrdN0buI+i2rK18YgV9WF8/9sCR2RtofkhvuBxlZDW tNu9vOyPADT4RmUyUK7dhFTOyYVZz+BziGwnPXHNNM/kLUXxWX4ZRI7hxRxIoq3n31xHofUOE1eP S9PnWO6TRZMyEQabcIhGC83fU7Mf/bOgAOcLv/V2j+T8OcOrVAreWxo3MRu58KhqDrb497UPjA7F 765/ETSKP/NaWeE2hsGiXCmMbbC1cdVvgQok1elicZCdXfbbL43glnEl4JbAlcnpAtv/mVnabJ1H XH+S1LBDJHwVLx/XoHLusKup8+89ltFZcTqU76fZegRSU+VO9VXi6LaPqbjeoDjdBl8OVOGi8eLE uExPKf3Nl2f3DeCsXs7yJ5CvulzBmD1eLTFU/TDRnpRADqjveUr7xAZ2+WmfFeQPg8CA0Mj8I2aW D1vRjDP6PkcTgVQDRHR0sI//XLuPMic5w6kVxAsjs5ajtz84wOxYOrPONLhK3jxURkqDaFLECjGN x5TtSs+QtIR/AnlKk930x9tPYN1BpJa1Oxg0hn52r+i8P87vfIWPotKfkHGT2rBS9MOtY9sQScOr M0sMEGDV7Pjzit+268NKjb2mve/j/IHTH2xrYwO0PZYzfLzZD1pO2u8Ny6JAbo13hVAYlgwttEg6 oR5e3G59OTDPylBeZUY7lf3kyaAluvi/Yt37JR+3fv3RFa+fkYCoC0MOzt+n8Gq0O67vaIk63CLj Va6GujNXw2X+BRcSFcvkC8dOGr/RBpNhc7uqjIbwZ8oA+O+aL7AKzzdpnl/eSlnpe+6UZeGt8aXf 9/THZY7TVGcWzQMiIp79U/IROp3yimjsGJ98Mqi3jxRgpo2FddJiKF7/1DPFzWwZOAKP0tvIu5JC KEkbKRYM1ruaNusbsW3MoDl9lxGC6Hy6hScSFA+8AkrOYfMskwyadoD2/dMaKqCNTIUVOT1L/iM0 uG0mYkkbY9yrWoVZR7PvgzgCT3pLdlafb8nbzySC0tBrGj7vM0xyztEOlvW/NDEuFCMoEDvLr3pm JDAbVv5aSCOSZpJVHTYQ0Vv7p6wYfc33hmJxzbzGNBAwLcXo2BhoXpLLFLRurKPh58vMpN9mzqAD UWMR8w0MYW4ABxm6Ab0u/XiHl3jSEiPz5ev6Tyd+XGhPZ8mUrVl43yEMNWjOMSPM5tKlsygE+vrw 5daVDeYWagJISMYqw9Imzo1rwtpSzKSMKdr3NU4drIOqz6kbOXzlLLHMo8MTtT8KZOfFYgERYT2h KjbrU2DJAXCvGOMWlB821ixHrK5YeDXbzq5V31XnaxQOI8dho9y/69yygmS/yoGuZsjn1hH0Tm2q 6hQH7g/OZCkyoRJ4gAwwoGP9huhkSpmd4pbHqM52vj+VBz/DVYYHb8LSskh+8kHnPRmXYxpfuk8b MHFgKbubLbi9EiJiPnYEYrbpY1U63mS3jx6+fn5ndZgfxUlQ3A8TEdcc3wPEo/GJb35TMIhRS7MF 4X/nbkiFojAU48+PCIURKIE8D9Vp/nNqMUOGTG2D8pC2DOw7elaa/1m/mLkuf4JOI6GUTBpOyFJ7 qhC+1z2nRjq18g6U4JpmDKKHGzmU3Hj6e8PV4D4Zw/Wv6GommFcHkMV/Z4nK9i4DjWGsctabNqnI kcpglFVBY8EZJSrBOy2J+dFc972r89nrnhKSyPOn9QabdwixY/4HOeguGgReTV2s6MJVkHlKw7GS ZavC5RfHyHChm27oYbYsVBIb5DcHxymnczBv2Db9SmV7An7oRqwpAVQz3CIA/pcPmWfXsoGRMKpV f8y+jkm/liX406kYkvmeyJlF0nZpa5svInu7n+wf8LtP4pW9lwwdrCUA4Q9Tv8R3atcD/cAGpjMB zDcZzhz73eDje/1onk7T0eP+5o6v0V+F5d4GR2B0fEj2CjV6dXy2VSFhcgA6PZepnTUauYzktUYc ZI/4XrvC/TI+WGOA6EOMVMfeaBX5Zt9dVSq0Cd0tx6uDmmPeJgtCLJoHv/06GbA5UpfA/tOInm01 m6Nf+btPeXw1SPW1INjGGYzDA+D8RQCkVphAHOKbqakBpUeS9Z+PBXW5NKsDTVY+7Gjh1/N1Iqq4 C28LsK0HNGMlSgcA2TUdPdrIE9GUwenmII6G3+UzBkAuxrKIL+5VG3rrjOvo57CXSOaJOcP4LrEq 4RilPj6r9EY+6wyd4CLAV+BFprX0JdkkSpHDDtuijohjaIxx6OO3GmGam3qsETj4N2zgOHFHXo8m JiC1K8/ECssxJz4+tMEFkfDw+Qg2dg1a/pjotJQShJ9TfvjDeNRnsNXXFRiYda5/zyRnT5krUlZ+ PCMBAThyRxoaQqt2QDxuT3LyJo5iB/zElki69SUJ8X2fbkF9XhpH4jCI1H37HYAtWdw3vJcO8xyY /z4Nk3M7vsAW4T/RncJURUDrjZgPR7z4II8bzKEhjjyjnkNX/lkrmtwcE76w/h5SSFxZ6NVvRFt5 hSrhwO5ODKRtsLI8eCGraPzqAxgO9WSXMONoKHW5tyJZnvETcgPs1hH5GnASXch5nMHzw11xTVs4 m8ZIJYtQtjz/rtSiWWVnJIdnglH/ATqIR6GqHWoFoBrrkb79rAHgDnFCcyleT7PNJPlFuSaFJqZQ mLYu93UwBtSQKXYNJG42dL7uTHjo5YSRZfl6ToxG6I1rk8r+PtSl0yKsWyRUTUIASY59IEOFSve6 eA5LPfICPO4dUJu+dOgH/kN6URZGl1A8tQqIvzi989rJqlTZhPfhRbiipXpbsmlqV6bKE5PxIP+Z 0lWemKYC6qr7Y/zNofHTZREyW/IjOL11q1/17raCkcZCC2AP/oFXW5v2QxRaHXO7enBTDzqnbk7C REiokZUFW/t9vxFCO1+WePxqihLa7bJyG7+IWO8+BtBr1o2dUyhsyhAsgAKSchv8Z17cxCiCftsB iwJztxAf1tf73uUAYOEDe3Yt7eThNNXvtc6fuNOY714dhtiu5fr0c+DTYdsuIQxYyVnIuzqYzuHj hrrM2suDO/d7P6+/pnZGirCUh7O/yBIyk14MlBBLme0G20/hVyZepnfJ/xD0cFJ8gT2QG7Bq2kUx zcb4/96fm9MA8CrURnWNvva7K8RsI3/zBsY1X0UVmya/Y38jGKiHvOjoUp0uhxNotpacXOC00jM6 +eVOe5eGeKB7NIehmY/qt1KZKUyJ/oZZjCnhq8iQxg7GEAEPHz8E2Vxh9JEocMHREhqjC2i45xNB 1UorIvZXDbPj/NsV6mmms/poTbzZElbjxPclsZkGOFj5ut//wnTM8vzOCGSnEkGFbbkXadsegFvW vxSKFpEbso4x94drbWvoPq2wZeVWk61zmi7WAifFcjVxgU2rYYWKMquwrR8+hhB0An4sNs+cv1rZ W5d5wiZsyLm4KxP7ZGCh6UNqH6glWOiQvEspDyf0UjY7SueTmAfNFN3hoIrbVexcyI/NJO5XeipA mOZ/2uvEkWy+P77vtX3vI+uZF0NN+AKxsqGwUdSIVfoPYwT/H2t3d6dK2LDRVL3C3gi9Hw+DrQ0l 567W34PavihF5qAD8K1drcEWtXsOMNjxPBoU8lEFkzHjR7PTomrKBiwqUMgnObQXv0MToMKs8xPq +SnWKnEnxbFo37ZUH+6ZId0mxeUSXwHLdAYDc/Naaqgn+PIIg/w++TviU2UgqDv4rf63lzdisjDn 5DLxlaroRDUcAoEYnDS3XAXuT5odNypMoUTfssv/yiJMQFrh8SsbfEqmLtVX1s290LLjR4vjYsSe O/cJ08yN8qGxzrt4nqWKaFsiKgK36DuJtQJQ2ZnWHT8Hl5ykPSpyBhbGNUJ1oA46E0MUHW6rnvrD nTNQmnYsRrIQgOjiHsh4dIJUKxH1lbHPSueGcKj6jD11c/Hy4MFZhiuXu8P33Dd4qfWvtyYH3tOK yXRMJgYrxtsbVcFpRPMNraPO8OyjUMcUIvy85OrYj+5Sy1Q//Opj4eRxdpsIG6GSegl9mNFH+udL M46YRVT+fVtQy9WtV73Ny0RDFrZdqTAc0m3EaQf/SGMhYly3ODlCvbCSgdXZ4+TCaBBJyrr5t/36 dsCGYpr6mSSkVegTP81DzQa3jRM3pFJMvH2p/7hzQjlRwRHCAyNL3CoVTEDp614pTucWHgFkcQ+q t4tjFA2CvVToGDUU8f+3z5kU9ja6G3dZv1vwAHh1xdxWO/D4YlyRKSF8Gs6OWdXmaIQh7XwQ68g4 vCcvniXaYuT2w8+3cQolFTG8kWt8Nupjpp3h/zj0PcjlmyH+TXK+LkhJEtlu9gVH/FQhQDUkxgsA ICVs7geyfjs5SovHYUjKrSdJ5DPQX4R/pUIaPv5w1x3eKuPsta8n1fNLk+qsqYQTjG+UYJQ9LnlI vUeNWpN5o51Rv2ikF2bG7dNVVEbscWJnLpNAjeWi0ABTNHjLNKKL/EfOeR8E8bu1YpwEsJ3xPHMB Y/Vy/3N46zykV6BqHeRkaAOYTjW4Q2xVBBUNGOiS3HJkN8vIx0vgyB2kalyURUDtUbWRHOFNvF8/ SKEL4vC5HfJR/yXPwEqrtIBHe37EY5SQL3j4N01apwoDy9giCW3ngEcTKA1q6TnUj2A73FlqGQp2 7RsQJ80Lv56u1ufOJ2g2MrYnEbs1wI3oOBNbhtiCBIyO8jLwzK0WCQXBXO1U481//J7eOG0fBAaq td8WEDtlBOGlEg765/7aF27VABl3+wpVqKJxU5XDHH+MDcWIWPvTyD+bngmF7JL7lIE/g8k+c1W7 dQ4LnUUEK8uOfaJ7jJtcxcDCUHQ5JGnjWEOfYX9Q160/gr7SynP1N3z7f1nGzNXPaYPfm021sA4O tktwNSM8JutqgnbVpeYGPxIyJpDBN/AYgP5g1uDmdOFtTI1systKAvL5g2M+GinMzY5u3i1xBTsC RTf5pqJabLayw2SK+mu8pmG8ZvAA8Io5W8vGzYqlPHqkybCuV5yr+TrtGJbWoSL55IT7ZtKaDyQF m93xXdpNP4C9/9gPoQe5tq31PhhdIEW6vVqDLlxqzSr6jSnUkHlnVn09aRb2KE+3KG2jE23xPmE+ uYZIi5OhkrnW2abslY+rEFH3PKunmKiNcdnn0qMeuZFYLqdhGLL1C2XcvGC5yptyI1QsQTjhRTx2 YS25djYkICL5T1K2oIwEFVL0yfeZV6i7Xi7mG5tw6Y2tRUIFnph7YZq8PNNurmn4lg2tEdVaHqR4 x2/37HJEShrOSHueszqTB6p6wHI1zIDMSj6dpkvbVhiUmSaDuSw7R9fiCNPJ1nCKE/fk9ZldSRz7 95Ja9yWQ/ZdcGzmQfu6bkWTUoA6q5djrtY1JalWhRavfiVy4x/hlPfbbNo4EFYLbDsOpsyRL396M oU9CWWeeg0sAplX59SDkMPFZ2Ep6uKR/ojlJFWtam/ZKy4IhS5ZMplBLejUdV2saHOM/JpOV7xb9 7cm/7MBPlhie3EUiPrDMbxD8In8bvQc2Sk9VkETiqIuxzV6UoETvFlSdgHKsegXKp4KjwATkQkgE tjrz5RixQ2B9u65UFbzQCC3mgpE/znBeD5BAD73Yi8LcWMOHserqhklGGSAnaRCQbiYpp57GfzG7 D5F986Ys9+DBJfM910ZE9V4hMYYfX6mLBlirn9z0At2Hq/pHkS392ekinzP4DbAhzdt38HhGyepC tVBrqW1OWh3/VUguC+c+X/sKGXAdlttfhW/mtiAb7oABjM5zu3RBkH3E9sg7JMLRQDAqIW64mfCn hphrk8affY/op7U5/8aLATuYyGjdL8tLfLhHRvqx+dBnrcJocelyRimzu744wObvS7sHQ1HyVDNs a0+g3lN7AtNAOfOYBw2sT0scJ7gSEY9dhIEJht7Kg6J74plOli84or/zD32omLPcYryrxRyGE7AJ KrM18RlsafWHVcYvBVDsEex9f6m4nibsCJjY+HA+6QMNkqrqkQjfVYxLjr5mljmErGIQtWo3UfHw ksK25neM70BIM4WMChOlyZ/WVuW1FgdszK2n/PtoNSExObyMVpIRfZqQqEzmKRCnAUDEeD4IOe0C odMxhnuf558IX4E1cM1cjOXLfBR2mxPLBceFUVr1cLFhOliQw3cHdyNxU+f2wH2sZmLL/T0GI/FH j8Unas3QMFtFAfpTkNCVHjrxPzqcnPQFYa2CYCz4zQtg3dWXb5yMpvarPft5rtonLh6r706BlWm2 W8t0n+LfMCPhGvdHz+w4zbjUkF4Fa9Ja6MeLnAI0uSZv1yu+RFBiLkfwiiv/TMkSYaIEQ+VSGFK7 HOxR+dCcSSRQvnYy1888VPDDf+KKEKyDsay/qjiPWv9GWy1TESe8aXwwUCn5KbkLRwMyengATZpQ Qyv7Ax0vwsA43zH4yZq3BH2FBsc5jCMKDXNvQZVZf0xW+BIVbmRg4JcEgVOQN3poUkAtpe/hpU4h jjEwjObfsv4ewGp+9XNkIH1cQJ6skTPStpHvCUiCSBV+ndNw+oHMGPKRxDPrKgtCBLNKz5PGeLT8 /Pg8m2okIG3F51IYWHAmQwcaaoeXog9piu0CNrmB7wwxVJNKO4uGpPNMrPGwwX7dQqT5TbLmGp5G I3AbvuEGtqsG6yS4njFjiNeEpmvg2LHUvLMYzhD7NqnnSgiICPLW6wXhMb6/BvLv9nxkv+Pp7+wy DwuVra8Jt/a8hkF+68vqs2a4l7F6zFGJ8KWgqU/OVFQJuSDKqw8pfieK1TdINvm33YQ9vD2bZ/0w fOkRkxE+SeWmzLBUrNBb/ICVAruRovrJJvVYhLPj/SfiqFrhYzNLrNmHeemyI5lMXuFiZBeIrWXI pRwcTa/NCiY9pBbePeQV58n/J+RvQqcSLdFjbBxLs0LknK9tBhUs4YHWIou+4mIoxJf1w3zyAp8A +ymbsRl4ne1P3eKihlldluQ5KpR4UJSEXVrENqUtdhILjtcjJuBMfTbqVxn9HjjlbfPgv/4+yz2N PeXCTYzypFGrD+VlzEi4rbvryLTLTZVNlrvCECBIKXoIaRmkOquBMQLOUjIOOfOE4v04c9Ydw7yO C6V7uPwx/xMCZhPne7LXHusuR8CxVvVy3+TRFbII03dSuVNe92PjgI1QAfS8XYsPiKdO5/9H/CU1 rDNRoNbv+npdSTVl9i0NzcdDEKNL3e3b4tbeEBdpf4/mn772aRAoDtKJroDfCYESuMjc1H1PNUaI Ia2nONDuxR3wMNAkmH4iOVEwgLhk+LJ34CTFCgws/SrwIF+YqJc0jMKXvKcBKzCsJChh8Bthaxbh +6qy+0XrStabkacCEwdDHVMcF4TtqQltJXgxswUY8GqSj4tQMhDMoNgzqn6bHlp0/bccImNoE1Xm dtOYn66xTP6vUp1TwfhMgX8vu/mkXDiQQeIf1km9TfOGV9qApRD/4iOtdyP2ngWQDJx45/aC5ECT pyKroaQXtA6w7AOufyMucUQ2jakKbwr9tpcizFMXreRTyUO7GEnOl0DPZjfWwanPkSg45HERioJF SoJ4lt70hvxq9cDE4kV9yG5kXuyX2zSsfYIMxGVPTteSryjrEyhnzuVokjhKb/naKk6+IBBumkCN 9RaBc29yVp3f0oHNp4OkTuqnokjZr+MCP9OLYxxRJV0HHB1NCVjIjWsrx2WaYRUvux5tSYBkI3K3 r0HI4PtmJFijUyqgsLMS3+RSghxGAUjFmoRzsZlLsKVEsqD8PWZLHSU7sTGR0vT5Zlqper5Yy0vd 82XDpGc+0Hl7xdx50pDXdZCeYG9dietRDCKQIc1u+EF1XnxdScADHe2UT9gVEd2xsjHygLIBTxjE KfOzNNHuZ+oCDlLSLJE4qQi88Cn48uhkov7hDgKzM5kl++wodRUfDe86lyw/A4cP3ApQc0XJqObC fLoR280JWx46SAFs60b6VxRUcXxhD0dZpe+R3LaOvlIE71yhofg6b6hfaVgPDyoLiydIKSpS82Tt 10VVwoOYSuMqPYusRDOOTPHyyk8WYlftT7OZ35t5QHp7AxdPz6Ld8BtP0z7qJiF896EM6g73Xijc VzSEO9lkq7gg09HnzPlbh2ORGqMQEoDUoEbY/YS79UcJGTJLTXM2cw7/LqHlf6j24vpRvd4KW0y1 wurA2uWpFHuBgS3Iz1/TAHGpK8Y5NgWJ+5WZ3XkgpWzlrvt0WA0ZiByxOM5BbWQ5pRfrGXVjeTVf KhkZ5CH5ZUFXi2x901qTUG8P2y7fZlg94lWI+e01zljsZV3raX0YDLxWBauSV72jIO1lGnYL9iqQ UqWMx5h9EMP5egFQgiaYwq/ji429Njvw9ZFNMnawmHt4mt1J1PDb7BnOu9QRPNMFEHjBk84eQ6HX p8PZPhmVLUuEf7QC8/TJk2s9gbv2FA3k+26E278vJyUBMl2Rr15wss/njkpaPUtTvK3CCLH+AMtp tY3ONUDmj8dMpxLJ4OsNg4sYSKNXufVHoEu0KBj2hi8l9gtLDcS3bR56BLf0a5DyoutvHMUk1JhJ ViG0LihwNwFYt/k6x4KwKQtHJyGQAoT4F0gxWszwTXg7oCd+bJqC+/wF07k4I4tr+2T0GGxP/i+2 JvjYgKRRnKiT8Ns85tMOMhy/5JBvqXi6BQS5RHPTpIhEvsy0PehlhMIbr/w2BTZ2SaItmMw/8FK5 FA9P5CUCLn07Wvmqe8iZ1xrdNsq8TJ0+s0Td+CkEc/vp7PAWfzM0QUH/psqeft+PPHcCBtdeHVoJ J/B93FWDyHGT/vS/jNKijDnc7WzUDxTuGEYgU9galr8FAxzO8ZTQglyVg6P8cTvqzmipIyPnt/xp jWIbBzmVrR1YCJB5rZLZGx/4PkUFOB9oLwRivXlPM26PPXu0iQ5Bs3qr3i/tN/szbTi2L5Ppnktk rDDQrUx70HYedJkCrQIfR05qjw86IkEWkz+jyBCfhTQyzJz/6juojlpGA8k97ex6iSYm6iUWJptK JPixJmKKMJrEqfLsgm4g+hvDfJebWtcNR8NijngF7hLin0M7qvR2VBRgmeEIkYmmbedDTMiBwmRM 8b90icKyjTkJ8aArwlXoLXPJL5y+avtWg6l2+Nj6Ho85cPL/laJVRTwlvG+stpGTE68jLEl1VD1a gCDrtykRr96QkxKyLN9LhG6flc/2M89umymqMpoJinCCYbOWaXe4mtvyk/BLRnBcLV8avn3Z5Mrp i60Z/yUTgizjUQ+tWqOFi4zmSFa6Nt603e45PSNMKCVe4uEtJ/NFQT9GSlDwL+YUbYWeX+oY8iM+ QKKNZsxSZPZaX50oJ3aHOby7vPgH7q3dSkJCpE7KVE8FaQlEDCAwxAtrS0g4yIkqg25E9MjLUlP3 jpyVdSQ/yEMSuBabAdsdeqOKuv5iWVT7yE7LoZYdeNPWutvay12M+GWaKNAo6KrZIUbPLoAuaQEw K65A69kA+QIzSjyE32MYmMlkmS4Y3Rv+qC5dN+YWNXCc0ERuYy6OG2br9r0gN75D9TXmBVW1k6lq 1tG2QBqW/tAPzU+kSL4C/WN4fL6JRHzF1EfD9vX8LGNLkcpudwA+CxMGolDLosnzpG1jAaygg/jb voARmYvmM45QSWEnGIhgGCZhT4eJMUTi678JpxpYuNmON/0AW0Px01Fp5oel9IDARYKqtf3mwdpE D+T4HLVBUVcMX7X/esc0jbfMQB078BjfGWqcOFZrJiwf88LuKC5szDzCJXcB+W3toHb/Fq1lT4nx nNXnbeVikr4C7VUZw5HuhlbLS2ldl+wfRWcgWd5VMINCO8Sj8jb6RZcWMlrwk2a0oQw4ujh4aq6Y jXBfB1MwGknF6NhPPnagNcMG4evynOZwJUtXtKJksizAlpJD8S0WAOzamc4dTvlBNs/ZMkvzYT/U pM/FRBsvgOx0hc0EmCgfZbIYmICWmj1VDe0OJ/dJQgoSbsGdpl1WNqLcNdgbzW+6RARwLmcAxe9b jqkWDcqPcZd3YRvUlxAiWDcPeGevw8kVXH0RDsrrAPUinV5pMNkhZQgzH6PYV9eCfWnuivHPdArE /nZHwNS7PTUWlxafN0xrx2AS5FpSIsenWdmRaR0vXdVE+cRNCnbmTiQV2shvtnwMfBga2XxAcZ7A MVg5BxkO+49ujuE9KOj95iNWs897nTy8Cp2KQCM/+t2hWG18r9lswxnjbEaJWnF06AsTBZrmpkhi cf0r1hB4WI9ZcA9tp14ASNaUWXp+rcK1K+ZSXvRehyQRBWLTrXi0QRLrBsxe9rDW9ijWnO5Y2WiU v72y+THSo39+RzPnYco1iL9sL+Qsgk+yY+XYGkeevYeNojbI4iLbXa+4VG7AU3UCdYbz1cRDG+kg yFcdLg4lNVAMIO7xtf+UbI+c0KO8baFv/hcnAr3q8KwRDdt1eNBqPSx26TrouHqK9Ez73Ja8K3gR U1+Sgg8MKshZZFYI6oWSLQgxK9PG5T3+cmj6EKYh2DEVzDr6DRTXfwFZdNObQW3bnYTpYbNQaUCn MvCrVhOYB6V74KghJlXlVJEu9tWHWFTPiqlYPGXXBtRF7TBc947YXbWNw+Pwvb+Q8739QsQSMTEx Kw9OXofbpAnuXxX/HJtjOME9bU9ME3qv2kbuGbmTI3UNl5KGKiMSLH11+XoKMMoWkuV18r9xF1/i g1e/BBInmwRFPIdBT5IJSQIGSXLZHjHCKL6FA0MpuDTWyScXtFFuJmkXY1iRfwuvP1vEMGBT4hsl IDrLNwbdZQ3RAK2irRF/sBMMHLClhhM6GeXwFtW/7fy4mXG9fa+Ul4MYIbwdiDhEryoTjkiMEZtR XHNhaA282dOelGlYItDAhKBCCknEg0aOdDMirXwzkF3fGryazL2Gq751liYgt2zkQ4yYrEX0XKAa IbKJbSenvahNofbnIbPS4YYKbxVJvg0sLBLtsnVSnEvZ/eJs0rrBDGr6lKUg4/l7M8tkNxOlY5Q+ CZB977UKw7jC6r6Ptjt+u7V1QGwQe5ekEk/g20AXz/xEmI6jV/xBTgwvtxjq+xgYNvmjlLqcYLRH IDFkVVxISUmOClbnVjz9Re1xjxK8ZDTsSQXEqii1eJmzrMwCeFR7HFo5F+OukGYEpEkUoAM7F6nY HwiY83Ekl/EaNxgJ6k5AdzuNsdmCnBD2t6OsvGuKsbUwPsi/5xqf0+KtrdrMDg8SZXVaC+Ka8S6z EGBtByVQwMeiEYMYyr51gLEyCnRlwMmsWSatbIXUInJE+7siirIBqavv+fxCvXBpnmSB0GAyQUJ0 AX7qqhiPcIQbMk1yYr3VAZTF3g2b1nenrZOItb/g5TA/sZQSesAf0tKnnFMsBhVO5l8PR0sdB9eC 3wCHZAwVXA4f5jVCdWKXM+5nBazrt7GCykra0GTR7NhstQ8Gra1pjtHkd3GvSCOfu7o+ixdP92TD StOi+quVJqq75HhTAAdM+iLQ1iRU8ijdsAnl0j+zsl5infkgh0N1JHefAvkrGXaEPPdKChQxfrZv pXS+M2bPAEBiAjsal247mUwfnrw1LQMz54f3R47BEgU07rmV8qkMSad1xzHKqeYiQpjCbugjPu6K VmG3fX/Cj0AVQIH1dGBYBusdjsqjroN7JCcaW0lhj31V1uk7bxKy01hDBdRJ4yGxuzVyLM8828Wi 9fz1oLeFWWGh+qCgmlWSPUuXzFYS2IWB8ogYLJf/+sDcr7piNGg/K2OxLZbLcLPb/9elW5r6pHWj 93VTvJtLQzuQiUwWF3knExw1LIAMDCBSzqf1Ov8xvimBD5xJcab9bjg/QsCmAJR5pyyAW0Potvpn b8ffMIb7rjxGEDA4IPNyf2XQZxReZazin3ObObA2KCLFUCwPhqaz/vrQWuZZypMoSXa8sq4pQvZn ssV/MwqpK382RHQpfg9LAWUC0Fjh7fCqwqJOQ0RiNR5j0ThQ5XpA/b5v+tSlVeHOGvzbLOmQ+LO8 fSaZHQF54+pcr1sI+xu7juyN+Q5aOqd4vFufvtVLS+Tx+8sQpK82XDBCySz0HP+Qn/94KMSsUBsv MT8KJNYJeDx9YmZR5/Irqz1sZpsim0jBkgvy1kDmEpbsDhsIyA4W43JT2ZRYUy1o2t8hIVfA08Js wsv1tQDkdp5N510CrdiEqBJMttta+6qLCosKJjszjS920+fkpZ+NqTigXkClYn70p2aC1RHAUrfG A9GNHDNAsa+c83uBeBnZOF3Fy6MP4J8PMxoFxJVz4HBpVWUbWwMP+/1MYB+S0WX0oWwHIj6o0Lkx B93xkso+pn/Lk+SkTmZYau572wA0WRrCmY+0T8nffpf2wQTEKdHrsHxSJWg67sbdw+RkgZe1kVWM AxYnlum+sln+A3gCZBczAopyroRd5bZuao+3dJqsSf27/V3dAaaZj1jn3UcNRy5RXasGhkxEQnn9 j9C+9Xx3QVczIiWxk1vbWuXJNWKLL28MTfxO3nqVe20t89W4Um4zQltgkVvuzbuxm6jxl8vusdeT QBczV0K0IV0S/bB4HT33KJcHZaMALNP2ep6F4dKucUpHKc8ktEDXwj7Z17Xqro2iyL/GL4qIs/Ww gOG22m9z4q2r6lfgY58A0Y+sCWAtfrspAZlHYDOQMOVj92cXq11FMkJjfKbVslh5XXgH4l2bDXlk z3t4UlLjHIG5Jveb8gBnztLsBTwRUTX4adNCB3wRb3FJ+mIB9luYKsl713XmXa4mWPlBeZPbT4st J97ekWxlXMt/lmbqOmNgayUfyeP1BB1N58VpvXmms9UD+IGjmOyvm31ZuQuRrO0I2UexIbBnD67e 6s/7pzOdX2dWSfLPbD5ff9aHGMh1uI+C6I89Vwf5PdTICMODktKUuKYUUeXtp9wmNQlAUx19Ass+ UFbWY8pHrjxF0p52jXyad1YgAOPUrpjnSAVEvC+HNfxX+q8tL0sRxD0Ed4aTXqP8xWgBHaayfwCN Y85ySyXjTaCgWNhKs8oJjH74MryhuxaLjV6wskEUvW2oHiPgyd9+742wHkWfFIiq5eKOw7g/Q9Ut 31rTkAfeyEEQqwUm3WOYdy/zbEPMVJkg6lIHtQ+pLl9UYo3gQlb66ngdIZZb2ZPgnR3pLxZXsnyv yIy7VvG6BZNoMJbsQ7/5dUkMCZ0kTXMJaR2eSX1e/4TV7IhiolnVVxp6nvsTCWOOF8dJnjfTlzkZ IZIvxeOUY7FAOyGAVXO1JjRBr8SGF8AOsc7sxdsNEUMv1BrZ63iYZhua30XESl0a2NtzUR0SG1nN RwKA7E+I/mN2doMFngnuT3RZcUT21T3K3El8T6VDaKicjF+8FkMsVbGSAweIBXQ19XxoxS5xatyo nHDaD23hv2XY494j6uEGkVrXgtgcveb4LirVNx6By0on1bYIWFyIChjhmt+sJMLJEXRXhF+KI83A YxiPs1+Mi7mwEQEprSe/UDNmRU8/zVbT9V1WLscYWvBeL65KdJLrnZqQWGgQh55mjRposoHD2aci NM7LxkK7/z1MRJ4FG208sG8nPPL1HyF2LSObIWrt+cSVyfs2f1UYSr6oTZcbrcF1gQWTuIK2bED+ N2Cyj2QUmQpchzZ2sjSYagUCqIBIz5a6lu5cSdIYnIJlihMyWRzVqOfhpLU67grU/c3xBq7gu+k7 CvBoG/KqxRF3R9cIjr6KDw24iW1P25XYJes+S+rbSFBzICLikfka5MhWBZPCjRV7wS48gGsj3ynM DtNm0zGVbBnDWR/OvCNA/OURaYYbPetJxkEtfMksRDhHuVJgi2OnB4aW/BQknB1RC3OPvgQZKvJx 61XyxF7NT5TM5xYnlJmD099Q4SPat7vo5t4h0HGGQKly75oJzSA36icbp8eq2AAu3XcNGdg4stKU OedadBVE3K3vqFUkZ7Aqut0LeLW2tbP4PGzu48YoicVxr3i1FOzLmExP29TorPFgA45sSmQ7k64z dZ28B0FlRW2rq7Ae50SMOqEIKVs4AGacBNtttU86VhEYzxuX3Hj2ofW9n5A60BfDrm1yPN3beMxl 4PrSTOtt3mUZxmkKVujF2EfrLTivxfLrMiCuzdIcBDwBxc3rM20UPTrNR703ATa5exm3PGh0JVlr 1aW/7IKyU5O6GAf9Lx3HvOVyKSPL+hVwJ95jV9iOGvkrrA+/TIUQwDU2K2ifwbxQ/bhA13LEchli Zv5PMcVmECFg6GoEuTyIlcLVzC0eqbs/u5PsMzlr8RJZdN9KpZsOkj56P0xfgFR5G4z1fxJsm/3P jdWcDWoxe4VecWB8/6zQ0SpNVWPcK5HRDSbCiW/v/2PwOOm05uTMUCuTjZXWHFpkoPhuVrvnP3K+ /Gdq8Tr2kPlFnipuDywkIpWn+TDSIu2Zz/RZfeMgrRkE3/zaENK8VLnpu2Z7FLOFgLLwKzAgRo7M 1s3I2VoeW/p5rxnd5ZnwtQDExPrDZUITPA4x0zr9CGfEh9Lq5i4I+gcPKYP6y650OfwUgAZTtd0g pU7NtXGnMVOrjSjRy3cI2Gpwgo7tPHp9n5/sMd/EE6e/u0shFQ7J9e9u73gsMAKhapFplxf5ec6p zI9Q4iKGTd80QZ2OQMOc/KoogfHOfGdtmqeX9o0FKWiLgRZwLgHayV8d5F1Kvx+S+HESW0j3SBQG nVH39xQpkp19B6GF7vLWM4/sxsQwrwxyOe41WZc0NK30WRx4U6aHD/Mcfhzf7aXjiZ+swCXNn0rs E3w4yt2ZXnJSbNGNGznQW5HEEEF2Hy7bMdsIwGjJisjT7jzxuyQIxuLgk2d7UAM7l0xFFBmnFJm5 PX2sxCjn66C/OAP965u3zHY5OICg0wuKbJLM2BAyk/B5Wcsxrakk48jmFs51l3KdLPZIiiVQbP8k 4szTw2uPdYIWiViBhVdr1cgNFjyuIR9Xdz5VTfCe1TcmmwVCLz1f7POhhMtZDYWiRpgqy4/E75Zj MkHL8GU7R/BmXGiOKdUGWD8Fy1ITfL5KDbZmWNgrl/5G7upZmrM4rjWfaJgNb4aV1p2rk86knh1W GXXCOOd6zT9v+KiFyxpeTiEbroqVNfwtiRzmk0KdKs4WeGAqORt02+ACu+IrrsEoKzOEbB3Vlcrt EC5J8ZOcHLBma0aADiHVJdGGYsAqXHVCAxrZ1l5u3JQCQtRd3VFXnETQ4r3tLJnyEYq8TnfIfxug V/HQYXiUBJB/oHRkVn4VUPlYLTlpSScK8qAKP/yoc2nwLDXBD7uupCDidi4zqFjJ4IuxTVjJdDhT NOnRB4O9JlBBdVLFqbeZsKfZxkCtEJhj5KTIhlDT7iz53Hm9+anF4z/HzsA84RoXpxmODhfy0C5v 8NAxO6sFftDSM25ZzPfr1ViSf5Cf5d/ni4WZkTSM8sGuWPKEAcvcHCRySRlBSp1n/SL5gnWqv6ia Pn6Px5t6MPuWAm8+Gd+5fRypHoprc9UbZayUH3PFdb6i39MjZUKDh8EMGNxw/QQ3nczhVLO/Lc0C 6gY/brTdkDQaDIJLEznhes2FRAmAPk0GoaHyoDysvT5y7A2z0042HZIK+tzXPdEcMu5ZDED03zS6 OICbdPsquFNfAr0Hshrk9yQZjhiHNbDm/AwDT2RCbUq8NzSGHPp3bXhhuMDgqHI9VDXywFbVpTeu ivByEUSLmNRXb8/RNbBg40DAzuggAMXCP7KnQhNDI48mUuiBxWleigAxbij1kvtUH4GcjOF6Ncq5 vNGaIUDzJ0T5PqpSL+Esjw3+U+KzVR5ktfh7spg+Axwe9C6+WKWZYEWpxpYCYR8I+L+GA+7QfrLm C/9kMT3uYX9v3SYIdagVHQ2Bmwyx7Xo5MSvtsGWdvqW5K8Quu4N9w/d8XjVdzUfcberr3Hgn3wTk SQzFun/K4xG8nRDkMkkMuutiYyDsF/IDY+Qhlyp+9kfHJJ/QJSYqvwJwQkTFsC++Wrx4KNkA/JP/ h+ezSCTaEYDyAW0KrPfgbS+OOi6NqnGmDXvaaozGud0KQtGfMcXkEpN/VJtOjYWY5DYLSfhHcrRK R2pZ2UfH4e0/YG5obC0Sduxmv2u7Zf8qkn2FfF2cbtshZi8jRMzkS58JMDIYFJzfg7SoLG4pfELl CZd0Jr3rFtbjhu0VWkuvpnDPfXnklKVgH7Nnwi7EB1Bj1mmpUZafJNHB7WphrlmfgsjDn3xt/gAd npS5q9rZoAwdDAJlth7fXA7hlTG7JCi44qWyO0iBu8D9wdMzZ6vUWMl7EhYmcmpCX4Tsls+MQvYN mB2Hm+u9oK5vLAoDfgqtdCoxEg7kqIFvTZE9wh2PDPt1isbFVwyHV9QllZdQHiP8tjqiMjVkiBjT 3P1L9KEz1OG0s5Jbnz2z3MgjjwLXzFhTxIsGuMdmQsv/UJQYvc3kF5TCxygBw2wDQ/+I008pSiT7 8IOI1sUukvm+NWQgV2M9eulFl2RWmMUpTCPkpDWZccGwOpyRWxo2yirzTKgZ9XUipWQJmwB6ZaOx vG2UD6rMENZqSpvYxqcLg1pCle4NwQFgLl1Pn9te6vMVuyDYzpCPryYkXSe1E8kKNztL66bhDQHu JQ126lhodc6iP8aEiSyfrWZcsQHSx4t4l3mDZsCgatDotXL1UwaIMm8r/EsSMoytE9ue1lR0zm7Z NP2yhkbQwfrxN98ZiURgLTiUZgHftKQOUoj95rBmEJjco8HT/oRgcZdw9aTEN58HDaW+xirJ2g38 Mc8HUgW1nEIUY1CDi7TyH6RcYbjsIxIk6uEq8gZqhpPeCOuPhYR08ywEKkugZEt+uXymLJDCKjA2 syHaemTIT53SKPLCUawbUZXZJ6+8loHt/jmbuPTV77qXP1F+VayjRgbPRj8fKpXQziaYvV5Qrfry r7nMW7rNelGoTIrJbEUto3k+XqkeU+3WoRyCDfsqnFH3XqNt4eigjKb3FcwoBW7ujxDHpyzmpX40 Owf4XWwLXyFN6vRLiVm/04T+HGTdnV4n6LWfSGkmx5kOIx3Jj8SpepmaxXYrRddvc6FuXjvtGU3+ DSG3XYVMKTqBbRzPN93iyTmrDa0qdDAj/L+rto1+EkHIQIqReg17qnO3BCkV8IuTi83iLExOzDgL R3Qq4tVve9X2osfkWgvBoOoGxuZlzQMka4oivneYcqHQmT3YmgEeUDNIuuOdIClG75Ld8xLUNNyI /lLPjI5uYrsUGcLsuf3WI6hnK0hRHCifIjN2jpxco6pMV+mF3vWSxTLb7yCflHkGPq8qlIBgl3td W4wU1RocW7MvHO6qIlSEPhZ9hZrWmIdjqpTJoZkD9WnCk5hV6Tv3jIvVxTVnZrXoOlXOGjwHS1Jx tCZCnA84rewkWyNAq5BRbwHmPH1tbFuZWiC0fuM1rn7lkq6FaF7mfCdviks7aWdTH0Cxl3mb8hqi gDha8lMyrz23JFNNldBAxyJu2UEkR1pT8tZDJWb1Pac1XuX6LxlzSstAnTklHhXFbFWGvdmEEv/s 23YSBaCdOYj6zrptkJI+WX1e/RfTzjVJE401pNwH3DApGBf2VOxUsQZJzEyJ6mfXIbfA0EVFqxsZ WCa2FuCQ18bu/DuRzTWeKZksJvhLo33LTYCocSqXA/YQW0x8qEqdqlyn6uEknVfgxh6u4K8KQWGh ftOLLEKY75PM16DenqLHT2AxDNEL3FAYQkYSqyMzB0VYtl/n/T6YBF8pkUP0rc2HwgNKQDs5PZdp ENXNAq+Lh9m3nH6DgmakmPo6Vx2n8SYK+aNDzdGSSqeyqCqwtng4P33orKmlfJfjec4spuEZecjh cJkMhkaDTQ8o9710Z1UT+32XCpchIA5203M127PU5RtyyDKdXmb3qs/AVx9a0PVcApKb3pOby1W3 F4ty3h0DiZlExdt9+VLgoZZgtjMvOdo1mt0td0QCK0kRfw0Neel7qex8rhTMHJbx584tJkyDJOSU kn3QZE6mxKMTwmessILf+WruPNFLtJ/SAyR1czzTsrkcdAB373Zk5km3UItnoj0UXmR0q3XEauc+ 2cFRN/mKS7jCzeVncv6XrT4izjGp5qAAL5q7J+sEIqFBJggeBNTGsBjCQv6XeQQIRwdpi/QpKUaL fNTcaOXUFG8L451zOKfTyKFcvAHBMLZ2VP6f+w/7aNIbwjy1U7RkmXZ+nNMWNBFIENKFCRvy9pTv uAbe+HO+uT0dC+i/hPG+9YX8rvQml54G+1DKyrbSvMFSzw7toZMUQcw7U4vIHCpDiZvhlNt4YABy 8ppyGwEMFwGzap0w15XNMkUEkaE22YtP5yucvJ17wz43wU2qnDgIec75G+eazDVgc0HoNLXxF5HH ARCeeQPVOmACFAcjdC0aJfUhJ6EnfVo+F89bTZl5Sa9mWRaYoAVU6f/9mYgVB8BQ9JfPNfwAYjx9 DhetzQoZkmhhIC+UDTWMF9bz22SQMsIXuxJGprgsaDHPDm3/kkfkqUo0j+RBqwify/VW8Vp/1SrK OBzqEQq1UCHG3If0bGAlAttcHcRoKjh+692KoQxtQCfxr3KvEsLAlvE4YglMc0n47/MHqDAGFCRs jpjZ1l7ioSgFgzBKFzCZP3GP17gN/U9Z4rB8jxmHs65JgnWcheQk7m23/EFsrXeN1O7ZA8q2aJ9O hsXJAT2qdkg1p216PI7hwn3o8rEnCxC7JIY4ejK6Su8RQO/b2b/aaQYuHG/YR2Uq018bkJlBTTKg ZXweBuZEznbBvQ9eUfQ3M6AyGhrWeHKMqhv5WjfjSm6ec9BzBVj3oBn8/1idez9zjHRCth+Jggko C/dgwguS9LbwM7jLcPUzmjYJumLr95BjUMbbNi4D6hoZnQ834RqBypjOUEh7td/U1cLj+OMU6dJm czytWgdl+txaDHdM0NDwR+LaC95xO8vSGIJDG2fWadMV9xXUgiA3GYkwGuYFaThpQzfZFeH0mrRb otma7AoDpDY6X9ysWHl+7hIEr8S9AP5Qck67hDOgY7dzN8lyGn95xEfsP2P1SjTZJVxppdjQpZLG 6JolzmFkKqSYQ9Ic56NDjgh+9FwP/6US1yibqHrYBqbT14h5mYqOzxNmAQZKTgyC70uWKTvUDjfD dmQnXK5uF00VV3hDSfteBzrwdrvLFbSpMeW8nuAwxtWHfEbsxhfZJnde1MagI10Olw0E6e8bPayc naSuekasjDIUTTV7cclYbF+tUgW1vR/kgvxscqeps8W2axRuR5/WUuFfxcxsLsZwtviK8Ex5Q7aw a0qO2HUZEcYzZ7gaEWiARe4saM8E3du67oa8bM+OYGEBb+LNdv9rqFJwFXdzhop3nXlql/7f/tE3 lzLEEb0Gy4HJn2PnCeosy2yu5pNDp92wpyecm1v90aLajfHRDEa+JD3lksmvnDnKRt52tBXvT/gx A1bJDPwfkPaoSAFhMi/HPv0cKrPJ5qCIJeD5eJLngnEiaKPf5hmsvORxBat/L6OuXFTmgl3beRHh IIHgaooNLyTMq1soZkjHUOPjLYks/xuGHmu5tWm5VECthzUsTiwiqMb4kyvqec78YiyqZhhgb/Yh zF/7Qw58jCi8TD/ate6zp17d6BmigGM80FqxkbzHTA4trrjecp0kll/BVG1LSPUSPR7sZzlyDPKU 3gUxKY8kr66/Q8LxpXOdxtGCnLirszcLRna//W0up7zkJz8G2FZGVxnR2jcZMFMV6pKbMaQ+6BVH h0BxUqYZA1y6sGXCpd0K+IePk5XVBjsWU8AjMfZF091iuLI7qjRHAd+IQdPlEO8iPoIjTjMWbgVw Ydk2pmT7LuUw5ETydsHV3+IRM13skzmy2MCqYyY0xay1XoO6ovdKdjjRyyaPDQFqgFXZc3Yk3YEk tOoUmn767Nv/MNuNkZhvEJ1w/YvOs9E4hhv4VFLpZ2Yimt7QRUyXgzwzAKz5EYWhzkaVcTTkPNtV L4mIv70YHbqDvyeNMbf8RMXCXEwmzTf8mRnDO/6Ogsy12Q+/l+pzDeHfhqDXprMM0in3MWQmPDuh 70G3PgB46SXRXCKANEkp6vYSUq3t9FA+3YMa3PlndOjgD3vrUIM43lWM8SYjKpqNSknnIhZWOLD+ MVLQDC3XuWy55aN1a0n/xWPbi0RqwucyIDcPv8o9fHgFgDZmKQarDoX8EljhCMrd0HfQ6rFW/c3R z5o4ckVD0XgCMCjXMQCsp+vHxvMj3qsFOxpnpoewNFklobBTPOoerZWDDOvyzftngcWCBLjdU5jL Avz8jYpa6YNeVilEslehCQA6joi5D8iHGolq0AXnw1STzozb70tK7R42ClHzw6xvoI3cLul+BWqc /mft8ubsHV0t+XaNeCRClw43ehqJFlxU8VD4VPgm1QJxlnBKCfbaYJRQ1nNiJG9/L1ZIdTmyzw5a HaEv4jZmdu1dk3yHJBAv0U2bRu+hY5UYZ4m2urK+Ulr66H41wHE3kk3ZcIgF44uqtUq2/hV3mDZ6 amlfnNuNYmnpYBPvv6wKHNpNO6zYIs7fV4B+JeGrO9GlZQ05ABa3NPzyBc5geFKpN0jJ8aTEy8CB aoIz5VsxujngBkf2s7DhKV0Qc19hmJ7CX+KLnu5GhU2Tjb6w8WzYpEfOQw1l9BCXBHYTjP0GbOMB uNKIPHI+fZnsv8hR4PqjTfmGtW6lvRU0ffBDK6ngQO8TCauDY1Y/B6SjpyxvGLigoyJ517vB883a xDS6I9kJxgalRYINM8yJATCLR1mOrRwX9+ilaBTgDKdH1bHhxcew9/7Vqws3Rzo68YMobS+IlMnX OHq3saPQWTAUIKKKQ3vZS87027QBqOVnm/JLiGvpsOzU3XhHxZ77uEheEAt/uUIuA9DyqzkZ/ks1 QjU+RbvgaPC1sdCr3XTgLxibvxK+uPavN1hpEjBew/spaColmyAQ+5Y4VL88EJSSNo7bXtte0VCp Zc9DckmJqnXIN5tJFtjnsvk1eDZC6Er35tTjNEbXecYiOntYdjRqxdSL0T/rnqjJrcGb3PJ1LF30 b8J4aoEjH5xdG/oVHj7GP+ykfzJIUTdD39Bo+K1fSWWDovi62WFtzlMOYrle7MYO2PV9tjTOGN1M 1a6aoXOung3PjlHW4JpbIE0JTmiua0/mA00keKSMdLHn+cbUEUpqtkjkwkv2Lep/KEKwAg5DCYNu CgFGGiHpEt2uJjvXwSPcg2T1okRYUP2p9vhystcT3e5lAGXmxbe17lrOXrqf4rNuo/dxDlNTzwuZ Sna6+abl1xvDae6AMwW7sdl6CqhUPhBii7huzKd5e/YrKliFpMxahLGtIEr/lX8qqfkRhf30X2bx PjdZvErJxr18vY5DNRtVSTeQxgoJDNsXoUQfWk13EucJAEqpdPw5BtkrwhHz33fHpKs/JS+cRUx7 hJd1fBpnNJAqbkyoomBneZOVcPCu7LpNZCvqYfCO6BKqHRAvD8kbZJwXEZzO/LQxaKTAm76Pc52n ik8MX5ETe1U7fnb5EUh1LqhTHdZZJYTENndqFCB3Q3hx+DFq2MVQQLvAP3LwFxBzx2+ilHU95OjX y4EXjRT0cIcB5Nf/yQ9V6YYhnwMch3HaVfDCmU1XFQY1HgJzgkejHbuzRuCz/p+Z3rvu/LqncszD AmJUvB1r1vYR8Ru/IDHqTNT2B5K+8SXKAWiao46Diy8HgSaIxkOiAci0vu4uECInv5ss01CjLZ1J 38U+Kw69GSCoORmSyL+RL/aUGBBJAdY/+JULfPZS64Q/HuaKhqLdD2Z0Uab5/H8nXkc21xrUOtyJ VfQm8ttLB9MPjNsidTgOzvCI7QcjCeY2bb5Pm7ZfMRQD1r+SY89wZFCPdOSL6UAy3BwZuAByI9DI L+0TTBIiiYK2Gj4vSnReCsMBba+X2QXwmFMKaF7Jzr4S6B/2Nku2+m9eo6k2MsTBDQw4aP0G3msk NVSTEEkscKRcpob+Ykotnwcv8lCZKWJr6pBLqfKf1Wxg1/nw3HRpzL6ZqDNP38uakNWvHKU9FSLR 7PDDWucp40NKWGlBbw4zjyFUApD3DXAwK4BosE1Lx47MpXwC5e9u+fbA2fotdn7hgTrvNVDb/p5Q vhQ9Xn0re5rkBcP1d4PZsLd7vTUgSL7VeHrxpAYlbFPkSQbgF0mUhXayt6nFePCbpj2KiUZ5vQ/2 7Nt1ai5B00t5oJqz4uLjNeAQl+1/xjqBbszbU7+3XTx1/ksg/pB/ZvP5hMhs437jRy1oovzJjvv7 CAIgpmH+p/8+q8E1ew2vFfzTGxLPMUz1xrqv5qGBZG4Lowcbcu0tSmAV2K5AgA832CZsn7J2jqDw 4vIkPqGpJU+vk8i1VaVY6tOGLXHHC0wVHA1O3nJwOMCB3vJ+coOsPbNZ5gWNTbaGUwiAr/L6ppd4 GbAKnOnwvgts63rfvXXBP6hnaGTrbG5xEvopyjnrZesaEHUiToTj87p7b8eD/kLLobXEKsvXbTh1 hC4C6x99Y2liJLzps+YdL/+2qbKRMUHXm/b75/FzdzQHqahmEzoEvU6plazEXEbcIejuG1iMo5oX ZzoXiLYcB1IMG7vIpmcrZPWUbFuZAuhMKvVACHEZ5i4gP6wRXCJ0XXSa6Y/cDR5jWjcJcPzQgT9y Bc4z2fBitWL6dwnJigJduVuY5FLR2ARfhTfUwm6Zhr7u6n/NA4rl5upuN52WWDQdCdHtLk68oqVN uxd+J1umsEJ8Ey7MZQHtDoIIcbw+093jP2K0tFUa9q8ZLqUrAs2sU4RYvwjJCpPkXcBldE3b5KR9 mBg/110uFxJ1rOHcNpNF9TXx/pTgH0K5xV5w8U2rej9QDtOEgOh6D6crkPB055v4kiooMH+WtTox UWwlaVfMgD1J4TjOfknFzKegf03R7vC2QAPvhXGIUK22HOGEF7RTrIRdrIh4TLY6pR/cctrbO3v+ WxB54HWcfMH+O1D4s46Gy1x6+LQyvKifRyUR57XyG6e+6ncelsm3+hQLBs6CLcYkG79jJ3q2EwTP aFeONyZnGrBUSbqcODSr/RyGinysRS8KhVTChkI7DqwDfGnu38S7LuW7s3g9elUeGKgaMZAsu3hT m2C2f+zIG9uXFDox/Rx8WTexal3ckKpxATXODfHl+Oy2SKLetn/u2+cYmVkF7TVk8lBMWMsMc2f8 e2aW7BshH77hs7LhTKv0IkEAZe+qoSw/sX7NSbelK5kLyqm9hEh3iEhaPxBdv5sQAP05n5bxvbbN h1iS/se4HFVoGum+Pkym9LeNphqxobhR30NZBszPMHzQ1L2MGuWJVmEYewKZwPgb1w/in5NPVkuk 00zapv7kxaBHkJ338KUcVxJEtEznedA5vZ+JbG10XiqiKtqKiD+VMCBMHpK+sWCgiDlgOLnsMw9s FW/0t66vqdm443ucBwM5RYuMAye7Tb/v/ni59+iSTzlNJrtcdYu7jT4P0ajHOojxfMPxepiN9HAH PHjRv5Cwf6wiaWM87gUbkHDRotM1BEeD4pxx5XB0LEj/ty23omD5ghpH0YGXj4CgJ0t+NSYucK6D wBKLkvnAtX8u3fbg6o8bB7Dh+yjp10DLhjOr/8SuipjzCPBB2AA0bimlZVaY+AyYU7SOx681Vk65 wKaW8ikpKWfeBy8GgUpOBn/HY7Pr3M6ehsTpkK7F8YCbXb9qOn+GYjPJ1ITcUkNFk6T0GViB57Yc 0SR0j2kBJMi8ZzXDdjy/TtTSZjSoHgvnl8YxkeeNbXA5IbED+KunNqcgeRqoOftgFWNcCSMsp9x8 paCEXAgU2stfP1ev1ci1EWVIkexMVV01m1IkGW4XvdTh7JdenuC5GXL3Wg9SQs19VsA6U9j2FsVS IW534Rhf9vo2TXIYaoVsmA4Fm3HJsgjESvkGQw4qlt3e9qTl8fqtE2CzauPFCwLLf1JYTVKcdB/N wxR81laBf0NcbbUYCRX1fisjnSHHuESVUg04YwuEcS1VaMxKvguluQcsCYOVEY0p+5e1Sl3jkk6n W9ujYziw6fcYz/2nOoaRAfj5QiK/wFyHN81HuHvhTgBaVN/O4OXmuEmLWTOq1diIoNBLKKrPPEZd EDoxef/LXdvC2MjqjCwnYbMdGvEpbljOI3Ur5wYZUSUmsjxUjRyyVcZtrYZ4Z86vNPISf46CgsiB 6IAUeWcuhPeFH0cy/gM0AI28hSOnECrh+WankCQzoQ8oT4bzr4gFDtzO+AfFESEY6iClSWiGnScx kng3l4zKnqW0aH7mE1QdFWhqcprf/Q204ctC0Ph9U3tIn0dTZ5qCAY9RLSlVpH5wC7UkF80A/HQe +T+GPp91QRxX+ZLfDfCvykewvxCWBZcSdgy54qnYxEc8eIQ54Ia4CfmRIC5x+rKxCljgeI/DKVOi b95DiJENEgb4MjezCuTIEsLx+OH3Sn1g+4hrb4/Ol9HdnC3WcLf5AkcSR/KK1Itn71w6APiSBQEI rZuv9tsnDm6SdS3SEtOBIMH0rSx6l50mI2uB6O+NXjRCUoc4BuZgXvIe7sdmE2R1SWfT+Oa60HZL QhMRCxNLjtNZ1BIUvu7Q4R/Auau+IBAdHROkIatsTuqradLxYmk0YpnjkSZPlb91HxJq9OxU5jrj ZUYTZ3YnukYc5eYpF+cy1t21ekRrUNu2gBAs+demF7fknUPpa6HHoUr34FbOCbea6OL9YFEoMIAk IZwvIPxKScnWAfHZBnb0Vx5o/aGHTgOVB6GQogaJZWzx6s/nY8vLf8YLgB2kVsv8l4/mpc7QvrLK 09Z9/NNQocDd8pt2V3CHrMDcG7/rR8q+gG8zTBwRwb/mLpt6iKbWeCY59y9RDaPPK3/BXH1DN28k IoDRqqrKesfTbB+JU3YpiQ655TzXrCITpz/wqDR3bv4t+h/IooCER9gaCruUU6WS1UEFGmbB61vk om2o3/eOlcJQvQ9iJhtrGueySEsBvF37WHgb9nfI6ERAlq9ld065RYe/7BW5ykYiM4YDT3lcv3xq z6FWG4P3xDNMyx1dBNvmp6aYGaMdeOo4dwOPsELc/+yZmWHNORE7qAw0clX41LF650jvKO1U9vbB wS0nTgVYk9Nf12mThYBEvdziz3jUgHJnV5EBJ/6vuGv3tQGOWSTmV1DHMSXrTuIeDblym3GeMbdf gonuq1axaQdN8B3RZg+/ZBPiQedBnn6KAJ/DkDvVpzB6W513cEh8p1B8Vu/NwgsT0oljbZtWPRl8 lXiWsWfLH/Z7W0hhuQiqLaVBJV0s2L1jVhjo6WrGeaf9lwuNb/nzu8Gw1LA3HMJnsHhUljEHzAkV ocoeLFpLWO+Ox26OV57MnrWg13sW6qWmuUHKDDC5CVHcjHb94Lw8rE7rgD4ZUAMRwCi0RJXKLCvV SEphESDQfHBDk5kKohjJsAxbiWmdtSudq+mG/B5sIKWUwM+6/AoFQATa8xQpMWjfrN34DVSsrC4D wKX6Q7ik++7BWmj+WRAgBsJhuFfy97ifpF8YacG82/6Xdpuwa0YWV6yDZuUSQMr0AehQCu7pPLh1 ftYjr/cpLPcWLao5jY/z/Lq5qnxOA0Dor1oOUI6Szzfw8uJDO7Oyi3css+aIp34TNT/GwsxKfqUy 9aEmX+clCVFVHa8SOWMHPQllpymuYXJwL+7Wa6QXVix0zSOS3bD23Kqj/+CF4MNTrm9tcoMBt6CL pOxWn7V/+vYj2qyXHmu4qY83n4V7QDJl2IRQzqRlu/qAKBn2tzF+WjtMF2VxFwGJn/bki8LUSG1h 6Hp4gBjbz31ZT4HHD9tfwG7kqToVlSYIOs3d3vKR3Y1eKzCno6CTtUUK+gRHHFPotktMqoQ5PfTP eEBIWB5UOSA30U/q3GUVWB/E0J6vImliYvRO1JglghO3MuobrGC85N2kmo09G8ySzdIUvqjj2k+u eJoDMMFg3oIwfvXBr5iUJYRL7C1T8rkUxq086L7j44gQ/SLg3Pcuu16vmp/sgyu1TERa3lDmBZr/ ITXU2k4Lokuu8zzrb8ton9LY+no836Uvi+aQu5Fa8L5AXPSskgbbbPdRJm5A8BEHQnZiS/MFLbBU kgiUc8bZjjs8ctqPPU6fMRVJjukz7EqqHgBK7fesErUuAlBJv0lCHio1fchtw6i/NHG/NO2dYeWC aQCPpyWpaV0/vWyash65JuqIruqkhLkPnBlx6KRcYPl7mgY6y/kCkq/gHm8LHKqVuJmtecVHojKx xOKIp6hVnkgkLhviPRog/OoXCobNrFV3ds5kF/qr89W974ryPdhyarh0gDbaYLc2jnA+BwoEwm+Y 7Fud4n/G+f5G6tBSOY62PHzgKl6AoDGF0AhvEDc8N1IHQSn4y+HSZqM5POdkQBfI97diD80H1e6e WELWnsl+DqlHkzOyPIL+wA0UT8NgK6uZOSZQMAHqlBcCDZn2fiSIXoFuXqiWMoyFmSMqxLUQum1p iO1j93RYu4FFb+hXyONiEAY0IlxR2D9TCJ7NarZQOa4nG5XSS436EHbhTe821RTWYRLjzUWQelhF zw/LYBzNYDurnAvdAlMRkJTTvrfdOZt61bLwwX336+OWyflFd9GSJ4yOK0a8wy988l8NNhCF+ziA X6Uold3r30YEO8g/u1Ay/TsLwkmEErzTqw8QDWd9glYQIw+210gu2bt3e5CpBQ4ZUCk0vnagYeio gPRUoceDe5eQ+1H/wcQbq8j2T/GBDTyYMQATSoCnewtzvG6hWD3gdUuoo5LqSs1j3FVCoSCvMdhV yiNca1hpbuZDBfL41JUqAQ9ZowXijGkzN2CHntS6Oye62Ej9YHbilcKOFDQHDG+hB6Uy6Fvs1Xbm U9vB3GlErcpbdlKu9EEiOM9Q1CLrtd/iSCp4RhvVCpILVLXaG+cXkPD8liPfUjvacGjh8xqbAq3E +pr6TXCqG26SOrWqyHcyU4wqfgC+zGklXSBdcqItAGSsux65zj5XmRBW0CYLAWbO8hYdbSIKMoZj tsDI7R7CfVjgV479O0QgJZdwQCwXT5mHqLMaKj5nrkqPurFdA4z5g6Gj8vaYcq8fPCGitrqvz2H8 mq/KC1D/2OQY+A5LMlFRf3Bj4dlZJ0lI5iOS/TjRQiKCfXVSd/NvDUIIqFxofjsu4QRfcA8uqIwS whRcFAC9Vf+iN8oyfTcqQxsHWi55D5D850sT1UQMXuZkmPADmzHCrZH0vux5YKNTR5lj1SmQ9Prq frqCfqktYJii5mZR/T+3YTTpNEaNYFNrD9udfq8yPK2n415zkKtA5XhVI7FSukBm5sTeZn4Gc5pW l/nCNgkrNGsBnaBWsK2whiZzjSz5NwX742TRatDa4XTx3DDSB2WkGdwy4AKk6QfMef/uqAiT1UDR 9a03DyUG+uXB47rRCLrD6Ms+H4OoV14hddM7gH/ctwva8Ub2+lqz1fyabmxN1diEtFx6ZIDLAduU bL47hx6avtQ7jBZa9uXQpA4zYjJ60yVOJygPHT/TAsjxaz+JzGDmbZ9qAwUjzFk8BRu8BKtmzp9B ywnng3g86Yp1pVhEo+XimDe5kdmsznKUdHhAvpYwno7j8AjChWZ26/U63tuCO5GSvjj8HrA+FWel sqgo0PAdAAyvpB3RzxdJfmEmpTfhFozOC6CtvdMAdiGgPmvdAOaupuOSDTbOmYHfz0wwJlc3ReNx 4ckfVlJJdq5HFsRZWpBAI3dqm56Z1oTSH8xaJM7vkeWpQZyYectdwJxBW6k7ZM5NqFVo1+2ugiRB sWzt3KVJB4517ONdW1FEU3kVvqcoCvyR8MC8Srs2fNKdPIVB8ng/F/+1bXUt0gZIVpFbltCoTU+B k04XcQjaMX/zqg79jeb5KQTNATPqsEXGOZEF98XBW0FOgtImgpDVVdZUew1rmAoXjtZCz1A0pR6T TvD+NAxywF4OhPVxvOFk28WPzILNqmCpzuyXeFy9CR1DcKYQz2D2oc7PYgbdNw/e3rZxcLI4HEiu 3iQOUIto/Zn1BlVlVwYnJFnMaIJuNeZsJLGBG7ghDIcJMYd+OM584o1Roy/Gbbdcc6GGD0xGVGF3 BL9V/npjrmraw9yJCZeHAG35n9KXwIweS5+mB3O770VU4ay6JVl8VKVRrH7R/ElSv7+vho93pq+E R5FuSVG08cR5sjSzDEi1FYaKHp8/9/oPlOlu7/R+mMDfpQBraNbamE4p8sqZaSwaZcp/nqibTC5I 4+/elaCRUEGjIhicnEKkL5zaUxIW3RgHzboeSgmVZsD66AeQ90A3eFD93nsl/ABnAuXP//qzib34 sa8DQZ5Bx3ss7XEQmjmSkJPUk08pFYTReRTGN6j1+b7JLxlOS/HUY4kZO/NRgRaNHij+kFqcwaqx DTU3GWTOJW6WD8HDNeSk78SlFoPcmFD57OY7l52OWHR1lPFPv7ToB0qc65CDDXtfD1oFsOPbWgFf esNPxZAldd+nTdaAE5MAeLxlgxVVoh/ObVAQijxfFKeI1FWMOZ0RycL9VsA9RtpYtZNiNbC7MVxI 447Frue8LuS02YnJ8so4RKlVSlscDREGSVa1pcb0aRwiI7zL1wJFFCw5eqkOP7tj0xByLU7VQ/dm ZRQGXLW57D+zInrxZVNxpMg8/WtvRQQ1FP98ygZSte6mWa7Bv7IQl97radkeRLfLVZMxIkhd4CbM NPEmwt+iOkC+/SgHvajGTNhryzUI5IOl5Q/lrJJFFrnXskiseR5x174MeY9K2RObQ/Iicnj3biC4 omboraEYgcT6oFX/3hJhaHpR6kwt5OPzhSOrWA+Hn9UQquw4dF4uT6004S6j0ttSiJaJxUHXysNm 7kTa764+HbsLQgRWSJ+X03IvhZ3r3VWDsP7Hmraq2ZJk2DPT6KiuZR8pvDXcpvx8GnNvWSbvpJjy b4ziYEfyuaq9yYe6KdZCVt+U1r2RLYTUDZ+sYYDIl3NuQjuou22CevK7OKADPB9ZjzHwu3cZ6CR5 Yl+k4NmVLPg8ht0fg/Pjpl+ETAsJNQlK2xhC7ggVT5H+aA9Nyc+8REXCRLi5Tb9Qb3fsAQ5w6rq7 r8vr5EGcZ9+5hE+Y4QmaxEM5BdQqNhvysDAsJ9AQ9kxhQ8t9gdhH2kjCmIX1vJNDPCyyok1dpc/k 5tC7Qcofw8ovW8qgH12I+nPfJvNOjuOjmxZfruZVYdd82T5qYfJNII+Og174idf8zzn/xt0ORHDn 2bnx0R5cmwStExpFCZicUf7kEvv9WLeXkTwF4J9ojV6/spZsNfjrk47THd6XkC84GeU0g/dCqTbS sC9d/A6nwCMxfUD8CN5GOqkpOT8C11C02RL5H/P9SnSCGdTJhFKR7wE5alhIlcqt7FivX12Wsf+f diXGlDR/5cpmuMGyt4GZhQDDMHek+ZGMUOTjA5VFVtQrVy+57P9K4WQmYWjYeTAGXWC49hra8U98 0I9yRElAZZmBZCQJzcldpRIEswsNaXILzuRGhXM2rPPBJFvvHefcCrM1pCqGJ/HLUuQQrhn1Sjxl FLPMB4/rcfr8U/PSCz09f5NyJRl03yWvOvb6sjm+7GNkGTxcIi12IKxnLcQ7W9cW6C+9vZH0pT6t jNMwc5NnSzUcd22dsrTT0udn3lRMVMuDFqgYmALMpnnv1bo6E/E9eVmiJR87M4PpO9AVvVBF0fJF ISUq/vaPhwo5jT1DPFC+YKHL3j/GY7wg+7oAOnD7KtvCt+P5i8sP5xL5SOtwa51KzeODuTtdt6nd C/ooycxFpx2i1LUTPx7T3hZ8GN++PTqUg3s88LIW1A3bmNoxyJlkrPwXyyTxCY2ICBG+rhl2+OY/ RNHzoQPWjh+bN44op3ZvILCSDxckCKm0AjT0j4V/16q/5HwZimqOK801dKhacvxqCrKoEG5svMS0 IU7KY9lblCxVMy3ub2sijiZ6bUOmtyUI8wPV6wNTd6SpV4fkQQxPooHowAVwa2dLv3uqCRJUpsbh VooKtkUpDEP18/MXcAZNTUGwVlEiRxiDaNqf1JUEWWxoKJzZL1PI2egPdUENkeEA3MX6GnBCL0Gp mKJHUNqRbzO4X0utO56jN5Y8NqNNwd8NpWQCHmgBgEtvAombjfw1ARIM1vnA5ID06jf/LlFz3evI rgo+Xzd+M1b2gKMBsop9hI1RyCAU8BpDGl3OH2ew00g5vBWqNT9AFMN8jwmaWKNra3LCvVmPjuEp Xr8wjd7p3uwsi7hc4MyQJIDoCb0w/Fhn8kAF9t6Y9s1ynZyuRo9j0Fcuv+IzpvcD74EIl12fBdqr +ukBByyRCbixTLFXF0zr2/DHsYpR87a0miroy4mxtZw1nI7HIcIwEPr8+Q/4ZZ8Md3cU3qL5k3U4 W86thWANBNd6hfIiXTF6mEych5SpMwxquZ86jRXUXPlmp0SFN8hZnTbsqnqQW2fg5N4GLOTy8+mM UlHjH6rgee6yhBI9/g1BUAFOzwDv4WLHZRBj9yjGyrnuGmX+wAOc0wmiOZ7w4uaC5oBYI6Ebwc2M Zg3+YcAiAxXCx2hFerba3NALswT42CPENEzABuOGQro88hTzAwZJ5Z7MYZBOULnSIpdgBx7w8PRE Ost9NbB4nqQhx7CJjK3iUVNeob0Ao41OtKA3szxYL91qHRnIwBSPOHgORcdABus0YF1bsIs4c+Kd qqbqIEp2zXWsLpc29nqGvWsZQ033xp+Gwz4JuEgj392Owh98fs3pRkheti/s6IlGbz1AeYNL0aUO yqjONMx3x66DyiB5UR5K7Mv0NCYqzdPFITxnXBnohg3D/gBjhFFgLAnIiTtqdPfgalpsSAaHtLAA XOrhIolpnzefJE9icSIzq4tL1xk4j4drDDE8w8fPuXPG4ak0cDHZG7JrIx6ec/a57Uon+kI+GViU YZi6qbrhCk5H9iZneVFUjXN/sy24tw/JTYOtnRf07zeA29FMyaVr+2/DQBmCmgs6jy9S3p9xNLBR fi7iRRWo5idl/4b9Uk3CrXoSMbWi2SKNSJtoFmzm3Y4D+UVeVO+7NgZe2LTbVqneV/F3/jmobR/g W3C2/uZi+h0tVNh/SiazaB68odRWmhSNrF1cee37dYGFatYcDwNt60Pj0GjIywSaMN3qreL2RiDP 2/emcezUlBftj37OLdNM4kOHGdyy3tgAwDxpxWCua41RZGjby/tW8QRjo8BX30Sr1O4KasZf6bkv +EYqelbL208QcbKmj2520w6fB8i9mHBaczDNdI0MvX1ssohIcGHAuy9dPZE+cH/IwNXU8RHS4gvr rDBQgTv0mF0O6IJimQlh24p8B2OuRRtmEQWrU1QlVA+9BeaW3VnoLsce+AtI4+22L3L9/l4c1jwm 1kw0GFocw/E5d1kFp0nh59PaAg97fTe6HGQRH2nQUcBWXE0eTs1uuSnzZErGPJkF5bcaR3pXXY97 ckJNHqF/dPlG5bpDXoeReA1PoOjc1HmcanpggVFD3mvFZJhs3KaitnBJj/sRi2V6N5pTVYXOGytk bQlvwZB5+ugzhP4XiKIY0e+2UE1f4SylQG+9Mw8EPBFXMBqZHAQti+Ezjry73C/H9aow6WMgSJUa 4IFW5Zzs5tSY+V88PLGvwt8NPNLCVC1J+c/Lku/cErxLjHMdjPGHVYPCMx4eRuYdjGr1a6hqShir KE3F0H+/8FZffTg/hnTm91pYlNh40hCjdvacFeJ+R9VgnNhEaLWWQilUVvRji/DQFrNQ5eGDcHix 7EnwOBm96XcXRszzpT5Tetqz4sJ33QYNi6buh4logVmsa/kRTs6mjkpa7dT0QbxvKjsDWhjj6hPF 2irJBBilJp9xpwKHPQs695stdT+FoAIBL9z50QSKmaBQEOXbFHyTphZK7EJKsDmzg48ScQdswx9p TykRwWE4Fu3oF9HlLa1Wj4zVH5k3CdbUFZ9OylYWB5et7Uwg/CvV1NDA8SL1Ify7Ri0QESBkuFOh PL/SQjAJqXNEorhjv9osPfWkMI+cWgG923hsfIY/NmNvh6Xi960SVJISjdNmflVaDQAQHQbJZern MBh4Va0edUt84M9BvGm3f+842m2tUwRgLa33IyDPBQ1WlMgU+GMmOFLsY5ZNJCBD4iBvah9lOWQq pHTpjZsKxGQXRjL2aS729H4z/0So5ozNhZA4vMODvA93kFwyXrsA9/37T9avD9bqnv+MUnidVeKP Ji/RFw2diZkWH81oduyWqjVXs/dCPxi2H9wSmosvDnWtPlkQVgWsaI333OrU1MXgkgK+H1LK5/TC s+uWKNunJJDmwfxxcj+IzFNnoKHLj+0FM7qW2N9RshXyW+TZVvmYrMkVmw44FzSElDwDtZsU9Ia4 98AhXgHLaqxxDBUO+9qZ+bPfnDkLEnebazAuzYhxAFSqLoI5fr2+1XQKBao0lT2yKqJVlUc3Zqp0 81EqT04xqsOv2ynILZvuFgDUJAHk7w8WLsF7a2TNZanmq9fUu84Jj7yR8U/aCpc9vxHMb8BijVJS KhkMOHCry/9h5T5mz5Qa4t4/0oWD3G4JfY86+12jvgB96dZ8BE9mYaHp0t0iTdeMvY/Jl2+CEmFE cgwY1uGM0CIiLFmr3sW0awHJou5wmL0Qgo7xozhZJQpEPYly1y8yKQNLiybdWGHUHTZmiKNauVQA aBdLkNgvqxZVmxw7lHvnYBba89yjycCArTUY3U3u1fSCkKTWS9ds3W5RI/GHG857opHfl/ajtw0D UadtSXyLzEAYZXVfMts/+/54dp20vsiYL6i9Hb+UFzDdfd2oXaZdhLbZ7i4ScpMGEMhk1MYzStbP QahjuoJ2mCJ1cWUwvlNft5mKI4Jlxf+/mGwzfWFWm9io6+VrmTnAOFtMl/jN2Ee8H/9TxqCLE2NV eVSGfZIqhdmxUGvkYfq75faeuUJTDXuG3NF933zG8l0kkK/JiuWqhQRklR+PgxPINyQZbuz4K0ia 5NoyicKeH+mmQrR86V7zQfgecgTeaSpFGIjQQIasK7hRvEVhOX9gjTBdN3pGnm8E4JGeZs9W40X2 yGc2bh/8qGup2aeYliCxKIQ4spf59nxN+xvbLtYZbyN3qN708tdhNXvKHVo0jxuQ067LdWaykK5N LwVvc4Y4smGOFTfULq3KqHKVmhWXvShgPHYJBBN9OdA7APKWpp9h99GluDHji9G5c14pgDvIhEF2 mD3I5/YNtt/kyd7+ONDlUDn1Az2Hxu0y0OdqFmOv4W1B2YtE/r2IQgggOUzRZaKAc7MUIANQg/Pj 5U4CzvlvpvYCYWuuobL2TyTFnJno/jAV2zgxZxhIulHPh2pbJqEbmKDLpZz9rwrEpSi8RxxCQAzI KbNw05zhSVmDVE8LUv6OoMX6YFu8VRGgaTaceBlsDmH7mBlQxSC1xqDTAeeMb9SeHux35s9gZT1c GacdyOsOJN14gazvfkaWv+8TObmmG9mpYaQEvsdiOpQzn6DinyeinQI68kAmInXBXs4UPqi442I3 cROxhLKXWONGuiBdKDQHca+vtcax6BLzPm0gbaQigK/giOmysb0fKpSsQYXGb8lQAzV4xKhm75vn TFcH09aiaTrx4zG8bzjFEHTCV2NJd8kZCsJwQCUkHcFXU2e7Hf1DDK0eBwhNSBbYhNRX6SDJKC+9 Io4tiF4vEETjub3nZTELgPVZxCqgJJ4NQOmrF1SJFh0C0b2EuJePU1rJfpSjwscbvAmeUTx6kMgv s1TuWGhpo+Ipb/PUzPkKj4KRRJhY/wklONd176moS9pdXSFarPyxensrCPFTwni8OU9d3Owl4xF5 wNCwIOyR6cNmnEjUzlIbwdqpAb+gFOR/KoLFFOUhcCOchln5nLzerEbpGNJ/sQs0mnsZmp0ytavT iBhSpqkFhNmPQ6tDnlZf3LTPnEn6ANyfg9dYQld1PTKqPit3HTQ65Qu1Ca6WcXiP5ftFeQ2Joc0U JMu4JhxKn3SLSMFMYEVRD2F5KhEmUGBoUmdLwBiSsM2V7ZtQYz++YwlRrA199YKaws6orYW59nOU ZfPMUImQhllolVUzftF20VQV2A4g5EGH/3gRGE/loLPl1DHMJva6JMkQpZHrEMKlmhmncS2z7oLk DW14jyHm/pD3CahbqCpAxMKlPo3SX1ihnbEss9jlMuOKnLW1KBut/Rj9p6lop1p+Fuiv3JZcpoCT Dz5rIkn25TqI9NdRZzdJBo7a0ZoW8rb26WWLw+wl7f8Z6smp/lv1/xis1mdABvmKgwp3KiniZOAe blcZaoqhmxgJ0IuJers7JzoWcm+rfMdscEw1I4kMVmdBmBjHQ2RnzJNhvOzaNyenofP1kUyWf6Lc t/bK/w2/38Q4ojL5ctY9kHc2ilKMYgqd+w2MYwalyL4PHZZ5oXsakMWKBMQ+DS0sMdIV+WCYXr1z P7+yoA8A/QBbtzXELWBC9ewQDFVAmAF1aasXxiuqol7yqnDJAel9/xs3S5+6iaq+UBmgLNBzbY4Y kefsJKA5XdDLoeGiG8iw4o3KFzMNi96wrzceQpfQtDyVVuCU7SGowNmferppheojGpvVDPmy99vt S6fyJtnaPuJfWFsQnVxGd411QCIdT61bSWbt0KdrxEgGgIC/iQtzrdqaI+arQcM0ngflfufUVukP 6eoUmdNutUVFHnaP+ReELB5EHQatoycbRDYjxQwR+BZ+aH1wHYd3yEnFZ1Ovzk9kjq7DzHmex9mz pHpLjbbXO0M94PaRZ75BIHBRKlo8aQtoADaFrixqIAT1tLdMygmrUbREoWyXUSnJ2Mxt2InYAgCS 9p8XARFyEJqTUPb3RXrJIwq7/OiE5ncg20VxHMsy0UlfBW8bsUfc6a8NPwwND3SZ/vNx1q4pWm9c oMrvOLU9rfMzmbJGZE1vICRnkgsXZjy7JFtiGC78wURCb7G0D1hM9oEIZPGTraF2OOFhvAUawnsH OTCM67onR4zheHNLXjSg0Ak5mIsy263oZIQUi+gWczXkuJNExmqRN3eiL/8XFPVSUq2geTVzB8km Mm8/zZqGN0h/82908sdGx382IXqzrri17hQw8xX+dBaNcqcmlSLKd8WsA/RQiIKHEu26V4xQxuBv 3l92K34euPWtQlpqX/zRkGAknpPDR+JTI7B/yUTsAPeJIi7wda3L6BCvRdHgi5aCmaZ/aDcbHB/R gpdHm0ePUBlhgU6sx5sW41njdF1ympLuuu8ff29aBhR/LcPg+q1sekWIPoOAtZDGTOwu/TqxPUrT Au9LOv+qFrKDNmHqcbT9JP06Dyv2Jx6LbrQRceeqiJxls06dHKOEaCVOE1sI70287WLCHMbnCylQ kPjmkwLSnGKB3XFjkAQEPyL7hy+Xh55kSPsWox/hnw7mAZBiX0/0gc2qgiGl696+ExzULNAm8N7S CI8m1lzfknj2hRL7VVPDipBwgZuW9EDAxrtL+Kl2AQog87trOV5cjOolBlo7Ja5ywRgtgQ9pkOab O7t/YhtlqUjX6RlIZLyVsjsPgkqJau1W7ndz57C2exvg4dPoBPchC8pXIeVf8MnyQiV0Y+ppe64F xiS2ugLx4AhGr/aNTRT+br1e9uGhD0+uLnzW2gs5pvDeesJAcCZFUzyaoUsr81EDGsRbHB7VwklZ 1Dtl1wSkSpYsuF6D5IhghGrcLYa2sM/dsZHyJ+NZ+8BmLkkwmsb/ETPE9movqDxZsqD5XuQaCRCx m2mG+nBn7OIeLBjZkiMp8/HBP9omszRgy/zRM4+UmUQgTcRdNXhCI7NGoUAkEqzUl+d+Ly0D9T5x PoYa4pMSh3b9lqI3jJAu1iNCZvzfY+Q+tGLBdz0n1ASTycZuc989igB49YbTlzMnxPH52NRO5SQl bhcmQwPg6rcap0+ER1CQSpTSroFJSNO0KMXd+b6CvC2kUD37x1RP/UemBHV0ATW2vl2rO524IqCF i7JIM8vSSRb19JZHo0dJ/mj1AGp5QzlU7YjmEGqbZYyLXQYd+QStojGNgNurEHiKgMLrAsLXpGed iqtBnQALZgWNr2ekpCSHBjFMGOkXQ0xtjKSGdldz4yJn13CZTkav8BcWU0vjrSkfcnR7v2rLCBsO lTz5p+QUDOoJzxNH3+O1SNE/5qkG5nh+8H96i3ZgOezT9+Q4x9effvRRazTOyOLthwwG0Iu9pUJo tu92icRo5mpHrrFZigG4jYKaIZip/ba2cOvKYt692U3VAcHwook5+MC1hmyNCbo6O/TpNkNO+ulS 6RS/jDqLai8q5lS4YVLWKFY3Toyu8ZV8ggyT0OvvLJo+sBOeILQ7dgfdaUjK7YOV0W+Nk/Vc6eBj ROLBnpHuhfKhVex7YFIEtrfjx6hH1Nx8Dj0jg87SCC8b0LRBASypXRhAsmEvwI1nvTys121J/txa dxXTVmU3bn9qDFUb6wgYSM4rayySZ5kwJfuZo8iCJ/a80lPxnj8qtuP3u5iy6fWxxrztLuQTpH99 AdbUxRxrSdXd+OtVxElBCbJLqq9K0HqbLtELOr1d/F3b4kQGlXpq8oE6zOYwNpu5zLOiOSdp6rhi 222fXCPt6M6wBoyQwa0unIOX26ZPG16efYF3I/2aIA4r9ItEFaAdIvprg0ZBdZYf7Hu+EmqRm8aq UgHXoQgBerLupT6a09KK7cbuoUpocuvqxVLlk42Cv3twxNdcrfUu9W/+pOGfJLjQ3CSu9HjQrZ9d gIkUvWHrP+NibNbH3dUYIEA6VXqhSoktCLX+1OT1OHjLHW39dYlnn+9VosC/Wodtyqdf0q9ABPl2 N5Oxs7LbVadk3lhrOClo47Jpcn8oQanAuec3oMKmT6RH3225zLnbI379iekrktroH8gxYW+9xYEO Mf8Hq5UXp7MdUqw4zt+J3BzJmm1RWy1Wd7HEKYwuVD3XVUC6Hmkw/JHNM4llpCd2+4GkfwVhCTFc Jvm3S+YWNK2fUB2azaN6B2M48HkBO3yyQmtaX6CbnegUKuYO1Xbg/iqs7mEc4HMZmUa7Er24JpJw ERA5zgXnDt6EY5/95a1CDkNTOPbw0guIKr4+eeA1hjHtiTOhSGjUzs4IUNUVQun7yi8+2T46+0vt GvCeoB4QLH542sVSFXqn3IT3Wl4BSdxKUJ6NtalSSmgMULshCQSIUN5M/X/kyq7j3+uPXbFoBPtL 4QUcYpeff1rpkwHqR7U7n5kCUcWqygtapoB1VxdVShspZ2smbtj9D7+Cfne89grn2a9c9jiwSYaN 2i0RVYcwEYI5F3ImSf9ZioxGIbF3znpEz6iZdZPpKiH4VH5TqaxeiMOphUdIlQLXhEB26ie5GPRo ojh8oXwgMEe/X+vRM4BWPbpuGkWM32ET4LJ8GrWKfBcJO8XXfKXG/tfJBsgthYaGaMT3NqcKwq3z R6xBh87DTfGoVNg8Mpsy0MKk6TSK4l8R+vDe4Oaf5tJ2GApSzfgcm0LxWxfq0p0wAnqKmBtR/nwi gM+ofuvi5lgngsowWEZAeYtgwbVk1uxkwNZ4UY7Pt7zblDvG0Vxzoz/vu7ADfJ2drbJ5/NIV7ZKy w66/mT0dPHVGjHVW0X9+wba1NDevOvo6HES6jXlnYyIM7cGM3FfOGRnwtoL9J6yWI6zjr7is5nRr 5gWr///wO7WnKeXo6hofoSqcAlcZAUhgVACHgWGv5O5HUwxP8CivcGS/r3e5yipDfrtL0REBcNgi whWdsYwxRUZTadgerNSU0P70SeSUdfz7t7OJOv2zKKqDVMOugJX/tUAGNG3ROcb0OsikbRN+Rtu/ nsXnn7OFnylddUe0ZCpOYuVscqjxin0/qAiwimBuWBOzBuUnEg/TWwLbTfmKMB2Ex8t/lI1KrCFj SLFZKdpbOgWDBH/T85xY0K4uVoWzYhxxZogzI9Kc0/SqBsUg7gfDbShCG0nYhutCMRuLPIzvYM8V LGFmhw1S6Qge/Q4a8CNshWfOjtowF1hwrRcQv5OiiFZ3f2ESNgjOzZb5K/fqhtCnFF3sqYZPyzuF VeDAMm7fTV2kdEler75mYh3QoHd/exo/PbS4hA+8eiOXYsjyWLEFxttzMOA9M6ZK8nSZyATWWDII 2vT6yyRc6mYPuioPl5k7I+uQjOc5DJmSJ0AflAne6iX+LQQXfsNpx/RBAcdLOSpmP77vmdeTgokl kVUF6bO+zy3sB/ouBz0xCREpPADucKW1aeo9RCe5Ry7yfW+tgrR+BYLfCNa4NcRhGePj0ixdN2kr +NMnmQqbWClTr3Bv/FQ8E6t7+MCSoJyM+jkFKD6zQS45zGslChqaN1rf9j13Xb5j+Tsx9+M1QQFV bBJXJ2bM6aV5Ov5paDigwDsqHjkLwNAR19PGhzwOXvsw0cUM/Kx7pdXIowwRI7yXVgss+NnrZs68 81Yv8dXrkQA6iYZb90K5m3CmuVMDcJeRZBed18/n6Gr1398EK1Cfxvg1cghDgtNnVL954sG5IFqj yp2rI+n/CAAAE9R389L7zrSnofKrtN3cxJhXZDb9eYAV+QHeuVRW2aFZvveuCY9Nt/lGfCjgHc91 UXqjIZ6WdiNEEa3NT581Pn8mLnfPaa3A4jUSdcGnhA54/B0YTXpbLvS1EU63juIKACFP4d89EG7J Zx7EjXKHqV7IdwqTQfTTHEjqWixkIWOco/zylGhPNXA6DHDcq7dteCWW+vH7wxV5EGQrb1U4qEvg XSkxP1L9NPY1SOtkvIP9rmRsUX2hvpOtBWIzc4K/KrKkybbSLaWnISkP9OeHN941Nh/I5dBXwCuk 4C1iaHUOpO4gVdIBe6YDKzTHLM+1R+7iUy5ke7s+TaXIHUS8rWjOzO+PXal9f8GryqnGUUTESJ8E hyKf/BXE5WTDn95knfJa1JLMmY/lERn7dE7w7Hst9vHG9l0z6dmm6ujE+SXpBsavlBVwPTh7nlGz dl93XBLWSWxUai4MjwCNbxEoSnYdl5MWm5zX/qqHFfDQR9odEQh69sDKlWID4Zgf3uyHJUJUHcCl Bvgs5hibE/bNtd6ThpJ+GxDKF1ysEYsHF99Bw0NW/zg9jSGyNcTboPdLilIlH98rbwECVmzpBnRV 6EDhiZ8vQ56zYb7NpWc0ulQucvLY1mFKXRpCmZH07IR8Fj+9ajrFgxRDdOW/nCjxrdYtsgxfY86D aiQtUZNqk4zkciFoeSR9wjzgmOt7eA3iHF5eFREsXAbDCoJ2twLSPFPbHkm+xtWVvZcQzuPc74GO KYW1FEzrIcKzPOcuNbTk8UHjK4pDCXQQtqaYXPuMBZi31QB77EYcqsp8y7kBCtzfscEpPw4azlKu M6ql2qTUiFvHivp3N37KoHw99aiqTVYRV7IoVCx8lqw7Op6j8dCKGqERY0NZlNNaiwjOycYxT1Tn l45pKAnBGrv71LdxIIiteNCxqH1v3wbiv+qWHl5w/ZxF5eE4N8Jlk3ScGu5EmmlD36+pGKnuEarO AQ0Bfm3BbKfdfm1iy5wh8nQbYop3nj6JR3pxEXCMXhyAadw0HTXgmId7FTyMqXpKQ2i6EaJCeeN4 rQF7Pe9QQT8BzKrxlEL11d6QABzVmMd3Y0Jk+XMRftJ8o/UQ9Pyo6OuNMcm5wSVEO+nzBi5xh8HG EzUj6Uw/mANArG52H0OmLJpQZ3lSZ89kVreuL+wzbj2qaphqVxU/9wW34lZishuR9ZW7a9Cykx/A 1tlN4f5qxdSjhKMlErdbZ2mmEb/gtXACzij4yb5wfb6MwZNffhHXUDunGuXJ+paMuNMkjeoNR74+ j3lYVrNtuMvrtIYhDq/Pgv0TTz+v9UASfhcV/eFsNgnm7B6gWBECBcikDa5Yde/3Tl6SLmrQTpeZ S3rQ3vHUisAjqHVShC5sikPDMbJ7R4NS/trguEyeBVbmAbAFjpLicZqHM0MYVz+hgQBUsfCSNZEW /BMz3ySfbJfnUL4kKqNGPWzw7yfW9bdX/YBndU+ybBuHrSXLX0vVX4nKLgFY5SyRxw3JYqORDx1o swD8lDmAjpAcBx6pBuZ1TgqEuTQA2FLdgqb/ISGVWejB/Tjz5UpFiM51r2MPMEY0IG+IQuXcNCnP /6OrJ4axhSGj5geSb+MLTVoIT8a7ZUki0mMxvazVMfSOFmkao884MHX6jDLwqSoMiIN/28Islh6B FqY7bqqj12pnTdBFYJ3Melj7MSTgAwldcH3YL136I7X6Chv4NQ80CYljidfLch+jEdsPHeveDPzz MBPkSgXRbnd6HH6+2rUgS95bQl6OPT3WlvgEE4WquNknQSnyIlvXhNoUXcR2psgl83ES7xAe0tHf Awd62BLLzUclfuQMi9q4IhjVpEUu/FOKb6IJI0JNa31xnpazgeHihtBZ2i/Ed0VguQ6GXOBHj3uv c06zo8XEQ6lYHfRkjmQIQWUTwWRjm+LwHhnnTMWF/gswZDhk4nGCQ1ro1fw1UFjrr4eCc2ltCkxu gAP7v0gibS3qXeSE6N+kRQdGYYxecX1x5OG4UljnLremarMse27RLc6z4Vf4T2XirsU28Ziv9/1u w7J813qNfDLVmc7vSRhK8DidzeRBjBKF2AY96ihyUkR8MJ+XHvisFnRASW/KOJZv38tczJ1I6nQE n2FfGvCF8sE6q/OxQFz57unfnAVcsK58zbhHgp/OyvOfIqDvUrL9v8SFRFormeN2BB1dwvRMoEBx rhuUy+1UYp+vuCAbOcX8m3X0bruKSfhS+R9uZxTCQrhZ1IUWOLnxx8pwxFilyhdKpixJQrZNz1sU A+frfUIlLJxLyfnRziNz0/VkLodoaQfNN1ywo5Q/sklSCAGCryp5kaPAKuGW1wkkC3GR2zI+pdnT WA3gXSzhjTqLD9iUt6OnNqZp2mec91yeUK5u/bCOUFWhFMmuBA2AZwWdD2eBRR76FYxsnOf6KP19 52vy/N218EHQkLaQ3XPDyhXhT7FabQv9fwfMBhpXfDE9pk7WbID8xyFYAoYgntZvDdtAw6fO3r2H 6XYvDJavj0F5zPnvF3p34PQVQH3KA8mhk5xTv6/HPZErswSd7kF7CtHndYRg9mJ+KjtEC1suwpUO bCnuQvITcQv+3gRRjPF4AIoFrjjALRJ/zccEgC36V4n8EbVx9dn4MqIZlSw4TRlKfyKZHudSWj0I ug/KxnDv+c8zliWmPPZgASBa+L3aQ3W2LZ0Ip5n77ZAtvrSvlGz8JOEZzdhoVsiLQHGeXgKxH/u9 e0yP4U8e9/LZC4T6g7UrrXvxClrb/QmSC0w80tou0omUZ4AbKHM8BYwh3cM7p77TuEnrvlqrJf5M kn3DBB0j2/yFAQyHSLPJJLptXe78224Yn/SRWcs1tOpd2oUFkKii2dGMhV/YfRh1zjz2CGTZxZSp PMaIaSkSG/JSJ9NwCMk8QFsX0hg6opSARsvk1fywYlt8ALNB03R48AkVuOIAvDx/DasvKJL598Fx lG8BZ3BTcsdUMRql8CbvNFRWweTMXL5qo89wZzgRjApbkXGJ3DYMUySlToWYbbLHUR2XwTauAeT8 9FQRGs0o5MpRAGlk+bnBB++YV3YICENw/AKhJsnrB/DiZ0AyhAFKzDGuZD/bel9EGJ1zl0cF/6Q3 FcqXCJ4/vJjZQzZOPGopmE9VT7voAta+AzIEF6Q+XV3M/S77mw+b++fPrqF0zdiXVYDQ1Ma0tShC JEnQEHoFctpJ41DZpIkI7i+Lu0tmTwifKekZEFtniiWpGxY058e60zJCw1MTqaRfYXY0N61jgc1Y 3BL3z5emFnBnyhm48el52ifdQ2tPomq2/BAoQ+0K8XOheO8NXZ7wD84wivTGXdNs6d1hXjjL6Ctr 2S7oTucYHvtEbYrABAT96rStrbA4+h/efMoLGhKa5IM3AKn2SG7RONq9j3VZljgZCgtoZrZZ9H8w aQBTZLs0JdMMoc8sGz3qq+exFi217weYV3mE1HmXSm+aMf2kPn09FnaDlQVkyesu1BBMh2jvg3d4 SXJfSWiz0yLmtPquiafSZuKPQVK8sn+2Sz2x5FKram5b7x997+fu3lZKhx6rblJqf1+XECnWf/8/ llttZ2FFUqTRctLAQoc5tTXtD8hUo5XD6N1NQDX8QDsYpMOteykmHJkz4wcyc7Bhtg7gx95e8oEm 3PU+XS/qheWBXaFPqXT/RAXNI44o4ZNHPCdeoqk200y6CfGBjpUCK3FDWlKo9Ozps8icpb5wqbL5 mRhNr43ThXKTpVWh0ZHVUoXarqIgCr675TCQGWQRSR4w1RQ9FrCbjcEcKLVDvy5g7uVMEiG8Rznk vNee2qhMkmhMVxnV18LEJeaMVqR5C7KZE+9aLOUYZdOEYadkgde9UMqHi/+Eonep7JUJnoBYa9yf 5vls9pWAkENvKMaHFwYpZDe/6yLxir7jcH8XmLbPgWpSwd4xIlhtQdgq6xJvTzURs23ez9NxTdfL D5/AYY1T4JihdnBpl3NXCyC1Og0UgHS+VipwI+txG8qAOfNnATxeCQ06VKbcTBDJTXLHMh2i2se4 Ov1Rhf18MYKHPIoCanFA38sfk4ByJl7gzCMYDkOaMEltNueW61wL8x49bPXxOITIvbrgKB79jAMR CwwQhOFx0pgEcKpC3Jw5g3REvkiSnxt9BdmjQ1V/6GRjudO5MXfG75PSxiUrCh87nXz9fPKs3rqy U2YrEWDw5V7+GDCutGFtDB13b6yKnXaStrod31oVvgY3VKco3tWd3059gnVrcm66ao2ncVFzM/x6 kGKvqjkuES4+nwWH6dQmDCMiSnDv6Hyole98/+jLxJs/bFldQv+ora4iJph4hzOcChgyVGq4NSbT 66n86Q2nO0aC/fP6PUhgDAXrCez8jiXcyipN5XP2XnxXgvQ1zjzShMmTBL5fnWjUBtL25V1XztFv VGwbnP76kqMnnWyKWj3b2laxcznt3j6Aiwvki7kh/W70mchYlXBhrntkJphZFXTNVSgez7WuaLC1 oGU+mBEurPJAzAPtk2aFa1Js+5bX+4Q0Mv0VF7wMFRnmma0G9Zt2bEDOo76/kJNyWcyFiV+hgqJO JpeCUiyel5UiBfx2KFGLQm2kEjQT/D5cLXfjhAgefo4C+noawjaJO4BIOu9Y0NPgV49KJ36lSMHA 7bEBPynZKbFqDHQ2Fp1NRKvly+Q5hJL2+Rw9B7EzFZLttVEsNmRcBIYDhoT4PJBZRPjTi3Ng3USZ t8fPkn3D1AXvDv21uXbt9ql2pEkCMYuIZLP3vGyOFJRAABQHBUcVUZNnp0pdqToQd+0Xjl4V2s7c 3DEB1r3c7xmFyBD9vcAdx+51dxvhKUH63fyGEsajjUo6VvgMTtWX0EzieQpsl9x0pHhlE/N+H4uv 8OQ1imgkAgA744kmQOPnqhr7damFnQcyxooaNsmO9fFEc5FTFrj4KRha4HNHE1pOGc5fz5xDdiqG 8w7UI8hBF8Rmpyi9IZwcXuYah1YlVwsUQaEZjkWKh4I8ODVmI100/03sCrF9XIBhFPqYu16H9UEk K5qS423WA5YGmMm5oWAKH+U5EwCklsmLRskMJuiTIgcH+ckYsrOBLtGj+k8dyz2TAZwWuPFQnTc+ X/E92kLQ6umFHOZWq50Qw4oL+CsUhmQAszvhKYat7w+ApV7znZGeYWhGcRil70qDLi1PsoEW4W3a Iz2STFedpubM2zO7N63THDQjNxyRtOk2J3ntpDq9ZM0BkirjGOMcDmrsD8etjv7hWObiiLD2/RCJ 9BoDKK8hjQOOxNnUrqO0DwAbeUiT8PBObk4ukpy52JLLCsI9Raoj3FQ+9savVqJen03Zcz6HCF0f R2DGlMrj8glnPiK4bPTeLp6zdZmSyDU2OkpeyBtMeFowB+tb3nK5U1TOu5E8LhttgESahbf9erXU 2bVybfT38QDOLBP3mhXBqDh4AGg9OfE4oJrVroTRaAM9vsdIyqfzZzcooeD9cRsym2Dfmh4yWHBH l/oj8E/2Xm9LjAXZbM+dNG9ZxR+feX9tcxsSG42myi+i7FUPNEESdkQ21cIfNgqQohmOap5rtnHp 1FLvo4HSROSdMkajJttlkIlRF/ydt5JE6m44AEqX6hDuFzSTvx3FGrNB1Kzvn/tlGB7Yy+o4u/3v P+5Ky3ois3FD21pXHqruy2Uc/jiLO20HKp4IMkNn82XX4BLlyZsMB4qA9GLOzXl3a80VyCjS+AU7 LaqsDfsSs+fy2YJg8NDkI11LKa2qEAonKP1UCF5O1e6r7zTo98DPIxVmaE3n96CMwRJLt297IHRj RIhbx/fSlxCMFRL5YW+ZMuy9F/8X8PoaOF5R59K9G8HMC/riAENk0R9GDpIk18Paswlii6rrBGdD 2dpZNLBJZHzQPR2vBzudkNUFUNLS9mtawlz+32dxB+xowSAfYKulaNxQTTZlBF3nFVY1XAgF6HHt yHMXkZVorcD/KMzFcrEyQwYedNnNICGKtRj8ZG9iLJE/shylCXa6JQA8OK+7dcsRcVjmwhpDxwjw 6AQGFo/HPs7OozF4dfqxGat4zVofxW2PnXzL5j+p0vgo9E+bcmbm5V2uq+l6F2oAoFAr09SqCF/p K4W5nZO47nYWT4kHzSxlsliDu82Z7MBhn4hXEj2m55TjsAucOlyMmUzIa4viKRA7pzUTI/55Ys/N cY1VZr/tfnIK/Ew/3AnAB0yJPx8vMmfd/GHdAWvmjNNPGuRDnCM574SpjsYuy2MFabcuNgGHgnWc TgL3xZUa3CBEdoUYESq0CmCOt1Fskwt1ZEmZB2c2W6H5spxE/BM24BOzWmoBkaFDYtiNFVGMrTGX V2whikwVdsMNK42yuOldcJmFKfOhxNtW3NalO8YPpRFTnmS7UcO4Qna4Vzkx5sj0m0X6PrqnElde lY9vMBIOiWD7IBtw3cy3qSyE8Zwxf297mnVoClMSqdrsUAYTdmkuJE8HM3lNtdR+AnvjjD4Da4eO 6RpYxz/RQDl9RIlsoBF/TO2Pmuk/M7/IgteiquFDEZd3cSLaZmhCSJTmfi44hs2ps8l+mbQVE0EG t1iVGOSI2n/SzBZuZqFhCcVKP1F9RV5DqVuCG+H1WJBes7LJAkQpnU8LB3pZR4M3OYyNH1vkf8w8 d/ZDzB2UC8Gfjs6lg+HtoO8H8Jcu/M6Zp38B/lEFVMZsvAkGOTykw1nZ842Pc6B2HpomLVIFRm8r IMhCusXU/b39hz3ozS8eMO7NLL1P/ewD16BfGPbxVPVaKeyBwOvq2YouOKZ6yvXH2kn8HW9W9Qzu KfeO4u3qgn/F4aTrYdujz4uBWSZr4N+cNqsWBLRYI0rkN9QjQwfZbUWG/xCgSf2zWGBeqQMriNus 9CEupPTLoH8XqLk1uYWdGuyjqLSRT8ZkIV2MlxW1FDHgIdpjvc/ebcI38fy9FQjvUIJUmFrA4YVw 7B3022nHZjCZdrnYhuwr6NEPN2+2xXMKFNV20yMTISagtZdFtk94kXrctMHVq+1UBBJ55Es/N3kM YUa+oP9VTTfObuUwujnyUAg661rbQL2yth/zt+15JqC21Ue82MydUg0+sBVnnaIaiFJ+bSvcXg/x L68sK+nimJeh5zQ9Zk26m/e8Dw8XhKby7YU2nOI9XHym9Dile2NeC/y9tdLOI9RGFijCyt5qjdCy Hlo4on3k/XRtZHFnpj82isyVlxYGfaZCXlUqui7BYgwfwz0Wl6PUdXatQOrpmM4Jt/TujhEMlyJz cQ9b9Z68U4AFyFVcNsxu41ARz4g8xICgoM0RkhNiCn4a8Z1wBicAGvsE82h0XRmBzJflnqc6hUu+ 5WR/jDfnbJuQnGT0Qa6pD+oi4cXkmcNmTYAX2GHyReJcSEyPmFH55peZtyl09se2f+sByBc5JEOc Nq93I7Kjg+tBKPtxRfXAiNiyXAhtuKw7jT4DBehnJdoa3YxQbUROe/xuzDWzgOtkXnV54ZJ4Tyk3 GpiDmfNP9yCeLDdKWWw/U6bWqbYrx3p8qm/dD92xLtyrKCgs6JZCNv0Bnm+424Bqlsdi5TcdAFiM 1gVIcjt8355OdwP5sKIycqDVz+FJPycxjtermx27kluniI3IKHwt6vMYfXuQGlXTI4FNp09mqFxg iSpSIrCQ8sY5uDJ52lA3rE2yLjprRq/DkvzA/L8XDypXfO57s8jqbCqt/XAQoGvGPYvt4uJxOSLq eiw2FVHTOXF1XYy3bTwQC5VQdUqAG+R9+2C8o+RaHkfkexPfH9AY0A7UA0jwy+9BYfIKzUKyAOKf LXTNV8UyDNrnE2pbBPo9GxF1XY5ReVVpGm8h5A5DN4dvasSTyydndMpKiKvCysriHRS+RESudSvX L/hJtVAcxMHNFhz4hrjklL0NCi0YxZ50qCruR4G033OQOwnTk75SGIuYlAWRX/5h7b+DcWLaZihZ e5voJKa35bvdYeFy7yNe+8HaX+vUQHpvAOWOlq1RKNYu4M2/6f5d7VWcceox4dhV2sG4yBPQfiYy 3v45c4jfIZhIyoxtRbQbejswpZ9P4DATq12mAAhq/2ENsHlh6SB7UZPY62S7li1UomGU1YEWocJ4 DuK59LQtGOPCMGXY9CVgomHxPS5rrlCtWMZbRlP5MqckdKazrOhlqXlry6d/k6wGK4WSki+S1JZL HMZ1Q/OAd89f25OwnCtDM7lGNaN5LoNTMDDO0fdfzsABJmhLRMtE57HuxwMXaJl4ebVK4zCK2rnI hGNUNk4+eqJmIXsoOk1GKmXFT3MB29UCTwWWMiB8Cj1hRBy6gDFey8n2+j33SBzmedOM+sXT+tT+ IXL+MPsPk7+AfvfxjNrkwSg73SvcVxchQ8Enx6mOchEVl2SEqasesHgsvJtw4nXSM2vSbIg0MwUH iFeeCy6c+KQ1f1UVX5y/juIjNN5bgNBtxd3PJjC9eBvRI4XvFtunk7aVwat2dQINWsuLqTMfInfb 4tnt5/UchSMxQv4EBvq3cF1m6YA3Vnae0hqyx/V/39NdTHHqbi0yEFwtkUmiX4Srw+iUlWxWfJwy tYq98rq/YqdPdUGTrJ0xANnbS/mutoTQCXLZtnNP7c7XdEjMzOguBLBJZOx44l6is1dfAQD79sus Q0VOEik9AtC/wSSrRXmjkpEgSEF+Jk2vsfMm/HGy+fn7Sy5bGiQQFO3Zmsi5iP9gPQYJmiCb7ASE AGLuNIMrtV/663BBBPM+IccsFqMiBDaZ4Mr1QcYHtetiFOMzM6zQnPhKHOKg/OjOIApGmoekiI5A 6qszPpE4tB1Ho1ttC8fgha6ruqlCJd2Oenh4FPku35MO7tQHCWdY661GTy8IT/feD5nf1lfnjSXd NnNY7I0HLjZCVVNJd43BACNWlOlkdlzZ2VXzxoSQ5MUgQLplHIyCVXlb1iNqm27A7sd9ukqD9+hC u0bSCT1aN9ugdtfjh189Qf5f67yOJGi8bLO5RCM8IAuzpN9pjI8hsOW4wYAah5GPStfHRS3C64a6 b5RuplHBHY3NwhNsZgI8sxV6umiH+Emf/0YeC3OkgMQeQgwXvvDnLK1Joj0mjRRlbzSHSnaydW9n cMfPeeqxNfsj9rZEYi5CYLToZ3QPZLB7UBtVwGPj2N0l+JtrGHKKcrvgddZ9Wv7DJs1j165FD2g/ csUo4SUP2eKk+iSKMDKM8TMKR7h6HTkZSKex1fYZGt8PAalIoIEiGTFghhQKZAwzmFyTlA0HliYW gQnn6U+g3BMXsxLC8Ar//cac9tj7o2d+23+5uaZMLDdl7z+a0t+cdyrAdLz3gAtEr9mE4zb/Ax3f o6k/LjZGfMTZL6kSDWOcJwLchHvz0adl8VmGjLUfqbmOBUkwuay8qS6x+Q/OzkJA7ZdBkGUZsT7l v95GTXGFouWIia3YtGrzgF32OnzGs34QzOYNAflW3oWkgrQgFdfXU0mBTeuenkbcdbgospigyC7E NCxceu9inEl/69nM5ULdupAuSpDJT4v6glUn54h7D6INJFUcRnomP2bHxu5sSzPmz+hLPHUSCQpc LY8pISldVk5cCgQaRgWaAIXfZcciMa6vKy6y40+h8qZPkezX+I+GmkBwW79N0OIBjnspO6lIkRHz 4If5j4YTJEsH4hLfRxTjMyw/N5gNaDVvqhXszy3QqHfL484bCxWF37Ou1pu9YoGHVUON99LOS3sT v9d60HbOmCpvOhK3mvKk `protect end_protected
gpl-2.0
4caaa4153af30e7559f5f99cb794ecb2
0.948973
1.823794
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/half_sincos_tw_table.vhd
2
55,738
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block T+3TgjtyYPik1VqAq/a9z2T/qySrLE8VP9o4QFLR24sjEi0mknZc+qrWLRLdBAjUeHAQmWod9oed 23N0lh85Fg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Ex5DZm3bJQHAJKqykERw6xGwHVAOGR8/2JDU2F9xf1oKdRlNah2CVBzp0xBXGTn3TNy+j7H3XCds BA5efBN6v4Qjx+kpfsFMXBgLSw/rMMirCbRwyrvZv8DixicwayaKYzqv9IPZ4/0JJxKqoJeCYwP8 EH+AWjqy+xu8jrNGkD0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 3B+cd9evagvsOdN7jc/3p43JQ7ATkCAvCar6ILBtTX9emHMBkHdmRCC847Dxupe+sX9lbabd0Nrp G4+PSM3NdvQpK7jB8Ae7oCOMPbxuDmlhqgARoo7cXabZvHad4sOV/YE6LNiKZIwJSMsgDnxuH2EK yyhjRw3Vn/dfDGSo7L4RIqBdOKy2KBLEHJovRw6PdbhnAiPwmkrDgfqwIjLKIvSfshyRhFdwUhsZ Ts5+MjNsoSVdMzCAGKkpmus1fcUJSFIlfwCUZkUy4qC5b+0H4sQNxh9ST3+NcGyGEgkGAHgioEct 71lcL8TJuPwwleGK47C5nBUCxmBbTcSoSVRaqg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block V7Pe5RWLyQuPJ8htaxLTC1hw0xyCUNI8NfTX0kgfbvPFpQauBifAZoMg4mHTNSiJ1wi07ydbd4ia 0TtFv5nYKJId0upJXPratC4T3I3nzvI8L5tXHpSGJBNzLo6e7gdmGQ+hOsuKrF3qHmd3GOvp3T22 N5KItxfZERHoWSKEm48= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block DuCEBz4wdX5lPx1mT4haEgSkD5K1MH6Kx92EIBd+gbiFy8DMO5i8LK5PZmtlyfgGdszh9xwx0I4R 2TXHmRmyUjrXOh3970jjdfPb7e1mQhNP8zD2vncSDyVMXHfmyWQ4Y+fS4KNQxZYIBAMyRJiI41NW cFnzQpoetqGFdG2yJ0G5WhC3fHrb5Hnk++BNg6gwyWQgCndSvJpERkcQwZQMQauZaO2cARc2pstI xJPG1XGC/vUy39MLbW7cnWlU+t7SXnUW/JZ+Nw/E8gTxERr1GPOyqAmEaupsYTlJRuU7UEy7KcvZ y1QcsE8pI16qR057bWDtfIlbvpjGh/P1VjJ2vw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 39520) `protect data_block YKcDaF+Dlo/o/sfhhn1NFSW12bzNh+cf+O2zOLWru1w4Zg5NYaeRsICRbQMzSp5hgY9C/RF+QqCt /fGi/Pm6xlP2j3kpt0bbN1I4kQgjyVvWgqVclMdpGIBofBQbzgawG1z0AMJAAnw069mNQwcWD+EJ Di4+rSPU332SNhJCFxadt7ZBTfxtCCH6g1649YkKzsOiMWsQdRna7IcaMOIqztQHzAsv5COzeLg8 +YoWq1Jm6WDgamptkOP8ARH3osizVev1GA1ozxdOTkCwMUmPtzcPNnjzX1YvqzopgjMmAN2dioui UhoAcBfx+ck1bfwKRyI/vec53FvNYtU+ubsP/wDg3FUYWu+OLnik1TR852hZ0a9zjPv1e/eZH0kC SNZvIEhLlpBtLa3WHl40x7FOa9Cr2eAm98ayHChjGWWdjW7aklG5oE8BMYn3v7sg1yWtUkH4QE4I fTFpl5oFeLo5L55Dk/6sJFbAw3QFnxoydIu1FSSo2sHwlKEwDIOWfrDmLRl2lmCLwZMYa7cq5xXg 3is9m1ZgKYeOFzx6dT16lW6nFed4AI05rKnuJ9U2+tNyBrJwXTzZIACQcPChkB5aSwwTbK1LJyqD 7lHtdMPtxyS3WlMIgvf+4UCGmOMu6wargsNokrkCdReYbDKN4xxvNdXcUdEbFFp3QJWi7ihAfhfH TA/+p40/jemztzY0LTJZY0BZv1rsJRfP+aJyzDBE/sey+hZF42GHccdqD3A5vWivKx+erACyvTm2 X1SOlGMFD9FUBCaEIa9XnJhgw7qKf9vpNT7sFMpLrid+WasQdSuwF8WR30vxTGtiIrN9NVFMDYMB ylQvcs7Ov8ybVNBf71p1kBEP/SLR9/LK27LkmSjVwj731e2T5sbILhT0Qd68M+9HPqSn/ZDHp/gk 9r3MM506rzkqoj9MjsI0iZmHjxRzHIB+5wMj7b6GBfGHLez0FhL6h9xAWL+e5M0v4sjgB4nPPQjF wlMzqLpv3s+GECCrJt9+pEWW3rz/1R9cT/CW3VkfzBTEcDGcgagEAUaD0SxCPmLA1XOIh1Bmedkt E8am2O6zmRhk7+ZcQvyuAuLYxt8Tj98uh3tfCBZoAhs/aK1SCCdySj+v8flZK69JnxPIrRk/A2If 89GIqQhccJ478snes+I+oHTpS4gklQmCh/ewIcYlhhsSFvT5VoBVa+u8twzI7Ces+GSuRQAtjwc8 aDdtLy/voNGK/gK0JUb1z4sWuPqSrm85B0/3kgXE7aO9SCN1TCAnTvGx77JCvsERIfelIqWi0rTl oipbvWIxcRX5Cx8RD1ILEmAHXppskJF673yoAhAKKzppBrYh8nA4MzBiAj6BZkqCj30l/u37E4lK hPAJ4SJI74Iq2DdhFTL3VhzexlvLuvEFYf6t6Vlwe+Gk6Y0+xVdwHTzxz3GrlipedX6vDxyy2rw1 pysa+WOr+Ep+KZhEZiz+P+W11IED95CuSnxvnKhoIWbHiOdHbArCtQYjmOBXE7LkQ6M2XHQs7dAg CYRfV+MvR4oUxI6H5ClAm4SG87kSW6KCwAESvFF6Q2LA1Q9LIfRenc1oR3YgLzO8x7gd7hylNaOp CWHVp5Jn7+haH4uRuSBoIzqGsSCOz4DoX1Tz1gFXYvLq5H+TsVACsoPRC4fuk5V5QIxx/lmzzBuw 6Aqzxm3Es/fC8B0YhR/j6YNJ4C7fkxOIlTpijHj/Ou5h7Ba6yrUCiQTakIYdyCoGGWnHcefy1aZD vk8BAlWqTuX17RzmDtk2KW3SIm3hzGyIekkNLtbbPvz8eRXF5xdywr1FhnuV9EWlA3RHUVPiYedQ rfvRTzlh/KGspZepO2kETZuWqJt9TBx8xmUMQAaS7xjB26ekCRqIJ65AfeCzCH+zG4OFtMH8NCMk 9L/HbnT7qF0UzJWp+hPzugXl8iXkxyH5QoIfMBp6Gv2/pFnpXEsuDlm+GBGR3A4UoHC7vciAuaWU TP31Fd0z1ahJ7BU+dX+9nsBrrSu+jfcFpHjdC5Ekm5vN3XV/vIrANGaN+ZKWlWpoNfUONeyIo1Ff KiDGtdPdm4+3cDaTLRnxCGClrXDc5F/UK05O39Mravmr+u0WtIREX5zzG4GxG3v2aB9fShgDO+Rt NQqpFvIr0HK167RZSCIB9NwMWlDwwE8DgiQQROttUnHsS6F2AaCITRD5K7AOtGtMP+is8hHmpQ+u BiulPfV2PC9BLNayPSqzi4uzYRj8DpbuYYOwuo55f35cEUThj3hSUAJ+3Sryy32LJpcoUXbHCwUy GJNgVYWr3hBOOWQ+3z458/6SgLbSOEZL4vnyl37hh78pVnrGj4/doCzEDA/dpD35yWkBMdSz2EZX NnWQOGOh/tCah4YqQ8SkWuA/brQYCUma5Tf2pXW0bfxk4+H4pRzSeNjbPpil3f4BDqgcGcgQ8rwe TzIMnmR1/Ty1yT986/ppKXm/5hn0+XanzKOQmkFcYUGd6z3g6LYnEe8fUeUUGeb+o09sbTwBoYP2 T91D9pwnRolHFCasGY/HwllgJz6YYnSlZQMAGvktuw8olVL1RwjfiM8MMuj5CFuKivf+OiJLuHMY DhKbzhHETx8nNdd3/pCfohtOTELuMFL0f9qnjtY1BGqNG2PYF/g5p/XLnfFI0rmaSIkJp9BAvEVH VpRKjTDWnhrB/uCfW5FacZEzsBWOjTi6upjwfBT5C9BVDMlLwzGXDzpmrm6xVb7HJ+Ut+HNNajtV O9/7HEqZJX8P2QeGGBsjzS0GCg8JXya2RhI+FZk20+xqrItz7XFBlK6tBpTXKxeHW+xUSYwcTA4C r0AdCe24FMS7MK8OuNsPKXLl3yRFVBq5+ELQTQfNTlsvltNXv+9R8YxXauIIGZrJ9KwRG/s1yhpy ygp0R3H6Vu4ljD9YM2ILCnrbWcD92mV1UJttD28vcp7SeKxyd1h0P34bTTMqNaFBrlEwEqevY/AV TJDHLmqi2NCC/njYJUvcN0spl1Teb5aC23sl5kPehUfBlgIaHgNwcqEuawv3XAc8FvNkbikg9/69 mPXfUWj6y+u7IgWdpoMOptLzEwjws2cgVeGePOBZoAEII8tXf/KZnGBSUVopxDiFwvogrn/wd8Rg xeuZI6JZjDD58QTDHLxq8Bh6jmDIid1Gd1Yj2lnMrZsPCEHPo0M8KTcwDtqSrq1Xv8k//4J0/E96 v+1CpIlfggVAT0w4jUasgDtPuGIh/O2iGOxD/tfRkzQxupPS+iArDNSD30VF0luLxNkjLc2okL4Q N8U/WW290LJzPQaammJSLdz3hoFVezAwGNO5J+GHn4njW/w5HCrgeBCadcwcVb9WoTdV6yjkbkHQ tZ5jYTUMmOkbU8kqYh8uNBMQHu2VrRpHCja+SVHp44W49OB72m+Z1J3c/8mIUGRejL784GH+ORxU aSCIuSagZZRexbVx2ZYsmBoIGfHQuB8Z96Xf4oNEA1rVlWMFizYaBMReg61gode98+pq6c6KDXkR 4jNxcWhW5zRbwKb2V19C7wp+y93lu5frxJq0e4MJOAte3vTkEUNNKuLgcXeqlHD8twag/ArETHOQ 8iDOjY/zGexdmYceOZDBf67nXTm3VJX+6huUhIPb0QYp82z2RJ56dqeeqBgJW6Hp3Vj3YMCDWAY0 RYDJDzd/Jn7hEEDoKpahjumEBlUKLlK+Wk1QNvJIL+CkZ5/mhk8gdIm59rTRet+gLhxYi0Ia64G1 eoRkhXxRtfeep2AXhvt/0q6mN4DWast9tcxPXg0xQ39PNXh1fKIYaMiiJN8CBcgr48HedTDIC6kq xXiCNtHCHXX4NB/Gcs6qHO6j4okQ2p0kBzypFcxytB8HzeOsuZDwNeKNxf7EgOz6wtVZ2axaxXfT 2+RtRwM4WHsxyiD6UFboix54FLYzEWTXDrG4i225ItSsTHyr3hevF2O/0mwNBC/GrgkUO1ZzSI/Y OBMu/9BT7aI3NDwaRFCNmIX0rIQ5qJ5vTYm+8LBvp+POVXInyTkLp/7mWFxjV1B5sGH4SQGhLHbO m0dwNLkQBLJYZqEWThn+nqQ1WebjVbApBzVLnx8jau9fPotGeBJC9EXFCAC7d9/ViQycRUdPH2TJ 5XYQAk8kascp0rr22dafePzGBxG0UXkWfPm4ko7j6fA2p8LRaw+ihS8FahMgUMBApBxsyrnxkvEp kFgiGKFf6lJa8W5PiEa8g8UXSfqLbHSJiFaIJ5mXEiob8OpCPm0oUdEkMDUkTea8Sgna7F1irkBl 4aG6CwUWZ8Pl9zJ9+/UhkOYpSf7I+5HW5AIAtsLoZsfuoXvKe96ck+EZMpj3aEDD5L+kjuiQqEB/ GtjR9VF2OR7p8DXr+VTz1yJZYayrtDWRpRY0JFlZfv00VJli60HO5oE7v9VlHko7wdw1QmlTXcYM NiKmmXAksGh3enDX9YkikQMORVUON7ZK/y3/ThVODurLEwYnqyMvJrBBoKH0JYb0ugIWMGEisYEz A83GoUoM7mUQ4EusT2DS8kNOL0uAmFPJkOU5BArRLOPFUNexN25TLoXjE/kUTuCI3sy135ilR2OF NpsCNqfQi0vY8llJ85dGD8UvtDEr5+Xm1IIDyVhU4OImFPa5Yl3KCns3858UKTgpOv/GtVONLcgY A0SJYEBCuAAHXreAJ3TgSgkgG/4nCBKoazVeORJoy3Ntw4H7jI9Ecv/IlIH7LkvtoWi84FaH1UlE VkHcFUVlbI7AS/ooFCkWEGuO2z28JgdFcnVmUk1qkycxDl/pqj5P0Icl2ooUZ78U6x8CnT2kE6yJ XfSaXIx/CquOFWf6GI5X0yxiv6o+W2eaLzwFOb8OQ8uy3NsXUE0xxCDSASPn8RA4RHdINPtuF8pH boHj4GaF1y1kZoQc0+61GmIhSKd0z8GIa8NH412+rD61/q2H5j8MYvx7FtF+Sd21Y6fCHVAn6+/M Rycy5CZofji7ej9oQR1C8li0rx6QWP8mnjh2TGS8sJBD4Iedeo6tgiEGnKBUqJrzafbYr3Ij4xNd yGttYRi+UP4x70a6Es3WGp/Td1m/lpzxdqS+/s01YziNikI52tyepCg1wMO78zUbmGvRO8fA9x1Q PZUzcJKNar9s9uejdh2oYlpvxZn4up2VcwL6+LyY6/YQzj5/xtq6iJ1w+oKr7wAfiN3gS6lm+Ec9 C6k/zUzCM3SlFAHtxktmWdCyZXng39ytfQ945oWX2Ipx3ofHadYyp/nzJ0h35nQp5eC4LL5jQc7z mR2BbmyEawZ92RCYbRaAmmxpQ98MeI2dAeOByMMzUrXwmho9boZjBP4KJyrov/T1JMQrdCSa92Ol K4bEVDLiLR0P7JcIHqZvAYhQ2FvuRt1gK+l11Ps1EJRD7MuYyIq8PdO5/STSDNKrakAPmxmPZ2Xy 7cVizlbGWoGxlS/Sbiv8ME9yYIMY+cKYm5U0TxKaAuAMU0tpyenGMl8wLBINXZiEchDXtjjKMi1j DBG4ybD3Xp5EH8zmqdtNBm+eofLS3oIDfmdIjCgD0YV91oi9QeGbzjXe46xyxeJ/aNpk9GU9YkpI /sHERs5yh5OBSrkdYkXwqqj65J4d36U70Fyr70X/GW8yADbuI+wMBolgb9zeprtsgpzh/xrMuQ7q HSsKCReHSZoWOolKXLbkbifIfs80/IJZINSGdzceW/z9rYtZfnD0nnY5StLcn4PbIxrJ6t9imEK7 GLlqxOAG+gnip0AoX4Ct6yiS+fPTGXQ79CHxXu3FXvkYvm121NMPCi1KjfH8xcuWxmaQK/14kaFx ICxMI9oDyDbow6a1uSYvwaLaQTH7sEtlqBAP5xbYK+cszZOIXOn2i9nrus/ktteQ5rw98YuM39iA yuRcU/0a9q3sZZ8Y0M/SVyA/BkzgdLVv92G0fqp9a08+WLztxEkH+E5AxvBbj2AME08qJsviToGA 8/YDmLYOcrsVGALObDy9zLOpiu2Wl2hP1Y5l+cPs9D9e7n+WU0QUT4cbKooLDIyA2QupSFIrTvni jOKbOESDDldVP+kNlN+4wKkCpuX5JPsXb8xdPFdaRtOPBWXbKp2oH8lILVPKTsubVn2xjFnaid54 k5Ckf9L9cX0LwRbLpNHpOvTJjJ7IkQJWcnTJf87pN6wMNnlTyJHBWBCIPjx30fDTMGzgVQm3r+VU 5StjSUkUeNEqbPoMMkD5GKfdPAWfTGouvIBnL6QfT9Wo4AepRh/tdSWhrnVduCTPX3OiPaXcPwHm LZxbfD47LL2AxBz9jekD/5XD05aqIM0F1bMwwpdoJ3ckwHNoGC8StmA+Mc9EXmJPmtzsx5gDQbkZ YpnevXYeeMKheQfnKW954hS5bd0Bljaen2/vPJRmW7SnHgkP3GTUCW27cuFwISEg5AAYqFYfjl9v zTY5/G/O+lGzYSsGhNhmfot3RSy4rcgvLzjZoLeY4Mt6UZLMk6QoENfofgF3tDuKUpyA7Op021/b 397HyVzfxyYZE2uiDS9vM49SItgFFWlj0bQMSwYyUVbt8XLcfDhEB2rhwcPob78JdAYeLxpSz6o2 mEFlsQUkgbNKJ/m8cTXLDO1SNKDiSh0Cqom+5ID2ZoqUEh+L7y1NvVRWv2/0DbpUolLT0l1MheHf y5dDuTUQRMtdBirNx1cV3POfT3mzyN723GBGRZwbVUhNYTDazFq+auyUetBvkbk7kJZgwiz5aKlW BpfmBEv7ybZHmgP8hoh2OWy04oMHPk/zntLJA+8tSEaXEzl0soq1dZ4/CdoVMJ+PE1De4cU4/WaK HZanvWUnBkMs3xO3ZjrY2IF4c68cN1XcC4ThNDuFJEMbvHsoPrSKnm3aXpbCrhhViRp0TtwxSGoZ lz4IZHF5pyn21CC1lHdjdHMZA38B6Uk9fXoyHsMzS7Izg0yysvsZ90MsbdQmZWf/2+dBmgqmiA/7 sTxaiPlO31eebSNVkSPsIWwGWgSHFFOTj9l9BMkL02ok3GSN8C8oKLeh/z79g16klk9prWohzgQs lesv9K6NDxae+G8QCenFQTKCoiHsuMd0WVOx4ovlnNojxb1flqGgyuLF2YoFvFeg92C5UAzsxs96 W6W2008UbR0/NzyOLizVpbgrFiU5ZCybgvHKACG11l6bPo48Zfl1yLjBbu4PVdfLGB5rL060jrJA /2UANuG/FJS0OaaBJMhDHTGFvEx3LS2Fc9HWGTNKu93+o52en+SBHS/j+yde2kZ2nnOXblcLkunK +opLqDeRR+coGXl3C4hVu0Jtbuu8mWdElFcLYBbHZ4T5IhVACrYVoJMgg+O+0v+ertNI/yauFC07 9HFEsXsa8qFgJo12KVN+kIiW/F2hNJf0Q3UEb+ysd5QK9ldSD1j4Tn9tK9zqJMQj4gdHIt48y8nw 03dWBJXoEhjHUTctAgB63ETm8eduYk747J9rrmfxon8oiokZNJhK8g0h1z05sBptDTsV+y1kbwSU 3hsJHgwY8URMrWVNDvxQTesz5pyJx5n8GuAYcAVwnn9d90XlTJhiVbqWZq0RESHg/xGX0Um+aj1g OanL4cws9ReZcOzezr1WnjktGRQpMYaIsD5nvxzj4k7XUn+85kh4AnkE+q72h4NAIs3qlKUuOzIr hOu/DGddFdlQuuDrm5KiFDnmEo8lZ+D2tuhX3WN11DO5OPfuJWC/NbCL/O90s+PEOSH8NzV6Nosr AdvZpI0jS3P0wYN1W+u99tgeAPz+/ASu9z+lpbGEvOxm0rfCuCHUR0yWTLB8cyuXaE4iXYrOgl/f IbiZgN8iv+0fyXPky2QPrZfIfWS8I6im3RJFeRRZZQgnaIuEHTjOruZjL18ecvheEndvbRG9HnqQ jrwogZ1PpIkBinMngB9bXE9vT4nHuPqjXJ1Sb18oFR31CSSANFUIMONC1NPXbn7ol2nxqXy4OnbY tifBv6WVJkWgdE0Dj5U1k599HbLc/FmCJRNclXHuEow5e+HtwvqQZJ6rvDMPzqIT2fkd2HHgRB+k 3shFHd154/Bkmhr/xEafeV0Hng04HSPcWsa6nP84Cgpt+FAhvODZYnH1yhwwIpk5U2NTSj2Yp0hl ZIeer37S/GIVMNEdAdUcXU7Y2rP/1EVKyWYDk3/0VIEqd2eBNiuq0nCcvXn0XTvEc2OtmyVyeXLp zUCAyc0fpRAsh6gRtQS4ErBdUNtVU/iSzia/EqfiXlcSxQBXWjMvGDIpKxR7xFChB0QW84s7VbWM sLyeWycZdJa0Y5pB6Hje1OPO1x92onFrkcoWXOloibIJiMz4zp0yISN52VNIXXCRpXFKhdok0UdQ NJDrThdoYVnrTKglHx3AOdXgbSKSEvKldP73aVCfBJ9ErCznvzyRP07ypm8szw6pBgqeaj+KGmwG fFXuDA/O90fKvwFLcZu7TUX+y6uE88OJ82XuLSUgFIytppS4WRYKsar+VlecgOg4/7htsfreequq M6G4pb4SBQY92NHuF5uVW1HonjhRC19/oUYQVM/H7Kd2YLoJjNdx3CNnoCNBNXkHpZg21p4mHvR/ L2PSvd8cblOGLmvMvEMmKO9aMe3Xca0P0kpJpKYGWqqosbNjZnPrG8jtb4s1mZX6LxvcisC0eZ6k y5hnxruCgkryqpbyQHkudDvjW5eMt4w255NXpMr8bHmNchqh61HfEQe9XsLa8IdSy1tE9WktORbw 1Ua6KSOw/j5UyYc915suW2yDRULNAwET59k9OfWeY8Qy7zS3PBDdFtroSR71rmC5NbYwK7BGXrXf YxioZIzIMr+waX9KHKUYsaCnNkralC6MH2MsuKxC/15wWHNA3HC8MHxxiM27WGnccVwTrgTTMNUA 7w7bAC9CWLIMKaXwqL36aQKL8i//sed8HMNgA9r2SWVbvD9SwPsHsusjjhwS8G89hFtuA1Blvhdm yU16LnpcLpGycvkLyq7m/Dfq9IyjkXmWt73sONSvBXiNAq+a/vmAivGF9V3wGJVHsmLtLC/zZzCX jO2qdcLbMTegv6BZ1dBPabyCr6BzO4olyFmz+RGNNCDcMRLZjFsxrLbrvR6KMxIPUMRWfkKHQUqA K5lSrSMpupvyY7lGT0JVIVLK1dqIr3jxKFI6hwj7o5BwJLemGY6OLNvieGuA+gG5+aGskg1zwPJz soHQdeZ0B6L1+lqqUP70yzX4gM889Wa6gs1X8eM2/nMCYub8x0OKp6yumR4ZkhEk3KvZREwh0txm adoRzgm6H5NFjUPxUtheOB7aQj2WCJPq6bHZ7qdxAaL3p7ajlBqOnrO96WogJpFFWd95jjStzbAC u4V4okjzX6eVA6+PxvHnB81vbxLsF2KiMw1mIKZqu4CwQhWEtfs+KnJNZ4daOzpf3LfsJzuxmYln zx3LUiAmG5DgX81c4SYGQZcoEX+eXNBD78/w+xJdryFpoLUiaV9vlFelv3vNi3Cu+0tD7SdM6fwC zdUmEAMl1NTHemNAeuEnJptKJ50BX50KA4/WVkhspAiK0mvR1hbzAS5i7LYTW798RBRDQtc7LQ5H 9tanGUa1jMUuaBICV8gWp5CBFKKGkR4HnPxEBmtAisgT2VUh22OwcR4cPKhsSy7coMYwsw7DxrIe IkTajmf1oznR2qT3uyslQVN/31x0uzpS4aQBot4a0iTMarquhh8avANDFmfq/ldPKaeaEVyt9kiA 6TOXBF3+sgK9DCaniyQgX2/U0Q5bDQrhXwvwzWlTPV6Ln7PphXQ2VRY2J7U2/BeZl+tmrqFWhTmw zhU2gxJ15oeKinoVbvfJz5SsKIYU/3Xe1Of0t5TYEI+fr4v0I5KlRgNRhVoOPce9PhyL1lAMmhRd ZuZIbWU3UWYyrrCIZ7wc4Qn5Vr5d5Ewg7vBzLMVPHq5y6BoA6iwpn7dFDV1TaVgxLGlyIF602BKS SmMoZAxFLmwDpzvIvlqY87T7WtkvYu4QGOgY6kMOiXPyDNbOYb7maPm+zg5JcUCew/sDEcsIOz4j vLYL5kNdqsDV1sUw5q/hg0yl57C7ow7ylLFsooRRY88YxFr/yL4g3EVD9oNDt55YEM5jb5oxtIM5 FqhklZw1fdkBBnAcnvl14JhwUO4ZW81tLAvPt41KskagD8y+73R9Nd1L8Tev1QJ5leyR/derCXh/ L/ie7PDon6+d1MV5B///Zzzo1S29AwnZWtQPeCPNL9l+SX8sYHswNmW/b9zeqC+xVgJYegFeu64f GcoGR+8jvXs8nOfZJNY+WqU8sh1pKLo3hz1+WWUlN12xQrcRX5t61tJo2hLP5EkwL8OgXZK4mqrk VG2vH0jkQQK3CNPYnzDjy5Cp9YPVMAS+3WNkGaA1WkLyv0GFwKVsI7a1UIAUZGq1InXzeDtI0mNl C4nUlap5sE3g6ppOO1jWKUM1tdU/rTGwyH+WcGH3e8vRQKXMtaV1fx7+nKLEU82T7KTs86Zas1jE e3pCmWOqvrPPWVIIyJVOW9Xsp6qeBn6p0ExfxJpo7Uk7YyrIDeyOP7+VLWm58sbAtBbaVGqsXTyx 3mAa+0uYOi1SDjqQiq23l8OPFHuOt3fh/4EHcQ2s0cGODbjvOydIACCCXznx1ZvxZCsgfFlFx36S 3c0IJNqgqlS2sMW+7vQcMtnrA8+k84RSjyChzDuMbByrvzc5ozThQI6w+8tXGPOjIP9vZQE53cDG ZVETDJ2yeIwmmA4mrKrbxmvQR6XtoI36vdX5p/QcU8I4yB6LKU8/rm0HujetBHQ/26FSFK2Heuax wHfoS44gj9e/7XqJ9yhvIjJF9Kqy66g69W7JWImvtuy4aTSKLF7CBXlRCSjmmFQV0PD1ZET8isPz +Xd3msnhux71QoqW09Q2E+BYk/379ItemoLntAS0uHakiFVY9B+7eaU3XfmboOjFdnb0CPXoDVd4 JvCGmjlcnLMw3FegLtDf//eC5I1Bx45vBpgXcuyUpl068JzUSszo2mhApogNLQEFXQwlq1ldhF5H lgjAxAMH8qHdSwiAnWVwRS7iINYEaalhlu/6c5fZ6OKyZwqjdsXm8GxsDjFXYiB0hxyd3vwXmEwE 5RBMqujr/NIAJWGgXI1hs+qrrIHiYsL1KoNaSgusbp0uI5BBqWxlMUejC+l+MtDtqhfWXAY/ScOw uJ1s5qDdxlZ7QqahZKtn/QjlAdCNm1rO4BxDPQy5+6IC3krYhWme+beb266pdYGh8Bt0OpgX7bQa 4c20vX0OV93bB368XCMOCEc3lhRZL5SjPhId3ayX3w1FlqzPPgQlblJYNPpthEFup7UamGlAKKmO wAgDmWeEThsw0Ek58XfuWqYJboV1Yy927Ta412b852LjE20SkM4NncKZ7glbHEKQqR0jWZDuvfTL 5w0dgizbyUTXWkU8JrYQDd4JmP+D8plVm8A8EzbWxCgwGBbLj0offGCwt0ylqAsEnvFxo9lWmdXl u4e/VIGie7ggp9o061wzVSwoivi8XkUHJ8mpNZeYVVqNYLFyeAF+1MtaAdxoFIBANMPUbd9Oy2ak jH10Ac5DDZbifR2A8Qt03lMfgbEhIO72JBa9+qQL3jrkGTLSyb/mO6jxckun5G2xldFojXXciVbf Y5JrX91oI8wzBYRzoL4ZNfu9wSxLepxdAg1LnEilKoczKXr7khe2Lqtw6D2hLi3yDb4KDZpo3oHY rKskXgDTQqmzHijQ/pXrKgyxBXvrlLbfrdI1UjnEik/fDmDuNHU9peWUcXPheRY5zaWGByt6igS8 hpgpeJfHOIHXIuR9656uv/Tp/dPeAFClF5FZ05c+Rv9IMUZgGMtxx7i8gUUw8ceLiTHHecjpmwQN rdhiodmDEz+R5+jgpqmVUiLy7anmSRGrRRT69OkUfWydIohqSWTFsf5AJ6l0OWJT99lPXVmGCPTf ktsegnbiH3FAb46x6cBFm9Nmn76JUmJ7Th/0HTWJNhk+/RZbpOQ41zP4+nEE5E/ixUYd7O693tOg JiWD5hr63ThM4pz+s+3UYTL8mNbJLxYqxCSvabDspv9xzGImdJj7rLv/wNtBbGKDNWqScE1kX4u4 cNZkoviCG8+J6kRTi2NKvHdHrCH1YITNnwuT8kOjMuzMJeE3z40wDT/KIR0yhM812AYLyh4Uopvo EllbRSqjjpCdLKxaQc9g3wYnjtlZ+Rktx1b5/wmcNYy+cGsOR81RjcWlf8l1iGlBzY+cxqxFeXWh 8PdipmZwSZYXeFnGu4q3hZerpLbIdEKaZX50SPBKyAE/mj7wu6mLOMAm0f2wx4kMcqSnuuIU5XBa FOIbwfRhEPK6aP9GRGhhuJCsWAqS46U6svqQYqCHQfSWzQ1tAaj+6+NEt1vv2Syb3szl7HYw1Ar8 duRNocCOFxzuaCwOqony41MmiBamTHUD612P918jpb+3tXVyMm82CfqSupT/1KwnvaFvAXUgML9S QlcmwBk316ajhYpf3Q3IPI3v97G5r1tkn6c/je31HZZtpY6nR7ErfM7CibfGC9TepObgl4F/OUjS rJG70UBQ0utuCz1xzHHYAReXfsbnyoO7CWnaPCf1TDAW+IxblAVSnxOIObfizIne9Ed1QahIs+pm Irf46GYXKwOhvy86zj57XbFdEO3SWcWmnoiHf0YDFd2S+lRRL0cHsUvAYy+YsSZyDVbYgGPVhj8F 5VEpHxisFT+po3XqlkXb4eQqFKP/1K2NJsXTLJDuI3f1AfTdDAJiq71y6/FJC1txWTIeKY9bK4ai Ao2AKihYMPiQrFnDvoHx862PhjGl9xoKubt086cPHGbylDYBYyknFItq8lLHBBwb/a7OaO991eS3 2a+k6Hk8eYdgHe95G79nmUmW0Fz4yXyBphJ67UZWVqntgAVoV3RWVxBffSuJb0z4s7uHRXxo181E 06+mXU++mNIxVw+4EYpPLOJorHLD1sMhj9oqV3nGytTV/ZFDVy51sb7gA4L6D+sPi8GfjaLqlIhn vNOyAY08Gtw+qS2gm2H4HQJFkXFR8gfvTLTq+W76dKHpudwgFxTMUQIcZYL9aDeQDZA1ZUqCF61D FZs4E7x2YE+BHZvZ040jZqyFeb8/jWf8+FVtpBngQ3egi54QsoEH2nM4N2wg/bvsKudKk/nLi4O4 kfaWYCkdECFw4ae5SKwuRf8p+muNR/nkOsb5YFDNQ2Tl69sGd8rHVllhxXrTkf3g7PJGaBpViV1y X1wrCxY4EykGRFZqy7DTgt6qft2k3gFY7c7HH47bc59pn/bF4mbjzEZl3NUFO4wUy+vyA8+ZDbID 4liodtUEagVaOY6HwOC5a0jQZFPMAvl6lUMe2OENweUuqLpMWhZwwdxmFsGJu0lah/EKfzDYEe4b epnWNxY9dRe875/rYSGj8jod3ABhb2yWpqyWFyyjFM48v9QsbhIKpo4hkLdvGfbVzgMrb+F1OO76 uzPltq1vun6dNMlGDpkXOwtf6r+27taePB3j+yV5+vlmeJr6G3MaxEz0ce3vOJSe5HWVfFUO5YbU +UB6wMfEp6K67OY9SUcbYxGiIM8klQlfRQZxjyiz+coNR1wzSCsqpftae7itB7cCZP6Hy+pU4Bs4 7z1sW2FEVPSd0Nfc7TQBftPasOciEaGWMgdbaoSBsWl00ZGwiTVcwQWegVQ3zromOY74dRCUbEzp pY4tpN9b4bG4g9/5i/Uh49Zetf7C1feUUSyzY8iK1yBww4DRKdlXGYwdry3bWHO0wjB9lhQv6Gqf WTtBbLEaoTaIzbJj4VjHlFpUnyUZLcRm0kEZm9eo+kkMZPjJY1UFWfl1m/O9Z6OS8fDdIUFvmLUM zGIbYJXSYBcZfBLms2Gh1FA7/6vALi+z/Uj+SzSuO3Ci7gvgqKzdgncqmqdsMuELJNzkR8TBeUZ6 LBoJ5erRxcd9CDz4nFlu6TFwvkSxY78dISJz8l+/aU6hrGOlpJDFi99ps/Mdy3XxD47RKPKt2KhU Kkxn0hlhedDP/8Qm5FMffajJGyMlLOLO5/gsjI9Mu0xCWie8Ortel+wPjIid9Vj3vqZ7fJ+kyZaU lXXMLLKnD06+IwEq9I24ZgNKx/rBiqiEPfmcvDJVUDpHzWwj4nGKVJ4GkBu+wXJMQn5wZ91sdklU 2RhMNjWOWXPu4YsAay6YLMRHWtIcuZilPpFj2aHN3H4jc/ukvklWGu9JbNC/muj9NyB56G2WRq4u m0IsuoMfMN+SxayyMAudY2QAygCyDK3LqDm4UIhfacmG3ZjvJWUtahy0iwS1SckB5hGuqBuKWxlA 6CHWF4BoQ4cFPYAJr4IP+EQT4bkxnfU8wB8DZyAQib1jxGGdv/4+yVXTnmh6PMeo5CvVVHegm240 8JdjBGOFQ3AUO83moceHNPfM6EpfQaXGPU7g40m06qTPFYtfRTExSsr+Mbs5wOHzB/jBd1vRwdmj GjfG50FsPXfaM8+faSCLafm7ttuDpU2InVyQU5SESTw9cLKqsKV4swEHMh8E59es/jXofrUZzeBL mOVPPvhYK8W7rqR7DnZqEl3pbOBzqugz1XqkAUIIqiPAei+fnxUL4jEwD1tCJG8k9EzKTtfrc5vY WRsD9a2MVL5rjX/f3AfpgfSvakuTcOW3nJK1rYsicDTSDG6NSjvstuUXIgMqz+2Go+rZ7tpN8qHs aPzz4Byvj/VXFTXlsmL61tfE/Ox0/ffrIgRRg9K2L+AwPewRkNAmeJAfAxKw8fsSEaAnu+iCuikV AS6z4UvnocoepPIBYULBkQMsOOJGUnEbgYES2LKBSa5Bcg3orn+VamCYk8x1KLWetw1WOR8lq/XV KIa4k5vuGsHpYFCLWHOaIlnK5V0ov5b2selPqjww6FUPG182b25uQFfir7RrHUpEbzl9mxR1J3J7 nOiJAI1fhbVozvSHtPpuR/+B5pYzTGIMNNAhBheRTQjeeRdhF841DDoSR/mAeqF8ma35MPqnsGcF 4Ti+esrmmmS82RF4kDTGBI/lecNrLlCYM2QBVjZalTTyvST9pymR1+RJcyUFsSrB52wICkmpHD7l I4I50KWvibiF9Jm+mJcoq9CHRgJgf68rlZQhgD2zTYsm9OOamwnEQI8D3LY9QvSV6gzr7xBCsqWn BNyounE8G1Syeszk8t7PgyxzfY6CaaPpxoN5UPcJKCo20bvuWQtMNLKzK4uBHCPwUrQTYCrQLJl8 sqJTatGYRJlXDKoroCZzZaJRfIcJs2tkPUOEPHXM0fphsAWsoykGHjctqelfTkugCLEFV/8sYCVe kZlmXwbF2Ho3OkJ9gJLUZf4lEtIe+rYb0rM0DSbHk0f9qXH+KTNzje3evonuIfzTg1ARgPDBA60/ sfyXFiv2AP7zoON1YCEOxEYame0r7lDhonELC5GYw02Yf8R6HuZgzeYB/6w5Gxp0vGLtDk0r1XyT mdRRsDPIR7ZObzAy6ziGKptFR+A/gZ/x8CIcLTO7wmXb4UnMS+oUZsk0nfPVzcFa8c3IrIdkgsg+ TGaTLg3MBE+T3mTwFJE5kbwSRVSm+QrRJgJxZGAsR0eTYDJ3uM+dbIVTGAwhiD6u309G/+WVul51 gRBEXMmVNqxe09abPeugmVEpMh6oR3S1liM8FwX8ibcAesCt5SA7RQE8ojvC1qo85b8tJKx07jIM A38zAAmHTnl5sJxKgTLrzRgLhtU+/GrGrTUvOljpBAA5/H2ckdb4yk/rEi7ciLsfEdN6Masi/qAA ZasrLzZBX4GRx5wQtFXDEPuJDzlaRmF2qWOANXC+ohvOteop8N6X0hX2x/6NUJQGMHUNHqKqM2cz EMUuxI5Fo493GdXeqsnHPbK7v2ZoTehvWAldCpkBLqNihq/znJDrMeeDnC6Y9h9hAKrffK3cdVME b6IIE5L87+AHzUL5qxlhs9jZ73dxcKvcX1AAdzYD0XTeyjs2eXpmrlNiA/2/1Kl7YV3tmbN0sNIc TYiEAxuo5X0sT22e7oUPVdZajt0mecnRdwZzsqaADIEbkfAlhZZ2K3ZbEHM7j12cusRDTIehh+5T 6qonlDweLEs8ieBv8a0FQMfHqXMqc4ulLIjbZ0m/DsTbyswjvpQTi+LaXQZGt2bZgtxOND9fCZHr gWPMorCmJ0b3+r1ePC87Z2JDCJ+VEAhm0EOd8jgIENbdopquG9tdzOFuLtvgm5Rwk57ByqdowN0h xx2pwjjBcKFh91OJidFytok2uABL+c96bE12HkcoeTAZH+c61bQKQh/fylXL+QZMSRasLyQs5Jol MhbudjH1uxO/ivG2QMJcf9YF1q9tgd/TXWtlDy+z6+5c54o0VYTTiST41u+rE2Jo6npyvMnOXdVk p+I/4dT3mvabfUOwTa+81OJLOQylWzLGS+E3JOU4OVfyxxglx07CR8EP4bZ2LmCi/fMLeAckSZM5 Wj36Yl9vKVcjYVssaYdmJDAtXtJVsrWlB6eJLfMDAiEdZheIpxUy9PJe+zTcqifn1QTgv94WjT+C 1/GmQ0kFMxf836GGk0EdKkPuc4STEsyyIuMkNZj/ffDIucQ1IpkAXwd+nUN3w7077dQMzEf0wYOq AFvYdLLyk5NAS4qG/4swcy/xwNqxIoDUPq85+GUpbOVhiwtbhsU9G53gs5HgufIw/3p+sXV7LYqD BmtAfcdW/wVFxVPrP3+0tV/CmdveFgksV9c0luv5/8O9YQqF6yc/SJbQfVqT/LdG1eFrUgToT+7B kqc8GR1DgrV7iSF0VuvF9iq5PhIizwSr4VuywDx51xSaiMU4GxRoG1Wsr56Vt0idq6M7lI68OnTx N6JU/u3L1nByXe4UXMqbhP4FWA7ihXiOK1k8j6wf1p1BtrVXylO//Fd1qUI6DYMZFQGIQ4XG2TVI 1reSoFv8kEJ1tTkl+ZS+D1O/ToBIptOFY7JIkiIhtFkE/vPe2NFk2+Wio1Y1Sme83AfBS2nBVTUy DR/t4/l4V4cUxG+NkckSsdSEIcRvoFOMw+YyhSrIVs5Foal8vITOKoyZgtFK0zz3+FWhHZCS1gKk fsuD2+ERl5Yn0soTmhpQyd4mggsDf/KRCUIjCFUePORrT97hmfNdqXrZNH7juapGfiw5JE+JL6rW +8L2fSTtQfZUwUnaH7ipdfk7oWF/Xa6BpghGRnBfPXf/Hf2xnJij6EPr0ItOibh/UAm8hj1671pP JZZMdoZ5wibpa001nRUy/gr9JnVGpUIrwsymUU/jFSzZuErovBikI14bBJjUnKBi++KjlioSSPHB Ozv8uJ0ZFOXuXLXZMlXSQ0M1hgmDYqOhZzZCUWxqxfhz0N/f9T2NGJmLJ0cnWdlIh6RGHnom10+O DxuuO6/GY4aKC9rgj7YvJ+sgy1JmMIymb1rHZRfAOIqVcjsuGuCPyibKyOUPViO+Crl6Mw9i2pAZ emqdfTce6clf9NRM+QfGiS1Prz+LSYBHUXrhZ/0YFQyxHULewrcxDh+aLfA9w6yZmIzKLvrr8GjL HPmSqFXlRtCaOzNunzG51hqNQCt2qFo64vvj4uCJSuvRjUuslnBEY43eYUSQ2kjhR6gQGheBoXjn axavU+LsScvgjO9ruIKPUfdQ8O+ReN6erA1U3Gpy/VhquegEVzV//N7b5SL7Jf5XdrmBXjzesz6o WrfdxUpG8WcBdkoWMkMAkeuRt3D2alKr9IttMm6F8Wvp8HipwJb5Hp92SGazW1x8OVsS4Z8IlWTX QHqg+i9tljnyLOiJVr6iFJpYFwfZmNb5fCTI9G+LEiKokUcpVfbrpxuELxP7HpDNpTahriisJOHw kTh0ylZbh9zAEocqs4bu/98s4CDBOzUuYefHy3Mwz4GLG0wpkAyTc33D8CDweO31LofgXKlabBTd o/PYuGlugLPtgG2z3xylljLtK1jwNxWSIl60wLdspPU+oOpBlFKNuueAwLwPYv9PNTs4dhu1El54 +eDODbvYuWh53fmgNUiULKAqpeENmYqMyA+XW+8eau7BZOOntRJf4hENxhWxtEMCNcfUrin/fBiQ DlaRqdAVYmwyeEj2CR4NNcrG9r5w1QW/NV5sGA1jO4HCbQS1dhpnweRiipoNSgfRKK1kU8gjvwEx jRz8VJ81IIxsPC8pfW37bWC5IXNmnRSyynPwEOhxVdkWn3haJ6xG2h0jj1XUS/pJv+Y6l2gK/uwZ EOQuz0YKyVTMVN/cdpejA+c62tAJfan3ebseUEzDloQ4cm6MMkv/jBrS3YWxHctLr2bw113kFkIg hRWhRgxSRIzRwBhLdZK4NO39zifkZ8I5GYsO+MAw0xpQavrU05HsWLFjZ/UwBEKjtG0iFUa9La01 4/BeNWXDjjVs9EZkB2mybx51hBu86QxSYSJizEanCKdbQcfc3ul62e1p/TNrtPdCrvkf/a67FBsb Z48KApzZAr7UZX1+hk3JT0KycZCyOnzbdcxG1oyHps9zlkZpWZOEdXU1pspLevAykCsjhxbX+9Ws 9WhP7xc7B4fPUVT64Q9BljRn1ou9dyWGVy9o/moFYocMwQ6rTNnxbENhW/ZuXmfxWlovDzrgM503 6vV/wO3RPNXAaJmeH6g7cxd5Fo9DDgaSaVi9g/k1Da8Uyx/dsjEwxbqVKzaElomO146nXSsNsc7v eXmvHyCVt3/KTKTO2lxnZIc5k+EUW4MTzRbTF2oRtyw0ZiXD6cZPwTGtSR43oJiDSV5PFLRRSRPC IiLs09lvUhqEXdeewk8fPpZSxnCl3rH3rGP8RcKbCDgjD6a61ss/IFwN+mmSgCNNDH5YftXoQyxu RfU6I8GcY1+H6rCfBpHfnVHgw4K9dQD4IA0zSR84Zj9lti5ZT6ccX9V0CkZVDqu6+QvtBM0+ybtF 45UO36tgYPnPMmB26ywr/Fk8aej1SFxm+If03pQSu9V4nl3WXNUEpPmC931nVlkS1239uEAUDIrp xmxjQnBzQxwDCblEFnODZX02LH8wJHOC0rkwnzXKPSfh1qiWZ82Heu13CVHZo+QQZBXDh9hQ1LkD CME6wYW4h+J9ehpYwjdIqFzSUF9W2+oxgdiKzCn/olTxxY4d068QVa4WxxaVnCTFywb9f5vUcs0V MQo/gi533pDWSasKLGalT1VU56Ss4uWOyUjEbNlt4hN5tnQABq0NRmPtcMnjvEaFxxXSvJA1/0el ZD8ruPqWb943yJoQvmN2uXE6MgNuT0H1XJL8fgJ+9daYZsHSQp82KdPXfIhZRaalta5WHSZaAZhc vhpadfgO7/0votWKe6HbCkUUV+epBfFolpCSkz3JA+ZssiqCRaDTjqAA1DYiyi64rA511jixzGym 7PCbsD6MQJO+3HsEbDxU3NQJ1iZdtBB23r/peu8XrxCuk4NiJQNA/hIBIrxh8FoN4d/xjcGswytc MRzbP3mOrKmHc8Q+Od90t3bMvPvlGtT/eQ6AmQljugGg616pUmP5sllbj429iHmJbmDM7j45qSQc EhrkeNuTyYOO79khXXb6oEZ8Gq7Eeaf15+nsOGXp2FVBD/JYbZOmOtTXuGq+Z4XPS8nTTY807wTw aqBBqtbQthnS7H1osooaY2JkxD2roJCag+Gq1AJz847MepJajXGM8GdIa4CveS6oAl6k50LKuvTJ oKtRjSsdibX/gfz9sa5ZSPuCHFsztYzaCc7jqp+trfD7ellrWp6bR3ZYsD5zA0e365V1tbN/DgAA h+erhUBzy8s39WY9E0TU9nTkELBCKOm5yzO+H0CqLaa1Km2il8PJx1zbI2djBVfDKToauO5G+Bq1 5fqqOH52dJi3UKCqPmPwO6NyFUQj5XprBXv7DZxzgnOmu5BUbWcx1s2tvyVAcWKfrt7ySEScr9xz 11Xxk3oF3NEsdtcKLvBy5jVGIU9Z7T8aYJ6NgCc0tsjXwDB4g23sR0eikzQFm2zpElw+edYYhQQu lCzTxNgcTUEB8fdOrSYFNPeA0MpOmmKzXa3NNSdv/Fw2+4THIB8dDXYx2PkfDR+rJ6ekIgfymX75 3G6+ZF6Jfqmog8pNRN+h8ZqEDjsjXPeOH4JWJm1mNvS4lrv4NxZVuHbuwyp5c7pgSLwEkIXkvxVC mH4GyNh0MI9oXkwysy+qSVUYMbjqIojf9cdWOziLrBOEY0Ue41o6kKWTUw77MVK/JqmoXfNA4P3C SOXztBLqWGMjPU4Yw101eNfSqKs7iPqIljc2urABlB/ZylU2h8qJmTlRV0jFoQEpvsjE8kC87Zyc 1u4NbKRx/LZ93ysNyYAT23GifGqyMUTfMnT0n9PA3sTuAGzKaKDOvuLYXDuK0gxUKEPjDHkQrheI d1hsai3Vvkbs8C1+vq0nBEeHK99KtXvtDj2OgNF24DbhVIx+QyeRY3p6YJ+x3rs9VzHY/dFcpD/7 BIYEoeNiEj4o/PVhaJpYhCUO+7jjMw0PqjPbKNIwhEydI5fk3g8bwZwVHouesmsYxqr7uSFS8LwZ 18Hsjww/1PqRtHaUP+iN2+CVXB0rHFZSWzig0YB8ISAG7OANfbubqgQKI9m6jQNY578Y2PH6bFXy pUHM4Go4r3cVjuIFiQIYjWm0tp37+4dnOmy+iXTwh4LTM71UBNqhIPc227CqDUDJdlP+TYK9RN9+ NRdpJ/baDJAdGic1h5gRGvd6AtmMbNCOE6wd6KXDA0CoEv8A0XmIiJ/D8cbnKtwLYdy5NWNoBdOA Aup4epyn6xSFKAIobqzaLo5VpN6F+Yv8duUy7MYxtq/61BLd1OYzm0s8CvvcHfEGpc5u284024kt aqDcL6Nc66LjltWKZ5uXAS55LmBtAb8z7xmweegU4ZNrJfVIXSsFhoslGtguhTVJcVdrgDwU1Sj/ szFQRdLuLdESYNeYmrHa7ztVErJFO0rYNO6Z79kyuR+xUe8JbEKS+ueV0Z8HzN230Vs3ntIy0vAL 4Q/ChD3aU0cgl4TxiuPMI0yLBpQcTxznocowb07dmdHB3rgit4RuOkB9XDdNdzbJPeVizBDT0QMh Di3bosAFjf8R2PhTEl3sxQmAyfcP72E/qMTz8kQOjrRVI85oBSG4x6pAfn4jpSSsRtWcO218SqWJ rAsx5DQqhhKrRt6JU7NJmGG6X2Dx8iG6eHtYVIy/1O2Ws0Fqs6J8Ey6zbFuQ1d7NqGDBL4oZuxzp mIpbFsfKpTJVZ9MfrrfyJ9nqNZh+lRN75s2gHqxSJ6lVmcGalFZGWy3jUCELyYgcPaRzXoewFi8k l/YkILKFUwDIUGvrrsgmrsYEmWL6/VpcoOhSvY44/WeDqupCY/aOjrwRrMtWzBYTcBRW2iS8wbf1 xj2i/+Opgx4yjNt48EQfy2jBRJtXVFr0t1uNzb6MJh2qzB0V0UpTltYnZ5PzztT9ELG2ULC7SNwi WQRDh21LKDHRqYBL+604nfZcc1sPvjecJ/CshIDjMrshrm/FLfjwfPqqG22zMHYvfv9/q64z2hfw NYpDAO1mSWlqJUx9jkqOfBFkUOeXCqjrIuqfCTzzvnL2S5i3WH0kbEp03/w4tDggP4v5MMz857Ax PTTmPb0c/T6LugYwIsG0bNAcGzBhSJQlyn3PYRRqsvlG+pmzqa9PIxgppnGXdoEGO9bT3RPHsNS7 JBM7iTHniVidDvvFp6uC6OzNW+6OGxOjIt3K+ZrL1rbE0l5TAr0ox+GjRTR6fvEvuAZ16y/6fe3L YEqE1jE0d8FHJOYE+vX27r0dwnjqTbd8l3875UQ3rpskDexU0Ucqz5/fX/6OiPQx9ah3BlGe1yeQ 2l0uljWFgz5HqrlgLMtSZMBxnzwOAgsaKk3/2n41I7z4ET4vRrYgt+5QB8m8cMBBeg8ehU/YR0kx ke0X77wFgs32hLkkrZl/c1cODrBHsFT1jYDyy3MV9HCDjkqHiEo0jZpFs1+WYu8Uzbbt90JVVbQh h2+b8phR8wYuvQJ8h8d8ibJ/uN6j2r1G65ZZfw0EgQjXsshRFgI+2dafObrOszqGlyvlN8fp4RB6 ZbR3ROBCphM+A/ZBWxVgMy3ZsPRIq480/55MmbuM7+D0wdj+yr+I2DPnqp4E5w4PdUUZaUM4s4WY f0Y3PmUfKIUbnVFYOiil1P7oeN+9ShJTXD/2nUQhxOp0pxGlsrg1soClVVFVPRTofa6yVcPqYGFN +uUkvbmokU/vzflpIWziP/W9SLRRKJj0qovo8il76Mf96ODRfXfm11oBHxB9wv0wXw+lCvXV1Lxy Q4cwpDHFbKvRN6tlmmEtBzdbtaY1pdbl/oR34Ol5L/ELV0alyX36gKDtiSKcE9IXJwDW7S1VgRwY 5B3JIBduhTztOeEAqBVb44t/dgDd87g0XudYEnUWO9LHGVyknoFvISiCGC7Z4fntEIOmNHwNjYcK BzpodZUwOMPGNX/w8JnpgSxxLOJhXGv8fPyTJn6xU9ZZZmtcf3Kw4MZ1mPCaKCvFB8jLeO4GaWzt VH+YIU/OyIeOIlhzrA/cedbHeppZ1Zg/oeSlH4wrAAmwhfhKAQl5xn+QKo9NhY8ZfCd8ZcWmuopE BSIdbL+1QyQte+KieZyIBJYOJnVl3VxLmWn2C/oOiXanPVsqlcGoh9niNY9SKErqZvYJPU1EXTjB rHkGUqOyXGNsGxh0i2RP7ijKya0dskxAR/AjY04/lV8b8+ytVcpeeyHKGDVrgqJiTndERnBs4hjF GzgsPrU9tdBYcHh8MGkAUdk5Y/XDjQ2QcwIx8Q+THjU01dklBJ4LquUBmFcB3FHjQJHGMBY8awXU clag8tzY9PJtOhYM5wTCsuUEn4lK8OfRlDW9mj0SQlxSHnZu2T3vuj7wPjrBAxfKauZKTuQ6MrSB 2p+8kueT++NFXNRMaH05pA6mHdQcmT863yeN1Lzyd2zcJwdvrMaM6BNh46cvcrCUvdrXHlGnGb+D z6FQ6uQGgq30AaiqLsrFSZASLjMkibd8VKfs+HdwyrB/ubHBs8MGmfeeg9XWYaLdVSDrEz21YxZ+ xh01QGTgY7hxspQYs3affGub+OBk9vz+fsPOAgqvOxl46w1eMv2AxE0v7UgjrPgRnSNSgCt8x4nz auCK6SQdjytZ2wrkS7Xmp+qbRAxdc23rNahde4FWGGtpVoQPLEYzGhLQWWXEPeNYUYeljG0VSOWj VQH2aKwznouW2iC+jjxcp7Wnm15s7gRgTGh7Snm1GJpHRZH4DAnTv54Sw4rrDPMlcq71O2K8WTFn uNxrZpInmFtU4CRqa3H5tAR1VJsPFwwJZFP0VECtcNHOir3yhFp483MSB71t8z+vjYulyroKWN7o P8FTZc5gLIFA/Ty7MAFmuc2i0DjliXwm+HvrbanP5wUr7FMdiYDze4690z4KPOmuDbVNOPrtFhrF d1RX4808DD/5BHOX3sgk93rBO2Cn/j345JGetKbjU4S/yqgnap9WGBFAUCu3ocojad2Wh+YXjl6u lxZKJ9pl3VA57Tbdm+Ah9AmDUxwtkBURUp+2ZtvHag/mvXPFaAQC0fXXKLQIxTv6O4nguuHyc2Xz DdtQytl9V9UjlM0pqCgTXz3TYDM2Rxa3Nh10B66LcCbY6HuV8QKq496P4sqTXA7bdotiYCAsGc22 3e8jpOGg3hC9hfx7pahSA/dHNvAhhNJBRnTAstskabEeZLk9MXH7CxEtmuhLPTMrPL3+3fZKtRW5 xeg8dpGgP1wMNDoCnzy8lYv/cAW7p+JILIW3G2pqZ6/0giwnzq95VzuJL8PvnjFRtP/9x1FduZbh +ptYaQMntGzPrtegEc27FuNT2/xS80BDxHvtiGGwwSPm5kOlemzDW5HyZ7v9u53XjbmYLxDWglkV cw+ZiQDlFYvu7lJhJ+xX+Mz227kQNzNQOQRt/eHOiIuHvUujGLqRHElhBSSRQtpN4XbbBl3qlt14 KEY6vd9vzgudhle/lGzHdicvQHZw8gHX4k3Yl0cgrotXmq+Es14fgvhM00dsGo+SqO4xgHP6yEoW ltAEY/YdUaPYSG72hAdjQIEc/eAWXo0/l/fb0zb+JaALGQOmSS80PgRGOFxj7a8Ca+/GF3c3jeYq PL/+G9VWjfEKyQOUYzOp+6wheS+stBC5bmquKOTIkmUuiuSHYja0aF1o4dplR+YOybDgWHzUFYSb eTKX5NwaX6mq7c3o8rRdO3vIj2JvWIy3kNBnSdd0zBEpvcuwTB5Hm+kJDQ59kAvQMoo1EyXRzCtR 0HY3fr+gRKRuuEIe8fUNNnHhdDWRRb3jzHXDd+Fpd96MPE9Du0WoKVV78oOGzklxDaYFinpYrpQa sHq/bgylbZxoU3rHM3ztLtnhI2/MjtrXVvC1I/sZpcWeJZw/9QwJHN1Ji+A2eJTnS8Zh9GJGfsMk e6ldaAIxug7QFXn3kq3v5IjYSdxKxj1BZecmK0cm2usrxSBxX1T8rWC0O2oi5xB150P2xpqpiSuU f4q/6qzApFKBOTPsbI0gE68qO+AlRTCdC0a3Cd0L6GbziPenejys9RDhyotWMNVnzT5CDdFPd7bs W5svUOFTdTGk4Fgs1PPlVGolAd0U/iIMl0tkmf4zwkNfOXxecPCr5+Qgv1Cc5xFQOQzd8K9oQJEO +noHgM6vf0L63cbzkRL1j5fU3+qDkxTajihDvR7Ko6WNP1KAYD0fEGwwNhkfBontqAO6r3apZ7A0 qvws2k/QmOVlnL/QVeNj0smQdRqlkugSju4nWnRAtzS8BR/hxFGKv+Ej8cQ7VoPcCcdpKY/qwaSU D+WW5jwYx0U8e1VTfT6sAzKkIqLzJjuTQm+NqhL11bCBl8Y9CzwvFnfbixXgEPP3hcPADPiA2Gtv 0mYuzK6znExUDfa2PJXTTv/GrAuQDG8uJ/axjxIIlG19vj+gJ+6wYtNPau124Tc2YlKNP0Zi3zN5 qlY9RulGxnfPx9QwU4ziJmWfFODmnmhQ9qq5SybvZsyRHieZk7o49a0TCLEspmmCK4wGj3cMH1ie ZikSQx8vhD1nUB5YZRAIZnn3CTuawG7nIIE5l1u8oKY93aL/j1wb89bgqy7DZ4ryLmL0rM+sEv6+ wxcR+LqoiFiHHiYKWf2kswNkIuW7qDUVKFCT5JsQSRudzis0UxVZF3PMpugXWVxTNn1JO8cQdw7y 3qDIS4UAMD0dzQwwzE3jlulX+8qGiLxLD4Pr60VQbG7UXHjFHl9SHRWhegUH6e+qEGh/n3gpAD+A 1w21JoGYk1vih6HVTw5q1jipylpJ5i6fryirJzou8AXfZC4seATzzeoj2N4yETJFSiJIELpJhYzx EiEnWT39ynq64W/TX+6/gy0ac+ALdeh7L0FNpDh0MVqzJ+rIj804ls9RrD3kSaA4OtqPi9CTkpdr rVM1yMwb9TUVVkai9Spw9XMN8z/FXRB+JHe2jyylM5i09QWeYQrnb4nAKvjscqELneqgXMrAa44h zD97P2y5fCbzTSR753tPqxDqXy0bnn/4tyRmxg5sR8h3YkK5lBHqpxUhGVwvUfITO1VsuIIrEIKz BRJF9QfyLM5d+J1IMzXs6vmeAijY/k/xC9vt+uKi+K3Rt2rRLV97jV4LQ9hyrIWTZ8E59jtM7YXf zI+eKQwqLkQDkPl3C0OfudcRmzrgE/QIeNT4UiaUQKhq3b1qcfYA+5a4yhFjB1YZv+ZsoP7375H4 HqklqxoRVNbCj/0Oq7cyVADmBkJ141RrMu/x0UUvGJyMJ+vgeM9G3qlDPa1OElqnt/k2247FgO9C RL9YpeMr/f8FGzqgDB5qOXNIvV5cyJ+lL/o7ZRJrkPXLWSs62RqadL4SPnRHkOa72E6Hvv8bTgP9 AP7/2qhaCqBDdfeW01TjuOM5gjXrzaYmDwzqoFN/hWP3TX2g2Y0zY1Jj40g0IRXlLXavHPfmPqBp KSnc/2JtfkjvsyQGc8cVt+8CiwRso+kbJo2cuSPV7MgFWJeFQwMPbYDnmvGqPjyWpu9vR8jP1Dfy UNsScfmeqMBbW/GBRRjHdFUAP7IsFabXG68/bPIzu9vN9zHZlo7jfI4hLItkyiw9K6vEKwJ2C/6W Iqiiw6nRN1vJUVTRDtcRDju0Rs2zbb38JnYmwwGF+c4XD/97WW4zbxrk4VUXyBUuLhMVJUd8V/A/ jFMX+4h/gqumLhZssJyhViD4u92DYKJNncvRuAJp96d0/Kmd4FkRREWNb/sfNxAU/x98/QhducpD Fqm/uwoWzCzzLC8ugZenXYtN35+31++5+YjPjK886PB+DdNCG+3eDeX4HH1Nxj9ZjYgrJsIfKgph GByjEWGkzcdRk0ctIhA26+tut9CyB2AlYju+dPQqHoZDxoEId4WVbYEyPMTLLLoVdPpjlSGZwJSI hhHEy1gVi7+32X5henDTG0TXfmoiLn8m+7S/uLObl3cFmoGaOC7TvxKNGv+mn3614PQ26YJU/Hje saaGPNyV1uBflXYbSC0VpEdKdZ4LAt3HNDqPI+4kd/HkdXN27D3GO/wTMEdn6a41LfnyjIAb5Hh2 /r2E/bUseuT0HDKbUZCnsi7mNxmeiG1v9umnWzW+7yTuZEDrNOkCzrfe/eOIG9aXwYCQKq6cno+p LiareQnYOacxbL9T2eIme5lbbWi/sFtuDgcUQYY4bcveHtIWFoSfGPnxN1rQoG+tVD9cqzGmhvt0 MLjjRxItiZPJWHyrUjarq3d+jgJyupR3r48W05sPp/grisYGYqA/8SPG/eP9M1TzXy7HeN/yvyY0 f41+AHk8N/yA7Gk0oDDlWmUgfN287GlrlQj7kNq9WcjJH8ay2+W1D1O7oH6vjHGL9cy7NRbh4RlE WjKo8VnbhnouIpJfCAMm1SdNVopOU4BUV/olWicqtUiXz2BCx7O2MpXnykDClWoneKCp741rB5PO KzKoCj34iCIeL1BjMnFsczfbeXmq6NyZRdaKVj3Q+M6K0/TSixIJgkNjos7K28xJqhZNaqAbnROZ VTTWbaEa617GXhjVuY+tRFxLLs1otwYnx3+H/wluE7KvZyJe8jXKs3zGLbZfMT2GGxxgAvCPZoY3 fRjeMn1cleYPJs4m/8ucpTRGlQK5kbdiiDWnHPLycFX7jxFxOlH6PGMPp102gIAfQkAh0Mw7v/BQ Ebivcdfqbu4H+iZiSJ9OkVFAw/7iZnx7dvwPOIGBsazcY7Trdabh4gROmmR/xjtzqefFQEADhYDh z9nhgGCvPi9Q8REtsh9FByeXpvJDtayUiYm751MGd8OF2pSyUn/8l87ghO7dIQ6tvla5XPKq5AvS COMMsq8qnLIX7Y7wMJ3mVtr855Lk8T/5wLHcl8w53hvvXbURCWlSijwvSq/C3qTt8ctxFzColVPx 38n32KgQgJmG3xmhPtHdgRjrSId7GN3Rr8+6kCEJNCVI/4xuoT8v0rCO7NxZSRSFy4U8jHf2Oay7 z6dCYugZk8jis9/p3H33H7Id3yJmocdSyAWeGACAaXuPJbRun9tjElNu91kHdAGDiptzBrTmrtK9 fvGbqqePnjzpp8/+TUsgdVcBvz/kGnNjRlmpG4vQnv3lBar6VOdAd92sq9/kRWIjZZ7Ak0oOoihQ t+hRLraLl/muD/t4WEfEtxNOMLPhUg8RdpHvDazxod7uSbFFHYxHKPXAgiIrSxHFxixAMotqxgHp O9WfVnU+Gyr7qwNwcGXvh0IV1jTK98de3iwvHzaeYGTZdNtg7TWCrLNB7c6n1eL99lEeWmh5+i1v ojFSGy9bvjTdJPrfyJ5OjuXuV2DC9pRXaeWPUIYDm0I4RbrfN3cg9bunIgq+ib1hx6QC+4Jot15o Bp29R/xNXb60FeS0Tuoe8QZAl1T4Y/uvT78kZC/1/TlMXoEP7N+jKGRd21V7QEjZaOmKr9VVt4yn wFI46k0Jntr/ki9iS0C9j3cj1BZ079aFYWBr6xz/LGTxMmhIM+m6dfeCja1RGLl81CTN7ZzEsLNr FfxFTdijtMkJNBdyUFrluqI6snHonACQIxrs5azdAi94DQ2dp36yFvNygBE5DpfGeQxlGrw7MXDS /fYKk82TmSAEiYONVx/PhgB4QS2d7mjwatOYcJdmftUD1pJfnoHpCrPAek7H/ZpJac1WxYnGcoYH L2R4OyjLRI4s/yzBkEJZA1/YSdaeyTdLmxeTDSPfUArcp1GoZ1wSaLdgDhoQhHIyOoKs6BJp7qlb qvtSJSeqssNI5VA2fb4Sosw2LQwV3zt/gIiPuMUXq3nI0t3mXZlh/rl2mlSMR6WBQ8hOV7tiq+ID xnCnNf/s309VA+dbn9BrHAK/xiwUFNeYO5rEOvlr+uQGPwruGFDPZh7QqT6pusHAnU+NaO57Cs9x bkj0Y8aoLXfcuMR6gw5V2aYVWaJzhsr+qKpxn1PwVY2cJ9eUfdtJ5o3rXhYjmcKNM8a22zChFh9O ZanC4/NMeuEEcTLDGQP9LTaEbsjP/fpS9tF4weeX/j1S+J2Kf1/qcAn+3yNYwV2mFWR7XObmyPv7 UAkb+DFAByw2kd6X9WXK6qtkAqjN12N4POrVCItbGkRYO2IryAdHfILVIDs1Hadl2eF1lPzTyYWg zQU9TFe+V99kjPcCszxjFkqeMbAk2kJI+HbUcUBqyde/zBJMlD7RgNq+8/16U4hm5P6xxKfGh1x1 wWLgvvqEy0jl++9V4m90V9tkHrjfcOZAgqKf0xRFtH3DCZGwbwfUPqE8F68LydFrRZ+MCOdiZ/Ek HrcZXRsfr0KwnhfPhKFDTW20J3vyPbeBYMwmA3ASO0TUfJfqSrY6pXcxDVKVyj7KNRywclFTwiot o41sVrPt5p924GQ8KuT519QLBsAdmjt2ltEp0TMj6a/z0vQZ17sJzlY/b545p62TGPJU/9YbLMVb 5XEAZdRg8104xmijt2dyi8K7+6U+xn7NYgZEU4sv9DV2F4ONH7cZqEox3Tsv8ll6Gexzf8qclwMG /X0AHLFnKgjmQqevWZ3rr2JayvSvnFYYjeHZUij6h03vU7DY2ZD865FEvmQBeZcUss7lnod26rGs 1Y/sa9LzBMQRinkyY0FZ+zy7UBWM1eqAWHuSmuOJU3XbKc8vtX9+ljnyRot1L2J9uTxKDnl7k/Sw V3VIgbHePqBqWcMUhVsSeLBGw5jN6cj0YYsAb1YVadPjZcnl5hb3ykas9ygY5uq/o6mZ/6WqKJ+4 oRxlGoy/9y5a4B2W2FGsAmnZQ137IYQIj4h+QKfSm/dPAgxDMp4cbKc6cGwM9+ELLoHkX3lEz6rm lOqUWSaP0z5mUIXBWsk8sLtOY1Phpn88Bpc+d5a00BkWMDo1+HcQetT1Okj4RMU2q4aowGkaq07G KJfOP8KDp98uuyLe4zXKX6JqQplyB6aW6kBu2vcUsOrnMjkLwFYz1s4SS5MXOKLgVYMfT8TeRV+O kmyJ3B9VgpUMSVkogEzKngnbh3R00cwUKUNb1dKN+MD1CLbv9T9Nl/8KDgsICILBkaBjFhf/KjlE 6IjCXvVD0kFgTlde1nqPHv8d/cJhpR1UlZzZQc0ysnH0DoMOT3AwqtMUcroFSy5LldaEDFLbbzzf L9y9I2hEVxPMGzcH73LPM/MEY+/P6MMwuV/aJTsx3gtyD+AbCMPRlgrGbZhioRdd446ubJy1yovs RGN9kubPPLqi66e76U6sRwrt/jnVMBQr07zkvXeDa0k/ZjDneEsBg/XmcE0R+xLV1IfihRDUxxfV ClfcQMHBG8t6Up0vBiesAJMJHen9YH6WpwtnCHVLuqigWcetXigyov3seP9NbBw5JqJFWzskTM3/ /sn6idYsvLf94beyX7BRqIvmSOvgEb9kZ35ZS8HbUF7rLfr7grax/ijo035XopDbUUx7y3VHSKHH avhxA2Y2+cTMRpf4TKk3K9qSOEZD+UlcZkboiUbd1At2qg3J68sJ3rbxdvF/OI/HH1RfJccmIQqB CUVGedORrYbKW9+gBCvNOP82hWvTQ0uu5jj8fYroGpfsM0PysT89gDpEEKsFtA/Peb8+0iq6gKpm yEsJ1m7P+A70dQ+vly1OS3HXD7RT/R3gryt+HlPFPxIYHyyzq3cCtREEHr6BBnb6lWMtteY4J/tT gupb+DcvK3snapbfiFGT14tjIsULlZ9pAkQOlgAm/jr72y9J1b+B2OWJSziE+BZnuF5kuzYx81GG CxG+x9Rqywa6udJoC+BJ0JObgFZQ+DDR8TVYAhFcEasuaK6KVpkCX0TiboQmAqgBgJKr6JVbqalq IlQUz3DyzO6KG7hy1raWv/XSx1jYZeG5WwqNBuUPFJ1nJuULO70PMVlE/VANFbhfN4lsoo/digH+ lmjXwc28EpO8SuiZpIyg6fwNv0RtrkJeLIbgUc+TEnPnuEqwZB8PR9EL8NCXUmTTJ+xhklxIyGWt jx9wT14XjUuCybn9Y9F0EVIKzf1/kP7sY0MNG3rRdJVVwkpOKhu3IOUYhjMZ4t3Iinn7vju1su44 rAbfJeGR8fLTE+z6BZbsmRYKj34HBaYrzIliFNyzDU3MYLdAFyfZzX1jtj22CmFWp0I/5tle4ceD jhvSwXcGjrrb/T+dG0ZooOnFMj3+iA7B6SSGJdMc8IF13Mo9zZNQUpw4ySZFpKoaB5a0YtfasAtP 89bbQtJIhvsEHguCLgoFVWxItc95WEv2p+guGrfoXhb1NlMMSN7lReUirojYD7drW2XOQEIMOG+L S/3aUtujhsafg7CmJcApgjNK4tw8dwRlBe2JVtEAVRxRAmkbzjVfcz0NCXLcpoTO6BgCCMl4imu7 q9aMLO5BOxWdGbzWwaeG2dmaDEmJb48I8BgpJ7PxaF7xqh35TOCM7UBu1gw8UaHO9LvusnM0gYfT Aya/9SzsefXrFPJlZaS+MQZAvtKHICQMqldktsdS9HKucgW7xatEkWE4mpnj1XZOry35g8oS7iW2 LoFlfnCN8VtwwBG6Upu0JR9H8iYZaLBufFl+b+bNqowKJZotjf/fNtgc/sAnutg59hytuWELAN8b RvwxsF9eEJ0rEXzFn9qJv8itOjGYCVmpUdewzsLAuBizGEPGJPA9ydqb+5OyjKM7FEPSJR1CP+TA bU3pGSvSg8d9uwFPKhWd09blPzUJC+uRojNvBKKSSZMMFVJ8OkQWzodlztIBg79AXD+N5Jc/ka9y HDjjBvG3UCy1Wy01X4ShNzIHB/OqtkScdrwq7E2wHz9UcvL9hnJW75Ds/owomnAjReh6318Kwx3J 0VuE+6HbOfMzimRYAscUSaMgGFxFsZGhKIXn4hJW2MP7efZG3NPRKezm/fxeyNeghSqYkyz7ZjqU XHiq1fWFODJIADsxWiPdukvQ03sQsEkn5mc7tJeFMEDf3ikrJaq6ECfLIbGRYGtAmaZOd0kFO9ur RSnwyWdKiFFezpEtrxfsGB+GGn0HZNqGjYPzlvc+TZIq8uSfoKRxII3unyzlgZEF4cjt7jBNdhoz 3p0spV9kB7CgpWa8noXK6NJjQGdK6ib3/PvAuzCBR90Y7H4tCXTnHtPM7fNq2S9BOVSbQfLerPNN oPpXutwjCpBOhBbp2XFsd7a0rrFkRkZwETGTChd5TGE2p9rzafIw6xGRhT/d5vUNr92lmPIhHh6Z pzAs+DH9NfHb8G6/IAnoVm9qsXB5t93l+txmZGrQPkuD+BMGNGJJStG7Z1Ebt0aSAJ/jHnaHNajf CA0fl4Lm72DMvClhb49JkeejTMqolxv8uLzJ5VqzRsMANkxH6NLIgSV7BqNZgztnXNoigQBMKa0n WifGTlJ7FSBpQ48lWVNme4nL+XSQW2udaCkzhGxL84iszRi3URpPz5P6omS7zx0FZrNdOsRYdgma kK7Xr6bB5RXpfJSH+FF8g8FTeyU2GHbX2L3+Q/MYljhJjODaRV6PTEwN40lbRu4n2SZLNmyeohA5 x1BkkBJZzxe4AjHvcGeuFid2Sfzabwezpsf6ND0hU8FWUxmXn1Rg41jtTTo2kfHHaVvNG0gfrHbm tglMGAZ+S+QtmTgn/orbWcsF/o9DxuHMVFX1mkKUuBufO52dgheBi5E0nmo/zVfIrUQuMLh370SN 0ZoUEy+NrtFc+cqqIh94A1Ty+76JCfgGhCgaOpmPvvjt3rjS/G+7hulIyQuOxqN4GVtyjJ27NavX z1od0FahHMSbiS2RH0l35iUgmtBSIJz20VXSvtUqjaqg8zZuIfVEXlFklrhHhQ5eOBxWSXJtlGTt fzz/E3M+tvygslhf2CY16hDmD58asZKBJZzLsMZjsoTVHId4byVPmFvFsfhvHQ+PEW/RenQb8dxX H4uChcolvrkUI5POepkR4iG0hok2tIFuk3AOt4tkC1J3K/+E1XfYLcUnj62hbxBUQwUFfF0hOCwJ 0MaH/7FGQAwpeikccQXrhkmk2pz8cYc/Vr16ignfPPruOngSdlEIUmHwkV1evQUakjAomaWVSYpX hEIn5HkSd0d+IxUTmqCPfOrouhu3LEvk1Aar6GsRiE96jExpRZ2iRVrIGcNA2cDRzdAbt6e1771m 9/jj8rhgb0MwHNXY7x2hbYI4K0rNk/85pfjZSlg61SLojnoLqztQ+6humkqo2LbJXI+iBDhWlwSm pcsas1jIapdVHyGGJNtQZ4zBDYkATru5zpIV9j5aBBuW6w82t4Qfkj/j5W4evVSCJfdyl/iC69fK 5+nSb7NjdzCqDvpm8hajxYjhumHwscOSWFItG7BOnmklypNq2gww0Jhyq2K9i/PJSXPIqKUdHLsq Nshg3rP8ixgbq0NsBYnXUAotRr7lXjwL9sxjhebpDq7ab7ugSE7f3555oljUi2eauc0O9GsHleRX MRghmq37eDJs/I/NN6NTIvfVAkouB1qWTlGVEFmX/i1m2vaYChVWU9mmPP5jDjgerHhe+TwDrj6b uKSaic/J9ZBiOW3JM2E+awxU6JRZUQqbme2okxL+rfZX0uxTJ3Kac4wa4L9s7JR5fx8/LDlkT5EN tKl+KjK/CmWMDdput/M05NrFtNpWlNPD2QZDnCyoIcT94GYTJXHCch/6dPtX5hT2uLUGRWURb7mM K5BkG5DYEzMe/rp3Zv3RHy2gWeMVX4IsgurhjX8Sc+p5W0fFw9kK670ZCy9yI5Wu6dwdm7qPibDG gRawFPrHrzSMJQqBtXsbF8V1p49S55j8xir/Wwk3dKQ52yZ+qHwoQ6q8tTwETzUGXHquH9YQlKKN GPmVtok/VFkSQ0pax+p66tHpjeGDPQGgibiBX2IRr0u+ilIfr1S5HRnFpi4KgWxW+YY5MJ0P7Klc yPM1WhOohC+62emkGxdUdsJyfrse0c7bJwndbVMaoWSupp6ZxL5lR1VkU4wF/7tCt2yhhcNbByJW a6QnB+AyfdnYJ1MnxyLqdefOrIN5/CHYXP8h6muY6NacUi+EEQClBB4louWKK2YeuWpP9xpz60nf OlY1eCddZsXOj0XCyCqDpVc9nDoAWG3yKB7GXCacgrqyX6lhuRcZ4XY+m4kRC2CQvb2X2yOGC6EM HepytX5EUIl6q+cgx7BSo7vYsUDgpROYO+P5cNTcfsyvwfaVbV/8QReU+Gpl5NDCdZyA4/kU0eyd nMFM7tv4w7wbHuGnSOIM+EHXzHT6ur8YyaTBIiiHGUO1pHsMec8hTUN67Q3bruVounp7xmjBWI/r lBHnBH9roXs1Evrx12x6j9j60hzDpkjnqYgsmvC6I6BtN8ZcsX8zaoZN9990n3hyMA4IQXTl7sMZ VwJ9Uaj/F4AbttUud6OiJJZ+p7aBhQ5LLTyFTDnnfOFOhLE6N78v77t1pr7dDmOyoe2hCz/Y+E3I DuwzN313g2pMxX+tndHoWilif9TkZ0ZZ/LR5Pp5M6IOVKBv2JyJuSEqSt7nShfEaYXUkKJ8EEwU3 CWnBqHXK92XaPYkFMdiGcQVlyIajCarapwF4mm7OVfoDxg6fcZYK4vMENjmhPhodpRf1/aZWLIyf sARMX/qlHVM+iNpYTZNPSZpfmRh+zHkIT5MdByqxzlwDpVT0iCVVJeqLBhh8ViBV8c1J2pUW4VT3 7ywETJGKPtNEUGvGwkZ8ulMiAKkTAugUNwkBhhyF4Qa+DZpKngdFIVVyJf/lU1LP3exRQAbhXla5 KyDJX6FcBkjG9Jcl+hsBjb+N4yv/hO6tYO8sF/i210S6yI5wkoZeKIho0bgJ59exl6I1A/J0g3CE tqCeyAJ7uC0TaXk1vUKiF7X1Blq1IGATKGLpzhiL0JnuVMuNVZU13htImbjjvbNBQbwK5ESQ2fpH jsQDpBljfs48RFOxKCofQJ0S34LGlmt79ZgKjen1fAwRjrAra7vqZU5v0qP+rav4Heh9BuNmWjOb ogUB+KE0slY2yjiei9Q4V4mMcZRgUcVYA9iihRqMi4eeAIOLSQuC1WwHF/NjEgjZYai06bDNpqmK E88CrvmpSccxv1J1HkNlwwV8mTPW5aUfJumA4tWHIekq48tbwJSBDir9S4mGLU2IkKEa569xj8/o sQVs2Z0ircBysHDlQj0tA4311Y5CsQMLx9F7CDnBYgQxLn4x3KatCFHZql+uSDdXXK3jlLP2M3wI VYe1K8pIX7BVbrIGZYY1N7BHUlmML4hN+qsTw+mUH13+7KzFcGtDcD/ItZvnLcOE7ycgD0sv0Op1 65dYqVLxJBPgDk4sHdNzz38S8X21rCN75MgUY4fAPhf1CNUM3mHuhDMQUzNw0dfJ6gIXU1f5yHlp /KWvdfyhnnbGvW2WdN8Ic2t7TDWQ/gXHoB3YSVO2f8MlNJRpChTGLc22YnK4Ake/1HnBj6Mt6522 tbCpjPE5ZeLlF5bJq8ZZpfOW9BPEUdrqI71yBZ+0KnQtfSntnjFyRz56UY7uV8cdmPGFnDeUYeyS PC7ROf2AljGiKX+RWt0WSYrxik2Op6L5z3u5lw3CWWbdgOitQzoMxhBAVQxQ2EmAABlXjOQF6Ind ASKbfAOPDrNKRZ8PnsXksUntnTVAV7BoTWJKJ95rJYzton06l4O+uX9eqBdgDUpNUFQdEgUHsgk6 A3V0zQqXNidZOz7S28caWcfJsQ1lHDuJJI4VKxThr3dNt97u+fSlgwP80Ui3LOLvzFw8ipNIDc1C Agf+Yrx3FzmOn6QF+uuurEex8WE+92/bDn9saLIk/jSAm1u5N2NNXUJKgegfny5QXHbQG3RcBpjH NdLWGUHAEVqnohx8vA/YJI2+a8bKE1Kwrc92XzGMPqsJXBvsLNtv5vyvJe/Hm1woa/kwC2LclQf+ renaB7XhznRenCjZGV2RIuksVALveBKwG3GioXuFHavVW5ng1DfHXj4EC005x23UxyPdTimFgyQS NCLRtKn36BTK8sWCHvC7Cf1gP5Q9CCQnAew/20aw3sqPu5s86ML3APxhkeZBFzirH8T+nUV5RASE RQzszRrEGelR4OThse1goOCdnfOry2bz2tu5ybPNHg3cZUk9PJjOW5QUl6NQEo6O2ZxsaLZaNUfa bjM0VxE9O0+mlqzbAXiCxfmb0P8TpJmyGCRW5TRuySUoKt6L5G9LWF8/3iazWBDJUlQFxiQvPj5N RFbiJyaIqO1GlUKrtPQQJkZ50M/iTQ0elaAe4aktIwly/lZUCze9tDeylhQtfJn/BfBje46uFM4h Xzv2MAvk3sVBmEnALZ0vrKW8M/P6NHfyKN0bi4tl+E1E2AHUrk2I07y/6YH6HEnH6T8jQ8d4MAzO KosTnXNvQ4X0CrBlqM+w2uQ1aXsQEgvW9nQHS3lYbp4bd9X6DlYOXZ5ROiw2nRtSYb7kGR6TYowy ZZ222krHE18k7ddQDozBmOHwfsUb+DPOl+lqjYkv5dNtN8OnbwQB5+g7s4QuhzXCZlap57Qggps9 AqQWrQg3z+qojTsjkaNYn08lGG2CpPPnFVFb+G5BHkYgn+nYkcti0N8UFiC1XwLNHKhjBiCnKP3m Memb9HeIqX+OQhTIhUMctAZRA129wQhqzyGrUwggpHMp26qBdtOo2TPEznCHk/JhbOyeJ3qAbo1t DRGOnhosfrGWsVeM4aAEweCJcRFj1FsoBSBgm4LC2kMCjpzRyvBNYJgPrRw6veUnQXui17wtqaaM zJ7IrPa4I06yqtbILdUwhVnguirYjKqVoVUc/D5esTfCmP0jVTB7/uaeyoslqePY/NMIMRvh6iwf NeHvuohpR68rBVT6MhCNTffgRFL3pq9sWqhJj39gxVSUeIW29y8YFnjDXNuQe5ekWFpI48UkaV4u k3dpM6ehIxuGgqpo6c9DIdry4DTO5rg17gKTx64nyvZXrCptQphCKNiJBGzCWJCvBhqharampBaN z1YERIGAzM/nDN8/NvLmkKxPt3N+ddldFmY6hldV1e2DyWy3IFsTrP1qUMvWyVKCOKkozRB/nIQ0 K1GzkTNHm0t3sU1pDnuASxPqH/427WDq1k8XwiSKk0cFIbtqDVpSDbpWT7s2yvWs30UnkddabXQV pWSHYyw/SRqk0KRdzv+mXSs1gK+/sN6eK1otbOPfrt7J8VaiSozlFf38OvbwIgV09CrnUjZXez2U FSiGmBMDkLBLN9KjhkXJyXICBKguVl9s6a47KST7qik0km4aOJF5hnSKDZiJn4g7Ca6sCEiEcroq kjx3a3Xw+LFlLH3OvipHzmlU+OLtG+zAyrQy3yUfINoQFkjS8p0izqTevS9858TYcTsJELbeMOMC wrj63x9ak+pFqqQRH0nKede51y8CETIqPCGeD3f1/oZG5GHb9B+UP+IqSj06u9s0I0X92SM76NMD 7DxvqSLsh/Jso9vAU/dwWuQdApdJWJRCiSzBXzQm5f+EpDSaFgW3/quk7w3RbApB7gsUz77zKxd+ UZ1a9pza8xteDrs8QTPzxtek/q4qrVS6gB3e86CElTezWBSRgDZKDkKWAFF5Q3Y6X6uP3OejYqXE Tm4RP9+ja4qLRizOOShWHgEe/bXESl+vsskNs0I/jvEe2YBr8jI4HoOZoLwYaSQgr1ONM+wWw/Pd 28tvtWWfGb3b08Hz86ynVtbzjdum56nu+Y0WzDrVkD4p0BjtlaYR1nx4Sf/1NGsZmZTFiHvq7vwN Hvxd5/ZkOBGwAKLLBdAVsgbVeGIJ7Z9n19ZWT6DBwCELDSPz2PKJSKPOrmCx1bWaJ3BM3+6xB1WG BTBE/myTfUuW7PJa31J50lCqYRz4Fiyqpi0TeBD/rYqcsVifyHDp80Fo8ByLrhlAoGD3W3u/cKLB S8zSwF/kTnAOppTjFAIRzF+L4qMIbU683JzI9l/xL3HK3xPYKE5sUQ8Xvyc+pJ4YUAkGwV6wHrWt jGDxxqOIi/m0GTS38OG+GPI9rMLXXO0wAzfvhdnX5aBE3NZGATOKy3lz7wPv1E5FvxJyNY7s+EkV m+h25qPmWpajrLTVz4SVrMA2yeXJvU0+OvHBcTL9B+EEe6so2k9C/bYp9POrXqajfHgv2N3wPAFG 2qz8mkqL/mGcHeUJ6jUMYGnwBmc0ts54oUAQEasun/yAm5afWtmRdDw5plxHGAagST5TlWhX8AxM +oW8PbsmQ+PNCWkmQ2Syjbz2/1ieY3vG8WbokMCsYnU5rXu8qwx27djs+LtKui+zlnosuKewnEpq fIN5a9FrDASRkICHnm+tFGmAKmadD5OBUtUEiou2rkomo5iX/sXxXdyBtjaBR6gmoAGXmIQvXSvn dKj49EekJgWfB6KOCecBBlgyc8+yRqiz7eBrOKc/IBKcbbxLK95M5h21NmjtXkY8ow8m4AGUzoVW +4/YUXxqtypoFdCdGnp4Sw9nj907UvtpzJoxxoQJXemuhIYQDNUTa7xGQ1oL39N6INYKwe450C55 ADzK7p6HZEWO0/OssS/PkpWShRaJaEO4bSxXeU2FEUzkdVh7jQVK2fTD0XBv4F3WWJiHMD0Ecjeu z+/NabWsnnt23JCEw0D6LAV5NQNgkTd+2ugrrz0s7eYIxli2P5zM2Lo++V+QXRBLQx24S0ow0SUs c5Rocj9qscCmwHbxXNapZKnUlLskU/q/xW+BA1W3wGQW7wPFkIj1VEVci6wVXbap07aazw12yHFe HQ55mAcDty58ollAcQ1e2ZwbdsovLaUhSOoqCjhgC3GwpK1r1MNKBpe07eds9hxIsFljllQ/Tw17 Ptis84uqUKtsKr0BrPSETtx94SJUE7v/ZdrRebasWGG4Z2YYzz5OJwZL6oJw92jNooiz+j3vA6bG 1LOLiffX9PjDuNWdiYnirOQWbHwGw9qxPb9xXhdN0OndGXHUUVhjcZOp/qYeLK7exvzMAILwJpP8 YvKkM03tgbSgOJiF9Z7eT8p8FFBq/RC2BckSzAw1K+t4xTCyN0F1DmmJWfFcv4Shcj+rG0jx9TxE wt3XNod+PwGsVhYxIhFO5s37+DAxJ383Tf1Bz+J2F/22aJhQt+NBrgPL9Kgi2wd1LxEGRXxZVhNW FcJupR7nNwWA24dLOhhx7TJVdpsxKpR4AoIo1SDV2WXSP8DHKXVdW+K0EEIP0KFAMA1K6flHHsih zOzu2/pAnJ9SMRI//jXw+3N+kqZdvxdaB4o0ca1ExjJen9zRisXYeUT6o/Ud1TrtJGA9EmhsL9Uq 8re20pJwOHUCzZUyg02fGKjGVvD+3TVl0Vm5JB6UXbkW6iEO5sZlyr5fXxB9M0U3r+GQDDh/qayO Unmefa+edNpfBf4S93gQWjUHZy6lYSzoN+/Im0XeEb8KRK/l/31IJXKRw8gngrpCkcWCibkr6FtM IKEEHME48L2QB8P92S7oeH9PSBBUvN54S2JjjqsIhDoAjGjixeRnBvaO4Vee1SsD1B5x7w8qLaVz UJoLqaW/+yrvfQFU8muFD2yqCELQmcBMf6AmRDNoHnbu7t+uCN5zau8JRzfP82CA+l8gq0fDLPzz SWc8CYYBavLP+MyEz/0OkR4AnfFe2Duh2C/aff21U/tq+utYymSt4XUkALIA2Af5ZwvpFfq7kljl RWqpiA4fNximTLOoVa/gjm5PJ9YmNoOj3bVDRKSD7Mv+moVson6w1yUkwagNahAlWS61qhd1GZjF XdmyQRD/LgfT237TuokhgrAS/nr6KWYPjUuHvdHLjbNM3fhaBbK9psQTuahsOy0m0uPFDFFdsDSE EeKm3FqygRvTz15BW9sjwJOO9Zlva1Kf3+I2sxs+fxy6bvLFytXaXzi8Baq+dL9AyZd7yGPxfqPS Ymc3yeOMFS9h6Yf7zacyVgg98Fzw63sPIJGLb/B8I9+qHQSPFuQTlENaVT/K5W9cvsZwfff5Mdeh 7FVfV5ZKOIzYH7rW41J5RK4Tmcaoh8RTaXHjU8oTD2YAtpnN6Ye2WSqjYa6b42lntOCjqWu8jkF3 xTY6UQ1AT0JBwCXO/G27mrNuusahVgIuvWC0S+YbG936ti+DhOFpwEUU6nUvgvg3ssNy1xOp3Ul0 IrgG44XixL7F1IRnpJTLz0AeU3kmdgFQWoxrs092YI7ezd8MWNB60ToV22DDT2mgVFMGVrarexNi Mm0IZICTcrUHORsq8W2pZ/b6h4NRPSFcCg77Cun+xG1WfVOspcqPSYmWPz7R+H80yfxmec9mcQf2 ilGhNwRadNye3jFBaKGOCJnS1BO4LJio1+Nv2iFkYaVaOs1NLnb3dd0Q44ny+b5Ck1zp8cCxUjzN kvpGJVIS1aupQIi8482Hoi5rui5rJQk6dgXny7XvSGVK5S5TmIDFxFGijmrfsE3YKtzTitg4Q+I/ j0zDR3JVB4KZF2zaKcCC47RzXDOX30OqvvsQV3VuYbGLEbEckiKm4M+Xcb14U17sqeytKbApFSmm 6ecB+eYOYpRLqp3ork7JZb/sssrNNwvr0VHeIeL1kL71OAxdA5UJLLAnBu5sCoOJ1figITY099SN omFHz2qwLJTK22lDVnXrtGfSuRb17TH3he7Sow/LvgAui2LK1Vb4gWa3oTnDoTSUAcJqV3DXKuJ7 tvSpTJJTNA4Oy+GCcH2USofj8VZYYU00NZzN8VPCu40TE+D8QNwkjrkinEZBH8U0yGeGBeT0mxqx Itp7oLW698W6SrahPOYecUSYxSuRyrbme3ytbdXTayGpMyUa+jALSnToiO0pERQgwTrZpYl5g0dZ IpvQmcI/j0q5RgMHHYM/yTD4AySOndXpBPWAD8DxgyGLPSmjbTXAY0TVWUjRCNg/U9xEe+Z0rad0 LXb4ctu2PLiNXP40NNE6LRKY5QvKBcfAja8Gd2hud6lcYNlAt8buz62KdyiDiOErLQH/QuXnkrU5 Xq/dYyR/2OTcthlYn3VM839qMTcvbGA0l55M6wF3pTHWHuRuYvChwE9diS283LMeYbvRenRRaviw naAoZPE5/pI8YmPTuZJiEXuBoW4FmacAeA28p58dlUuq2qkRl2F3o0zPsueCQU0KGFJmM/ASBjfz OcrhbX9BACO50Ytlg3eHgmOrFaiRHRHeY078fm7VAoaPMqAr8f3vVr7c+vW895dcjSncLJQxNfah SSQU0ZgwIiMlUMQxRgFBzQBGOyGu9YsKWcQzbjB0+Zl+wKL0xhvaUIGjYbgbtolwY1twG5wp3ysm SAoPvtwZdNIvIKu09rP5laGWZG+T/6KIn0ME4222QQvOF296JoO4A9Z3rfkuod9fu0Dx6HeWxx5P Hlr+vT2UAPt3vnq9mSBfHczd+KFb3KrMYJShFhQ8cfM3WGaAbqWOpku6qKGstpIIRLth5CsEXSUT YOngD4cRtUJDWLYAhKbD0xZ/pg17i7bQIxtsp0dCx7H/UYFRBKNamMwRhybeclSzOt0/PR/xTVhE upaBJ+LMtbJ3G4fBcdy4rnfnCYw+rOIEWzwT99cGk2WAAIhLPZV2HBHjrXgi3nTDtPNZP+3Oj9Sq LBZ0ZMGLgcNNtn03Ty5cwYkGgSUd75LATpvZNg8oxe8rnDGoByqzbBhb9GkSN5KFdCzvgu9Ry54l AHir3Hqpm2404tZM2b8AeRJBzJFMkmMcuGpukrukovpm2EExIifZ8PJxpWsVQfSPQ7r2a5LtZAyM pI/wbJsEk9YWDezKrjBBWGyQ5vU8ikZ2fS5JUSoqJ6cZ5rCdsKJIE5B4fBFACUeWED0V3TyYeunq 1mz/uHFK0+WgmbX9dT/T/s9ejBUm7z1MyETFyZtE61d2/J8nKtrxHDEmAUisHSFkzO7ptyTnrumT p9y4fFDvn9BPL43ICtmy3Bekx+7d+fyWkr/sCik8rJrUZc+15KpurnpZoAF4pe2OEZPhuSuDRrqX 48iXKw702HYT2iIuRP8mTpgbw6jjwuYNta8x+Pjoxvua29m01FUIYXk3E6ejPuLfijsAnJgSUSji 3b4xM4QuEI74m/QDVg9w2twgSY12ah5QGxTjMLUasjtxcL+VwxO8cxVhm2oGiPI1F1XscLDnSvAg FXesk0Y7Dw/Tkp8yfp4K3QjP5/KOvnWVtKcJ4U0b2fRMWC9PA+ytzqomuSRzK10PnUDd7QgvFhUK MnFRhgeE9Jbd44X8K/qkg7L6TzGlLTFH+QbnxlT8m4Pl73k+tCjumQzIyNwHKWAyyPYSElNS6u/Q G7X8gXwi8DH7N91n71rehKcAgiXZScxwJNSasObXpt6l1/RLazq4SG40CTsUJm/EO/0uhqr6J1aR 8hqlGh8hTV+qzRQ/a11NZrKqDakX/GYiZxtkOpJ3QETKyx4Df+OKjLOp5GTh9MabAMhbJ25Cit8g KIDK3BptA0cOilxILvWdMzl4vPTdztiTw3bf8yT0Oc4XFWNBKn3lcAfboW1sYKS0fTIbmD3+zlbH 62NE32AxUGDmH6twvjpAxfKJlKVxMllNYuumTou/jys+b8FVVJlqUX1b5E0tOX46nL2MoN9/W9Tm Inn6ky5aZp84OeN1r2IhSPRppodBEla26KwQgxxNHOuj8L3/ZIpBWxxcNr/x5bAoQ67V5qexZbAl zRARrD7NPedY/CihxoNUz24avHwNtxPQ4cI4UXWlX160qAnHtOAhH7tXMjI8zv0BSOrOHu02Teye PP3BHf/fPm+sjUETceErCW3vFVK+jDuIw41JfVUb/udHY1fw/H38ye9LU/tlSVKqFko8vQLpsSOg d+UvQnnk1tF/PgdnyEVnrv0bXueW1EWgTTwNUkZCxcpPVAzD3QEEWO/HJqlxWHfuILWeHOq8Jfhi hj++6PW42vKAnx//Qp44VPJ09ALvYtZpXT1ur2mRWQxF73HF540RRjRxIq4H66um8PxgNzBOcw61 BJBKGnKOpcH48PXKal07cJXx0cXfXYEsdp7ReXe3wXGciaKY/lH87+k9wr0qfjL4Nl/Tn/kRp2gs n/owHy/Kqxw/jB8wLt7/QBTfCn4xQkGs9XTWas3l+9NV/3iAQp4QwnLGBkkwc4Pq384gsdaiMmCn hLb96WqT/dg4AxQ/8RVYSIJZS+0Uss4NA9HOLs8NrufLsGRkeRcJxc4Sny/LmC6fXBxVf60TgXjk XWRKwITKMthKFMi7hOxiJAsFmWGJw76c/r/sdL2MDTITbfSc2CmoH4mzVA4SU14ftB+3dIxDkdDQ cP6mGM728I+r3vPpYc4SITPKTRHJTR+2tIQGDVjUr5iJAixIFF0TWN+cALi1nwhs3Ev+NKfV0RKW 1a1FcyA8van2otQFEqU1ra11k4DnFLsHBzPAGfJSMursqtI3x2VlgU0xbKxmpjsQ8FZTh3tQNNhG tf5ng82FckG9Bx/KtFOf8nG+L2oBfkzAEaxiUBhW405ZIroETQVHB4AlibW8HINIdekjtpMFMG4N GAcz56P4uYDTmzTcLkcNbqLQjWVAHPAHqDM9kpnPHfQrGImaZ3aAOKNJAD3LqsAA0urQ+P8gv1GT 7mtSo4UeO6tkxo/7Oxd1C4trdssVXy24yhSpmY4XzIjBbDIhROC5mYPyiw6eZ8uklwSxN9Ly5/A+ sZ4oSZTujLRd/i2SiGYkmv71bBtSnsr56+ZU29tUpRl43z1WHvXStr+UfIY9Maw9Ssb0YSGgfN8/ r3if2Ikhq7M1F+79kzX8YPYvVkxdaRlMla1SC2UrLo5vz0VBW0GyLG+t+vAbbqPkOUqj6LZs+TA2 njQlq524ReaFXA+6hOlucM3w7WgNyVrsTN4hyqwPzLbXrSQohwXLWVEixMHOxnSUGkiz+eZVHuWa Y01zoevhNOWwRplQGxOyGHJ+242M5qIF7b36D7u0ircAQah1Vmjm6+6DqrEm9p94HzOygQmdqZuK 0OQZWDY8C8hd4K0h7fetXIabCmNs+FykwzdeGS2rM5Z3dlEM1hQ7RD9srWuN+svcMQ3MsFjm1VoJ Vh+qKsMdgpx+ddFnMDTdxV5sMpvb9/z32IysdKwdsuKG76rgpCUFlBHpdf4AeTkD2/NrY5r+QyT7 uK/jArCIUXxFOjEDCaiHOkQziCckJsRtyBrlK9QfDYN2jmBd9AoeaCIukDNL/Ps5+NbMHH6w0xGH AEXbSJ1lp9gxCH3bhoZfEzrt4wXjZBpviBHa57OfM4L4GsH5L+aSY9JQ8BLPvfDqzRawGGqWzgdV qkKIvNHucKUF+yPrlVvqdUxF716gbTgWYmgvzYbEmzzgwSYlPADe1IOx6HhpKBBw/3WJcqoFoEra F4OZW41ZBC25tF4ZukAsY5xXCTGPvMQHeR5grqb25Po2iKEEM1cFDKLkV190hDHluD2gnfnvqiEo BCVSCZq1LOxe5PnigJLfcQmGU14+iYfNVtwGT5/QKDF6lJvJju9JwF0G6VVNfJ53rrRcVn40W3S4 isgG4/KZtWMKs1zqWdt78EwjPXI4vVhhKDC7w70OlKQH0wYNb4mOg+v0oowqZ5J+0ODG9bWsrec9 qBp7ks+uGrGXQR11qYGIKupWU3VylXALk5uLZrqwZjLPQFpsy9O5Ie/o8edP0PTbjjzhU5JHNmJa LVCEn6asjo8d4b5Uak9LkfCQsEnflnkgE7IRL62kG1WFkQ9QdGVjLrNVOq7yQRUwyt6sltOy7rF2 YOWTJPrq9m1TU91BhY+9lrwAeNcqMymh+X9V+dGTqXa8lEDB2w6/a0bLKTLXN47C0BAnihpEnk9w YZPdI3CtDrB1ta7kHTPV8WacCp7yhVpG3ADHGGgEwy4sHoFcXDb5+pwfXGrZgTXAF7Mc2fWGFUjq Jug+PAdJEbn+TjSCsijVqbxZbST4M8Cs42dQ/vA8MviA9nGIjF8H0NySPBV5X/g0nK8gs/wakDnH IJp3437F1kDHM5+wJAb/IAqGB1ZG5DZkUcz6JJyjJfOSyi3/mmeTm4S1kChpgGLQZmQLqaJmoRBK X9H0Oh8LBkc8RCfS19k+SHgkfqU6q2MKQfhW7WIqt2kM0LVhMErTBWhMX0lpf7SKC9laFY3Ndzqn 1BVUfHKhom+sYehLzas0znwmrdsEVa1qK61t/QTIx9iPJu3c+LXcVq+6OLbFhk1+IM+RHfKQDkkq PEmlB7ZOk5S4BpWEU11u4CoPZS+SoCJqSVla2mLeW3gxQpR/lkgHsxIDoqnHN5itIznV2x1uGJfl eWrP1ibYzc70WC8tlycXN9+jWbUm9TbkqhGNu3giE9auBnm53qa4txFBFBIiu/jqgf3EfdQQ5BH8 SXPvPi1ZJDhk320tMxIFztTrf5JV1RTvfVYCQrJHsr/muowSO0qt2rn/4VLz1+JAWwOYGmnQHHml /RAj8LHyDfqsij+xGOU+lKC1Ud1zKiom6+vugMgeMZ23BkPYwOecXo7VeJvdvocf2zhr2R+3m9WM dkNQFpj/Jq+mxZng6IjF2tzSL9xekdB7+16/ugoQH1sPmhdkQU4YJkIcLp+Kd2AzHU5A54Xyih7R he9/QXibAwa4wy5J4290chFSdpS/p366/qHu086E3Yny+8M9h+q7TKgij/aSpadRN+VemLXfRdXm gMJPTtMn0IyGwCMcbmuFAD3Fqrvt7DEJQxfkbnrbQ9uXCFo5C8SdE32Wh4pbDfGhC1++h8ybG12R /3cWT5eO5fTINEQvbhXvHnJb97gWFhdPssKX7e+jNLLYlM9Vyf01ESDVHEZBFYQFwmZrk4pFMgJb 87Gm8EZh8S9m7HV2dQUS9X8mBl0XPlFO7Bn478l+xnQi6dh6YGY8q/JEar3XDDAKttHrXOV6WDKw OS8cP0YRDhu3H4mkzrghcah30Yv2OOdrxRT/iMKd50vf7i6TjjqQvbF4xrR0KAE1KXstQLMP1G/N P8vHHGgY1bjLYrqNCYfDJITCJasVvTLwLB9FpKX8L1znXvtfm332sCFPKFCXzILf/Ow3uL4EypXT J0x5XM46YQuENF8wPrYalFSE/Cpt22xbd9UfRxWrARPL/19vJVDqAvTZpvctPOcyZJiZdxtEv20/ K6oZH60ucJuGlmssGXZTZ24yFy3SaWciYZsscEZQwxxChkawdMYpnfRFZbiu2punPRrjTpeo7iXt 4be7M/LwRlKFIWeIRIjToC/EfKPONUWhQHMO+010PH2RxPqFUxUsqGqS/ZatLPqeaViwgiJhkNiM Jpgqj0gDKZghrBTj71WSziWBNw66pK/MohcjQVADFbyiww+iYXhxdkiYN9/OMqa7V1wDu5JGr/4r D05U2YH3OiOVx60hcFwLLUE3sPQQ11r44/W/7cZOVy1PiMxdLgwycOl+25q/oMM0sPrTle8CKFYs Ta9DZBxGyCdwIa/niBWApm1tefAqcp6RmNbhWe4vo+fQrWbhHvLo8MfbQPjnNJp6TxQq14t56VAk 22VqR+XMQf91ImUMw49z6cbKUi/laUzn1bxvbt+UbxiYrYftQvjCwtsBJTb5iaj1MpkMg/Kmzo25 a1QrrumdDs53q3DPFICgJsXzaoZDKpLCwkvsKr7NGm/R4+oBzqCo3KcC5qmD5TGW4+3mwIHvvRSK PSoYdI9QmdHM4scpws4iZ+HxILRB1ybyPJcM296sCf8Ah3xNKDwVgI43ss1voKAvh6uQ+zCiO5ai 81xWIv+JvBQAbV0cQ4FmSd05Q+x7OB4Adiqy2yab/oq9yWGYLWNtPd7y1z9t6GPz69X5oi8w0hYd Ze1EGXvPsvVIyKH1C+YxvGXg6xnDFp+0mswkDLAHgt2zGd9RyPTPoVp4TB+mM3/S3EzImY46YSfF eACNO1H546M+rrU6lWR9LhJ/eMicAvNsj7eBpmz6ewvt1nYPuESgNDp2VYAl+gKvUvsVMSlyyVlp yYi1ALFrrMPg6Sp9NwGUYFMRYdPkJbPutVvMErNsjUK09Nf0G0DPSR4uy/zUlThu2p0iG+LQg8Ev yamUMXIQC7aXX32xLTQC5y+S5vTZMNQQxzlTBoYU0k9LL1CMKwM9ntHBIX+OND4igrGEag6MzKmj IIJ2m5AgrulQHgPvlgrKbw9MZJVjmWuLMNoHdQYVbn2XUlQrsvgmTZdjVgatq5fuWE27n8IJvKh/ OKKWEJxJzNyBdfx9hjvyYkkHcLO59hkU0oEfyB2Al47H8YTwZkq0G/0zhNiAzAQkus2ORgL/bBoG Y/hF4rlmYVpfI7WgcHz01F+iY7jUMIuT4kOc9wI4uGnA2HxbzwLSx3xIYhJIFgwOe+YtB511y6Ow m9d/W/noYsWmkRHvz3IMnIBqlbjN0Ut1/YfjklGOSLhIAMIk+wfmTFpptVSikU16XaFBkhbY2pKd l+vtWap2ZjNxcCC25LFXqttLsUwE0VMW76piArbhhB88lf/RlUFH+xT1NSIUCrHFigZNkE++aoyn aUAdxE/bUn75BhDjZKpH9eZAv82P4k3lYc/Fmx7k8CKhnUHxKywWrHAsIunV3LpDQ4oBA5ZaYx/m v+PozqAhnU5rP0clnigeD8QzVQFbKPZYpRdC7yaDcxlq0j+ZzzkXqB9j0QNI98xTyNTvfLHLWRoi OXMyAhdHbAYSPyR0+SEFxUTRTpASShbb1bJWWouhox5ZPyIvkjgDPuhdESb/qS21DiasNGgsL9UI tbP6uPbKLq2ac57krYpnp1vQUY0QuQhSd05B+1rq85E1fhOzoZFIKCNdNhl1xdHomkOa2XcAs8Y/ GQXGsKjZptAHeJKST/0N3FVKhmzf0iJX4bgS74MTCzQ38c7QlKS3nZFVBpskhkpRMLRGmykMQJCH 2E09hYEeldQQhDvSkiLdQJIXWcFc2dySkiRE+RExDbr2gfC5RPq3zeNrkPm9gXuekTLw2AcGqvtS q8HTEVDmkOHlsU0Pk9N58+YMDR0cdp9EOUnOljpDMkkGRv33ZJAbDKakW/FulSsLujA62dIpH1WG OJOXZt5J5EDfhtNpPfZhDjXJutVaBolGnx9KrerW+T3P0dKSufk42mF08R3Kmp8CaJZ2BeIqG+2l UiP7Suq59OKowt6Wj+LyvsvKoelHiJLMrqQ2aq1mNef8cPZwHQFl7IFCfai5u8QBOnAwjMk0YBLR bOCQbCyt3FcpCpZCrPY+hL7BIqL9d7R6WrLA01dnSdwULcPMNhmGN7er05peN1TCPN0Ma5271ryB 17yURXJ1LQPk8IDcLoUgQEzKOPVg4vk1LhRGeEnKGWJQPLmBmSsso9Ud0VC8DNudDcyQ1mBNqmfI aajzJPXPvRhs0g3jRBvJNB9H3jgzL+fEMVXewv5l0VqOC/AYl9eO6EZhZVYSVBTnVhblqv+K8jl8 u0dpkpbqj0KMqDV92MYZEOTA6h8iGeRHCbwJbsMeliIRKTWrGjxoUlAzpgquGMTLGPBhrKHNNRJ1 9RLk7ZCsv+Z5QtmRoEzoptQSPq9wpzclXknplExjXmIUOhDdlPjPu+I9k2cOjjXB73KKxtfjSpZO ckFXgrjdMBAyBtVNGkI8RrQJsTxnpumKACQ85fH1Ys7lGcEIUIg3S6bimPwl3MhNmsy+i6j29hEd R5j4ZCl0/ikbg+SCC0YNbr521uGRB7vurW47bY6bG/zxQv2kryRc/aGxsfYgeXUJlPAWfzhnbb/y R7HNKK5LHxl0MOknt/XdBZxRtAxmRARxcW7TzgSq489QbBFi6xqWXaV+aLtrj4/QZUM7pKWmIzit Voey5CttV3ApLO44dy5d7aaIET+MeHtdPn+RM2YuFswRJ/YGhG3s2QVWbkDzKJOXdC9zfc9MMXuP PPDzJpwXy605qO+tGY5AHV9PR/h0FCecB3TL7GBIuT6YSYDdbOJZxRNkXm7hFCgvHgV3n5pP9+rg KtWApPmV1tOSPtOik3r69jWYicwZSpNp68D7FJMdSQ33b4GdEAw5e/XjHQfvZ4RF1NR03aN2m22h SfFWpt31RCQzym0SZR/jyL/gkybiCtOs53tSZTM6C4yNuyuJNrAxASxmQgm1VjMbM9Q8WgtD3kTU OScJ9ctI0J3q658zsmQ8KHfaDvCmiI8d91HSOd3vTt023xLAJiFdaXjVqZ4Ae1Lb1IcFS1df4tWw Ht66V+BSN5dTgSz5/lgNRc1/GSCcMRR1pLw2JlQkXvPu/4wA6JeCnQvA6k6fQioUFWgVTsqiGoWa sYHJEzr09fL4u+6HV92vIdSsayqXDivn+wj0jBqtpvzIkAJ9F8GJIXmt2IZcl2rZXRjKBSQY644Q UWclRz5sJ7c9CnB6g+w0U3l2fEBX3B/2AiN91z/Knn9wD53XROgHAiz3GW5qu2e7Ca36suzOIwWC iNv4TSh9BrAyOo/qWcmnwPv8LSF/Mi4cnxs4LcvySUPVUBqmSYvjgFgTv6tLRY2Iv2nKOLU7c2i6 GemgK0ANanfk8sXOz/phafQPpr/X9cxI9XR4RC/oQRpeZSyAqbo+6DayF1y0aGU1lLNpr9WUxqHw fuWraVAHPKeTm+KqgzupzehqQ5w8dPkkkC7+jq1fHszyZCueFGKGBBKWAK8GDT/KmlyGmXB+a6n1 YedUXRW2CgwzZCW1pBqe9ABNOuIIkQhn1Fq4EZFnh3/BqlA0z/9h9d/BcIsZtNdBnjwnrxvAWcKl O0qaCgEg7ybyHNAUS45xj96J41WLhcMvSLqextrfaM+U365KAH00EEWk3atvy2lB4eN7bK+cCpEf zabgxRmrV0rw5KkCoBBy3GFI83Gpia2s4Maa/3d5qpTL1/0+MkpcWZ4ptzH4xO4na9NW22+TFjWk j0sw+SNNHGW3l2/oCj5RMLAMEQxgjHBYYkmmXYPAFmCw6iMEK0kWrmouzXsV8S2EZ58SGVgU+VUs HVMu8rUtovJHm1QTAO9fydl8Ijt1Q3Wm4OBphHum2HQkuCzWe/M4MG27CMmHDdR3aSew/LX7jAUj jKVfChRF1F1IC4BFVrrLMdl2OBI+fV5wMsxRvqLhoE3d7JCoRdi5Lq0n+CxYVm+ES6CA6bAakrwF uQ8wxY6V8BStAjdgPN+9ZtwDG2OOksRwMlT0N3FuFsKQveYtbRX6wVNjaUUQq0hbfftPy7o/zBeX MJAQLsqkKcnXSKVRCGCYYrIP84Gde6eazKpSnwo1wkWhkCK6+uVjNOLDMlJIwhgeAAKIzUCaQAEl x/7uCPO+/L1tOlql89RXbhMJzFQNK5X4t2j3oqoKiG5RVe4r7oj5pwQYMrLlrsAlAd486/8uRgJf tjzEnKigalkdfWMi2gHE4Ib0dIfVzXnzH5LT372o5qMHHSaEf9TZZWFtMBWpa6MYlUrM9Wts1ZfX qfZxOLSsLdzMR7abndzM0ocoRxjqn1wN1vHakwbp5qiHhLH1prPBdYRyJFGzsVtBw6IuH5+ub/6t czgchkdnDPqo7d2BzKor62NCuIbytcuA80k0IypbA3PwNz86Bj3cQdYKa1jQchFV+yqNgiNsQxVk MgKGE8JWr5vBWsKYIyAJwaUqrOQjIhIGh0AYbWsCGx2v7TWo8SzBKpdQfTBr2+1ld+0OrFiPY2M+ ECtaAFnlRKGbWbpoWJu8PH4EDHpz62KopncMcEMs2GBx0s8uByZ2L66HxVQ4nn2/xDyKygP/TQhh WxyHSWdQPbz0x9EG5K8+GOPL5LKcdG433dfCzWYQlclYGN7m8A7YEzZmBBmvhNXs5e2SzNwAKFs0 gtPQt+GaJZCx1lRETLVP/1RQhOIoHVJZm1tGOY8Yrm0xfTk4FNArWYLPrtPaU1g4va4d30hOrcmv MTbFKD0KvtTs37LdfmVnj+Am4v2VA95+93Wj1eR8QPW6Dw+9HxBKgn3w4MvGo+v/ALXtSCWOFMZY BbhdO/Ps+Ni+G9bpO2gJ7OsBP47umnoyMa9FfFVCJ37rn1mlM+msziDl0w2us5sUkusM3sehvC09 8lu/+JquxC4nJkSSpdqln84losZlCcRIs1iDDdZ3kmwUrMp28aZDPMOvkgKzKO2aTVYZro2VOsIj JDn//G/dqEkUTWgupOd6tlFpNqhkm1R5d9IvdScfJ1cMViHf8njzZa+Pj38dkH89Zfbgq1rp3ZlU ftEIROkXBHWlDsIBgT1KFUfbak/OLY6Aj33RFneFAF3BDUmqxxQlDfTJMMvazawDlDwL+QE40scs Uj9IVRIVa+xSVHnS25yDRJFaO4LNbWL9dDriYrSbquTYYfUnCWR2r3RAQCaiO1pNLUWPS2CCmkWr s6I4S0KPdUm0qoEmdWeUAXNX+kWKoGN7OIXB4Gzulfp+IHPcLAXSlG8JyHrw2+6IbTjGOHtN15RA C1N0DaqY3DqUpogjr1IyJUfwVBBmGD/m+lwzzSJNKmuXfY06WO2p99pBwg+oWTK5WjfNNpsT5Cog tmdz3ZPpAbtsdzze96ihDb/z/J2VdOhLIVQ56A+qUe6eo9bIOyguJy7muJhuQpEwaB9oc0V7/aPU +TFNJ1/VxM/2PlHklgu5CXLLz/9FMHOaW61fof3P4KX79cJPwxA0nGOXVxlxTV8a3CwlJ4N00e45 OstkA9KedcGUVbyRDthV1R/5dcBUL0obNA8qS/gQ9CbUvRdk3JXr6cZ8a/ta5e2c+EzpQLnlR1yW /18Xi6p9c+JUePdu9IlK7ihk91mrdMCQDjIVuaFFmjH4o1r/jqNmkPdmqGgAMxotB5Z01lhRySBG MzRTSfVatoat9giyt7lX4bBpNufMbGvjjSxz/RX9kmZSf3QhHNaPhLfvxYEd1VapNCueGckAcJ7a WTPS5M8g/uWdNbfwlfrT8H5dsCa40JRUpEOjtJ+P4y190QFMbaclwylz5I1E3xZ2lnA3MpGqUZ4Y iC9plKhnPLXKP4ETOh3YE9khthbG+FEiIvyYRxX4YAJNoPA6+29EFMVpFbew+YOMAEndDJNj3cwO 2XDCjWpfNQ7+pa0WZQbrRk0+L54vTmfffu249upfymVvlHzNFAN+m8trnjRMYRftnEDIoqKbGPVY 0PWf6T/ZIqxjuLE6oilrkMwX8gUjOfJwA4lL8dEtSbonpMmIOMG36gompARD8Ai4WxD5pH20EwND XtV50WE/aPEA0LjFQBHWf3/2qJADOag/GfddPyWjs20/ZfIk9xMPZvKuQKp6PeUHvImYwM5p3VCb IIWV8fKPjNtIzjlznD3wt/8wXsTvm5G0i16Ahovc9kvMbgVJqjuVPyme6a2O1JkNqmz1oxg7aABd laZvz1a6vmYU7I96f/cMKM75590KaLCF0RN5oSCVGFCdTAMBQi+dJkRTxGjtVE5B+lEdNcPuk8iT m9mI3NRzeli0X2PEhy3Rk3+/0/WjsDvIIeZN6rTft2GLRJFTshRu/6oFaf+FNB5GN76Ua5ZXk8RR vzHly6VpQya3pRALlLVGxn8NCHByDfpZlBYQAOfGFgz64MrLe8p1IE5kLKmmL6R2VsU5HE3TDZOQ S5f+pQrOqTO6sfztFtTCPO+2dFKDm9d6Hdl2cJP3nJyk/L9CT/uzNxC3HscTOGMX6JEI8sw0GIre 5c6O+lZv8uftbYpPQNUlZTMHParimpObqG5XBE0yBO6tkV8AQj//mN23iKlXTFVSUheCE1qTwP3e jd/V5vFeEpqfIUe548CQdjnskgoG6WSqzQVlghBIyTF3YMDtKVM4rMsCAopT6Ahk26d4GLJ7U8ce sLesfU169UMHRwnoDkobQ13Swcdjf7VyhLXYa1kDfXw6vpq2HxYgD9UY/QT3FbSqbN05Lv6s0ZWw LAziz+w+eHEwhQXlplQXdt4QD4UnH6olbnhTHXIgr2PvfeY9FJYGAYAxsZ+kJY+8H8vrgTuWQCNJ /XHPPWW4N5YugW0MPKhwcrdT0aASe4zQKkYIZosu1uDNlp9PHrN/1vaO/IKvvSkuQCNEbv4p+UEk BWflEiBBzNwWzij8+M2B9LPymtiDS2d4du1npFj4pMnhNHP8QvJRbOV/sZ3E/vqXOhHnu+7rtBSv ikhydY8S4NldobfHVo/BdVLd9/idLSVub8GhTLkmlYExqHCEESqBAkOWFq6FRlUpXfuRdLOt7UWC 5vppPwBVt9YUy9iwLyMdLz+beIQyw25/rKsKRQSejlIjUq84F8Z5lMspijwkxpZ5mGmboQG4do25 En1KOX+8+AOcTR/TQlVGv+WQ83fXSRT8JHUo/fB42vSqJfOon0bSoxWfEIyTHWC6iJZtTEVpNNBf zurAo1dxGtKY87O7Tv6HY0RgsEmhMzzJGpCma1hi886HXx7x/eD2/Tz7OrC8tdswUqp6rIhm6JjF lXaVPYLaH3pmkW9ak0DJzFZQ14JBWBKAqZAiHM9AsKxWGRjtH7C1mUFsPtrBEmEmwiUICRAVBU7o 1eTgqn08KN71bG5DpIn4AfeVSDDdgcEq2nN6DjlQloK8hwI8yUNsnfG6oL+tJYOKEYGQQi18/m1I Tl6a/ytqte68u/CaXx5oq7vbR/+7fu6r7PGYzmxhXw5OI7DXT6pVCWKPg1qE9ryuUoKliN4oR4C6 MRWLlpqyLVcmfWEDXiRd/uKqdA== `protect end_protected
gpl-2.0
8bd8de91ae0063ae883b1765fc66fd48
0.948724
1.823291
false
false
false
false
UVVM/UVVM_All
uvvm_util/src/alert_hierarchy_pkg.vhd
1
7,818
--================================================================================================================================ -- Copyright 2020 Bitvis -- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. -- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT. -- -- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on -- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and limitations under the License. --================================================================================================================================ -- Note : Any functionality not explicitly described in the documentation is subject to change at any time ---------------------------------------------------------------------------------------------------------------------------------- ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use std.textio.all; use std.env.all; use work.types_pkg.all; use work.protected_types_pkg.all; use work.hierarchy_linked_list_pkg.all; use work.string_methods_pkg.all; use work.adaptations_pkg.all; package alert_hierarchy_pkg is shared variable global_hierarchy_tree : t_hierarchy_linked_list; procedure initialize_hierarchy( constant base_scope : string := C_BASE_HIERARCHY_LEVEL; constant stop_limit : t_alert_counters := (others => 0) ); procedure add_to_alert_hierarchy( constant scope : string; constant parent_scope : string := C_BASE_HIERARCHY_LEVEL; constant stop_limit : t_alert_counters := (others => 0) ); procedure set_hierarchical_alert_top_level_stop_limit( constant alert_level : t_alert_level; constant value : natural ); impure function get_hierarchical_alert_top_level_stop_limit( constant alert_level : t_alert_level ) return natural; procedure hierarchical_alert( constant alert_level: t_alert_level; constant msg : string; constant scope : string; constant attention : t_attention ); procedure increment_expected_alerts( constant scope : string; constant alert_level: t_alert_level; constant amount : natural := 1 ); procedure set_expected_alerts( constant scope : string; constant alert_level: t_alert_level; constant expected_alerts : natural ); procedure increment_stop_limit( constant scope : string; constant alert_level: t_alert_level; constant amount : natural := 1 ); procedure set_stop_limit( constant scope : string; constant alert_level: t_alert_level; constant stop_limit : natural ); procedure print_hierarchical_log( constant order : t_order := FINAL ); procedure clear_hierarchy( constant VOID : t_void ); end package alert_hierarchy_pkg; package body alert_hierarchy_pkg is procedure initialize_hierarchy( constant base_scope : string := C_BASE_HIERARCHY_LEVEL; constant stop_limit : t_alert_counters := (others => 0) ) is begin global_hierarchy_tree.initialize_hierarchy(justify(base_scope, LEFT, C_HIERARCHY_NODE_NAME_LENGTH), stop_limit); end procedure; procedure add_to_alert_hierarchy( constant scope : string; constant parent_scope : string := C_BASE_HIERARCHY_LEVEL; constant stop_limit : t_alert_counters := (others => 0) ) is variable v_scope : string(1 to C_HIERARCHY_NODE_NAME_LENGTH) := justify(scope, LEFT, C_HIERARCHY_NODE_NAME_LENGTH); variable v_parent_scope : string(1 to C_HIERARCHY_NODE_NAME_LENGTH) := justify(parent_scope, LEFT, C_HIERARCHY_NODE_NAME_LENGTH); variable v_hierarchy_node : t_hierarchy_node(name(1 to C_HIERARCHY_NODE_NAME_LENGTH)); variable v_found : boolean := false; begin global_hierarchy_tree.contains_scope_return_data(v_scope, v_found, v_hierarchy_node); if v_found then -- Scope already in tree. -- If the new parent is not C_BASE_HIERARCHY_LEVEL, change parent. -- The reason is that a child should be able to register itself -- with C_BASE_HIERARCHY_LEVEL as parent. The actual parent can then -- override the registration with a new parent_scope. However, the other -- way should not be possible. I.e., a child registration should not be able -- to override a parent registration later. That means that parents can't be -- changed back to base level once another parent_scope has been chosen. if v_parent_scope /= justify(C_BASE_HIERARCHY_LEVEL, LEFT, C_HIERARCHY_NODE_NAME_LENGTH) then -- Verify that new parent is in tree. If not, the old parent will be kept. global_hierarchy_tree.change_parent(v_scope, v_parent_scope); end if; else -- Scope not in tree. Check if parent is in tree. Set node data if -- parent is in tree. v_hierarchy_node := (v_scope, (others => (others => 0)), stop_limit, (others => true)); global_hierarchy_tree.insert_in_tree(v_hierarchy_node, v_parent_scope); end if; end procedure; procedure set_hierarchical_alert_top_level_stop_limit( constant alert_level : t_alert_level; constant value : natural ) is begin global_hierarchy_tree.set_top_level_stop_limit(alert_level, value); end procedure; impure function get_hierarchical_alert_top_level_stop_limit( constant alert_level : t_alert_level ) return natural is begin return global_hierarchy_tree.get_top_level_stop_limit(alert_level); end function; procedure hierarchical_alert( constant alert_level: t_alert_level; constant msg : string; constant scope : string; constant attention : t_attention ) is begin global_hierarchy_tree.alert(justify(scope, LEFT, C_HIERARCHY_NODE_NAME_LENGTH), alert_level, attention, msg); end procedure; procedure increment_expected_alerts( constant scope : string; constant alert_level: t_alert_level; constant amount : natural := 1 ) is begin global_hierarchy_tree.increment_expected_alerts(justify(scope, LEFT, C_HIERARCHY_NODE_NAME_LENGTH), alert_level, amount); end procedure; procedure set_expected_alerts( constant scope : string; constant alert_level: t_alert_level; constant expected_alerts : natural ) is begin global_hierarchy_tree.set_expected_alerts(justify(scope, LEFT, C_HIERARCHY_NODE_NAME_LENGTH), alert_level, expected_alerts); end procedure; procedure increment_stop_limit( constant scope : string; constant alert_level: t_alert_level; constant amount : natural := 1 ) is begin global_hierarchy_tree.increment_stop_limit(justify(scope, LEFT, C_HIERARCHY_NODE_NAME_LENGTH), alert_level, amount); end procedure; procedure set_stop_limit( constant scope : string; constant alert_level: t_alert_level; constant stop_limit : natural ) is begin global_hierarchy_tree.set_stop_limit(justify(scope, LEFT, C_HIERARCHY_NODE_NAME_LENGTH), alert_level, stop_limit); end procedure; procedure print_hierarchical_log( constant order : t_order := FINAL ) is begin global_hierarchy_tree.print_hierarchical_log(order); end procedure; procedure clear_hierarchy( constant VOID : t_void ) is begin global_hierarchy_tree.clear; end procedure; end package body alert_hierarchy_pkg;
mit
5232fc693c1ed9a7fcddf16895d7a497
0.652724
4.14748
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xfft_v9_0/hdl/axi_wrapper.vhd
3
214,233
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Ax9VSPOhVOp/5EzjOU0b9YvH5NTM3zhIbGORjfg+F6vZwqm8SYW8h8v7H0hEbg0x7HFIhhXOaL2Q TLQPuCZpcQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Xotb00QXNOZkkzKp/OFasQs1ZJPk72M97BFM6hrroteIQF6rOxtgOg3KuzAg4Bq1YffFT0JGN03X BuSW9jyw1tY/nEmhrQwtfvveuz9XCzi0WGYOD4BRT1wcy5DuaKo40AMw8/f4SNEjsGd5a4Fzne+l SZaDZ6yKypJ7LP9cvu4= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block BY8D+UOkj/8BH2tL1IkjFmjj+md6l7B+LFIvWFoq3Rp9jAKKErO4JRdtAbXFMajtZlxP8VUaSrFA 6EKYI+dsRkhfYqyOVyREG6u3/XbC69b2WR1vK2oncAAOP9/bfrtqi+UwMAHt5sRsXiyGVqlboGVA /g+3eIb087xKDleEaWWGJoIptUhPB/fB++c+AT+7miH+5QtCQHYQ545N9DqiIHD0r5nQtToZUaDT ht3Z9pqX5rkWzhnT5eh3XDOmORQoYB2kct009Xrb3ch5ZnRJ1vYY8SQofOOESwTJd39RzkzmZ0X+ lngavde40vel5Hp/swEX/klHdXBhe3Lme+lzXg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Ql28xgOWSdOcdALck4ZmfjzBR24gSI2SeOTd4tCgCYJixDkSOz46WEomdSUjLzP+Mzfn3dz1KAal Z+n4E3BCkHmRpJd/0dhUQu4tIntgW6s7YEWRHvZ2TIA4aw5B14XBt1nywO6ETHJViHnUFdcZYLR2 oE5DjiRff3xrtFL/NCg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block LM3zyHXTumn+xTmyyK9rAAfDx5UfymAgeFmZy5NmM1/+D5SUVAE4NXF7k+821h/Rwjj9634rNYNp CcSOuU6KwmhEsjA2TywugMsogrO0ySirpQbHu0XioCUkT0f/AGt/Ef4+fEb+yfWSvCYQIbAPN7mt pEoFiHO7tPOthv7JLruYRd5saUo8uPTW5ktT8mU5jZBhCgATHDcGYxHp9x1J8hiaV7eekeA54Gwk C4jSnHjaf1+sFTQkBjCDk954EDQA/avxSydd/afvspsfRFyNJOStWHGtag6eWFRyUHx438UuAROG EB3Gn4iWssrZcsEOGxseI8A93LyHcgoBVZJ8VA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 156848) `protect data_block q0Fweng70cTrf7NZCdqkndjRKl/139MOy/cJDmV9tBbWoLfZXVkG5JRxi72kUTFF7afTfk6EywHV wjmMQD+NVr5fhlUep3fw+A9rtnYkeJIXPuYjbxIPmACHozez6kwxT1py6KJ8w1afSELMZubewmvD w5nBlpsV4dnXOhMIw2Fs3c2vILjObehsSVR5DoT9PY7ah8nWCAei5d+UTH/ZRFQ6+VILmjFXlJdI 1hcMsQIViNzKbB1OOgpmY4KoUO2P8YLd01H0tenR2w9F8gv5/nukkFWyjILsvRsMhymVF6vxZroF 4YIqO+/CYQoPqvCIqhfjFdSIP5JiwPRYqSvgdqiIhh+ZPnjoFMjroSmeK215yq0TnFMDtac7bzoU ej4M1JOl94eS/Xk7XpjVMKGDVO6XbS6rJVTNl0bxu8P3LyJn5UFwKwamxreE5SwscnO0c/cih9Z9 llImSBYOK3yWpP8+pAkID44/FtuL9YHNGC7hB6qzJ5lAQUyg8CJ+YFWAGbCMXyN1ZwsSknws23VF pDNzfjltAS337qmbQX33nXvevQ/aTU2ekrBnJVFwwWmYv8+7thGGztGvFtoyXN2wbEamA/dz2Grf md25Oz6leb3OdojBUNLxta1sL5LovM0bx5jAg4LJWes4v0pbkSxTtaLhOKwuzG9br6ouUqb/T+l7 FjrDZ9O+WPakAxMVK8z78StgeZkNlgDVmqlNryLXad+OLEECGwnJ6O3PgVRS4fkwpXSy5/JyFXLa 9OzySUlfp1Tv4fxf8/GETGEd06uKorq11LODrqYj9/wFETZ8ISdQ/vs/bxF6NnAhXv6jx5PhlTbv 302xHY+UbaAtvZnZPKACtgwkDALTJnYCy8BRkMtfbcB1/g4+hUWTFOyM+hS7q48TrCacd6Kqva8G VdsREbPTovjB/9018jw/6xDd/ECMYLc4nINfmQ+RxEI41SzzzYbmmQRf0hpL1/pyUSTinuh4ZFYj pvFj9XALOczuOw4bEZ7CFLRUlrIkzeXhrj6nM+mLgVCpd05f6tusI4EjeslTxRNLEDEZjZcDeuJS rtxexR/gsbugYoS/eO80d4TH4+bub3tI4afKmi6pmm1tLsUJb1fMxkMwBo4alCDcLl/0e3JquCa6 lh1huUGsray/ejZok1JBl595bbhQRQ4Sq5pmuKT91TV8nNzt30eKkU0AB95zOpnmm5ctO1eHzCqW lDDC4+luU/L4Q1m0qB004xA9PqRa1FXDNKMnsFxmYQ46kfT4lnU0H75mbRXAE26G9hXtI5+lioGo oTpOCur7HSV58WeELj+ZCDpVrI+c9sEhROx+cmx8C2MLQonziNEMJU5w7oN2hT26J4XoLG5y0V+u wuSEN8jtf3JvBDQOjQSw8+wavY01ws4q1fyn6W2zpq5oku1iX9zN4oF9XsYMElE5cJ2YwuHHPIzZ 1vtPnvIMiSU8kYIQZTfOXDK5iKMKsguiRFwg41woDBF8sHd00rP3Yw2T0+jPFdaKwQwmrAQLmRz+ IvjFjf/2E8gy09Bx0/Fck/G7ow3BUvDrbDxTS8WC12ZsxEhiCTf9V4vh7Yq12fVjZRx6CX7pz0pN WuZlNb2P+bMBUyDMEKUT2j8zsz71O5cjiOvCxxGcuwhVsxziU8Bbxcjpqb/QY+h0r6faF709ABoe hFWhN0kL6w77+mlTCA9xvD3ZCErfSUZ5wvba1mbWic6OqYw/mzc+BVBaMKrsLn/J3pQKB3hJ7yCA Avxavby30qnhBlqtIFD/G71ZgE9HR57MUm6sPIvoDDftH+MxJ4Xzmb7vCVofwF1sNEsllH2Of0by 18bwD/V2hgWkoaCE5WK60SEKSW2L0acGFlUTX9X652yq2+nr9mYRKAuPothbLdw/1IIQtA4vpwfu qL/OypaeJURtHmpqP/Z9xPK9/bRSgxTECk8XsF8QZr1g+uZrSmkb7HE68MHaWQaal/2eo4UMDxW2 z7HzV2vCP4uBaaRph6U8W4AEK0QZzey8EkPt0eJ1rUupxE7ChsY/aO3og7VGkEYUUqWkT8JiQfm/ x6L1VLC/sHYHMM6oW2SOysBS0Sazu7vMLaOqbRy9y6h4b7TG6H7B1mGeFB2W+BT5QkMerYW52x21 W2w3YC6QwmO116AmrOw7V5P1ZP9vUvRsG/+A79At1St6g/Uzy4uufinPLFwVIpPSLIo7/CwlPXvH P/+mAVi3M5WR26vrsJCptvdA9kpR4sRkWRebYklpxoRc5j4rEJvEH7ANy/w0FRxciMC4N8BGUSvf pO8LaVRlmXxqb+O7v9/AcfHybD7ndRRceR5hA/T3ZhysQHtfrypWXKtsgtDFk9OuTgLNdKngQrr5 Xe2g6xsScU8XPvFVCAcxjsaQFitqc3rWFLi5GeHKP3m2t8NzOL9Yv4H9Y3xIaEDlHNGEuS4T+Jl6 awn1OPKUL4qg/W87izijgRXcUgwEMps/6Hu3g3bguLBvLRuh09+txvXhvcx0NOezTI2zC0Qh/YWa AxG+kPcmVRuv8gp+nBejr6XTDEfQbGD6B9XbF6qOyWgSEiOMmOG2MLb+HibC/coefPv6A09F6bPT IbAu4tLNYE3w8zJPfYQ5xSUeaQiK7f6HpgFFdJ80Omm1gpMIFlaCjhuOXi5S5caa3tedXXXZL52r x0QFVjSmJ1kW1PkFJn7IgUtVfgk6RU9auHLLHkDqxn13D3kyv4gwgLcQ9oXPW075nMSv2bAuSwbM /weMN32F02sy8PFqxl6od4hsKQRn6AhwDgpwI3jPCRTol1xvl1EfSzRfyHchIN/Cb6M6Cpgx8shG QI6VZya5RrFfCUG7rVREmWgVWURrt4CPAJkJ0EwAq4cyc/DYwoaJ7UiISPtVehV8Pg7VNzYbd7tr gQY1YLulCG4qd5JgBIaeZnJ4FA6AEV8YXPcNJut2QtQixT6w/km0rYJaHWfbULeQ32whfr2DLFQ1 t9ET6toWnkfQnXgLhrtmPlrcdB73H3i0ZX2U6Nj0PTVRgVzoGIYKMP+PE9/JehDEc06BlXfcI4fa Y9Ja89N1M+1xDATSGBYxlMF/j6As0foNi4aTIUz8YyOeBfNTVCZFY5xqeXv0pm9FIveU59NQ5++y 4Pp6aJA7ExeDdU8fPnco+u8y8MIjcL0hNgAj+gW9cJSeW6iX+ABuD6YTKhM1XRVsBsfWNVioI3S2 IlqhXnLWmjVm/UigTy/EGTjgS6qgDHKBwGoNr9jqf5XgjzZvpXF5JqawgWoajciN2X2fRJFS6ucr y8laHBkkfuRxNryb2d2gfEInsN9yV60Tu2j/DfO4Pf+PsrqXnpYMQ7ul4iIG34rd5q3ve8dcjcPt 255IYNOtJKPhEWmAYoMPTEOK7aNNVCwl6lvEcVmckHuVj4Osi1LkA81XTYlaulhEYPH9CrZACaj+ c5J2LrJ9ofLRBRwXYOosiILcmjqfYRZreihKZtRA6mkrfEUkYr1Nk/uLjl9cnmSnXze0ctrXvX7l 8/Zxo365Q+B0nTukGP9u5rLPgw2hV6SbO6tSm6B2XNkSbRhld2AKlYORd2ciGOgy1sXyh5rUx2jO V+zChGGQUrmaMV6zOm/UrpiETlJyw27qyR3BSvBo3Vik/XGULZmDgZlZt35KdGOFeaJAxCLDeKoJ eheZGCKt9K4m9VrQLW61aMYmOF8SzdyHI4DESxf5N4/26ZbkLzHP/9bNbLZNHCPngFvh0v4AIJGd pzFS/kxjU+OogUb/DKY09oM1dZnwizLIFgyocbYSPyZBXgMuc5QX3P7wztxyP1lCZB+WPDZtBcV4 C1lZaQGKSuU/3WlWi/RMAaNvRzvltC1VVXRvbrtmdwKgIl+f4SM5xCcU+ZTH+lcUhAWBJdrrsxbZ OE8uWtE1fae6D5/UTQzCrg9lk8n3oiBBAWMFasDg6+dfpvw0xGdbtNhokNmaF68pJEcch579p7tX WspW3zFG/wz2SRzkaZM3ild1H0yPZWn3wZHB9i0iLrQALt9Ytz0/rzpv+FSCrNGdUg/MFClF1nkk c8Xe7//XmSHAL7RMA3d7YERwMlf5KeYH7Fw/kbwAgDBE8KQqwoo3pvLVJbekHwaLz/sx0OnyPyOo /ZJW3FnhQMXn6ZwacoWz2UWGEPK5JaDFx88LOONfQ54LlZENIk8DMhOheb4ENpWr/1uG73y0IonD ay7wukTbS4NiS1n2JS43xhrOaWXMg0SKweaR9yAKdW+Xd4MJEJSyo9yNVut3ixroaJo/A6U0gWZD d9ab+HUHw0/cVWoN+8/gxtJ6z7owG2U+rRswnuNUYSZ1ctT9O0qryLSGfen1LB5qA7UxZmdHSMcJ a7Psl95rFgwLIZR2urhCNHwJwpH8DkDGDpjpFr3WLPpdussXBax0VwLzm3s0NF7blhmh/4SBnk2t kzl+P+rDcF+J3/b99domuurSRr4cgcrTKI0fLF0/8NyKAOu7Q0XDwbr1PPBx7mACvfEzg6GDH8CQ JtZuTouFOkNylGyWzcqxorIicZcGN/eJ4mgvwoq9eaNg6KzSooglBRBuAlRPFZIkDdjd73zRwwXo 2HGGu0xe+g+nyyLIAnAr0o3uqjfCnecdpHBmZzuyBWAl4dmj5hDlPRTUYkictL/abh11Uk0/eBvV S0yCOmg6kTI6hhCBATWun5s6uGmmLr4elD0vcjzA68LFBsVaaN01NBqCgJB5D7Ig0RHkXANCE+0w oxS3Y8R1tvmWDm1utVJfu9leMUZYgZ3u3YVeciit7rMwbzWCcfxOLQ2kecUbdT4r52BqM3FyhTL5 nSKitMjrPZ3HfldrRNh32B6TyLOtFawBWDIrefxEjrBto2QZwZDeNeSrpsDMW5oXemWjomWQtJmD 1KlIn0W3ez0ftOJqnAOpfHU2u1jhahqqCEJJV0VUi0rTvCs8M6x6MxHKSQeFpFaKyHGtvNYu8oeE 0OrOu3hJ4ieq7cqspnz2ErcrR8dsK1e4uwqG/kVricuNtu5htydLKD3U66z9eUjwRwxb7M2LEZDP Q1ywQ6aQnBFRPUz1cDa+8h6F5dReQ2VLoDVwGEz+B58xyevCvTz7+eLRQtQdaNfTRPtAvTORsW1m Me+rSz131YoxgPPIqZeIDqnvr9fXR+fK+A6pEWDHXAJrRibdOA/cn6iRdGbs17GGhWgHgUTQpFD9 xTgt5taM9yhJafKyvVEzXs14WkNrIjQE/dYVI/aHT0jXNODeHxteCQg2PyDj5x0OIrAKC9zgoqle WI29QEWZh81ORGR2xu5Ox5/pgg9R6gc7AEmufHlWq9EtzbiXHA8x+XsqYKtd8M3OMf4lRax6oMqm hqBd+vtPSjAnAfxU9fb5b1aeFD11dvlkuVDf3aJkST5Ie7mBl/riU0UYHKfOyGKme8MdCCK5ZxzF cHt7PozbIXYe/reCRhOEfCElzdVGxNFEz40qr9eKgNXnL7R9DDZtN47losqzlsEW68TxL5xHrTaB pYJ/KVzmKLUUJwelky8D0SJE9tENFz99q32WkdxiGYke6UGHO/K2fJuq+hGJInEQTbvMKXlzacPL Tfwg+cDcoBSzJ4cYu4FZLLnDfO9KosF0ftlv+wCYl4HoMuRS+P9RZ0olp0bHcUzeZAS5dmYGjIc2 121aAAMPmFjSa4sCLeBA2J7nxgpqCMEK0/K05KbsFnBgO7WJKOl+9qGLQxcoNMZm6AUCDZIsYkjf bE4jwT2/QO65LqIz0r+DweGk7pqgmei6/JP3HQVLswehIRZ1mno9EUYPGnxsIrRwL/JA5sMB1gup xUO2rGmqUWQX4VZejdSOsOV/2fHY5MaKyYolplQYJEwhWGZrMksSD+/9iFvlV6hGlq2ZcNpvj4T5 iq+debTUk65U5EBV10uaHcY+RwcxPvvelgKOt152TfQNkF+EwqfedNB44LgV8/VNSskQW0/u/64O tDbi8hwrRmnkTqsTXFMfxYurtP5Q1uApGqTtabC0UL23Qu5SqDb1R6BEDb/vZUnJ+H7DqbbyRbGU /OUQSMcv/OWKn+gwdIKHyhxWFpYttC3gp+JDq0xLGJlC92HPFxfRUlm+AL8X1mE+YucUMAKwzOf5 v26SOAmNawMCuuWnesdCq7lKowEF4Nuib/wgoX8EYdLKliObVpCNO2BPLpwbU6AiiIUQX09PkYZY Pn1V45Rzaute9QJNzHqT44GYPtYB5tPi0H3Gd/5R78Ud14/2FXs8mNifFILOAOgA0jBUw6HjGYYe yAhq8X1l4FxXQ/W1Ec5ks3L+Wvga51pXuGX/nzD7wslDp6topxN5hu7VCnFBr3x3aFUxGv7wiBCO miD36Suu5uG23xwcncTdVr48oqybbKxSx8OoXMlOP22El0VbnjcWPZTunI0qgcgufsDFe1xMAgrD CkSN+9r5rZQwMl0+z2zgWC5s9Hc4X00eBT+mPMioc+ed9snqIWiKigM34Ldt36twy1jo3TNoJLaK 1JhaE+L1c7QzCfHUWvjXKiprhFLwOG/EzxMEBnR1Z9hIu8Z/xAagfEG3wCwPZhZ/82o8d5EyOpPZ 92WJ0iCfPGsv4N5puCJgMi1CxRrIK501sM3sVGHwqtXn/1Iq4R9RNwOgd0hfOs+6odlb/5xs2baf +iDroDFLBRfqJEY7FFJiSygFVCBSrZCtHlcB7+US6pw1vNn3TUHEGj9CPPHannAMhmurIhWOUem+ lUz8hqafO0uPk2obJdXb9UKBKKk3frZBbStOdZ0ek/4F/yp1jqPhJpxVuEjVHrSE7ZG5UvRr+ldC a7fdHX2KTXOdpQAOPOfnJJS4Zh1c83UKsc051/ZJm+xa3/T45ywyEuBAtVutscor8gfnoXT6L91W 1Zu1+zFad/dGUEJfrl2FyY4v/hoEHMkvhzTT0Juf917SZ+sIXQmXEYhIdYKX0via/CzR96p0FzNG J1odkTifimwk6gFKUXb1r8VaVbcnrO1EQMxj0pi1zM3pTVouPnYMyTEqZhHMEMRZiDVmJ2EIZVLH sbGd6WzL5+GQBs5BuujOfVsbtBqiU+wer9e0UbkqAAJeadYRb3mQf2Nc7a5ba4MzMUHnbCmAb+IJ Dh2I9s34NdTzZPxrYHmmfmJUNK2eK2oGxOwCozGp9oq6CvBWTMFIifQg3BsSYrW40Ue5ilQl30/h 5ih+cMHkCsBSe1xWU8t/0ZkPdPPTOvVeE4j2BY6n5M0pOa3+AdVuoelBHCQSW3DVz4fM18otA9QN Hdu8fw+bHAONQucQFc/Lv7TOWWLXDRxCTH4Nubt49E9fFsDaNhoUlDnVvmgs76AsuU/ys0CJUAD7 LdAXCFkJimzrdfIg9iQiztm1VihPunQqy9C0zhCZDYdd9SSDvdvVHF99g4wdIBBv0RaFOcDTrMnU sOfHiQk5lgdlfptjBFDt8slXe3SWDFjdlVaKfV894KY+kNn7JllRN6hkAZADRs0eSm8uDrtd8Px6 jcHrnGMSSFsOZh0PLuh3w/dNbGLD3nC9v60lyPjb9m2S7n6nDbT4xbwds2b3X51gWDKKP0jO99F1 +ZyGMHPMlkw0pE2g48m+HjIoapl3Dt21ZGPNJM6pHwCeOayyfaRL34gx33vfYzI5J4Mcbib57TTg pH6GI6vQtaNWfoFOFUB6Ef5/W9WiVlM5FrjKT1vEScOOAsaVGgzGojSf5luKRgVr5h19dfHTakgr 0CmSjrTHMqcZCUrxRNRZmjGE+1P1gazbd+NUr/qgZ3bw5KOuxpdHxMU8wAmPDjD4g0O56q/TCwY4 SdPsDLAC/MntUK9FzuFmccWzlRSMENtje+nxvRhcs+w6Y4O5SMLgrNIuYTTAMf7JhlFBVB0fMFwX LpduGU/EqsdN9mafEJgdfSR4SSDtw1n0mf7zCnR/jwnDOT1RQ4YslLjBzB7FiAahJBp5lR0d9Hv7 Oj0wljUtcEg3U+ruzo5/TjhV8iWFg0MIYRS56hWuhiWqFidKX6XgM5wKt6UtmB9UpImfRteR14YH 18Viczo3/IOrF5h3lUk1/757ctbCc320h/kx5nWILDP5shBBsteB5F9gb3yfKslMKgsx7pVMcHdh y26efoVAoQaQuzclmaS6PH57owsVrp5fuf4Zfsy9ktn/0V/C0tiZjgT4lq8x2qzMhloftiwCp3e4 Ae66L4gmOz9gZrsuQYgyN9Z3pgbrqLXmXW/dTwa6iW5lmlWrYE2mVBctaRw5IqC6ssosactyIO79 3F8o4NrXeeReiMIuq4QbzddJ/INyKsbLBV3IWCzNqP5kXKK/Nn53HsmWDdJovZ0T2n2LOGK3q6Ft x7HzlZUDbquiVT/dBvyHEvY0pV6q+3v4aVPYLuuWYAL8jVaAZ/gY1VUZ9j0LLplvZTxmuQNm+Zcg SFoMrhBx8qFYW7u9pyJ9MGegtcpODcYH8rLo4C44oGXIF+mM4zaYjJjWcXQv2BYMlrSV9Z4ijCJZ I5+v+W37i3YmzJQNa0JLlPRa0RFe59lAYVONq2OUUhoLlz43icLWMIOcNsWvYjOq2uLhSENfhx4/ nlimYbBFFsZyB4hxarQlJKZdaXV2ZLSUp0T5Ng1RxIDbxtXFdrcw05H1sjB4qX+u6Tw/ucF5BLrL 4IOEQK/eEeOkWan4Wy5V9apJba8PFh1w1RnmAh1mNItCQfYb3/ozONfJnLNneOtSVbjX10qvn5Kg YBaNW4Pc4nM2rfzEyCc6wKPg2R2zYkA/P4ugN+uHWj7xtCnZMGh5Zo1RCI5GNnPFqMupKLPZ5PJM PSMUf7dJf9GFD0HVhMFdeFyibrzNkTC7bPgoHPUX2/0RyBVxd4oE20HFkfN/6nwHyQbCSLaoJxzV jnzuw+lLtt9QlANZH33Shj3nXWrVSiicPwMUy7XJ3lmixr3NBcnHS1o1MmrtF7+aiMGvvC7nxfYo akq3LBMq2KUOtfw75MVje1lmNWzHLGqZKKMrI89orchfzK/9dyg8H3GC1ZDhOLJz3CcZKtmqP6rI 6jtNoSbWvTeN2BtQEqg0BVjMjr/JxqmJZ1sLC9UEv7n9kPSskqzDuW+D6bEuyn4osGZmmZPFJfjW PfLyc01FkL2UuLAb1L4R+zS5zWvZWm6vPVQ5vsrdRhZ+R5u2EkE4G0e+cd/L1OVOMyPXAH+exmjR U6DCFPjwu6BVkTrxl4Cg/sbmINnibZlsYLVNOsNu5lKWhSsV5Uq/M0Hrd2/PILA4zskqLpzN4Wgp tPZFx8Y9gFmaTxRrw9Iuf91ieino0oL3JqD11Pj4CJoOMTkMZaTu9IFNkAu0S2boDX0T4sNS6ZkC W2Fyacg75q6PqZO5l3yw2GXiTP9FQKh1mqBwkjady8tMohd18BPh8nEzg8jYLhlnBE5pV+Ad5IS0 kLadWvEGxy5KphEaEOAliwo/THZST5yNoENBHr6dRdaJgRu95tBamyzb20lr3mZ26Kr/V7npgkxM TgPGrFbRXN3fDzX795rfhfgXoPS29YRO6V9d9gUZts9LUNYQp4cGGkpM7t5RY+uKhFjhJbJ/+MSf cM9Mwdkigf50VMZXMJil/AmpKRtUqt892gg+LxrOlplt7X+IhRy52oangeQ3ENFBDO6GrX8/fTsJ J7Y3/q7iAEG04pDunNyKZ2DB3CENpmSk69f2o3pbJsYWWDY+7O23gP4PKewYYIGN9pcvYYpf8jJ/ l46nHw5eozikDZZOL2xoVvqKcv9yt0KsHYM/v4gTA35xQd+NzPOEVT7zVnnhOmQAXnt9vCQ+VKCu iWrOQshL2sI+V5Q9KFO3lP38qwzz/qRN3fAFZ8g1N0k2hPsFQ8Ff9/Mf8WPTTfziDJ7g2X35HyMR p41ubxxQt0djSf4qQoMMD/nFPAE6YemOeWht4vL0iSyA23VCpnQwjh0hZ+DsTwyGFwb/EtGf12Gc Bhz8RMovw1dY7YwXvrLxXepRpoi2dzFRG0nc8ptohevQhxNcXjeom9pFh4R6VrvbQEbmO70j3nmg pFxW9XfGdRxKyEVaEJn3MAL9Pbb6MJbM0Wk80RbdDJ2LqLpMRv+YR2i/R4TR+5Uv8JCcBFRY/yD5 i+mA9D0RLC2xsxvnl9RgjsfhOI5fMhs0O0NcIe83I6GPkCQKqqs2CPEVA7X2IkqneLN/+hfIQhXT U9qCjrMsLY/ufLIstDpP7wqr+osmMwstOp/FEPsfwnOr8cf/icW4n9JCnt0Gr4CgC9l2zqnp3mn5 7d7u6iMoClnl3VHjJ10f5Zih3vdzESR+y6AU5xatghH7qjWkH+s/yUfDW41EZba8AqcJ8CZDr16O D9dA/FALywNNBpjFPB623zXgK0ySynhrubat7bu/fIYxyvpQnoI4Up1kGUHiKUsko3Vh9Ts29KBy 82Ese3e+MqSbBznwoC4C1CCc+1NPQaWsBR/DOezq1KIz4JGYTdbshXDutx21xyuZ8lrlqsIyLg8j VIvcXVRhQ9qS1o4Pm6w9aVePHRsElfjEmpp3/FFSeEdCMyLeSIdsCbTFOxTJUq8G8NY9Tueh2dye TzjJeeqHVQ9Cke+uXNorezUJN6UlS3f3P7jLocvFg2ChRjbn9vIfp/MkE4Acx1lRxCNh5eMnL8ia bemjobhaj9nt+ERikN5mcIu090SB5XMcG/TE5SSSS8c8N9FZE4NL+RfBb/SZhzKWRO9aMBcNrV3F oQ8q2j+tCWU8Ja9GGRXn7p+SjzOc9cFnv6Mt8pARDXndCXsawNbgHeSpW66dk2srao96dz/NEh0N AdmRM3Lags3rQleUzFe0atCJ782QX4lyWvu3rISq5X63X10KlbLezeOSrNzISKvUapZTpuxW7JVi ZaESd9X5fLl0dkZVEliIb+cZnJWBHJ7GSD+J0bbKQ9yPnErVJNmEDfpphtXn56EN332YA18KvZNM udbXMLitJx9OQ+8KMhPXUVOehv/zRHMwAcBNRHZG04qLZEA51LWwXb6cif3tNIpzkeW7dcuKTazU hrQMRNl0VDgiJDHVhLQqddFAI4GrSC45oT3z4cFNdGNeLwsTfLRL6mRQHV/9sI3ddJ7kV3t1rP04 Ean34CCJFnzmNUsU8d5EF4fwyXwdFWSb8Eiyv0e1aZdP5YfAd5XfVxCqvuz/oVOocKI//oTMP4WQ RbgWFsJxrrJJFqrDN8b+EmhFRehAp9P1PRMlpZpD03Mh9xr242IJucztWkSKjR/iustKc+gcT8QQ vhXDf6yakPkXzZZywbqEQRRHhNbtH9jh6q9AZEUeKieoDpamQfMCeQEU/eqbLdoD/jDADktPGvus A4IlJp7JPv1xsCgLREFlh0U4w+qb7aKf21cEdsDC2IEN0xjnpeCtKkr38Z2ezp5qLB1m44Qz96jI vrjc9KWXMKa983qJhKL1ACnzGj2c5re1Zbz1yVMfR9ntxtsOVvPJlVyxaSF2LxDuiIl9cVAL0qlJ vBQFet8u4CBDFde0atEXr9GT+r+jIj+aTfM1Jq9n2tf+oOa4oL0NS5aTM/cKW4nH7sof2UO7bQ+f jyslswfx/wPU5hmMh+mGE88A5pKBxAVpL8HJQHtcvKiiaEtICD+EY7EyD7IVsuZ/Skqw31VWzuwW euqmX9eIpGjhPy033xLphsx7hP2ZJRvh9k3XwcVD5gjpTraEQMLwxijxLcFP6iEJK2RsSb1NsA8E Hb3X8JFDEvpq8Xf6oDjgaGTDEVo6iTNV/rOT2+pHe1uzjZ/Yj+khOb69n3JXbMiov4TYxUTS3ELq CR0MTHlH5L77IDR1+ATqivdznim7tlAJiKkVJQJMl9kiP9ZCtRYTdcuLCGms/ANRm8PRM9J6wgpN tCPLrnEh0CuRcvTRp5CSwqNBwXxBGvBMmu5FCwXGpTky9sLz+qcLO24XwM/ocUtRpWxUdZaqSAvI 8pthjPLujZQlUmWigQH5AjvtrLxDS0OM1n4nDsvxf/nT0B1U4qtdI8M7q+ybZ52T2t6uIlEqCO17 5U57vJwO6MhdVNYHjKC4vptYj0n74EcqCuffXEKBb8je1fSv3Rchay7CcIdGDvdDULpAhUuOp2WA 0nVspXbcIB9icXjKWsy7CKWcrjvVF42Fr6IIVjigfr8ZMHNvX7pQ6f3X9rD1J56Tgu4D7nRuQ8kl bHpOZRhp8+mrIdnNJmh+/BmKPBnXanF/uAkOyqJsC9v3vcwbfbFRi4lTuUrDXd6E4IUUk4OyC3vi 4fP3QrqTVjvfX/q+K3f18x3VWDXmPumJpf6t67/kXHuhR1v02rEQ5GicDZniMBJ7Nf/sZzm19b3z e6R/6stNAYGupMO93bpJwUe/BB7hBqN6vEZ7FxmWpVodeO7noRw5UXfEP+YUkbOqJz+uUV+/Zal+ nUBf5tPZZtXOV2I92e29xVM0U3vzcEij2bNNSLuAMVitF50pq1x2rYfgdXjDV1ihwzUpftck1oAq Mh4SBLbxCKsD3u5aVBnCUu6ViKCuKMCTNY8/PkKepC7wJ6CoH0pXTR2OgIjYiufD/Nrue4V7HwKR a70aStTqUaNzOtRHYzHYv/4CqLySJ2jXg8hqwJe1az7JHeQqiphTrhmVTX0IzPE12vWW3kx9OPHJ +TuYHex844zIICULsXEF6IIuohcA07j7Ww6IX+010lLWvpS/y/eK5UxCh9/V0d2SQx5ncIlPAbgH nfu2F2SIS5YsgrlaSGzoGnxruJ0pw1kk6gIHPmSADpgPkP3s9Iymm9i0n7hC4a+QT7u/CuMF6Il7 B9JqbC0vqbgb3FCJKfaLHaHSClcu+tnmrmg+FR65pvjtTgkKRkLYaaKa9/lw9/Q45n/FW9km1N52 Vrh0jlIq0TwH2K2AU9/5Hr+alEyy7+RYrakYl7XfZZNd92A6+h/pEmEJpcoB58uguqBy6zOQi6Mj snGzMSk7bgKJoVqoWYJQCWGYUqlAFu1wH4+ndsp4dNIDv+8SLMtp6GSAiruS0KAxHK6EOAuMJZ2J 5jL8NQAe8oj1o4x8/V0P5o8Lj1jPZ7aeZwVLg0MC3W1iWSXhyh361hzSUtfc9nAQHdOh2vJvdLPT rV2WOwm/F/S9ziwAcvfVgCLH/WGuGHSIiho3hV63m3Ua6PhqMgSKM2DiBtFLkKjPcO7di8M/+/44 LO8NZ1h06Z/SX3GBlcfJtMmxmUbJfdxydCYeSBkStJ2t8FB0+L0sE6GKLCzujXRO0TV/E6FNaa3M p4iqgfYKWHw6dfY6PA+zpddNxaTTwQTwv/DPNaOTRp+c88Id9IkPV2lwlS2l7hWHC8mxyY97Nyhv QzOG+phn9XJlhVvr90xtmGyEQchOSBe7Rm+o9dOJUVAHBtHuoOeKk7jHpUGMzGLDDFaEnGcLYa5W Zwy9nUbjEWfPjggEH+20xlYKfCZ9lXZfEbI6HW69HaKnEbqmApOCA546kjiHc2PHRsrOQD0Wjxzv gzmibtG5r4fdAhVt+Ub235CGq2HjXW6aISXY/WEJHhbt0NxoE935y4pAWHOpiyGRWgZ/49HPqrln EYxFujSlHj2vAZSzTa0IfvyXsXRT5+sUQr2SrMXpWzU5CmoXFxhFUtn+DSYTc9HUjZjvHSYh4XAq ixUONXaLIE06FVYesGWTBSn9JU/sYPhoHIdQdCwTJWLY+jhsLG9f33yXzHBd2SiRQyAWU9rTxrvv 6qL+kbTqCcvnlV+rEpS7kAF+G366Pia/RksM6dpqL5fBDmt2whlvUurKu1yptFYiX4RhG05xmKdb Z5CV6ZghLXje7F4O+m67eL079OMjFZo+52Lme/uRRJi3OtUS1qWZ7jDGbjBrtuPACSNWfCM3XPZY J+vkftZpoTbll3vmiTNbhUVdle8MmyGcPlzLaRBGfHxsJa0QqzBZqS+qCy8rxF8BDndJ6UHC37e7 CKd0Kl6LRIDZV0enyCnqeeTNEPbNGX1JP4TwCrwIK8Jd6PssD+pYzWKUcQNLLIyx1c10F133qrHw FeCCDKT1Zf6307ES1HUsWVgF5RJeITDtArj6bQzfp1MJr+TJDb0UlzrlyFaDlfd1sf8U83rP2NYr ZaTNu098Z04Gm0vhbXBoVqPGViJHX02vwRTSIfeq74Na/v/BGPuVqT3gXr9HLtVWcbivHCaQE/yj 1tDX0NAUvMf7FZZ5nK2MPLXD7o1TXXqKoi1R/HdTx6y5x/AQ5KDDM8a7Pe0nyxIlaYiiTNiuRgdF b8IWDlyq/aJBjcOuT3LSoLuUesebBUgIVZOGaw6FQ+/cfPfm0jA1+TtPZPpe31WyLXGPy3jdI3ln 0+6u5J69ABiPBCPl0DOTwqylX2HyZxk16DUuc6855At/S1ppI6MMy1cn+qS9tic4KvJDIJHByitj lAAcn9JBYm2MiOvZs/+7mDFot616idwq2EPDyVGMhwUEC465o1uu6Ry8eId9YZjtMkoE3oyj8Ifu VLMycsFxwoumNKlxzrkALLqIbqASv9Gxd18TXQRCNf0Sff6Q9+UiGiURra72ONtCMP0QiqLQP1vA UZJj0rKfUfU/hcBC8J2SfGLyEUHi479e64FFZkYQIaogd1krbMFr4pS2UWCPztOzF/zdTdbTy92n 3gEW1ckc4SQ0zzmQ+ReWj7L6g50G0IvW82opajGJh6HgOIk57w15ukHYNrNXRIcq+XWVJcx3QRxQ T2Qdid3pHqazHpB1q/iTvAblm69v9aZ0PREd51MQCAXZRzdOmZzQt78J1S3XyiGyK2e82MdZxVqy 154HgAWbHJhFQrhyUKPzI5Hi0iyFWlzRc5BC/r0eO9HVsIhJb1pntE1nia1zUc3C2jNxtf1Ze50k XL6FoKU9C8m3wnllZRWYUvZySZ30rtv8ICwnAp2xjsNoIuXfr/UZSveUUcxM46LrWkIyoQJRpbQQ 8S/8akndP+Gdx/KCwHkfn21UcCyWmc8ReOcPzoUpSxMx7tZz8OaCzb+cJKm54wQATBDrxzNarSlU mmI/gDju0oItLq1kQHYzwEJSYes4oR1dXsqfQ1MDunGJFY3CxA6HY00ELWMTiw1qIeaVNbWSqOy5 xPhjUJJDjNkj01rw9x4dKKRLn8UwoNHzCFTrAfxrZddu91vE2F50bxGsESO/fpRC7G09V4jW8puv rR5cGAtfvijwGOgT7zHdM3harKUS1tJC/Fh/2SamH0gdYbJpkaigii0Ly4nUpkJYeyNv9L/GhsGd gGj23f1syKLQxkF9q3DI3gjzH+Pj1GjDRdxU2VawZnf6a70ZwWuU4CtOzU4kLSIkG1orl+5uN44m gp+mB/k3FOV13NaXK8DLRZYBo9KL8bRePU2VhZlWRAlbxu3xtCdlszaQmdonVTiU4hrJ/kCwJG0F Qaa1AckJjcRMUKiRsJCdPl+bzDD8mWmq0FFCXdxbiWsnVzjd1h4Jqzy1V20qUBRk4I4G5fpmsLbb qS67rOdxekACA5vYuOtljborbPgIHfAns7S+Lbim20xfuWmAUEn8o870zMYOPcE+2EcRKilIooCN OgKF1/9vy5vB/vucpAubN25PBU3+Ri6b0VhSGGHvvDb2iIqRX9isjxGxNr0XiQWXFe38DXJl/VBi Gp0X1n4hYkeP+GQBSZt4MDEK3/4LBqvn54H+ZYBp+JhVbOmTUkQNvxzYpUtkjVVqSi6tA6iE2Qd7 63SxmjkJb4h7zfvABMMv5XIISpzyCm1Zblumx/HjG9D2xb9mC4Ep9+5W8MH1RuF3dXj6tLpNIjSb aCGcj7WSSqUGtL6t2KP8SWrMhRE7gOFIIxVSm5OJLCGlmi0NF7fi1m1e9ANzmP728r9JwvowNC9X vs4D+5uMCRUfeg3D/s7LgU5HzOAV1zzvPpX3z2PQ0x9MIm/4UySg8+IK4w/GPdoHMT93WUuRRV38 /emmL73L696BYxTtOE/+Kigu/+pBRZ45RK03QIS1GrUw+6ULza3MPyNM0Tlor1XAQy+keYhhQQn+ yCpBANBsyTmAwzqlq5rNlI+rcbDF5ghCnk2Z0wAAS54wemDfpR1zVElCqF9mV+j+OIceWb1FgxrB TCf7ztRVfwIGM+wXI8PZf6ITNKEoe+w9Mro2VZF9dGc/iattzcWJetZnZ4Gw2isUyemapoNkN+Ij 6hB7p2Y+g/OBG+r5RvPnuTKuGoqaRZE9pCVJa04SimG7X6/FKTI7BehMB1Sduw9PDPkIc3n46gUc nYkBDirlsBJG+Bx342CW/RJK6LjsOBlaaE3MESR0zpy5J6FlFaBov3nO3vEgWJV18eVTp7+w12Kr eSj5apERS3YuWioYmLVApudAtlJOag7+JbYC8p9CvH/Ge+vVAslQlkyYis2U9d9SkluSgs7TAqlx m4UaZYrF952g9MadtTUsTWJeQgoWBhV1lsK/hUZnqrBa13LO/dzS0NEVTaMrzN2dicoMZli/Rz9E 4fey9/xPv9vs0I2xknbWl2frvNCI8sTZg2Y3mM44sIaRGV6XL3HIgdR0wyPWZ3rKJPiT9Y/omq+9 yLFA8f51eJulCo1BbzOc7F8Z973moC2dNvSC7b5sCHOcgA/jOUYH4yYUF+Yz1lhRbjkTlLhXsuQi nz/HZcvpglAAF5VFqbREyhQoRP2WIlS/UKGzSdVxoa2cv4MtdmOXldYaJUkRRnhFALmJ2hks19dZ MjCAXJK4p0rQQ54X9C6UNnyNE/a0lFgxzvbIHlBIrMx67cj+WngLKeUqNIgHN79Iw+En+fopJmTw ve1+doh/kUToBcdkSHFj3hk0Jnsno+Yp1ydXrqN2/Z87z7h8i9vGURFi6loaPmZNFOlYBfeMNnyB 7vB7BqE7bNL00pa955kyojPW3PvRTEI9QxOxHvEXLvZh2yN7G2Fc7cSS/5ZEkozJasNyOUh/YFPL TsrYTW4HB2ogdVJ4s1f/RGw0o7IcjFNl3PcX4UllXrh7Ajc+6oaLxuPhWG+9JHYDGPvkEjbW2ZIL 4A9djDas09qJC5BwXFwFfsAoX2kf54AU27Rvv9YohQ9+DP4yXs6upk/uIQBSco+A2ZEuOPSD2Kz8 4ugGeIdoZHv585egOnNMQIeXPocEzWLjI10KpQhvj6QOw390bpFG0QmBi4CmlFVB6i58HRONw458 ItKchuXS/FC4b/dFHw1X2ykhttJw4VV4JmNkFI62bE1oyJtK07K08GL56TK0NTo1qwhTt5e/NhBZ rb0RP75e7rb7E2yLIu36PAFOBzNXWZQbCt0k2VK1B5YFYF/9QSiIE9jJZM3rYnFfu/l47AAqNdfe J2ukpXgcJy4hRu6oRpApKyRF1IwU74Xh0RST2xL+PtycKsU6eQ0QsnKWHn0UYiv6V0dFMOgCGcRu cE1fw5mhGXDkXlK3orz6mGdj5Eo8DYZv2rM0OFbt/mzq9oiND0J/Dp+PqMZInIYgU6W8t+lxBc/4 Z2sZ1D+zTPmG4v9kU+e5nWA16xTBAfEC94oYveDuKXwbvtV3Fl5AMEhSsC5lvHoB/jgvd+JoW5wq nhlTtNT6wWqRi0aUAVMIRrXsKxsQoNbRjc1uqwtkkTpys05Abh/xwVzTnHDaKO6pA7nK7sIXPsMe EPllYAReVyW9X/kHpVF/ADMuuTQUhG/t0yktdIMUxr0wVbmHjirWAayfcZu7yJSekUXlod/rUxo5 7rrvRCuLciq8VKM+8NGljgEa6bRk1xTREJvrDw5NRgo8JqAj35806PJP8R0WdvNkb0AUiPZttOVp ZyEwoSRTmTKOPaBFOleEoyoUkNtzW6CVNRpAq7Mhz2OOrrVa4wUzUDesTlGvjsLAPzxiAb7KdLbD t+/swZEOX/198BBWR/k0PP9kPtKJrx4dFRPLxMqi6fGwCuY1FYTnG6+HK5eWqS3pLRaTuDdpNf3e FF81leSxtjTgvdB9YiK1yZiVP12gaoARpbZoMVDywxZfWS0KBClnoPC7jZKG/WaDXlBe4xmlniuP tlVOdosaKXcBYlSfXl2b5L4brUYmDCwjD+arpDWYO9BqiSCUV4kg+9Sptqhu+QuNLFL/C4K7CEdL npFWnLgLJBteNC0JzIDhmfEeWPnv9nbE48ifpNq0zG+V4e2TwaRB0xwGnIh23H7poho/keOUeij0 xd29IhtXXtWFWuqINAoYScCGSKYkUx7fWXTOr6u+6bP2ALXt40H8uoaUo72w4Ev0+1T0/EY/o6e0 6aWGE7XZfMIFxXW2HjsHc47haJGmwJ5kcA8CC64zkeTbhft6sNhh2RMZZOmZ2vNyieRRntQEly8P SG5X9dSPG4ZkvKdk9n+j4lkd7rsHTvUUgkPLdLB/HhK75nQ/mVrHy3emxcGuJbCuDQ96UWBUO25a dxw4S+GSufGDsPqSm4lryhAnp4JFHXgyD2rZqgJCYqHYj2kMmnUdwEWqHWfcw8d90wVM34brzbjR Rg+299XtJtcMCAPsu/NZnfc7CeL1FTUBl02qC7S73XhNykc+Ws0c8dbre7jlIoocI78qVF5eLI6K CrFHvb9bT5iFOZr3nQF9ngiKVeaeT83V249vRYCAX5c7GON/at9XtJtu/3CaXixxVd1+CJgoAA8U xHnH0XkUL7ZEkkoFnazIbX92WflKMOfK92VNXxGzvrtxzl0KQUBWBNSu09Siq/d6qy9PjfytYJa5 IWZODgCnyB7aFagimcuyoUN//yw4OJiXDMacaIvUZ6NY0f3e0C39Z2nMqnqOf65N+edE7UI0H7dd /7F5pGEy8g6LmyPt7gzjs26dtd5Cf54plCotTaOtNYFP2uCW2XNW/mZsPrGRV+jsQqp1Tdr//x5I edeCWM6d3uH66+E6Wl8VlC7U7JUfMCDSmNl+Gsjz+wZZFIM8QtJDPgEwRhcL20ac1zP6TCO6aLxK yPZplBwcuu9O5/rCikQpz875z44oFqYmTPpVTAkHcX4bPCMV841yxTs+XWRUEkSJo/2UohqVXKHc 6rLuSIfdM4623E/+uvej6cTPfHu51o6fbrk6pTsa0plNlSRP++IYhxhlWQIQK3qi+0sb78yQjclP CUC0kopvUw0c50c2IcZvl/FZaZjpG2Fenj7fNI4wgk0SLsoL06P99pt4ze7u2KtznkmTtgerHTYP Y+UDCkbrYl2N0NwE2bT3+nO6qhtmPTDSu30NwG+Vhw0ofoxLRJez9zTboIi3JOTZopKi8eQQ5idO l0YPoZx1IqPR1AaCodKEpivJOxBpSPPf00k3NV3oySlfBkh9ZSOGz/kNApST7ajsNU71huHEjTJI 2AiG0yPsLrfDpqnEu4IV449FH2YHAkJFqZ5bLUwmyGk4ltRwnM9Hi6HEcE7x6Jf33gdzsgYPM7a5 rGgE7DeMLVKblDdK/bgvQIGRoDcbWjbGHicUHELGQFNfee0if38BW7/d9/+2nd+qDcxVssPSDzZ+ /xt7+4xJBaLx7A1vD8yte/kNQU22g9GO5LzwR6SekxFlObyRfx0/vBZjNLt9psFbH09hECbM5sy0 03hMdWKPynlAB/AIaOCApGk/iDu81BnyeCLxjlBl3rkvkAgCS9rNx+Rru71r0k6qy0WOA6kkvZZb CUsyRPpYyZEFNABGGSBzGRZPO0tZN7eaRNg4zlerekoHDQeTiLSg4FXLtTrsjRV7/+dUZIWVYl6x Rn0NfyY9FJN3wl3wYu2x6exU1f2RYoV0Rl/l4didMTjY4af+TCBoOu8Nraz6rcQ7aeAlMZABSMbF a9HV36ACZ9w/TcmsjwF9pHaCgLh00ElBgPzxOBWkFtLVeMm5dZmxeo/JmeUnG6IcI7RdJR51ZnOJ Abx1zpIzNciJPCqCTel9F9M/F2Jsj4HqoucDbBYvf3HwQxcGXz658yAeNhSJS5BwSv/nkoJ5I3x8 4D64WpEokM1BE7aEDetwY97sIKlW7obi/21UbXbMpRtPoM5G2HwVtJSXKLHvsEkjzf4+4nKxh9kH P3ZER/0qsFCZ7+BokehsIFzn+ktN6BelPtfb910T22cXX9poWYQT8GsDTm/DTrHmTOzuIgNjk9pq pwgYu37IhU+hpXkGOqNHJudUQluJl9P+g4SnU1g1pLqiEMsV/w0yhAt73JC4ZH9cFE5uURKTi9Af 64ifKiWEPWXJ8DNoB23d8efN83UVGjSMvMZkxxl/MWpbdAK9yZ3IxFuXs/1MWt589LFkRQ/F3C7i 8vz8gMawURVR4xXlYFyPAwoBva6kO5CmcSeMSavUzHKvTo1zpfkRgY2pthj2oqdBeUUO0cttmL7U hK1LmsGo4erfFAWkO3+gSUEM2yiJZSy3KaetHpKYvEFEUXTAcoscFDuIqPQVcXOpeyD0YEuvcmTM P3tAhF83cqAwyR17k/RiKx9cZ1yuXAc1TRZhUssU3gRBXO9wSZKdWmawwTB0hVy+o1UEcXkNnKXS H6A6Apab1CBHKomcpEboaGeswhM/Cx9O/owcfkdOJW7FA8mSQZ2QpEtV2CWv9BkqMrog6uJW4R+y ltjpd28fnnipNmgyTjgiRIqA2mRmLiX16MqEMundPd5uOVZR5BeSKpiq5UXunBuBo8dbITreZiv7 TyswCSUOzGfLb79na0Vqczn822e6MIqd/gQKFuhevPwTuvi1ufS9etkxNPdH9APfRr5Gdy6qXZP4 fh0xVl150nlj/RJEDKlB70Sq6yl9CxylDxx+IRKwsx1s1/4lm01K62csOP5kof1K71dYO1IN5L62 iZwmvGlVCi9SZVdbEJSJ64SawkqMe0vkwgw5LqbTP4NYeN27l4RUmC7J2SUGC/pIh23xrQ6baXXs mcTpnlQK8Bc/BPIETnSKsPa3bICJw6lwGIah298NyJkaPK0h3WiPM86GQG2hsbQSGZ4UrSIaYN+8 kd81URyZ7mVO0Nu4y/4R+Hy6Upuq8wgF0Bpt5meQIVDLGLbtKzgKueGgi0wpilR/foLd1/Cy8E+i 9566Dr7iixeaITeEQmAR9ac13xH3UOUSz5VQ5RZp36sKfJzkZK1H2kp+qP2fvD0xY2jkUJNthzuz AzUwVymddIjuKDM3JXsDqcNG1fe/Mbnry8JH5+gw7WmcP5zw9Fh+1uOPbGmX24WzBW7jHyXW9C0/ 0avtVZlse3zStTGE/WebG8oYrqPKYIXlfWevC0BRmONeIxbmhvVy8KlWyBI2aQ00PaBDP4j352Rw Fq8OFj+b31JdB+F54Dqjy/LmDgKl8y9d910DF+2JJu4mKGPWsitXDVX/dwTxT54y+PQi5mu7YjlC z9IT+L5xk0YCMHtXkWzp3C/xHXPkMz5I2q31de1sm0E+n9D6zNVBkMrDMGaWaV8n00pXZvuvYJtm 4NSOMfionTYcDAMzQOzSmr/16a5AZsomKGfPqJz747xqjODLll5uFmFR8VVvaeaE5lfDlpvRqr78 HHXw4ZhZBeAizygIH8cVvtU5GmLWgyL7cWK5eHcT/dxWcXV+zS2fAJEozaGUBxNiIvqHUqXWkxxx zFYxfh6jSsQidTl2y34pb7ejzKLlarUBwLJEruntdnFpwftE02IW9jNaRNWNo5JjzKvO0QUbvXK6 xrshpXH1xZY5Q5hQSQrP26tlbjC+a5/4fepCgnDsz6VzrF4g2TkZ79b0Q4q1Gw26aVsDgXvlvanp jr0HRCCplpzYXTCFscCxa0EOUEGbCltbm1UqI34D8Hh1TdHagW2te7mf0ofk4otB2qOLhzIZ+wPw HxEAfIFv03Vax+q4Ze7p7Fq03XdSKJf9He4MHYuH110sRCTOC98yZtwVr8PA2xJbSopV3BxHUsIm Zw9RRUEa7Zub5Jr7H5SUolf5w3DRZZZQtH025+GEItlCOOgXoacV9drAUJzSXB99GWwBQO3uDztT jtDu7rqMbSRms/x0pzIlv5VhFlfZSy9jrG9g6at5MvTNquvEUB+/zIhQgr8k46vQohoHUbB2Q068 b4DGp50iA/eYnBLHbiuat7rvTrGp+Jho2bPdT1z3MhPPoCOYZdvyX1HbgsWZsB2oNzsMJ4gvV60I m8ZZSPwX/Ayg9RyEJHzkdHZClW687sKVNlhR9hzhRV1ZUmKbRaZUnvXv0WfQ6TsaZzj56ECYkR+1 TF5TR1AD7UfP7Q04dc2GpenYOmKGFyxjum6ETAoCUBjgP/FeCMagmf76ykP+WsggFJmy8R27aqvw BfJdmr69L2n+JjOuWzxc536pgQ2MpvT4o1kIZe+tFIAJbKznkPfsYOR89m2ZiXT5NwkuA1T/QjW2 DgYnTDwwGJtx6RGUCS4bzrnh3xxJvr18bMmL2CH1o9Jj5uNjWskn1LFq0u/q9xjbLvIF1MTW5jFr 8QXdH7OoA9w+4JM9OiUl+3jNHWLaZ5qI+ZI36b2d0qhkiPNOsAWnk4uEz3vx5O8Q3xFim7gRrvXr ERBJllWdFSONmpDTkmKpsVMiJ7S8Xlr382iu+sKRjdAmyCYu+ItPF4RxoPyoNTdbxxHBC+JPidZO s1yMySpjDxX95VFh4ztm7HcwGl1s4DEzquniu6940rkgpCQyfz0/XT8YJQVKqkxmHcC9mL/c1ggP +viyQU2Vn5uabNKbuq209r/6Cssh+S4Eg5ErgAmd1uCJkXKBgSQDxwc5MY1TnmwErp0aCeDAZhld lJXcriLk77KFHnXd7qxGlMxShWsU6dGPI9agAzykb1xUQXGqr8jvqRotd3qyR2ci/cWTv9XdLkXV sL7Ac4e6ahfqw0s0FVLOEHRpD40N+MulcvS1fA1x0Bs2RCB/H28XtlYsFnJMnwalqKh80JRu2bFC 7Ynafx+iW/oim1Vkr/MEFmo4WLpiRYQzmLXtQvYi/6bcb2NerHb73pMDiQHXR9aykG/L3J2KbPpu p79z38E1M+9JHjF+s56XwBQEMgrMJRJb4S2oWROHbgfRoG3wikLFYZtqLTBp1T1eS6t9OL/JMwN6 knAe1oL4yVJwS6ld1LyIinWvRhoPboA6j09m7yUjrqnW705GTmAEC6Rf4/K9TXp4zS+fwdcGjMBa wt6m2TDLZxZuI0atiLkcjmvzdiecSgqJ/c2WpRrn8uhyLJQxwECAqIz1FCmDComXHbcKQdF5ZlwW jITHz5iDF0r84Eq36/aMjxsAXUhvj/LW7AEywclprt/1YOT4kRmqhgPjpFtehAYWWmo5GLJlT6F6 fO0d84jBmsBeRV1Q7Axty+DfqTv9DHgUVU+EHKXSKfaFLQBacCQFTAEMUZfGuMrXr4L6MWaZoR72 554L/+P2D5FYG8vzk90AfI+KhMtJVQ3u7izsiGcLRwo3+Bfou+9DbLf34L7S2n+cssgtccK1FnoX wBd3TwTPTxyJAtwt8qZNg4JcnrZmvaKAb9wGXV3PFWQE+WaNHmFL2cOoZXF8WLTL1ru+xjun9lPm ZBSj9DVQUcCYPM1hwJ6XUOtoBT0PPPvu99/gwdMcmCPaBqFmWZbGUtqiZgK5tmFAHxkoA19tj2Pb egM6c5WLxHNcojHwTp4Qg6zmmsn7u5NCAgGfuH4Mw5Aud/YD+/+w79uY3lli8x5BN/d65ua2tgIR l3hl2SdRzDjKdd4pvPt0hM6691xNpt0yIS0PE4TOQyKrSc4erCXse0oVUbXXNhSI6tM6vxdEaWms dHR2+UYTW7YNEWMdMxTDIkFTgBu0Vy9IK0UWUWQSfdrwsOnLZ1B3cQYdzWg0gg1E9r10Ev0+OKAz wVndOwEN0jAECf7mF6SYZtHK4qXJXDx5gYIXSvteQRjjvc8UzxtJQaiQe8PGERKfEWG4p2JIAJ+Q cwjlXsNFu8oAZW2KxMZv28axBtb/sKmzShZiQUSUyXHhvf8WVY4EuK4OvRlRkdbPwyC1vQ2qFw5s 6Wl4o8Yupx0Jp3QKit54HJ25zP0hQacAM04DuRY+deNb7k1fElyN0z6kniMWYb+ZQpKfVFvTTNKN q4SUuzKEQZM4Jy5acjhCeUpfQMSuo22KrhauM9hFmWFp1FDgwSm585RD77ao3GgqbEICWwjOwXhE TvOB5lWb0H8xDYauLCwkB2PM5VzOMpKAdWxrj4ILxEC/DmKVi+1ba1HwVy2lTeRKiV8C3Y3wQysh 5tvlM/J/uA9BE6JKefop8K39b4WtunEpTVpWonlR6kIsNg2bgOEDYrLEX7U3ZC6+p3yhFxQA6jaL UUhIK6ESWCRB3Q5m0dZ+qJpG4H814WovT0BG8EkUFqBOwgUQS+bXY11fDdpLswSSkH9KOhvJ2YdV VWNbIz5ZatNX0K0az6/nz/CQSb7dJOIGfKYfMUAw/pIG4yxt6s1+SzgFGOrW216qNhisJ6iyDAsb 16Srzj6gz0MbfYaFLXBh8Xknq4KY3OMEV/B1lYc23o2DHt0vc5JwkmFZGBqMDMmwkEIHX8LnFoI5 WGlj+RYYYOQnpIyni1C5EoDqsIpC5IkVAN1IyXNbtecwfhxLxp99hpYK5e8NzpwuZg5MjDg8L5Gd FT4w27x/M9aDyMvR3hHnjPrqg8yRA0Qc7hhINi/mZ6JgRgfZG3ovR0BS9HRblqMsR75+Qa0UpYFb GVvctyd+QCuJ1kbXmI786zIrEQTtB85XUt7CMam0+1fVqjgqcFrKKMK0iS3AmugzSn6juzwyZ6dC 0jYMZyYvEc0m3EGfCb986XHz5sUPIDrb3kVLVYuWeaf/EoyeQGQbDCyWKyAiECPseQEz9OgEFisE l453My9pAlRoTFCqjQxzTWZCdxOYw8MrsxCeVzZjd1kfe0HoHDbBpfad0NRnC62C50ThZVRv4VHy OQjiyzJ6XkLOBCkBTVGaVKqtfHZUHs/IudxbmtNIW/gmMdlz19LYi5GXkk++qGh4NcsIZqwGkS/s UK38hOVWPP21gjlr80cU0VI2OOOH1g/OVMqy506Ui/JXQ6WzPQBKKuY+8DjFlOKgsXc0ze3SOGd9 xx8UHf05veoHtyV5VuQOsE4VM0aWDkxD+Ufw6NRC+liDw3trj/t/auX0Gg02aBBirvnQRyIiEJcW mqX04gQNfRCdASjHTAx6m1p4kOUbd2jPWtTDLcVc+xFg7DY2O2K2pAQnxlxNUIfKFtV0/KL8JdJS 0s9O8G7N8bevSivqg3q44Xdk/Sb5nq2u8Cr8Ptl5l5myEOGFfHv+9l4e+QcU+Gk2SzWuJynPhv+R W/q31VpUxwQ3tcgJysOyGfvqZE+Io6JXlATmW/Vblkmd04POdiNVEbJdYlQkwRAh+uUSnL1i0+8D K+iN/w9baRWURbwDYG/wjAX34jf0cz3RtC7MyPj3i9YqLFptT4by2JV8GNjSM2qhY+I6Tv7lw8ba 1Bk3gdBpPDR3/gcMt/DUusRMcmwhnTRSunHYj2WeV13yjBWsuY3Aomy587XobZNBCnpLs/kcAbww 3TXFAuk54nVxnDeho2AtXqBHVSVvD0Q9fY0vi+563n0DNeJiPlha6/FflWuTRcsQZ3juhWyvJNbu TCdb2rHDxrw6IreWAreKzGV109r2PekI19fwzke6xzgDBlLSZLkvVTdaXiCQSe+9jI+CB1OMhbQq nHigVQKyphLNr87NoOSdn4Acu5hyVA3pE8G55uOChVxUjbbTPzZNBdqBKHD9vXOhDhcQ5w6ck1l8 MBXNso0eU/D6Psc1efaOOA8rxiOkDNXvivQxOzG1iGojFg9kQGd6JlpzqinL1zAxqptmyqipxSz5 WjbQ9WEGFTzHUhVno3L/YRBRr6v5ideIQu+Y8w6r9CNKFYvqCwWVKF7AvCzgMHH8VP3HHF1QpvUD Pb8fNKOfa/WtjWht8cRuqRtJOf9WMQLbWhjwm91iXKNU7Zf1L1mUueLK2oT3gpvUoUSvHZQU6MWB AeWK9bH+drfjxgaPrOiZYgYMCR+OuIn4/X8R51ZaQ2QQdGoqRA7QFNUHO+uYF6PnnC0n7pUSbB0X hvB/LfZnNL56jDiMKZ9apsdEUGw8dC2u0mMR5JtP1LiKQpEkps3odJna/Q+bdRMQ49SA1HaSha3a QQLstrllolw0tGORJZcV7DNuUEGjAfb9ND7Dg2g1jPZ1cqIc/Bt9Ly/9P5BTPzV9Sa/Hg5WWJhor qIfOKTp3/knM08TGuRtSIRNnbIvmjRmQxL+NiwaXMzb+CQ+zwwwSwwVf1DkremtyP58ipsukmUxx Dbc/KB9XjrpHgolvXftSonqZqDgIIR3CYu6vpyzFbgvfB0ltY4oLAeuWc153ue+JM2g4DTg60QBo 9OEmxsybTeS2hXRBs+MF1w+gF4uCK1cWbwop/EnATLrjCqK4pscJ4zh0zXzPxbiQacILCEzNHwEf aP/JXDYFy48YHqAl90M8KGZ0xsVNJGy0K1DOU89IwWMVrQ4MwVDcUc9UdoWTJPqw8kKyX5zYyCcL acabWG2ZALQs8fnEuwkzEydv77Kvq9A/Nn13GPEWt567V/C+pD7q/B6DKKxcbchSj4j+ytuHmLwX EQK3yNdZx+sXAJBb63eEbiheCM7xrMsGV3ASCu/IYC3vIdvm4JVKBSXaS5iY8x+H8+fCBrh6BGq5 L9zrscjAuqqDYQd/t1vU+R4itxIzxk+LNfT74DZSEI/9E3auX+snRjzT+8vJXPKmj1c3Z66EOaHx abpIM4QjNEu60OWr2GsDmSsqp0nCbL3OxsVN3p7qETB+8yWh8A0jIIVSXgZ5IJGxQl5f9jgZ4Bat 5M9cjQ7vLvNvwK6FWSK2kbd4H3LtGjzXP01dlGv6zbljQ/7bNCY44pyqewApwMZfkOXCV1D72q6q D+5jFn0LF939vAFq3u6nlDYAtwE5C4JRdQs4KypcbBSTNl32AqcvGO5UeBToz5dtrhBmKCYRvROY NkUSW90eQzUQny4Ie1CFvjQNrgihEa8uznIrhrr4p2fv/rbFiqjWnDCNm5OhQbi6i8aEJjZdILos 2ctAMjm88A6Oi2ov624ZsFWZw/+YgyQSBH3PP0YJQDrPF2KMOxWSwsCmQFyRq0QZ1IIStxt2SN7R tNmTO+I2rXmBD/cwBvxa0epCqf4doGBq2ovatnjX3XY+sR6CSR7rYdWPhuZH0+a8fIdp5WGZSOhM ZPk8mGEGHwaCaV/TIqsuaZXy5IO+Os22O+1//06o0M6w5wWdN8n9/kVwEhZBtY1uC9SY+bUXaKcZ Bxsrjwg4P+CkwjQ5FdiAOJsU62zdT4KTDzT3oSajHX8EkNeVxoj8QKCqkmPIqG60WcyPsjRpVnmy ZkJMr6R7hRKoSjhhpTkqVAVIB0INNNNxF9eMRNFdzywnXMmnqIkC2Y0b+28/4sZ6khv8ekK0spQ0 LwDyW8zf/SDWWYMX/iAFAu36xdAUxHoqvklBSscPds47DtXYsbstZ59SjAuuvcGnnHZnhl8pvYSl S1K86Zd9GDVuXJRKDjSjMYD+g0H8FphOMT6JuJEtJmv+r1sF9r62SZI4LQTJZ0NqEF8RBBdVNAFO azg7+kX0fQokOv+6ClvdaTIfvQS+mm+NCasJpeuPtAwwhSyQLezwkboLE6QQI/LUSc1YF/uUc0Lt q9eLZqAdPZHN6wEqkzGjy8EMyaS4FLM8p8nd13tp3Q9nn8LFv1j09MyT47/xy/W9nOKvThb4kYs/ 6kAE4I4vl3+RtLa58iDQuTKINCAFpWTUH44tGN8dxrTvAatlpeRM1qsPzIxkutghJH+/2hs6Omxh 8jh4MZxOZBPcxMStViAYubvx4/tNUZW0RNWHKl495IVqrkw4Q3g2LnGc72Xbe1bcb/5CGioWqzbw UJU4y3THoI8UQCma6WI2mI/ibPaSXTKOdAtSvcadAchSQho9tyWO+iHF92lYk+rkgvYmESf99ead fExljDxkRNuyg3xL5dHRHE0w89Mi6yqt3Bit6Da5hJexBGuspadzPTVUNcr1ls3jnK7vCwmns5JM aeez0kYX332ZrM9i84ybnW/oHHHea6F/nyVP3lFMIY7o8fOjv/6TT/Cw0XeQYhQSYOSyNUSi7OmA QiQjo72aptoadF8nBVueic5OvnCN2bui8lq7nZA+EBc7QI5jCMFtKfTjpS82Hoo8nmTOTUtjVOHb WwDvTyAZWZ8c7hjyctZn4k18au1NQQvBglwCQLghEoxaWf3MWv+AxS5axJFXEf43LATfhVozamOT sWiFIHmB975jlO9tcIKIlJ0q32V/LyeXJ3CvKbVs+3hL8bmWjbvm79sodlHjqyfKDnDzimMBbS+F Ln7pNiGNgEbS50vJIHnnCVgHXsofAMePelSB6hE+yv5N9iAtY53dInd5vO7tL+5dOsdu5ZhDHxh2 e62NMHZA/J7Jw9CND0n0Z8Gf4fJ/PgsAgcU900C7995ArqOqYvY/cbd/d83NJN+yhsn6eog6kJTU UuM6d7KBl5KLrPoNuHuA33y9/TUByfJfbEPsaGxQVc7lyCDHJHXs0DsQ4nVR/How2kipUdCymc+/ zuqj4nmBPUkHyy4HtalRp1mD7FThOqQYwaz+hwOqOb0CnEomRQZflaJwKfdcs/9dKuMKzrHlqABG vsJL8Ka7T6QWymK9UO8eATQ9aC18vKChHR1HiEFPe6xJEV6PKaAz3465fQ+zHpTkgeJhkRTXgUOa ekP+PTMG1SGAfpzo+COS3I1ZrX8VyUN/sbPw+hS17gF4gHxVt7+NqmlaBZo7F5CQLzCqFqzNdiDe LekVa0Oj1ltTLzrG5MyrGre2+96lDeMHhrQ2FjcHpRTRv5BwVduikdn23gg+TpW3+UotgbtVAY5y w8HR6a4NL0Tcp8CL9QfeUJWB3Bp5h4PpihstV6JnAZaIWJJy7WiBpVGfICihHcCVF/EIPDPbLMN4 UW6mxnITdbYQyZKv3NNxEpqL8HGL4I9CKx5bym7vwpzjxQV3ddcfcL3WmX9D0jyxVTth6JpacmR3 v5p/eXqOoGsoqN4d7q8JN54548ZCo6JdApca+cpRYI3oaAHbDCVobQFtvOxBTlU6qYHQ0EyixdVs lH+AgTXbe0D3n7odhv/mmSjmKidAq35acxbW9nF9daE/a5gMcsSLlEc6HTYTsPG3JxPTsCltXPw/ O6LQ22jehSDSeO6Jq2vg3TZLSwCBGXwExGewd6XhUBKALR9th9WYc5HIN8xYzijZwKtwFUG1J7Ui hU4WIRXC9w0Aj/QVppPaWWuc93+aFBSZX3r0eR96KpZC+P61MBlRLXQQ+Ts7vr9nH1lOuFLjuXqa T8PEbwqk2Bmqmb/RoKgXHfE2z+RyF5JEiSuXvLpGdPJ07O8V1+dwVXX99y9+53v1S3NR/xuHt0Nq YbCAII2JB8KsCmQ4uNVLBHt+P3Q4/NoJpPUegQLVNRfgnSFOE1rXRUZ12duDH7oYXVrW3q3qQ8Zi 1B11WGLz4prdRibH+D5ec7UC3LL9r5000OlsiEy8v2FScTipAL0XY+c2a2S2DuBMCXMJ+0xfn6Lu caW90ariCcdYru9aI1CGRkWxLduhDlcnyuO4N39uHzFzrbS03Qqv+6oK9dxUrDQyL0/dsTR1Mfb+ 823aualoXeLpw8MveHLl0PHEIpz8orZjyPQTGak9hh7kvWDSsuD9W/DIegMSZ+aaX4b7TLi3x0FA +SdSCgtIz06L3Z/7IzvBV11wv1J2V1R88LUxKieSYsX6OwDa++PcFdjIPyjx9A+g/i3L1arwAH8G ZBI0ivqQZeNtOdNq28pqU6+af05f6HUNZQs0X0ShLqpqtjNwaSsdcvr2pom06NMtzftw8wD847Rs LGw7MwROAyGEPdoclDBtnJ3qnEFauImVF3pZRFcZd2L4E6lpnpCM30BRu0rEaeKUnrP932RuuqNj zJIb6EDsvYEQmKwk8fClr1nhQGrZfqKQxcyZlLVC+4ppaomU8j1NQJjs43YMlCI6Udp1UxOChq6r I0IKT1NJS8QWd08ivKo1twKNTTV8g2UKi2UEl7M1d7sAvFLvVFOWAAodU94C9oymjEiI5UKkRc6U iwsqwbZySPBkTuSqvhOFipsHqxJ6BMi269XksLfI/C6I8ePsH2FdavN4Z7KWMuJ6Ds5lmHedmC95 nGkzp/8u0R7i6ibLnATlNnDiKOEJcZI6E29sFxSb8a95TXURrfrten01XKNV2oas4gBO+sC8GGB6 olaXSAky3UaI6XLGOzLKkyE4qFTJysGMANEhz4r6nBbz8Sho421pMjdjGFI45BiFZlD6AwSX8MJU wou3yMauYy5OK2E85E8fQdImX4yusxuEVjohDCE4y7HfkYJbQBsejQBj3XQBjPsnyxA2aRzQTheN Khs+5Wd6sqx2Gbig4AyEktXUFk0oiobyUlbdX1zdgQRJlBgCVNJS9Wjbw3/UKLv5F2dW8Pf+L8G7 wkGnY5W9S+Ban6MG32WEyXdkouYUgHNO34tAdWrI7GCgn7NPhE/UvGDbb814/0Gc2wkew5aKn+RD qB0UhL5sIBOkcgc30avMis8ffeUlBdqXI+JALJ5ZnUaZfg5mxBrA+hqry9rP2lV2sszRVbzMPel8 riGz8ZOF3+wky9752TdL2scpH/6l0WKF0z7ruIaYRkw8IRvZtl1b+8VkeI27NkaCoGJmoNsdX77D uOpdnLxZsd+V2BVJtsNP/0XFq5DarRE7Q5kMvAYSFQ+CyZi7r/E0FRlp16jeYQbQrquSkbYZv7UB gKlrAJzwPaGQTVNLP1fVyQiW/eHL3kVFB+j69M14dh/LOXW2qmgnPRpomtORFWMz8MzFiY4G84QN 0/GoYHSoVml3NuQUyBE/AQ+uHOSmij6dZ9TTDSpSsimyK0CxWDgJAFl5lZcSEOP4MNLtZRyRHP9k 387zn4eC/aGfVFJuptVaO1PGrFfJYkVg80Ft/yKvLYtjAUPjjzD2fHjwNHRomL8+FeQD1T+LRvxI 3VOVG8oTlk+l/9v72j1cDz2hVSmmMeLmcUJxxYzZF8ml0tPgVT+jRfew/1TUUmBalLYv4rgOTmbw aRGB+X/GIWWX+zipgsH/Vl5d1qTVdNYRt1OJf35ZREPyEy2wsuBX8fPUgZCyhdUGB0aqqFuItNq6 0hB1ilbXEQW4ZRxGmI+mNl8hS9DVccwhBrrxdSeBY9F/KeiLjVBl9OEvgnFegubRywhakhKPexgo j7Nlz9hXECwkwDAN7vhVq7hSt0IAHtqTbAJp3TW2V+KU2NPljVRH5ViuXyt3Myke8pr5Dqdx28EM OgqmgMeq3zJNS5Ib4aVwnVXaevvN2HwmRxSBct3Mj+Y7skbT7E79Z+U3szqFrTj8jfR6gYu3RyR6 Sm3/GlI54cdWg2Bvq0SfND+0+ETBhRkhLtcC8nzeAVewmSEO/K++eeWvw/DCCaKLRd6EoHXydJby H+f3z2LCr5zlGipWrXq3TXQsTMN9P79PIH+kAfZxzosQtYq/7iKr5zOc2W+cyq3uEloRVBGwu2d4 +dkOd1nRuzwJaTCkPPq9WCS7bS1dcFD/1+FrZIjzkO1nLyI/YYjcwJ8HXHg1GqNPnN4dk4576yfb QShC2JNOhC7WV0QmUGBSYm8xdSiQZtf3cN9/XeuOpJF35wSNw6l7Wx4TsxJ9PRgbvAEQEscGk92u lgpzgh8QmoQoHVnNN5kzejxl+lxq0ZAAYP7+i4vNuzEsg1LeT6S98nFgPZVwauUKpK+aNLwpxxL3 x+FBt6GtuWUlroeYG8dasXKCeXSs//w/omxDsNFBb3bUMucRt4LELIiGYbuC0Houq4qsdNrb6jzI cpPJiCOmscxkYpIlccl5tcvjvY5aLqRBFeNA+eKgSVmBLq1W4M8act+1qzOUsWrB7VBHPqUS3KQo fqDpIzbazzgIY+dj4Kxx7eBLCYrQU0aYMZE4TV3ay1wsI+y39AeP3lx1FRxP2fVJvEk00HY0Y5jY JszM9AxOzBqeDU+//RWn4nRfySRZX1hubPq+ucgY+oyGj17Mi075R7sEVWE2t+yjY0q+WM/+0k8P GWL7ohcyBj14ohVM5EGRUkVKorDbU5Me/N46gTzX2OexCR8GVylyP6cLl1eRjC4kGm0iUCchlcAR 1Hk4MRd+Fd7OJOCn7RoP83tHNCpwwcdu5YDyXlDJDV3nfdl/K2ZCBILTWfLe8T+uV2RbsT5p5dRz 7iutQfFAT5hoXUfGEM9Tlmtk1te3g9wJ6uIXEQg/lAzLiqyclga69ShmYZenBhhVxNTvmysAorYU 8mJFofXzC2CYJudg7izagCA4+5Gg5CVQowyrvNDbjLI+Wj1SiPCEDRKYj2/WiiAZ1VX8o1P0YQC9 F/acG9gDPhOp/ranI3M+4hBSZZv8YVKkW/NfJNOqsNjWgKPe5o/xPXQ6B120XbvXgwmmla6xvAFx HDd7Ec1tyIXEVpDn6NNjpxa3nid+clIUzEJY8m/hHyw5ymPQWajwNtfavboMqb0WQAc3cuoXDTV2 9oV5vyDlvJHD/1B6lRbweCEulcqi6Hl9B+1hCl7B+oIvpNYnQ8zf16FNn241pjceJoLqQDRIZ0EZ xJfwD+agvOGIkCqugewcDsVrtO16CmIVuoAtiyEsHCdA2Rtd9EMZ9R259p/OdhGGZKHTBR+jmZBv 6saw1zKYkned5OHWFO6hmNkVsLgp/QmBZoSp7qUyAk+VJGK7Ld1h6oBUFUGve0Q7TW9oEF39DM54 9D3VnJvovxBmeSZFWtEymEcGUhazXMDcEtyNhQrcK1KbtUJ+Dv9YmhVon+Vb/7jmomaggYFKobHo hN+e1MdX3lt5GZEscn9cC+yZ7K/v2TV8q0K9/zo9xd9BbBAnOtw93ukjbs3dKiJMYc4LyCFTg3ul pR4SR5afjuG/LRfY1a4urDxp3JpcoM44UYBnnPZVWgHDbs6qDzWvqkNQ+Kq88A1nb40AF9Nh9gPQ /kj+fhUKh+uSbLY7ecpIWnEalbifgF3NqWPx7iMZHBCA7ST80ExZMy9EMveATiZnZIA8ADr0kxcM BCm7pm00Ee1Ch4dXP/5nO+z6vT1pC0RgEvG1Ab/K/0y7ME65+iuYgZoR9W4wG9BTm+vXm9tk0s12 pBDGT4QMkwQf8XOHqdZVO/Q0F71pyMxnl7j3Pa/cOy4O8jnTsciHfTlEmw1O+jxh8UctoO1Waghx FVsl+R8OEDao5r3wrPEuuN0aYxsxOQtKDY/UYKov4+DdLCPQQC8lr4UBqXbh1Xmj74qZGbn/CQPN R0slQCc/wuuGN40HlRwCfaXx0Ck/FZRp+WbJ2cNKvO+rVomS7jjUVz63evjAqJ5mYZ+Nmb1lGzc1 EGissNDnMXKD7PAtazNHJqS44R9mobpCUg3iPj+rdhCDYUMw2+FKTjz9BnyrYYsjhMwuM2Plvazf 1jf46UNv6ckBLScIVqyul50pTzjah329l9mkECVbHhy3cGkPgOL0SBmdfNRr+OEdr15sBY9G8gx6 zV74rOkDMI6vSKJiI2WhjoFOBUG++zevLut6PeekNND6hUqhCNRSDcFAjAfsFr9XJx6zzybfY9Qw CkMvFzY7KmXCtsVpR/UTBws71ApAhBJSQe16RstGqk8eQZVP+FWV8vwHximxc7MPP0ww41JULi3E NbU25BwZC+ZoQZHX4GprnDIX7l/B171ZQlFUMSt6mwJtFUMBkGkvtLVXPZCTxY6f8umOkUyn5xi4 QsjlAGusgcm4gfBLamlC45mDoZRz0ilZrgi4xSl5QBLYkqQzHaUZV1L9i/dJZlOzp7+IVV/SYfVo GxI5zRKpYTMmM+181rwnjEOJRlunxx9RiUE7DR2SHjsvtMMoovIZDxzCxznOYubNXk7DmW3y1F7G r7XmTehU+RF+jVe2yv5tVZIellW6uv+CAoF+pEwxiudsFssYogPCSI+PElbShf32wuYZgNc9buQq OPILngbKPnSDNNn0jbAwo/2wGZ/PGogHgmzhzJrztxtUXzJw5OI30mfOhsTMuAlc+CainedSfZtB Eb9jGTyNMGMfoMAmVMXPF7shmiAP7l0R412544d+2xm7ag5BTgTNRWpMI4oOfLRkdMmVG10FmfHj iAk/QHbQiQaU9Ny+yDtUp/NDCxmmREXLVYshW+iUpVE1Oxut2uz9vPvNDlzpogZBaoZgtfwjNXRy gIIe1fS57AS07VujfQJ5jzvA8SaPFSW5Vnyn0i1IDnq85wqKak3NqEwVhquYwJbOUx+031q212XW y8Ejs851BTaQPc8sgOhswhokrXH7KQf8TFMFD8u/2RyA8C/EaoF1xXWd5zQGB6qdMgXRFsbpheGH Z+jvCtGJqe+xhxKrMLUgrS0yOcPiNC0KdLiQXRbc6RlPNmh0OikY3ykXF+fx/R0ZhzpodOU7F8PA w5EwXxOEcbDMwayOvfnAiZ3hj6voP2/pFr3vb8ai/K3PF95Q2UuqsfptVh3PCwpauR5r9EjJQBZ7 q8dvZc9kqSuowf9dezuUZZaqmruqAj5nJErp6K1iENXXTsb2Cxx26ExKwk7ugtJOvucvVAUstlg7 IhbDewvOQXCAF/Vxfc/cJwHHNrOK/RM1U5r9VryxHXetRs1bnDphTBn1J53gOh/WZTZDOOrDuZQX hfewevqJ/Bb8hMfsdjZ87oPe+9EpowF23kKZ+7yGdFUm4NTlRMRgcMZZyTfSZHAd8YnWD/FUBeNj bpqZuUl47Lc3GbZeoQ+6WQDS//cOiWKKMEnMiDt2KOUsvnTyNQb7cZuVua6txb5axMqOJTi+hitI jFYBsSkDkmArHx3Y0DkIOQ8RVBoQC9rjKuHXxABMqyIUWY904xK7MJIaAAbDBWiLdRaLoDey06fq I20vxVun4iZ1nvn2JpajgIFocJprV9OpTjlxwXFLNcakjfTV9/8xZFZs5zx9tAIN5QY1jwsHZh8U FRCiqc+1zGcuRszhUmjtPt73LbA1YXqH508Xf/zPpu6ReOGKwEfLHiTG/TiziU72zv7qH+mdLAM3 TvP3xwQjCS67mkkBdxwmXI5H2wfUVMZsTRSzBqIVNdlCVOH9KObTQ4KZlGhA1FMzcqCPL2d9KxR1 r8EF6NnFsLMJc53n0iTxMamgKW4ktRFFPfKpRTCrPwjn9GX+W58Os1leFdjhouOSxyWkojKvD0OJ C9W35uGs+wgZVc5zvst/3LEvjCGnltTKP031HlpU0I/HZK4m9D+sNeA5DNigd95Mbi9ZwXERyb64 z7/EoLS7Cr85qSMaABjvcud9MnKKo+Y+yldctsfjZTyO10o+XJNnRzFmDh5Ibad6YjBRSRkhmR4J W1LWl56iKojm9zh0klMHwNo9fqMVNOdGWLNBiZd0G0LS08/3JJEr44RiYk+shzD7y63CJyYsObGq dzg4oGLuIBdV/YEeXukjuCFcD6HBKmiVTfJStOSR+l7y6pRlf59rUg9ifOlZRec2K654XvjOhU5B dBSU//4E0VLAjNSKNRPXCLxEHBMgeFD10gf6YXBswjOL0JjjU35qBBvy766nVSG+gB2TvNMYP0Nc dSwhnWwTf5nq+BdcMXwMFo9iB2MY50Y0lB78nuoLC2Q+qJHQlQn9mvClRcVX0Fsmd3yYaZ/RiPH8 loM9fMh40MAl2i3g6c8cb1wqqc2dtzzYUq7p7hCn7oUC69TWCK7xnTJuM2LsFZ4J7ayqeodOy824 VDzqfOylZ26Iim9lgwBqcPsglwBAz7weDVSc5ZLT54eqC4MEhEpC1JyMAMKlM5CmK4qgruR3lWQZ aVcdQpUtv8tFTxfi04yVleJ8sF9lpy219vpoVpe7IYJT5/LgYRow43SE0yiF0CYT42EI5cTBmjEH oDwhxxIeBSt83UHlsFI9Ld92DCxK6u9EGIIt+EKMol/02QY/6N4QYwR2mCK7+4xZ1HrrEFTrJmpj 8BIwDk4zJJg8AWG11Gx6tLkIhpReV/9UDugMe2jS/4gvg93Ge1E0VKE5Xw1GfzJ5k4B8sPqDlnbC dNkwVWFIwS88e1R89gqR1Mz7coZ9cJCl0SXw0ux2Zh12jM6fKG7Dn//h4JJzhUpQqjckSF/HRfKj QtaH7SLRXLAJLMImDLPxj7PmvsvrCrZ8bncVUPh/0y0TG1ZhqSEm9cpArL8f4DHv5Z+UMEGTJYqu IY2YcUu6ROxbSeqtNFA65A0hecWtJbLOMZ0QBh8qzTseh7quBbNAR4lUIRPSYI5whJdrpEUWl6as Y00Hw4zJDYSGUaqtrPRniT5yOFDyQ4HDKwmote2q1jYie7dCcm6HuFpqL2VlWB+Zqro4Rf4BIkye JxcEauOLxvK8HkcUWtgvddIROPCVBgdG/nDreYfq++Wds/x1px1pwsrYagcKLwFlwIgGdTDC/rX3 3Jr82Lpjncjtd5JJ1eKeL5teX6U7hZUhSA+9nm1ydAmmh7AIwd/L5cCVANnTBpU2q0ZkWMKQaFEh uNhGtj9hPwz3zJPH6azXCGfR28R5suREPka6Yq/mMUGgYd5SqAbr4JbgVajE3poE4l2FXn+0xJct Hv+255SYDOpysJZcCsVweZeRlUW4A/17E0qAAQt64YQC1ScCb037xCtUfUoTfyLc8KJUmUegisFk R3TXV3UEj2SKsjd9+2TujhO4SzwM1HDYscRwm7uTsTC7ubnaiW2h2x0QVYicNT4q6SxUv0F0Po06 IfjpTLN9uY7YOBOQT1smvqrymN8GLe9/p95LrdKBz+tIHs7hs30PZH9/CflBzdS5hTITGEcVcD4n 9DXG/Awl8t/peaYdDg+kA4o0+pr48LM/d7ylNZ0h5o4ZMz9qxhd2yF1VAzDPHwpZlWVAl6XP+ani 04k15nxy28sMTG7qQbT/+vLT6+SYJ8FkVPfSZOAMf/MQKIQD/oXmIZ8YYY8723oFEoxe6vbatwfv JV5OxB0to4OCjZtTv1NxXbfawgUwmgutRt6KLgA+xD+eO/A60EFqZsDEriGj/IvOwEGt/111pp51 ViAlxoTWCmGDnKkTk9Gt9A6EylJBb6fDnzZe8fAtDBMmAOF9ath9JHlFHy6dEXFBWnnE6pC1VFHD 8fwErSutII8PQqpjicJjKYV+Qg9AakPsG3kl2NgUoWOkHGiA76E1DCGOLKnMSw84ovdBUbpoJpep A44TzPhx/t87iDc8wFz8ZWoJAQCxl9avbBY6RO3SxZ+sgm9h4OdhATt2cMIc3Us7JT2EUF7xbYgt j6RxfacJMPgeAouR6YJUN/48PmaY3GTM2xw7RV/gezNE9655t6x6g4cR/HKs9BZJo0jYZAkuU0gF V2oGlgcL+92zdOSaOXprcBq8oDFYc7haDt4ykhHVej3mm4TE8N0toXvPa0WPk4SAoIhkAEAl+jv8 IfDw4rt5vFxyhFfhI+j+ZAUgVyGbWPXgKyRdEO5dvTMqndBpC6a4lQO0sVlnqKVaIIxQbBKDTYwk HavlU2zLldB0g+j4QLVIJBXTnWGAjhSdCwboK0o5oxqh7b3JYd6GQQkJYHszevc/XounrkV/V3jG SLSo8PPKn7QT0rVXWRnx4y0hz2KXjyhd1lrxdgA3VDGP/pVf/e8/9uJQinSKPlLBVxCWBDLlm/QV yr8WibFhbIN98Tg+iJkspdFRer4fziwT7nK974TZmOYXgVZKGZIOJi666W+qIY60hWD/T+7rSaA4 6hEwXc+gnVRmj9388R58IlgvK5MS7XxH+nSUQ+G1Nyl05somIKIRwuwAOtb3+EbKnuhGTiQhkSI9 BCzed1wYCrfH6pisxe9c21YW5kjv6aWg8ELZbPw91DtPl0MzxVUcByquD8Vb+Wc5PqOH9xr7jpGn 4tKPJ0n6wQWA1fNMR3pE2Gd3uhHGwGt5sqyttb2QORyDnkTOWERASiwYvo9W+fu9GEGXbfYGrqRw pMrjICTZ5Hztn5ZaRqn7FA0nrpk2xPl5Phy1cigAWk+9KN7X3VyP3I+xqofC5Rl2e6NOtjwnKC53 ABemBGjHIPhVyvLF6zgeG7y0ku+u1ybq8aMKoowdAJfoyHML/L4oCBNXYZN3LZFOSvFT8erdxJS+ EgCK7spLr0PVAZUc4Ld+Z3oFXBjxNAURXl/MyDjjVnWYE8AqrpxJcGnRSPMl3s3Z9YkRQbviocDh fg3lsnaOYwUz/IPIzmKmGm7UaQaW+Bf+6aVd2/VMoBFAMAs/2S9Q6QuBMQQw5L17q65hnPUKAkga uSHuGkuvUyiqaZKHTWI1BsZWu0zRj9AbPqrBHDm4ZHAu8GKOYsLhmvCG7XkasBBGZTBI8I1Phyf+ 5DQijy6mDAR/eWnGU1MQMcJPJMls/Ww1F0ggjEjO1I9R9n9WmpaAY6uljvccnUu/3F7OyBEFxbvk KfYDhMYhdUXKAUyzgFuANRIo/NvgasQpdyBzG7gtHAd5yRqWulwNFE0LZxq4wIu1oQtFX+grDAhb kZ3k3se26ZQyyUFT803GDNDHIwO6dVL7Zr9hbC59bhEiyjSxB9HFKWZR/hWwRxQnLyLXErb/WfG5 wVeexkiiZmcwqrYJWe3HZ0sK2h3ybnQhKEpDOxD3ocHeu92OWCkDVy9G5LB30+Uhwp3vXR6Q6HeQ X8+fe9EO7GuTzlKAL+vupQnqGUP21riAUTWOM+Tpj+RuHx9pRUoJxPk+8WycwCo9aPiQgRApCtew aUXV9u7wrlNWMxfg2HU0pxrJzhcffWH6aQrKWpJdduNqooKA85tpLZvk95PHzlfuOWTxb2NgqzAC AXev2180ytNIVosFui97ZiAcWb/73Hh7tmQVaaAuqOpb9tViBkiT2d7kiUDKv3bBdrcWIlFYzwLJ Qe8sNZT98D28v7gNBj0+YtFYhE9JelZaR8RHIDX/LzU2OENat3hb+uOfZZZMIfpG1b5AxkPnATKb es8QP82HFsJ+upCQbWgnxSe7F9SwE6P7ArJaalxonmsXqt4DiLsfLXZsSeA4DE39uDPNcwzj+Or3 46QQp3sJD+ljUrBLwemaw4fDgoeUOCuuFulEP7IZSsWCcV3e/cZ5vVJk4zk77RR0yKxgF29o6UjL eM5AwyJ/pDSuuLjymSLdsLl2iX1BwSEu9Ueyv/Fn13vdfon2zBeiBiCQEMMBU1fSBKOahXLhUUlV W8NnWK1JniNVTMqz0ivI6EvDl3AhM0TuU7mZg6kvb30BtGRik/usTd7lTfJRKc7OvXvhaeK32GY3 5ol8/T5fHnJQyI+5wojnz65BofbmsLQ5UBmJfcnyYWryIdD4e57AldPzU2lJVtEmAqRP0BM6yMVr DwpFBjA3I1RFmFDm0YqfOpS/rBiJhJbrQzPmRYGR2fbug55I3H8HmFJ3I78tpcXfbcLsRsFt/P9m 61BLu6CgbS6hfUAVi0kHym9VPrkzc1EA5yk8MQ0P1z7P1ahhUFVkb5l/qh8O78ig5raXCPN2MDqt deXkqyHzumVYgujOECBLB0ymSNWLyFXVWuW2G5Z0Cz2uYyyFml+uXpUWBUUqLqFVWMyH2Q049seF lTkOhtsKtTLGEabpMhxc1xnYewK1dN8QjyZFR3PzVtrdrOmburZ/08gZ6bviLIh8ZqDes3TElsCD qOCpYkX8VXzGvn91nu538kpASpLh8KWJDpjmwQf/vBqy6cRWd2rBk8DCkyV86x4XKsPWKAkUQgla k4hx34otZLq7N6Cig098puLqGGi4QKm7Va+DROjSI4PfkFiDF5u8386+K0GY3iD+I3jhyFZL2EWa azBtvJLb2r+AedFguGUq2yFiLDP1D4nugOF5641BtfGQVOjOmoJZroeW4LXeHCQ2vFlQIzxWNl4b 3+pvjggvEC8fuj3Ps2B+o1plbv+l4CSqmfnLlkd1EUp45n6wpLFtDs27aDq7QYog27uVglHkQQTr XzyynSY0kO49svVMGlTw18Iqy9jxvecJ4Xkx0WnHKAMmYsy6HyYG+nmmKQAO4w8DmZgQXzHcuET3 VooQohoHh+LI6+ICTGklRt0YwJiA25RJ0rbzblPGO+ciGxtuJGgyK+dgTSg7BPzXoLtmUOBZOGsq h0op4fISaBUBMHX1kfsZwQemCbU5+WephltrktZhaLvwx7bx8SJ53ZvlRyvWnirl6kjAbSd8k2LF u/RXuFN3Aa7vBxuoo3pz2NoOdw+xQN2EoDmXNjrZ9JD64UgCwBtntom2QgUax1e1/8vwvh3/bv2q eLsEeDpeoWD8ljlhauE8SHl2ffeckAnzXrLSGJzUsjkH1W1FD52pEX6pc3LDK95QiqNrFajlpJOO rM3lLh7XL8xK2WNgJ50IHhVXOqVWr7FkdQ8M4wzjd7eMe+uzWztjoFnjs6ORt4G9cKubFbe56r/b uWpSIIVlZJL+NJmmHCCs09rC50Fo4IefsyWpVEtPa+f1gFSvIQubMD5Aai/JH2814mGa64KUW5Jg uy13EWj+X37NQs0IoLytkgBWOaVV11miPOz/pI2L3fYXx+J+tqm8lOy/pmG8oXlXJgrJUUsZlYwA xQ9LM8NNQX/M4POs0BZR3DnDME1GoEoX7CgScnMsjxmttStOoYF9Rs600VRTaR0Wp/dVu5PgV38d OcD6rkacc0RJvUHXsv3AjqRi6+//bgqj3VYjmow304U6/rO6sDFdriPSVO57nB9nd9wZVySPR2ny IfD5UROiKzKx4Bx0XSGx/2chCzsGuxYu/TwWmb5V+rcuNZwIMDBXJb/H9AMILgSQUnFwmzmZxpDc Hp5ZwxSeqeG/pOBR3PxNoB9IHGKVVN7aOsYUZyLRbMxxyAAOFsLHeKBr6Nq0hrEc336On37jFLZ2 m1/THMd0DawvorXDK4moMXAqtyU6RXNX+pd6TAKX4LvxpLN4oClYIoUdq56NAahpUf28fb3BQ5wK +kJctDRAdx733+GA/bSbUMlL8BOs7pZmhyAULCVdbvDToCifJRMNzrLtfLVL2kKEeDk0tTV/31cm 1bUAQ5C8vEuAAbiMjHlaZpq/SuoRwAamyZa2emu2KgJn0St6FtFM//AL0qXKhvYFtjHbllTV5kGj Fg9pTzl+MyW0hnDJkCT7Oym3hxTcFvZdNH/X8AkFipdWxmM6watWgMxDDPpz/HUZXBBT0BS9Xy0v dg2sKhZEFdJ0uCCgdkaoYBkHQhcIaFGzpsK45LobPGxgeAOyg9BLPcplpDxlY98NVoLoBAxGuz26 jAkFde/S3YTx3bCxtn53ZViwdZPRIk10d5J23L0NQjgbunWxE79UG0CVgGuS/LML+8abXu9ep33g GFUPqOZPHDiU0q7TQI3MTEBklxNhkd9ZVo+k17kWFy7Ldc4zHyMqpxj0/KCEawqM9oNshAp8OUdg Fx+Wod2/sGYJEiev4pIFoy1stuV8WIFSPdWzQScaMTYT8xnS5sLg+5wCAISlD0emeqASPE5P4TEj H4p9OyaC46GU8eCQn8O2ys7i8YQnZwul9k0K+2eSAnSfapnoNzCyTHbyZj2sVcCScwjHrnppey0x lTezwkjd680J+HKR+5VnP9sy0T+ZGyIuHbiRgrTvQ7gw+OedUaCvfjUzVwMpsq8137uqejp84hWT NYNKZI79dKAtK0lrmaTOFK0TLOdoocuU+VnbG5zuE15iIDkyXHBW+zgpjt5D4Y5TG3XxJCG7YxAm iraGtN5LWUfVuc8eJM0pjwP2XCAwMqrIyF+VXfZuu4+YjnWfNBZZbUTY8Ibcvmicdp7cU8CQ/JHZ 2SamOHn77AcFeDkVjeNGQIX8rnSBaOL7de2tvz89Ai5EW69UMnMIMOM1uHHuxGWM4GuMMq++3484 vAhgaXQoqrbuGhn5mmQlQHZaO3F103PknKbYy8p6sR7hlI5i2U9r5t/c2U1Ss4K0i/XdfdJYbYgG Fp/xuw14yfXOai7qZjR4+4oRAOoryh4hEjPADrHDB4FToGZw1/sSLDv5cQLap4wfd6uUJh6PrAqw zVEHYJTbTGyk04BnCWeneGcWHbl4Hnqh/UqhDOLatT5s8HYCWDY7l9+XTymdnRRkt37LJtrzH3Cy wT01kQtM30+wIzp4egyxDDxp3kNxzl5rsskZNLDFUfBWeMjFmXBxADvMSx80EaEpcssa5rX739oq /QG+9AeSuSFkK+CRDeJknWyzMPPqbP9mTR61bYRsfniI2jgV3BB3XyqnOacpqli2XrzjxoHnubc/ 4xPdbVmhwkOYtl6aVXUInsNDhRg9nIF6Eml4cI2M6X53bdCTEnLah02Y8oWWR7R+qrzrRcb+6jiI bEg2Wm2ATc/Lqu/ZhaI9Ql6ROpCKvYx5/uE/n+5te41+CNEyWIPkxPwqsUVkYPHvipgV0AWI3pYY TDybK32bohYmgz7FW8ENaAMNoJOYzYe5ui9YQE6+OxxHf04EN/CrJKmW8ex7NS6uJce+jMnVhAsN NcI1NbjuF2RLUl5hFTNRJwMm3D5Qbhdvch8gV1G7KV60nr/17jgasat167hZrHi3j5p1Tgno5+/n EirlDnayrWROzTDqNFfWlOgrEAgK46WaerGfTjc+Ai59mzEzeQaOO6BNyb5ExS8SAhagnH/i/1vC 1+WjqeNWh+QiEBkuuOKxjthTt1KWXh2eVRQydhv/cn+k7LpSfUcGT6cAlwM07DmeHJsjGqgW3w0X 9U7tewSjRHy+YGe0EBqEfb6de2y5/QugW6GJRNHV0q9eeHV78kwdz9A3gAG0H4h5UlVjr+XuJXkw Ng7ntsdcf8qE/9ZJz/EsHdd354hlRDEzks/+IHtYZTWAYd/112OWY6c52JKpansqwvAzC3R17eMN QoQ81hZ1wpcKi1SvvmPqfmdoeWIzA57f9DNzEQJo4RlZsPXfio2tt2YDtu8wMJJTTCQhTIhYrnXh N9Izz7cz3RmfUa15AQ1GEqms1n2JjOfQuwetW2WaA9T1WF60n4i7Q12JgGuAk9kdnYoDiONRDpl+ JtVOu4wNYLB7sMNFcNnRu+7SIzpEhIHSkP78lNS0w2oRAvHcsPH/Yv085gsRTnCaRkpRY5kFI/2j A9A5OvlLaYzXWzKbmFIV3PaNrpsdU3R0/cs5EKemLbHRAijKH9LRNqRPshFeTVb7J/s6r+KXgNqs kfkBzDO4v4PYTingW0qYVTw/vf/S83usF75aasEcd2yHAeNQrcTMD69JXpRtlWRqaukNcbln9A5f x2VSywUc6PkRS4gwHqplxcISHWnR2g6t1GWJubT39f3EXZPVAP3z1531QYGSYquHX3tZP7+nFvAi 3W0v207OFAT6tWxDfq07NK/yUjPOg1Q0a+5MfAC5PoViltj1tM6BKhA2Twt4w51pJXKaSf2DQ2aZ MtR3jnmP2KyQ9TSLHtfN5fN19k6R2kz7gr0+d54dfxOdWGyNvC3C2OK2wGXYHwM/OK8E3TWyOSU/ HUZ89ioSlqZQ3GWd/x1ZAUp898jGrn9WwR2ro1W5TRpONwOKzjuOQYeKpCKXj6g6qmyml5oktnZ5 jHUsm0v4pxTt+kC3+c8LFDgy8+d46M1LWty/6ldCJ59WNQzPmFOy22Zj6pm02ppAOcm0epSeFls+ 2h9HPpDJ2Owv+84UZKnGtKB5u/YpOZAyG3Tq36t4gww09E+Mt8zcLqIYCwxOKFcS1bmLXMmyRONM MbMBd9nuanbBPAwwGddI/LoLpkRMuzm3vb9SBygyTtFKv8w8I3C5IPTo15goAIl3/M+BGI2HxczK CrlRDxhQrD1XCEFjqRzSWbtjSKHcojNGOLWSp/rQQBPCluQCuo9I6N0xEh7bkapXTri0WwB9++4p YKvHqZJ501j3RsHUbZUwXPArUMDCHss9B7+3/yaGaxm2HHv2eqA1cU+4u5OL8jYDGKU13g7ho832 rni41ngEj5cmEWQfbjq9I8KJV5YQvxm3WRHSx2AgfJu/WazGAor2Bo7leyt5dzgJkRZTDjNJWm7I QFgmlWizSSlD3VwZ9CSRZLP3xoMSSHTdckpLZGWKIDIDZvKGhZL8trbqO07PFIg+jukL5XQRT4GD mBD6NzjKanfI+TQiM3M8UATLKJn6NC08Bvk0Spa19q/iORaYPyKCkF17n68GJ2U5cRBX+ijuGvtB 3fzitut/F65+zqft42ylDBNX8Q2p0ACQB4DpkllOTaihmmx39a4dObT3KXplG13r19pAr8cKkUzH e4BvxF8zVfY9naizxgZpx619yCebLMNrXnx+GE/a85oK+//7yhUInu4lhNdvPblLdW/dbMsUhWzc 4VIjW6udHlBAwRbZx8qHPcPx1BNX7d5Zl4xGHuEizq4ezqYxnoVDO9zPo2yjaDNc7La+RfFhU1uf JyKNarhuw+7zbLJBBXMa+dFPN+soOy2ZbLPqX8dWDLzGjzQXIB1WEFA5uSYR/lN8NlWUQCdaCgSN Ce1ce5tN12l95sieUivqSBOomG1189/LP777lBjbXALA4mqCWyz0a+9fi3g39KmAiZsPS0OrAKsN XrbwCHZ2tfoI0939l4gVKyeu6rg8YemMBmijDhy24pn5sXoAKJLg9u3qqTDJp1kNflTKLuvNSGOW ESn2kiW50ziR1vT/aulvbcIkN/H+rbaCNRW+5oFxn+0HybVqVWemVxj5PYcFJpa6dTdm9IfnXi67 c390lxHGWQKjP2KBBlBrW5CXkolpnJCeL83DHTcl7eBNPe5KvXOUe3VksTR3shfxijP9YKMsn/c9 DSYx2gh2YkZlgMrDTbHOIHozKo5Ugqixdgyj7omtfAAPs3y9COVGUGD6DEfq6B0w8fcDIRLIGaPe jAVgrzCE5OVcVzCPdXaR++mjgM7zarv3N6x9yLmxZqAMsnFQE6Vn34bqaoMp4q8y1AChJoyFqQJ/ pG6/eiFB7Oz5IZcJsMGWtBX45lkkJBgNh2RahzozxA4cUqYRZR8R36cdqNe4cwL8JBn/cB1aqjzA ZRxLWcwmc5S50J+0lYo/EjREfTmyu2212//YxjyUoSNTBK0nCvLu8Rc74GIHzXYtzUxCoFYplca+ t4u4PP3dDLakFw1NU3gFGj08//gt9ZJZ+bDf3HKCcRm4XEg9nAFOjgS4ZRFnmjfBodcyv5f65t98 TLkT+LrFjinvqx2iV/rh3nf+R9EcnR9m9caAysu/bLupXumsJGeR0jQ4nzbh9pN+PMqBFiuJ8q9X a2VbSOc2fWFH56x6XJGbjQZDLxAGvcUGj+aesu4MLnnd4jrbLAsnNvPPDfXjdc0UTT59poz+kMjV me/eLomTooGJHyHOciE9EbZ8YsYBXyMLORhH5da3YcfXntj7nyPnx7nGldxxWz1672aq1d2Pi15w Y2no2sAlClmpv4iDUwqXC1xKUoSSyJLSHtGCm0eGCup9eIlKtF1wh44HD5qx5FJvi6eIxQH6rXKH YGqQLzC54hVdRTGRSKld59Ri97sPas3gSMzVhiWIOSRBwWKJuaXjHU+6MWJOSTczGL4/LyhOGNIC ecpY+Wp1HKFMGTNyx0xMjWlNsiCkOIKT58cqWjC0auA+j8O7uule/SBIZEGKP/8pkT6fiu7jw5Vj IkqHIgBC/GGDa8Uwlnx3ohdG6NCXpKsKk+UllTHltxjIfNR5N7OOQqsJLoo6PkdWgsZ3CkesudNV KIoLmlHHLlpNG3ahs+tVYO53/1hwG3y/3cwtAZszIX1+qBkSOBNNvi/UaTqL0waxWVzGAENSm1RR 8PPgZ9yDQ+e4Qp0jSHQkLIHM7EXgTiK/EL1yMRrOvMWdhO+nEEfOKeRmZwtf1xT/872R78rfKGLI 618Gs+qRmUN/9V6PMT1K5+4nz7he1hJG2yFAGthHpycWwoFdm2bBPu2iCOQc4ZLpzUKSwhxporzI mq6HMZ0GM6bVfG1kfwz+LMui8JqiQf1KldzCNvGS4rU+gck0MUrpbAjKJI+mPCWBKprlxYD2dNZg mRYRu4fJG0J9afZ3PrruFfY4jQrsn2lY0BNtw90XYcRUBkFvOoRAeTjLipxy7Rs+x/5z8+5771Fe IZKeVEC3PShbcdPVXvzKY4gq0bGGJfMTIeKlpowuTsbiH4Iu7D27VuxFize1ts2YxylX6/wc/tiF fA91hLFtwpsZUFZNUwk/sNfaxpaeF/ha4JQPgstHUXBSqE2a1GWzcR7UEjd+x9u1pTaDuLQjb8F1 HGefhJHESouv4d1oltCEJdy2UbyZkIJOE5g4ztLO7TGrRWhP9Wj9QGbGBQyTUVIYEZdz4qP8Ssl9 dWCS0H6aMMPQK6DecjPdZ8ScGUZrpR+jOP7b5+kpS8cJSmaQJ0gQDF0Nds26p7JuCipwfJcMI9PK zPA+GUgWd1VfGl1m12jmKvvP+nvSRx6HoFIuEAPnt4oad5Vqrv35BhodaoxPm555IGuvhYSqTBi7 NzKZStHX5423L34ChqtMB0OTg8uFLfrhKCzIb+uHGzFtNoxLIP8X5L8LDWnBSe3hPQDL4PgxJeWx BC/ZovKUN+KXRPPP+hj1F9hoS1hxbDhF3BqXkBVCvFMX2G/GX3hBpx5K5sk3VEMM5ilbVR+evPn4 czsP2BW3bRph0IEbZymOQ+IcNqGprVrBpJBqHp9abw2xpRNtycLrFzORvk5rlPLjOwqnox9j+5Ah i7hqqvWvmUxattqnRPQ5xlAXL8ZCzl70x3nD/yROJvFdO3bt4Uwu5hQWGFuSVehTm0U+S3jFc9pU hEz5CYtM5ZzNpd2jr/hO6Q5xsqEkgbI+BApbA31ws5+35zP2rTbdiBMzZslHlzS42T0urgQCEor9 OgoUvr9h6TPTNu8Kmo4MIyW+rghEVqi0rX3FOicZT8LTfeWwGwqpv2vBMFWrfNf+68yvqVPqm9T7 1ZvOopsGfHpqGfX9Eca0Z+rbqdjOoDUcVFdTDJAn6hPJfkMyfxGLt3PSgMJSDQd0XqytHbI/75NL DVg3qd7vGVjieGRb4wJ1yVasJx6uk2tUoGXbb1ZtDFZ55+UHGYA/WbVhL/+P+HY2EDpHH07Pd09K ZuVEEINFAcP55ruADElV+dX4a3aB5hYvIbfwcejZ4GQhmObriWj66u1Hd8ilwzrq7mJII3N6oBRM vWgTIo+D7L6JyT9XXFP4sM9Kr8K2ut8XmOKyf4/Y2qrynlUOME3cbnncb+2dFtIWkxT5W/DohxYO PR7pbAh4RXpYa3IdBL60Ly+Ew61KQigUfNySy0p80tnr3N8t3npxRLGTbKrVLmtgKVNvNUqAGcsw Y8HS9QZCsof+f39F6SYGEPHSlBf1y7CNF/pOTjx8BOyA1ON6viZiwcP9BUt17yi2ThoUKyZI2olZ Q8HFl5+w6a20aq8VG2TJRGFuHQW7wBKo/AhVGwa4+9871K+86slzBy0kJ2P6hdd8SASWEXDYGRwY vSq/0wOH/8kenhoY3uPjkG3pAH38L2WQ7F4lln2iKyt81IzSdc6aiEAeQ6pou/FSJd/eVaAlNGuW 16LCegBD10hGA7VzYAthYgIPuYKoVlbzAmv/oNBwYSgFzRs+giiQh9mMtcMyF+Wv84+jmvaAW4jR tfmaOXttTrqftEjxDt0aW7w0UKRgPXjk+DMmgQDs84HbuTEXG0ZGuwbUVLy7aeTHAinCqrg2hj0+ i8gvTR2mjRAhD/9RBv8p82mohz4wQu06hAXgoFsAVUmKEmEyuK/fBfe+Q2O8SCGpMb3jjZIXz1uH CV4947nD1BGrAHOChDVsn1JCS17kv4ttB0gt/JD+v01HZTkQ9kkf48V5RwNi9e57IQvdg+cCIPa2 w8jWvZ9ZRGCI2/f94uVLTFxcMvGOsSVp4wwDSCZIzI4Ljv0oFOfS6V3oTvtFNTUrlBYphUTvJYUy JC0y8AxYccrvxMFJFabjv1Ao6B44w8FzIvIGrqelqYGUu7QdLHvvVcyi4ES+I7aWWkhAG3tvNClr y2voisJ8mlhSOCcy8lV0gmTabWh7IJ7rLm6tDSTqLl3nRXv5G7FxS9gJHgET8zywvhrc0Uyq5beA G7EwLSMVXhagjwdSf95Q5C9CtC2HJLQtZm0zixXCEwZznlxzGCbqk05SkxZeIbbsuqViRgPE8nPH LjtMM3exMAV3wqXciOu4Yfb0DINF82BFdkNbDe/sIQwQSsWCLBSGdbSW1NGAQZcekLwQlYBA4EJp J6EoVUeombz4kPfM2ND1F6RE8wJ9VbUyEl0qHAixhPohmgO6DVcZXSKUpBh4Gjq1nLVtkN2qud7k 24XWVLUfB743WzNENzRcfZSYeg52dMbfPlOENfKeSWUUOo0YfEY2p+75ujSwGJEbH47RP1M/EHln RJzOoVAlvwbjw9gk901TzklHGsMpM8YIaLJHKm81LBxwUBTmfxQrblfyDvrsu47BQ71cv0rYsPrF pcDQxxMjTPSNBVcIB7LxFNNnQ6SA0qjivDlw1EOEbPzeIaiUt+aWfywPcOkmOBMpsTlxLNmhGHGi ckuYt38o+BuBoyzeiZHYFABp1EINnTIlmZ9A10teRD2TlXxOvHMRTvLpjMbji2EsBT7bOWym5PcG D/kZ8fQkEN1qumlMYvBY3eIyX5q2nvyn8XC5kBSHjwfdO56F07tQOhPU+f3xZ4XXpzDQd2J4O/as ILXwQ3WS6WWDEDCNI/nFn+2ji1ACbyGqQwHWQPfMhlQGzgA2USjTLCN3QHqIAYgnSqeST7vUdYHq MMLpEUNvm/C7zkIBEarmcILtgR5ukaNEsB0QSrI3wHQEBXzKYe7TxOrYWxU1oJXPe8tciQRHXXW3 RgRkGr99YoBJFocLb3YAXaFA7m+6tXKQKQOXJaGMihAbLgEHCAb6b14TZa/PSK2f73er8Jrc9lPb Sg5QEz6bi+aG2IWV6Phq86gTPG8B6zzftW5mfOH4UBmjOshOHFp0FkeLtrI4s4kNwHZAIZyGKuT4 VIErJSdNV4rEd12qADR71Qbpc6pIAcADU8GiiI5guZfXmATcOvpf9H/o+XPptbzghgaNIo1fvlZf DXJPBL8nmVeYiY3diTf7EQTdEGdJkFtDzpEUbab2sUK1vykmzbt2nELZcwbcfJQkolrb/lIinkbi y+YjtE+jFdqwjDD7yEeOExaSIyLrwgyO8p1cp/B1uxjMPsPrawUx48GTJR6Do5qzR09sicsNw8yQ yy+yxkPO9LR0VD38J1KQBZhn7m+e1f6g86sgcfMETYyCvKrBnfLdMH++1d2EVujCkijPmsakdWtm e79L9vkuBjr2oefPelKhaabn1DcP6o5PPyNru5yGgD34Ov5YE4huJPw8EGX/SCjDZM9ZzRvtnDE/ W9U8Nye7vVL4r/IMVnBAknomjQocUVnhlIsKr615kkQz1UIIfbd6Un+/p7BJncGU49ylybAxDeLf q1x2KJm3dKTN2EFLAqI04aYB1G2bbG/pIz843zpF2a7EKQGAVpo38SmzX3JxET2py0TEBnn7LzFF 2kibyV5gbDE8yhkga/3jVv/zpjamEvacTEg8AxmD0/DUrpsipon7M6NmVJeE5Zd8sAnDX5LKgsAN B8CluxosP8zjn7tiiW5F6cMJdojKgLxk6FKLsEXAXViGYzUR0O0wkZWydgLOhJbNliQ0e69Opg7V L+zUUCspl9e/OKrzP6U6vrr97lCRqdr6El2+nuAbNBvqOl14eEe1BscA0yqYIg/FeLpsMXf3VJMA sOm2AoWMZxkehievinjafQUQu9+gAngmLMyS6wuXBs6+erlJyF7mk06ojiuihI7/5mkHtM/owQ1J srXEyiZ8RUV17obf6RZbOPhXwVKa49RCOCWmBESV7qWfSvygIUPldczBEHWzUtxEXIwXt7py0Hcp vitsZwg8NCjJooL+lFYzw2g42uLvnmHlM8+GkkUxpsbGsvTdHzeLdC5VAAuH9zyA8iWHSSfIfiFx mWHwRGxXRAasGu93S88znaQJTjzprfSN14ljY2VJ0gWuSnLCn4Bvb6KVH7eKSl+EQUUnsV6JPofx BF+vMB/RqDewRVP6MpDJrRFB+oPN+K2RJIRyvIKazfT90VOcdzbst33zEodR3TDf+/7dAvaKNfEK y1jwDBikC+7Y2lzkbgL630uGkikhKMsld8cobhb/7T1G6fKYxH3lAN1sXwsiaHNSqwH1BQX8wfm1 mwHz1Kn5KC6ocpx+KM8UuECj+uhYDHmK1av4L1bNPFhfx9GgbiJYDfNczP0vS8vQ0HA+H98BsXtP a0MJvo8la0Cl4uV52+GzfqHCiCBdrAXr/2yZCnQoljRq/lYDrBbL+vPg/06wcn0nGpCOO0fQZvp/ aGwvDHofWZyz0RNXI244OBvLPjhholduI74x7QZ5HXF8ayVYJiJVjLhqmC9dBGW+EzgqTBBf4jQm zKdfyinTfTer6IWqWraaerHKvbnDmV49brL7F6kb/MWvjvq3mWSaqT5+foetETi/Y+1w/ecfD2FG rZOzn4Ov1Tw37xliPMzgsSvW9II3WKpVmMzhLOKjGVcADj/oL3ix1PJ0xlKpGwTTb3G70YsFh7WQ EIJoNaDoXrANWEdzd6k3oenhNTbpA6h7nrWm9O8h2t21KpsyjTBESkh6PHrXK4mNnY7rk3vA7rMD fg+AYbJLpyMy12Rc1ZR+mpfZ0vlfSHB0A9TPsxnzmH2KAwzQkq9T0Lb4SPDrrfQvczbDdxwIg4yE oDJqrEmCz1PkU4m9FdCZa0pi22rCW6eJjnNmaEq7l0bquMHiRFzd3XX0gu97PBn6+mL9K0qlBXA6 MSHd3rgJYKRGBXYcgqlmfzmSVZH2FKTLquD2WLY2/MydVyPrLtGqsiYpTMjZoQNOQUSesKF4qvFH ixEf6x0JEsjhx8v7luJhyf2dPNNMXTrRZZFhFUVSYz3sAxJRydVg21mLukpV6Fw2lK3RW9otwsTm o6zLcEfJ/gkDKDSIiI2Kf3Qiy0pgZQysx5Ef7U16R+IgjFVg6Isn82isfq/l/Jdbr6XUHtyQl/rj 3F/aYiinPMvLnZCT2YTwo9cNLs05jVgmcN47RYQSyHsc7oOaAIF83sXn/r9G8dSdkMN8/5QNUeed Ex+xyscHdFeynlKgVCcZFdqBnngYXSqUzejjLrRvSEAyqzLOMlqwwKiaOGnizDRnzIVDK+3ofDVN BaR3Pzvt9vfxdBuasqe//rFVB98nR0m5mEOmEF2PeTcgWslAIbagSjoQbcDdpNnpVsDM3LIMI/o7 0nG/HfLwNWymsph//u5LnYOw56PwVyDmJO02WHS18Cr6SgbNOqhgE5DnVmbY0xnQh15TNEfiM1/u jDKBzkmxSuwHNs0VxqLLVpAXLwNC3dDTBiWDm+SoZnWAkrjBSjbt6+Exc6cpjyyLTFBhNelCJuZ+ rbB5RCR8FKumM9DPIoUgPzdak9+fV3SEbClxmVgXxa+LAyE0yprpIS1ETb4tjynaDEoncvY/A2S4 xCR4UMPKcKx3cJm3urJquwIi5qx7vljcsX2cL7JAHNGOMk6ellWOp3R0a6k8RkHjuwYLincxZDYg iFLGeryHAPmDUyO0w7aQhkVJH56FFfRh9rxtDOWNzRU+YD6pxA5GYevxLGnMMtZrftBWCM5mAMPu 9C78W+bGDcdsc226zv3v/TXCa1T4TZN1uQGygOOttc5/Diw5kFa5qchiTrFiOcVWRT4nVF18zvfo ebkmDHm5fcD5LUgO2wgAx55QXNzExfL0P+tnIh58ig51v6kmZMvi6FXKL7pGlb6juXIHXBxHMDjk /wOwsUAkddQgK5G86zZk2mQ7khE6pr3+vdc0C9wYtHKELfp+BBSTg3Ag0i6b/rMPLYqsl3nE6F+i kv7ae0+dBaf9UKjm5PFxLNnkrNm+KAdctqNxaEsK8Y7QDcEKAyErRWjgXxxuGgSv+vBOujkgPja3 jWqEl74AYHIO7RKrK0gIPfBMUasNNp0LGwrmd3P6y6NtAg64GM46mqhM1GdL6BJcEO33XV48a72b wwEZWgA/mBSQouHxbyYuz5/0HWh8IIKJJwiutkVMunYPdW14KRN85n3X+1+6KUt9vWKOR07rcqVf GnNdGEkQfNzCcMuzHUvSu4ACXjeZNkdJ2NvrANu/STxGBOa032EiOtPw355dwlVUMl3+ddyMO0jB zzdqJCkMy4ufdxXLVuNzQXDvIvA219+MPYZfSex1NKCl4R8coXmOdcVzsu8y/QLQyMTc7lTpw4sG NhQWo5VSqxGzsRtfpSIb8VOqdcnANWWqhyb+48Z1cCA8xSiRPCE1ag9ISOQtijjgnr/1VzbvB2Yt NMy77AdzwP9yBk6em+vxzyQDRXUWnkET44dtfvVM5/ZlTh+BFM2QwVaRs0k+L2n9Zc7+srjrJAv5 ulHe9odLCo3213L0WIRpmvlHvzDsE+Ht/KmNagNfNIxH3I1r/llua3gxw8A/j83oHMyWumuCxi72 kiJOFZIqUkEPPcO4+b6fsk0qtQNjQYwyR0XP0DX2LcUJ927iYFMIEB6cbH2qOW5nvxFadC7He5Mz MQ0HQBRoJ3K5J8K1L/JI6qIIi81glBnlU/fYYI2Pj/CE2xGZaksQG6yvdDBuAckI5/2AhD1cp+Ua J8zbV2cFas+b9R4uNQX/2Pyq0WKojarAlZR4nhb9Y4yazYrDGyf1SJJnuczrsxUgFuPOAYYuG7t8 hVUmGP/jivrF1/T+gpZkoUTPrVM+iS0FUBLuPsMf2QHsmVtLzryOAYvhT4oA2o8q+QL1p/f7hnSP kwFP2MWE3LX8ZztjeKlwjSHl6frlPSRTgWLu4nOBoY3SwMZgYNcONiP530SNkCuzDwX/qYjRpzD9 iC8tsDJAxbnGY7uChBoVB4uVK6RH6XYocgARast+lrRZ00OosqX0ClPyUp5etPSPLAeWKQc//+v7 4hoVuDnNQUWhh1u+8J/4tw/JmjooTN4Kg4FHh5GNBZcMPfKA7tyiQNSNSrBKYG0y4x9L7TR9VFiZ dnlPDeNVDk4pngD5/AIamu7aOvmXrt5JvGVfOkwpGMpVNNc6mRrgTTnsp809qK4qO+FzpEv4G2Vd iLqw/vUdZD6pkTQUqfchBZMAxF5ZaFVv252bQM5qu03yIYgQsiGSYwm4ikjAj574Fay3IWqHA/JV yDLtXb0KB0hX8fh+XhdIfBKihybW6RXocQnWPxKV6ISon1VXoss7o7NB4KdbhUYdVbQy5kv8P4RC SM0j4FRcCJ2UfNThqkGYrh8pWw98aN6s5wOvucG/jbIt1JxFLueqrQTjX49Q7el7jb6dTfEyAOtQ Mw+TxPOYkghJR43UZonVtYVVtuGvUR5PN5E8tDAclnZRCRh7cEzHG1Hl5MDMakwT/8KOSEANdUVy SCIO8rCSHxREFI5bIqwYykeq/HhoFC0YOZ0Oc3g4+idQ4YkWJcb6mtDbbheQazr3ssu8GrL/Zi5x ynDN3sXVcwLfgf49/8UVdHzWNQEbwsXRko/TUDap8f4XNuo+PhR88gzm/zHCBQWi4n6Yz70C7JYH zByfkuJZAk0cL7DJV2UlmPox2EL4KVwFGh057GE0dffHWxtpeONP3gZsAXkuXVHGa+89V0mktZ/q V7k+/16vBYoGSdgZsaBm3lbpB0tjGPS/ixD9BcrO5xmNl+w8FYU2N3wEPKWveCff9w6Vd3JaWUsL ddFl4ZhuHA3DJKbqNznwnkaTY9c+EU7euUreNwmRKUScDoIJoUfyi/F61Z0LlID7AD6lbwwoSZAy L3n3Dvbsh7WYC2ibpdWPkRn/SLEtPbIWHhNmHPpdmWwkrT+wA5t41rHglJ0VfXV7nWoUjnJGuU8+ gbAH0zNppJyOEuBPbiqocO4Ue5WQVCWBKJl1uJtS097tiTdY7u2Gxwfto3QER0BBMTOpWPyUVP2w j5iAleRoOPpURvzjw9XZIukAutmy7S+KsqIVxRFqN40UhOoAeRpNdJrgrn1l56ErfEtK8m8w7H5e XbJoCw2lwK118rH3mqplFMFan9VNI3CqKq8rSoGFDs/6VwHenxnR+e7HvkfHg5bWnz6tBjT8pKgN PMek1Xy4qOIoq6PfiPqNLHWVnShZV8vKawiOE16NWnyHD0oAGy1s0wUPOsnwpIbFoxiUmXVHQp3J 5lc2sRO4Tqcw+1J91Wog01t2w8jLFWN/FRT9xGH1EKSFv9KUc0Yi6Sx9xGJiUWUReCyTwLjPUdMe Z/OA9/vwwncHc2ko14DcVwxMNCBA67MRoUD3Sjh5ITUvi2RWQN8bPSU6ZhpqL64p3BCiZmdZusD5 6pHS22NoJmdfKvous4UVC4cfjKLvYx+2HyaqPkL/nVL/3ZIWA4RdEXKpUuHGxfCeWgk91aodNv9i QqRGWDVofUuxxlE3t/OHqMuhf3zQletii2Ojt+OnqSNDPDL/3KazDn2qmYWYeixgEIFSPw7QUsv8 VMw+LtZa1cRhRrzBUmAGYrGDeQP91p0QGnr+Dk1nC2UfiNnWbuNwhWRI7y8/gjiKNDVeoaGiPyGD LR1FDjyR3DrvVF8MQdidQ3jbHa67Bu6SctZQBDMs39gz3hv/8Hpz6l83q7FMKRXb9BTFp3miZKHc Vs2NlMus1193D+t8p4ivTVKerz9yAe+py9UPXiKtyeKdjPXb0F+gZqh+bAVA5Us1zsxvhs0weFPA 8xpiGDRcMcdjfQJvOZDP/QZNAOT5U5A+NnOMHPWN0JW2KtSgRxtUbHZogS+R0a3JXlvUq0MTbj7C S5DZs8Qwmv2lNhlFvNs881i3QDx6pg9AQCdYiniyWCUgVeXAEeFAoH3NGGZDqjO2PtR/bAMhgxIZ 2cH2UiKf0+3O8hLCIX6Fk0I+EOVn/cJMnJNHGekS9KEiwxi5D3M/B8UMayvAXTmrYMKpJpf9yJvj oe3EAKFtW1hJZiUYi0ykLwFbc5Z8QQjqw+6uEpBENWr5h70yx6dvpqnq1dwLJpzUGo5FEdSNRtau 22IJemCYnR4R2PhLcQ9mursMdqeWFTOjpRUer0ySxEASN7oyGrhueLds3ScGxOSruNJ2E+pXDxdY G68oVV/p5XQwrO6pgOgmpF+w334i3GB1v69kxwDpCb6RaoKe4vXTdpvny2ViDa8sfsqE7tiM9YnC AQRF4pw/JKdR/ZCCcA6WvUs2ZDBX6xhPf3vcJSwrdnzBBT10RBd57+YrLtr7PhtWaOakHlOXRcrG ruqZ2Zh8DC07nPARpHDx9KqhrYFtW70MzxuIOdxVqoDDlSvuGJHgD+i5fRgkJ/ezorzjsZByzccD kp7RMDD8DPMUQNDHob3jp0UYUTjFdaK45lgCn6HRg/dEEHOTxuobUOhM6Ucu0BkCgkKmuA1i1yLv orO1FA7bz3Hkxrn0PtZbrRXIqZpbtMjdT/jlG+PumhAPogzKewQ/PuWhZ5rdEzXIjbenFGyufvTY 8BzSDp37jIHSSRHoCUp9mpV4oiuJ3wP969Vfrdz0o79jrr6ZqUajqo0C1aJues458FSvdA45uMh/ RPVhpt8D0+eFS0n9OBsHJ5a2hL7kZnaknE5QGNruX3//fayB8ipBL1onBom2JfT+ybua9vIrwwZm hUYbFAlAxKl/RGzTygWwg+pZwHhckqUhNkQMxSwDoJ2pdr7NEflVdMMgL9MZVtydP9fV2yd02yDz ttaxXq5A6Abj7cYNuQ5eATmyAwN2dLdY3iNs+zJQqwhy5DLWVlqgT9YdyJkFPIKN3m/E3h2Pz30n ozxYNLfKQEkG+rNI5QkWxFM38E/MUXgeg6vSRU118KxHHuJeSW+6fjiPLVKZzqbIX2BW7Kvr6q5G 8xT5384b8+4sp8gavP6FNboBbh4rDsjWR0jjsehwnoboU2bUh7CgpYIqN14t3vaY0P1SsgROcDe1 gv1NT14AtsdhI/tTy5YmqzAo1IAmZiLjj1shOFZxRChfvIyhFp1jdmMQgTfDKF1ktpCg2ti1Li/b LnuVwckV/SAVQl4I/lz3f+3WDGnvuT/+Vyg+GVZs0wHRHgbok84KL6Wh5ocqD8n+CVK8L9WSsckQ CbQY7+PyEHucrYPAav+tk2zqkGK1+6XwF1uXrcslLJeEpANIcuOJ3w9MNMrixCVVLI9zf5GRdfnc HHI9TlYqbkqOwJ/DISrvPyd/Cu8h6PAPdurF4zOZacjpX0OJw+3Ke+sYJ70OzOy1BJQsPaFPRIZw zfAWBYLWqsHm32TR5hsPnJa/oG4C9tSQI/jUFUumqFTNe/c9R1sJvQNdz2Tw3YanhdwKW71oDUOI Jiw4em+7OU5YmeWys5GK+FsIXVqgWZbeFB/ihi2YGCOZJlHhiIg9fkw601pX0IO/0/uXS1M/Z+Bh YTSfStEmxaSrypOPlcpArjQYWXxSuL1QKmgOYGhxkXq1Tn10F+Zhj6jqRSkPI0uCGd3Wj2mqNpKe mhkesD02WGJkU9rRmJTLqPCIMVEk3dUQJuWuqgdtvMVweYyGsUr+y6XU/HtPTq3n4o4aqXwZrZP/ uVQc899Jg/pE1I3QAQlCVrquGlURMfCYxLet4dGfDuBepG02AMV5YuLYDFK7ZMfJmN6F3XHSnHy0 CziZNP3gzC9O7QEzVL6BM8N9Ehg7KtNhPVGmNXagcRUg1n0VvA4OOEsebe+A70MbyLo/wkmVSjTi 6rbqygc+gmJBM6bdIfPhFB3SCmELrh0BqnUExoiyHwG8vtT68rV8opGW3G929OZ+4IaupyYKlTDT YWQd3jq/cn8XO3GdXeeb4EFEdrFHeLI73gnTOHxqdZ1Dp+ZVuT0Nn8guD0NcoR2J/aZgwIHlAtFJ 4FGDogDnDsIeJ+8CEIoOAUWITvUVmQGpD99LWzn/AOk6z4eXQ1ioU3XoK+SJg1xehLEQ9DWpjzu3 9Q1BIp5KsgzbQfAIUwy5Td4JXb6++vZyXObq/KRtPYKJS4EOmzU5kldXkikG4vMWAn0orAiB5jao I7p6bYNXUiJv6K/pRpbnb1vXtXHm85gsO7HOdv5ZuiyA7xY7cnSwphhlAkqyppTGco7CQglSdgSM leIM+yKkxshSm4J/VDawt1ERjrdlasDWnOXJJgYOPFIUAH94zDeQYRFUijSHJvPhi8LW0JvmWC9H wneUFt7hBQZBbAvUWS0pHamhyk3+qkL/tKxJWU05NHi2G8a4pmf6VTX2RgMmRY8E68utqD7uuJn0 QRC0ZTbcGQGE2NtrPju5zl139IobpTGX2Ovwsx3eCkxzr1n81SK09GRpv1e0C1KUBmvjNNlEofJA l9rsqXd/IZ26agQzDQKqW+XtVgkXDWzR0p38KbnfBNIcZatZ+VOVXeUcIH+uJaYQ5EWaarpfAc5N loGczlAm36FLu2MXgzBKR+2mEVCgvCqH0aIZJYWyRT/AVDQLM050NoalU3opei3vss6SiGpaGGB8 hCV0VHo6422pSpd1CD69mbl70T7IcUdMVCctWdZ0ymPGzI6pilnZ7JTjgYLkmFiMvxBwIYoFM3qB DGQiybcQqU6ndZqv1QkTM/tZiaaY2gpgSzl4tnFmJ+EHo3bHbu+CfQPKjqQgDxc9ELEqeNZT4vxT 6hDRg9WpIKQyZsWDRgI7eSA0gndj6nZ9dDX/tRO1KM9YaJcw+ESWdtf/IvCnAUGroOGNfH7NXvow 1wXY0OU2O1cOdkJpJPvD9ijcp1vLLtur32deZpeayP7C/PxTw+4/fqmssCM4nncxi5F7N22O3Yiy 7zOF2Vd6ffzJkFX6vdKB2cK+sz7Xsv4DXQgBoNF+d7Bnge8ngh89KFGj99pR63CHdasIPytO/nm0 1tyZzqu064Pg1Uos7N6oJJFaSCMhareIEcnV7Qa27aiy5Z3ZtI8hpJW+tVJi/PHfvFmrdnVfTyp2 okiK1IMbQsXzlYloFmcXfAu2pe7AgP9fwAuLNhjbbsqaosfTwcr46xBUhwMyRT68UlQ7pIk7Rewe UgwxdlSO0BpwcV3M7WA/7zaSb5Nidv3C4ajwclIBYNrCvmPjENXyqoADOP1thSBPwWFPGpvq2jNG C3ErJe7Kbg+m2tB4tb78CaJ3O3BvM3F3fxYW7fqpAQkCsbZK6b6ZDpq8np2fagpY/cx1U7Kf8w9M AEmWU0l30S11XtYm3DZc8l58jSHrDmZ2f0rLdfmAsCJ8oF7WEovHbZEoTPL9p63E3mnI0DkN9JGc 7gytNyvM6UgKxakfj7GktOim5Y/p4NukwzaSDoXH6VKQvSpJkP8nul72oT11vswVN+EJzVPsAEHR E6ajYNTK8eUU3cqEQGhK5bILGBD4vh3gYCJ5xYx9roJ1Mx61TgNhxqE+evMA7CT1b9kyMtPWa4nr uo3kCyg8T92KmPGbRSfgEEEIk4yKATSsrMMNiqzxelWFdDBuXY9Y4QsqaDz9T/yEyOwgzs2eUBil 5pnk8hN0YNzk0rsse0BV4OCuq0S9OiH3pJH/ntSPdbW52pDNmXs97hmaWgG+qKdjOJNZiy2CZPrq x+eJ5EzmU0WCWP4o8ZuItVCJRuc+KN42/RyyX4jz6WtpKjodfQaqDqq3VQK3segae+GyZ5PCx9DK doRLAXOKh1OFQQwU8mwDgB/a9Z+/Pz2UTdkyq5g+j+NSWjzYd3o9vnwWjjJPT4d8xXPDsjG6UEnh 5RY85Pi9e8qbsWymA5eneJzGFG7c7aG3RtORT76C1j2dM+UYXAfI2N12epnjCDAbLRtoHwtYhQVC Sr9dSboJFThr10IHDdCtmNnvIQaHRfxHwL4MKJwCRu2ncSOaLJ0b+M+REQb/Rr1Kstvr5/ygxI93 /vkM0+urWyeZOffV4Abi3kPdaDMbwpjsG7GMKCdPVen2SqpDyDpoYV6jTR4OJV98bnhzkV9cakrB QXBBulwpTzHst0uszYlm62tddKK66JVU0M7/Xj/+cXGq9pJmCtRcPegvO/c2x4LdLZ7L0GWbMnPq /v67vQTAzwR7IMgn58heQ4B9A9MVYBtqkj5ozf1ULnSN9Jf5iP8svHmSVmuKVnz4hgi5U/AoXzbu 5CbRuKZjJApQh11YwkhfdV45CFMYF1fEZPG4smPmi8zzUXrzJapWD1DjNrYUiqVaBWdHBlegBRg1 SioiUqnSICELkwnR7qzBLwSc9g/N0YjeH6Zalt8CFxDr6keOxzqo1MvYmxeFL3GkPrWZLEynExUM CTHW5Re9XBxYsIRXTdqdPg93MaRP2edEC571TVVsueMuHWMIoiXYkz6xx30CS0xRG1VrDNlpbJnt ZMSBLerPQRL1l0n1kvKdp7npLB8FdCB7K44W88HFn3shAJszZlVXf/IXLM2J6hfc835o0LtnFlLs jmGRpbOizVZJXVKdJEAXMpJT4BhyRyTFfFYIqNTK5HJgKP5jsd6OIa0OIKzAsBiHq5AF8HhXpd5Q sGAZrZG8VJ8gTSRA8VUvkfcnAanPv2wwUZVY+1pGZ1QraD9yhYpw/8rf1pFMZnTKJTtT2K9+w5Y1 KGnlEq4kH6mYDpjjNL2SFlXN5TMCD8RHJp7/hfX4fasdRsL5KSWMDyX5SFP5Rm1IOgVweiyjsHid 3qn/TyidCebsN+9eytTka26ePtmfKC6ZnhCHKyGJcBpqjShyCcOXKdWZBDbd8bJSJmXkXNddC7ex U5FshqJSfT5Rcy7mBDJqsaV9EbHUqbF2yFvHaFHB4kufkqqV6bSTqQfkbCy6twg7kuYfUAvK0ArR PmC/3GVfXT6Tx4mRuLIRAfP5cTzLqz34WwCgLQyxaCU2E+5um6fznjAzFGGYYVOfYet9J3gEiSv6 s2R8KsD5O/JQCMyhLzoUxD0eFXhttd2sonOwTRP1wm5OHgsNJpdqFRhVL0dV4sak/NdFPV63t1nE VG9efulT67WXelgWFrymtipn/mayejLvbonZF15MKAdbtcVBaWXZ2fyZp+KWq03ke7PrrccmmokB L2aNmCdnpqMXmrP5Vf1zbxQm0WJ501/QpFMye81rPDY1mnC0KgIY2KJi3qoaXl+I9ogyQeT5dClT bSq8LP2e/lBBzKNdNUsOP8Mp6N2KFuZohF6YT22jVLVeAQn1OGLFqDuPYFMjLtYXyrkBgryMdUFM pfse7T9JMs3cpAhIunHOi1wPg43Bph2V6XjL63oTtybZ1kjiDv7hgBMH8m3ZL+kc8bx7EcRS+3dE 6eE06e8zEz8VbuAKBF7P7ngpga024AycKZqwFh2XOJErKch8q6K2BWx1yCrYtq/eSnMET+nRLhWs DKVb+Enu+2LoVrBed150qGDqxnseD5F8BT2frQQ9E50TiJi/jQPsKC4IvVQLO3PYfByqSzC6yOYp nJSw0EzpYrXyC4lQW4h/P/UWao7BoH0rssvcx7+GqPC/ll3dqz/PsZzM+UMeKaOfzTKJD5NsPPG1 P2deJDJU2B+NZDzqRVysoMCUJBp2fBpvRVqr19izGKulPzfY8zRAFEFpiUtJwfVJI01fFU1ZvmEu a9z6A4kXS3nGa+zqtC+YC/5BHrzmIH1GDdvjsikORavXXZsDSLkcLWi1WaBVzh1ZuPuAh6yS0iNB WVcKFL+SuuYX5Rh1Bwrpx6dw7ykthntdkD6cddUD/nF8ggSwd+zCf4kXBIX6uSTtMyHQSVwEF4uI gRYpyLnq1hM5B0yLSEqBCk74ER6Tax4MwWQZZizq1jzqlZTJ1ALxmIrLGN3IPsi2EJgCgRtLmVmH wVHIK4UZh4g1ABkzC2eST6E6G7uC3ZZFI1AJr3drb1ZsN6J+udHwJg8KmbqlwrjitTT05ondnAYz 8nTHudLFsAVYaQgxuZYaLsDUD6sxxQH0quo5QzDQpZ/FE2XKG4ZwJwtVjCT11ZpAEAGEB0UYdC2z X5sQvTCgGRxoc8lRBFoTCl7sRQ8+p+j3Id5SQBt3WHn91TtEcyp+EWrECPZVRjFrq8LxY6BQBq+q /ILLspqa5ki61s+Q0jG7j5h1xia9HCQAiLf4H+X2Z8mM1zseFTqOMlCy1Nxyqji0kUdUypSvS6ge ucWestyA4vD4lqKGy6YA+Pl9TwXXoGBEVptxr0uEYOY/9o/Jw5RDy5kbAhX2Pw9kllfI3RthRJ0T 8UZcUzd8nWjXZw8r2eoXqsbmks/3hwUP61AvjR1KE6C8XuvL+AVNB6pO9NDeJ4k0Gin9QB1NZltB uS2YMDbfpOkFlK+LSUd4Bq9qLrLLyaJsdKDtqUpudC1WcE1FiuOPwXGVFtIMaNwXkA8RjW+sCHU+ vn8iYgbGVNZ8i6uA0yrz8/g7QThhEPxya2N00SehZWCFp96TI1FOjJlhnZXubjlj5pHhC6gzYD62 1Dyg1TA4QnvUGoNJhzGjE1bBXwe7chs8eCXaKMXK4818y/SH4zqrSFx3ZVCWBk4eJCuhEa12vaQ1 CNO/o9D1Bkla8on2nNq7YC+ZRRhsVBws13Vs1tJ0/bIf7fTOl/haMTFoPlAipd8SgTvxtNtgzMMT Ls1ky6Iq4AmINMDpxF+8eAidzavHBwKHGj82p9uH6i/lGBsQrCLNy+bLqsc92gzb55l3Q2z7yWE/ 6c1l+bNL2x+3k/ksabonJHHcnlowiUFh68wsDm2B6Ot3yjuhAKA4fm2vmWmGZXd0bqsC/jH6hOTu 3H3QHD7yqEEyiIfys1uFSm1wFtMD2MdWEApP2VlhTQrY02gad2AwdqbKYRTT4YuKba1Psvz6aWi9 VCqYCp5635I4gAquHem7B8EXDzEgOXxrDyFN/DQf3+JkgjsaPxCJS46LVgduMDNGQjLxaxyrbJVZ 95v4chcRQnV/EHzfOKHOG2roRAW6jTE71z1+g1aiSW8K/+tSyLtz5/t+RfEKhcoQ2HDNiJZM0lbq 3FGoSty1kzNObFayREgrNNTuv6vnxqk6icn5YPZoDAzy3Y8hm2IlyF54Q5QvL86M3aBWKAEgQ2v6 tAGeQrcyZaZH69ejLmtFP+UzZsQVLE5n05pNeCH6OFBBUutULjiwlnQDKyy63y0LeYYkIYyLH9+o MOcsV/BEttJQ+F3qw4UQCRdTddNS/jdj/iOMpyho7ou4dOuqmZED6c7640cW7Y7StTBAKs2gzw1g E5j59ip1dFY1arBOkhehZjtG1aYy+PlaqBe7p3LLWEu2NON8u3TzVQrCMjm5Y9PG3zvflaEipUqz kXufqeFuJsWOaDiTk3XSSiBVHDbOWzlUM248KE7fEo1caSRq97QE8uvwEv14lrRgMciXksjXAIqJ 4Ka2xzmNr8yL6KgOsz74n5rYIZcCGya9UZH4vyGlpJrxIJnGrJpL7Mi3wKvfKdgVYdY9lW/6+E7p 6Cg2G+eRr2Bu1IvIkkrPaGsAQarZeZx6X8rnGiC6Fnk8HB+C4RzRsPwUy2bk58kmeBhYhcPfGmQb O2hzFO0p4izxyEeBJb0RdWfJ0lPMSmB4h578qD1frIRr0VpyxJofSbW9nHJmsJ6B4T8LYcrT768a pu/98EVg6txLfADKdRrPJY9CLOQLQZZUyUN3a2vMlJupozR54Z+9ct+OXPqzhTGIOndy/3DQ9WcY TRSZgW1kjIfp+G2SVadReBjf5IkMq7SmaanxQ44iCDc+hyBiZUkopPyrsdJxsUB9gHpO5W31MuIG Djd6wX7e6kDW/oTZSshKJNNLsqS8haI5InmYNFERQeg7T9UXsuIcWz0gRjo7XQ70yin84mhiE+PK UW7QYTXURMXOyO9I1oM1f+SZRfsZNeCaJzyjXcvChyaKmsaNJrpN/8Yp6c03ppSmHS4yAPzhR2JM IDKMNJhRMHQE6H52mt+nGQg8wJWtxAnh/AmvqyeCMsbzRYXnGykODyDys03oYMTmPodM9r3Smy1r rigbpNW0DpQm9DIJPXpqBQYsRQKgAyedJhDSXHnCWRl8tmJQhl2ldrZ4wzCmWnAERl37YfAdffPx jwLWoVAQTe16ixCdgrQpQ8z/gayXhueXLm15dTrMkVonep9Uie4gzTdaXFDlMHq2On4OckSMtayv IAzP4dSKdbUnyEA8qzOKApU8QL4lid/WdfqBsu8dm8wq3sSpF+pMURBlQOF3a9uLkZiNk6uRbLkg Wpz4S2yN0P93QBs5DE2XreSMUbdKVHmdiitHrSoLsytR1YaAIjFfHNOeQG2/hzQ+dl1yuStPkWuv NYoqNOST2DVRfjhCIHwM9P0S29C2BmBoDjDslrsWaFfMZtDDPeYyfwMyA+1PDl78rbyVPQ0zB85k mo9iQcVddKa7gMr2DWLIwUMUte6+4PMKnYL3WpkYv2YYI0rQYN8t2UzOp6SrGvfrHui+smqXYnkW qvd/NluzXML0Q+ZrcD0NeaRke3j98MQtfA+m+MazYae7B/WUdMWFyMaWwKWcv12yG9TSZFAhrVbo Kxh0DJfOMpICsMkqoPk254iz8IljAKyPVXunF44gGck5eH8NNx5lf3rFJvIrPhLSS3VVG8Bq2baG Z54uMSS5gBf5U6dShMhPvdHCaT08bA8pVxdqO6jCzERIemcTfPdbnvxJ4/CiFjTwFdCOiHvz7/sC /oArSt6jPpvsLEQzZInG0E4ZfZwl0FfWfwa12pBIW43zhHIWRjrsOjs6lHmVQaxHlGAmT4DUn3ov zVCnjN4AM98JSC1nHDmlh7GszHY05T0D9Q5XQMXkor/9nUQdypli5tz44Dyo/XAASehY/DyAIU9q TuGr7mrrqCz15O0L7Bmn5/VseZ3SuZ2IKCe0ZdL2zldNNYDu/XV23XwcSlgoGKg18im6s+vE3Mzj 218lCE/nGBzJAAaPIXx4fU/Ui0z6LodBPQUDZ5mvJk0StPqMvJ9bIl9vqXhKeiyjFfVjgKQEKz5v ofiUT1RlPtHWLRCdb5Go0vl0OjDAmmxuEmpCuBi3kyAd07+m44rWJBW5PruOSu+/cD+qpPPj1lgf /nGHI1coAs30sYa/frbxw6CQMhaSJ02F/pBgSe3fulo84mrBQGn5C1k6/DECLUrGCplAIpDfdMkH JAlr2/0yDT41tXb0ESmNFvBawyLO1RaNuAkXx4xR1dPIgfjoDkWWjvX95wYv+cRhcsCf0dDHJkbw spAImM5M4Kn1Cljt8VglZlMd4SVuSDeZQny4zqdjnUPwmIg/aU3TvyMvGRjW+Yw5lK4XtueNrz6A ivkuCgiHSKx53Pwe9NmPfWgx34nuAL17xQft+hMWF72tSD9EUH3JkC1yEVcWL7y2P9xYiorun9zM cyWTVfW0wVvHNcFyZTnG69KxlUtFWkNoLFXOZHD/Wg4vI25KVWOFSQV6BNumohpq06SKdXucko4F gRdys/VuRlSgOnVTKwIAiXMbS7XBjxiiRZVij6eVbRCCjRtAuN4VLU1JSoftAsYhXz1lxMSab6ya JwTbIoHeV1ME39fAVgpUXu+WuAHEYKz8RIO+LnNH89FwYl5cVhOGZ2hBJmFBFTqc9c5h2j7phaQG ssBSr9QHYmnzAhv/OlbS392TzeDNOikQrJ62Q34xHJp/lMrg8ODmVNGSIOf5NGu38AWn/IH3UrNN Wr/EkwwDpOtpDM3gCsYeVpQ6+hDiWT5lPderopY0a0aGKMwfqQVc+gaag3sryFcbtDRcHdRZedEb 23hGpvULKAXzplrZ91U0jZ7898SnkxWKKu5rg3tffZchHTis5jpGAHAsa9vpc2EPdXVS2wXDFEhJ 4Av0qDsD5/8aVtNFcqFAybtzqZqyvogcsVCK+XAzzTCfXYXSKp6XJk85SweYm8gRGb4bA+M44Dwi f2W2Yd1qpmItA2ZsYcOzOJFF2x+IAWprq7bc5IEy8VATYdQAQrmkvUNvCRsPqUauDnb8z20d9Rix 3UN2vvNi2e8xJdpNhxFxBl5JSItBgX2clFe9QlqGDmeyDPHjv/7G43bECI8bOY/xFEm8Eob4EXdm pBWPC3c2G1ndDLZutkSVuKcCOELnELYJzyN8nOR80nn8FiMQLI0g2R51ZghwkrTbcj9xoyuMO/pa t/Lk4p84W/mjtiPl4TTyMBTFX1k4UcjDATd56ju8+H7Vd3NNV/Bvc5v8fWbil1ApOEAQRt5a0eOf y1B/GpQu+GO4SqG3agRxpHTsVIJlMBdb790nbVhc+j23ETws8NXFGYIYJbX4JfcIwbynozCpLh9Z LHW6iY17vTkM9OR8hbAlS0CNdyzO2dMdYtMGlLDtqxBpUmJvy//cHm50tTh/QHq1X4rC3IRKMpyE u0o8Wn/9q6XGspyNgqn4aOk3M0M4JoO81PnBFbQZsiJqbccu9ZIxQ1xNYbiRU85A3KXhFMRJbzKu gNlR8q0hVrupX04sCCTv9du3lGdpNTcOCoTiQOJQYcxLfEIVrRVrkvLoHLcKM6AvOwyBRHbnLcXl /o/BxAuE8HBV+LLAy6SuQcKYLwan8odbhrAr2AGr7GfuK+7eUQyaLHbTDKuVNSQL3ZljR25kIoyG cTV5gx+RILnrppALxJkTNc3LPhMa6H+MEfMcicsl454D7b7UawfqdM3MxCQNQC0LCHXCwMMvZ8Zz nE4BK4g3sdsU7u8a0dYvbmjmZ5RY/gd3cqBgvqWOKtNrbJkDOJa6TAdLPPIaWn2ZciZ04LnVIe07 jRpydBlrj4EGHzIqXhSkKIbt7Q3cZm438Xhhj33RXO+E4/pbqEbV+Wlc0qXMC/XcMtkplsB6LxIc fSpmDc0zGLCv7yIw2QucisvYR1j9st058XRx0s0DXCpVX8xXirhdVZBp8Ni2To8stFn3xb8oYC7B CvFlPcJhP5ZAOBdSc2mzuzxe39GFrC/9IYwKtq+l2RCblZPow+YFDsgJKqtu23AVqg3FmJC3HgaB RnTlmQq0SBxbCN6B8MC3phUj5sa6a9QeixoHqN94rJM2zc5dzb4wSGPYcA7wUmE/tnBs4pwXVlkX jG2B0jGkhbeJcsmBkoWFtbpipTrCzwQS0zHwAPT2+jKSyiZ1+XKCxnqBtL9g4gP9shYp1e5QJHQK e6j0Uj7XjtdvAPI9h4PnV/VsQJB5Q1lfacHVtp+w8Msh5kbwBo1KDGp7fjYJ4rzfU3MZ43fz3lRR paoejC1AWWaedTb/Uoq7LriQdlCAiACDENYiJeqzxsr36Oul4N4SAYaHthYfl/JWpfJho9XxAqZ1 3AlOicyYw5p+Q9rQesGhaa67mNV9sURmfd7cKmX+J2WUmoZ9yceDBM4+MYtye82F5g2l/0pgqtIG /t1yeAtyjNi2hJXLVYdKbTQcYui8Xa7pq7E/HITZh0v1frncwMAWKSbjbsGsRLuejxqwU0Z/d6MF qjVVdkTYdRaWJfSsR9aLU/L2JeXBhsnYkM9mj+B5/OE6fiSadnyLssvmSXdmJpucBEmGLBxJ964b +udfpi9U4qoE7tj/lYDFhyEw/371CeqsJ+iPq3zuL7Yfvqa/47hHPzuZiwFRcfUwJzHB9wdMfiV8 +3ve+8wpjvY3o8msRIVSnG6l6IfucOHB2+7C5xyP9jSwHbigazXxGVR5IfJjLK3Ubm9aJ6zgz3LK +zRsA8gZ3H4UBbTZs6SYKWcU1kfBH3y0ib16Ity+s5jSBziZ8DOvKYBrtzA3UZeeNCLIr/Rowj6n sUopqGNBZkZZ27WgauObeI90/xSnr9oU14F/q0mgJ6X/uvBhQlCzehJRrwONXq2HbBz2s6yyKAnH E/psMSO5BgjQFr7UPy2sldXG/uUy+/Iiyq57gVCpNJOGHoQkBF/xMbq5P6mZZCqalThR0kJzIq+3 MF7VHaCLpU7/WvLmLO+Ag/wIiPEmkeGH5T9jInqO8oR9oaqHacLeDTMXEMpg0hP7dp2Dr0mjO2e1 R7xQ0t/LzuE9v4ETsXHYAGQYUG4BN5BALFTO4uObwxBiN7yuuj3ixWp9PljwlNG/pc/Ex6ma6n7G c/9T2Jrq5+Fg0nRzgnrSGQvFY+tyIROPA/J//9g7Ybaxf/rtEd3N6pReTpSvNnzetbvKhjnGqgtw IVsMqnarnS7EKNGMRuCdxK7mLuHvKoHBaQpClfWfQdcoRsN7JbN0KPNyWxRgfAjcpRd/Id7UoBr1 UT4FLN/Y5gcm/SxV/R6cf2OZRJ1UBKDIrrLtN5Ryll3+NkXh3XPLpzYGw7j7VAyAHLj9oxhBAy/E g1JIg6QaOSqHWR0xc1z+29KhKE1jkTKqKf5jn74q0Syo1SAocMt/aupLMtfaZspZO2oyF1RU9apk sqrt4VaQVKi/HwtR7tXV0/OtplJrqkho9DEGzKw7t75T4etqmNFz/IHwuvkVLtMTmRj3M0zUKrYN NYYBoAV5MD/ZQKHFZziLYMl3PrSrX9ds5yYTYM4Bvq4htQUryTib/3C2Bi+7sDHXGsYGbQwLQnCo 63DPLL5Tf5c8b6VExMClbHEO9B1c1loyIyihEyrB/4xwcYkViZ3j1NaL+cqhn/ozpVZKNJFYArwZ PrXqRI7wRdcgtOl4lhfAqXHFoiiRsz5/c3chWWZKZsnOLrO8uuSDw87rL61tM2uMg8BSsTBAchSG i7Zw8M7D+m9umLwoilg0oiO2hu4v7VSbsmgCB2l4RQiIyjyGLTJIdIoC50ONsQExa93u10DhQVEQ Tl/e90XT0nu8wEE+whpuS7aEH50lyvzASYWEj4k0w2/mYgL4suHSzRVuA7qEdNIiO0xxto5WceoN xq62IdKY0BjvPjh+gxUjEAD6sv1ViJmFWa7Ps00DgZW5h/zryM+n206DIqnRB4+IfnNbH+Fzk1l4 Qb6MnhjYzpnd5Yiz1cOYj96cyhThio1uuBG1CrqBxho9STOUEYGF5TIo4jJKvPMTsAr5Oa30pMkG Wa35zHBFCTpCPmpmds5GcQUcBfKB3QbnanflsVgOx+qfqrmTaZx1QuS00U7gWKDH39Xx+KjZ7yAq ylnoK1weqo/cpTtF7RCgMTwkqKly+5dpjVZLBSH8QV35uqifXRNP9ahw/TNITJeCNUIx/qREBlFh D4llfRitFVkv/ojvoELcWgUtg/fZEOvwkvTMCRH36X4v5iynWwiuWP74hdPtBwnENHUnaiwdp+jh r+i6pu84wNoEt8O2sxBxVHLBYasqe1dRnU//6SPDN72rPLGoGOmcLhxKMzpC/FVXDLGSjlgtvU5g Vi2eloQl2E6nx1I7NRLKmvGSFEHF9GxJ30ShRqH59RqZGmcgVqgMnZlxI6BYVKMGts7BxAOjunzJ N9dwUuxcYJpxQ35JwCP6LgfXkACW5DSgMcw+r8YsIxuvtlOjL2g33pyYspRJA+cCGezoCpVrAvVM vEGqAh7JE8SZ6SyIa0EkemWXyJap79j6C3Pez6N6Iidrn/SF/pa6ZSMngChAp2pwYso4UOyZxgNQ llH0WrCcMO+W8N9BrFYPdNOIuYy18jf0qstjNkfQks7CFyKk5ekJjhmJDSLir22HvuMKnbbUZFJL EnBjn2Pe8dzhgY/JFAjjEay7TsWdKmigBlHs13oShQ8u3NuvKvASZkNAq3l4BZcqkZ5cKbuf+s6H 2ISGNO2d+Bq8cnFlcx0ebdDaxLwxv48z4tVQfEici12ayKzZEpZss7aETxxR1WQdhRot1FUjxB9L qact7KguzJ6DF6MWJFSQEExfUGzF4/8NkaqQ7n4TF8HZBB/aqROJhpseQmi1729u29Z6thhTvSGR WaXLtm62/KJRCHIG5sbHjgwnZAq3VWjcpxaLZh9iHsNCAeSORxvjQ+aOf8g1Rj+sYgyo9ahn1jfC X3Nnoe+Bl49f1vJgTiyFxcF4olUFPonMSjyRxLH6Qso9ujEt5UnUNDOSeDp0mGTod0n2Ah/Rv10Q 2VUWrlNLJNQgWx5xPsTxLCLYCSUPbg0YFFGjslkKIRCtyHwjGLvd3LkVOSprcYJk+xspg6BWlCfg LwKHEySDTKatU0IMtMK7+W1aA+g1F3rN3UAQCOzlzIFOYFPIe3JIs+ehVl5gi2rRSPueb2hz9oqo GMcHc1Re+3Z5f713XoLvWVDdRbdx3OJVXWeiXhxeWcFeR5PZmfvRLzAVionAu5AVQd+my6TNlwrC LqdGGE4ScW99vz2N2xHz9iTzNhwM/R/kG7DrBDVQ4sWIZJnU44V7QNU2hR7xCb5pGpHFjPXCEPs8 Pk49EiNbO2nh4AKpDmcOuNTEluPykfgQG1k2Nw6AtPtG5utJmji0njmQCaVNDgQmff8r1FMu9vF5 3jbc5zjNzCstYnn4s9Mf29RUt266Bkr16I+9Q7KFH/4joeYsev5wAph5ROjkJTaBXnAyN/u1h9BP +qAtyU0AWJrvrct++r2LZ3PEsYMlt2wLaIXjhEtrGzGlpxqDisevJ5aMAwj6wKtI8c1MPQCHzuWs q4wyQsV/d1MrqVBHxy7giaC+pGI0lJ8AswhYh3U3fa1fjvQjfGb1Kl3ZBBLhtnM9ydiWJXciUHyF nAxi6BPTJHXje00/Jj+svoV+3Iw7w/ht9wDRnRfKvZmIp0OF0Bk/+CRinY6HUS/4WVUq/pHu3n80 ppj/Kr13/Ao8R1bWR35jkQoNpI8QP0NuPUsuvI1c0pZBaN+500LD7Qg1iYTljUua/yTyCHdde7tN w2VP6tsmhMqkXPDm+Q004H8eJzrG7nk46tPymid6G5bT690QXmysIRmkVlvYiylIP/wauafBvfE/ UpLcF0PH06O8PX+SI/r1EXK2/YsBXBwMLMAuxfX5a2T4Q5P4WSqUo863672gYEN9bShw3t8z5Xge cqrWKh1gwk4eHXU9iDBbav4IRpXQPCtz6K86slxNYdQ1dKGeAFx8hU785xMRbf6WjrowCe+W7qY/ g7ApiYTR56wUfQqef89Dxl9Cl0cmVfF0etizdPBm3aDBcEkEV8ga26/2P6tQB22RoqJMQTxkIicO lyPEEwZ69YQDwFUtjTMD1aFOU5mejzO1Xj++s9eGM56PycVow31tWDaIrx3ZSA4IB1HK76TA21Py qQfCUoR9c5Z7S+j9deA6mZ8DU59ZodFqVRhvvUBznyMR0E7DUjd4RB52nWfrghYY9Pbitc2pQ5xK 5JwMo7klqoBFN9nMC+GJF5YzQB95w0CPH+abAKUaooI+Pt8w6oN9Am/M1/KzJ9w/qinOc8sQkWvi kKff8+tKotlu/kunnaX9s6xs/2TU07f8AKjZJ1W+37Q78k+wXJ0HpTtzCh7I8vatWgoeFYlStoO+ MjXvTTapQp4uhHmOpsWem+eNLVk7J65w8n+B9J+QuGeoTSLs9J7gU99W15i7DPWHsYzNOlrEnL3P zuwpwwYVuudQD9Z1yO1PF+adpBEykkqAk3Lun01FGSxrH8NqRb2yPm3UetF+J7pF0PSUjqv9YCRQ ANG3T7A5c7YsdOLizCn5Kulh4wMoNGG9UXXZDwxuJUXKoMhU76lbTSLCq5boPeEfVxMd+eH7gM5c TnlWXhusADMomHuPUhvyGPHif5b+LYtAg1lOV13qBH7AJ/v9i2wNETb0rZPRnglTeTW5Wkl01b/b MomVA0tT9SuGZKJ667Mq8m073WDRi06SE48DDSY83gE1XeWBMeYT5MnCnMKOC86e/5u9UV5jVq0Y GkE1xgN6zGGKwY5gRvJsaLnVkuPArbXO+8UiDd/LIi1lFDJpQdHCrl/n69Ma3F9UHjRV36tt7pVA A2DiXPICJ0MPW6bV9+L/nj7u0RAPZLxDLY3av/RpnJz3sKwzjyFTDvIwXXYTdhAEL1PZ0H1k24/w 4EFN6J/aeQ28HrRknErT5bqCaY8jhudlmhdQDN+aVK5LBiHrunp3M2VRY8gY/wsoZqYF7LBCs4vS kbKqe9eeLedEWyR7mXUyxXwMsRjb4W/ObqIWzwrQBYQrSpUTwWcrEntkceD/xkWxL1ccVoW0mqyb qwbe0m+KOrlTm4vIxInP1vse5AXa8Pi2aAeVtZEamXZJw1RogGC3HtXL1x4F/zvjO2m3NuOabTUE WxZlFfoOuHNhfd6PviFf9j/YyD7lZPRSvnVjb+EbDDDuTGbuIrdtPFGcssjyWRSBgcULnyogH8nA b1BD4NKSYIMgFQYBRc01qFpnQ5nh06JQ/riwRkpiks/de6u+EHwlKFjUx0HjDoNjbzVeBOPsroh6 leiEKouK9ump4TDSDCGmp2mZvrWkcrDjEj4qKJ4VO0YcmHjq/H3iguQ5Fj2OoASvHZLLfsGZRmjo raPTisTQv600HCCYcrESyBWlgrtKI8cVxfR+tY76hWdrsGqTpL9JQA17GA36xDPrmY0G5k55I9/y 31T7xhKbA5ZJKBO0FLe03yEL907NXZTZJngm9zh4l6/LkkLX6uvhvAPSVN+S+/9WjQs87gjkQvl1 qRovTdSBSuTtKb6U0A+TTO5+13hvdYvFdctBlqCUkN4qrMnGsf6H9oLSVcNU2G+LP+LeKm9cebo0 UpMeROGRJ5EUUxQXkkIfyHaYIo9cXdNNOJ7T//+w+KX/02iRZ1romumzeZk/i9doYGlGQDjycxh6 L7Kdo8wGVYNagUNWN9gUhDvgZu1bPbIxzunsyRXy3YCQUqLxSNkU/ePCJC2LGJTPY7qEbeLaPK37 hr/otn4hNyf/OpVbpFignP5IU46syqlfCmZR3lErvmZq0EiSlHx9VbgAKlIbPJ8NIImRO8n06AD0 GlQ+RLPVuYANoHAOkKFQUVmOdBmplkVMUWbsEpY4g8CUzABRu5fu4EwfS8NAyRTZiAolwO/W0AUF BlbErpBoyeVVwDuNe7F8cS0o3hnqO4JxWsBMe5N9+Ymm0/VgyOhC3cxsHXFhM9/2LzSSR9PzV6yI pfqrASRrll19f7sl9zD68QIxjqW8y6lkQe3Gmq0dY1Vg7vig56xVxELPsQ9XnKGtKh/IOiEYVXo7 T2UOqqI24BY/PjfAtEBBb1HyN3zH+ekQoSC+lT2qrcuTrocMvGi3X+L3/SDsbfbL3E+kF7dBDvkb lIjYp6iJdCfkHT72+kaHhbjs7Ywam5g6Ei/NSSz6nTpEsx2WUKvASAgaDQCZPMuHVkgmM0iuo0YY 0TCdQ8Vy9ylg+SsHphUwgWMx7nUfp0BxsTDuqdPfeyaz9cKQS9thcTSNNjGNeQaM+qhxJ/KpiesQ n0t6RR9gm4CS4DVkDyjP0bYpgSghaFEyf2xBO9fa+flTaTT8mHr95eBx43kcSszpdFXkbWgM2dkN kSsBD0VYntHGcuogcopygn0g+woHf0osulK0HnsMAhgvegclVdF9oG/GCV7QlOT6u0tLhKJYJZCb GkkJ7N/uGW+9+ez0KX9VHpsxFp86AkZC401iNJ9y8Iu/M12x+hdm64UNDT1S8AKFbc5QuG5Va39R Pc5px8Jz4JKdOkBjJFxfqQ1eYbsIJoGHSJtRjn1dZszp0R8WJ9xq94puI1SsAVmHRJB8o7/zmt8U zcoG3T+jXwY0ZdLlS9pbYSk4AmSST6otdgE1dmir4Cwkn0iPV+kAWbaM4c4oFsVNEXPXio3w389x qhNTbaEKraZlLSnCT5R0KN5fybH9kcUUqS5msCuNoyN3kdRL+lDAW/DiKysAvvwWGaICyQAgYgMM PlLdHsMkjlC+QtJMuZATpHReDsv6+/qslFk2mxc7td39TyccD9Fq41oWK/BV3zTB2TNO/cxaaZCz M0EpM09Gg1uqd2b69jC89deLmhN3SPWv3m+uR6oBgAEa64AzScy3OrL1yYCNL3yF2YXiz9sTkcjD 7qRc1NJc35KJVJziS3ezqBl5JPXFMCFBiSlkQNvt60uQU6Qq4arECAJ1lnp5X3rHVgj9FOy43chv 20IuLJs7mj1CFj3dS0AtV/1T9/ij6jRQ8PelYK/BneZ1J66J+jl+9//vrmf+ukZ4sqSJEquaJP4F oQVclkkF0Cv/g3TYoHd8im2oXipFWGryJkH+fiB2ausj99xCzaIi6ZQUyI8lM7SnRIPc84DM4i2R VuW73s/48qQ8H9l8246VVrwOwC/BOKd2VRzisYOcP9rXKkUaIKNPHD0NU1PnayrLDpAPorF2Jfk2 PNXEUV3uqfix138plqZoCEEdQWmeUCILFcGRItuezDj6rn3A1evm+XO23YVMYI4vTn/1Rm2YgTY1 2xkfUVtI2V9OUeyhEeC4OpvycqaIUB4xVcStotw2nRIb47uBfo6uzCozO4R2tcv1rrjvD9syLHqL MziUCcan1k+ymK0BuW4GQNBDvDp04uBEXpBE/p6H5V7oBGqSanmXMjobAYSwJDLSkunr7rgL1pjJ BiUcGsc6k6RmN56ILzW73lZ0TWJZQsoiBhT03R60WKUPdpiPGtWVu5Usrpw+8OvRi6CNX0uzZ4VF vwJahBs39vzWYgf+oM5slcHKxtiybrAtlQBsKe1e5fmsQwQWVwynvs7tdJ8qzz1kSiGmndI87qA7 4OtbGpmO7cW9PFnLaWAa0M7Cyf3NIznGPHZo5RTDx45IWvy3ALd4ow9MZ8yfQaQc0Syb9Bb9tNKd clcLn/22KALZq+h/BiaRMwWFoDOJRqw0KRx19fUyHHxrJbluJcYHx04CP6xW1Yfks79DIPKziY+Q ED1bUU7JovOLt8PbpG7TN/ebibzjL3nHbPYiPnmTST00WYF1TPGg9bmmHOnM1B7/Mhjmh5nHMKyl OVBT2hObxK17FT36EkDIfrbDStyH4qZZ3j7hFTsocrjtfaiUgdb4EKlGwKVjavvRAaVdQY4dgsyo ukUgEHXoGvDfz1p+6qXBP72nj+YPxUxpdu5hdvVpS5KKwzBlSl8bvB5kwSbaZNrVWTgqYTAjAl+q ea03HNOtwpG7nwFmSgrUurILnOc+M4Ljh00zaJToPp3tw9EruioAda+2COCPmPedofIqtBzCHdMJ 5VK8mts6F5CO8bSpph6NS/WgXwOVPoZn1wemMxAs+xNKlsdh79ZNPKLYlarktFeI1bxPwuAOEYWy FQlhih7BSsurDBpxTJT+xjCgegYetdFxofwG3BaXrPhJTdSSb9fEBicJ9C7xeFsaJZ+4d2AWKWIS NEpst7tpAcaN5of3ojUX2heRtHhyRMS0OCLeGZ/KeGViXBvsTESl5H1DX3H/JTJo86Inv9PcJfZX wrFilUgdeqDH4mE+M/JQYic5VcA8lBw8KKi2r8Wf85X1ILo/K4M+hXTX0EX/ylHFdKG4prkO/Vqz 0Ql64J/uRRT1o/W4eSeYm62V3vzo56SydJaR9T7EKPJoDSk1HS3+uNYr6vzWHardo+pdgBZ4RH97 qvahS4fvKYQp1Yz0DcHFriJa8JWwUjicFHBq6tRYGTAzlq/euRZoJpxQAhXki2vEYBD3gDfey++I JHo+W7V6Ny57OTZ9qWoPUDoJ/DU4A926RArc41IZ+464YeqL6sMM4ecDNOQtTOqC3hgor//iSaRB FovmOb0GEGcvulcRwjPd1PI91YOGIVZrwX75qYwpqJ2Ngbe9e0418ry5RVpC8n/gQ6UXRrZNL8O0 jKFhd4OXTd9ky2igJhb4eky2ARFDi6ZIgESvDdPpTsKJnZt7RypW8rZNhlCmC0cxqCdWmgvnlfQf D0NRT/xFuOK89Nb6q7AzenIHyV9rb/RLAGxeOjxsB1+ix+Tp+jB/KYZo714fVycsHWkqcHev6UdL gVnx/85s4D615SrjPGs/9/yJx/sykjQqRaAYFfitC26TqPiRk84W/9GK/NdavJEEoj9gJEyBN/XA qLUK/UvQauQg4Df3bSLLPgQN5iirziYcAe2g32dKm/0kg89JQ4hCM3pwDeEV6aITCFihCx1REMq7 EUe870hwj8O1Gz5C08ph2yZrIoqglJPVb8wL/RGs6briLLciaMcc+66DvNVry03v+PYqKXv+dNFc y9lwYBn4wzC3mQr6sTBr3s6KjKWgr+be1pNTsMa3L+5018tXQ7jblcxPWMwXRdzqkRSRibprszZ/ mwj2Obh8ACPvfIunzyqjO3R7GfAd3zGOGoiUFEtM2Nm5aPTypzY/gzzYH6NTzbqT4iXMk6SAElbv PyGTVTqoF6ejMAI8G7/oWCnLUXflwN4XR2VVXTmDMJW4K+4f+qCifERjkK/6QaqHDiceHXzbW2u5 RHFOgFHfMHcoCfQ3iNTNXAC4QQqZAczqVgYN/zd3tEvn5nDFUx9joEVb9YQohSyvrF8UYJY5gqmd 1lnAj4l31srBRb5kBWDHkzVYD3E68T5rVb9Wl2mz+UiiU/nIUBjGFkRAWIDO5BqfERJgzQm3HOWc hV7jLisVFcDU+Y23FtfITXkhVa3Xf4Jr6sD9uoOgs+4v712rk0Yg4DPzXhhVUq0Kh/xnYhDwCIy7 82bSdRj/RvjqWLmRYIxbv3Uo2Aoa+2ZYghicppcK7cW9klY763Do41CDW7wdR1LKhIsat5OnUUjV GEBZK5iUGxHoB4bbT0hbL7C3Wwd22rUJ/C/Zh6eSzV0ZNmt3V4GZtwqZtjwGASv49xbZTse9Dpa8 8W8Pi8XkqV86F0vmk1DPilpY3uquYQZ+Re2honSbuu5fNFItokRxrH5+ChYHjobOR/AyQi9tp0De IyBkHLApyIhV8OeQRhmHh6Nhany3Nm8AP577uCwR4J6g9fZD9zTsMejhU9QuJn5fS2Hyo6tH5Qv2 0xEiz7yF95xbuRan0b1nYGONjKqUl3d7g1CDVvVyybkxeh64y0paqVXph1bvBisagysrkP4Oes6g DVFVxuHud5Uf9V+vbfKFXBg8hF1QniMnX6Gw8SlUKskaslOmFqjOJakiW921xwLmhtiMwO4VX8V2 mBtyEOpdEZ3flgbnau4NtbWzbRIywNZipB3eMHWzyUSxH2CCVfcygL2EHc/p5HryD9d2OiIWd6c2 O99WGZqaiMOHnIZJ6JS8L8nmEw1refJjTIyvv7mp/72xHbvvpEilB01YD1bH3dwNHk3e5iO5Ks6D BSpVi50wwMcz7LWuHhVYUd8KObcYR+M0ijw1dQX6M8CZcbz0iy8I20CR8SaLolCkFKkHcedm0rte 8sqfTyGjJb0iv8nNBKlnByp2FeOOm7lE2fUUV+ckWWHSK6m1hu9XSCI2aeHD89mLN80eiavw99Jz JZViJD9yOOx42lJ8NnJnH0fzmr7QO9xnuRFRqlPAUcHYhoPfG9rxA1QpFP1srJEw6hfRFIBjT6XV gyHd/kHCovPSbZBMCpJdnWxcCpClC5kYowJSuNQKhNkhV6dyY9J2yuU6ujir07fmUGccaPeLqMni dodDyjukJOBFVZbUVTNddDO4R3AwS2MaTtK8DjzF9sW+rv05IaG/iTaCwEbEEw7ZyBWrJbknuAXa pY5QaB/06oq4610Nfnma42sIhOOPwnqdL3nFtG7yt9T42OazKva4ONsLpEMhFKqW2mgFkxa160H4 iJfboINFcPBnnQRK6LXQH+o2voRyjiA/H2+X3S9hNW3oHJcxHoW6QuwXBVOCT847QPhDtD/3/3SW +J2TbJjmABwGgRIMozr2y2enCBJitWCy+ZgZvBf1LUOYWk3bPn51jSDoKitb2g35OZjfzbkzZmdO NASzRY5uxfzpwM/sVRU3LzicpcIOBRVWTj83p6n20eGEH8d6kXswHkzIiP+DDBtXdcRYKHadjdGL BEwk6TnJTFhT1dl1SW9h7ORUP48Xe8X0wlQTHQhi8q+guzJdRYz0nJNRMb2w4BVlzUplx4L+NB3L aHxNTbm2aXo2f4xtDXNgtjE3bWjtQ1cs8kOR42a/+yl77COUYEuHPI+gRreUhBvVubJWY8yXA619 wlXtxzWkKiRFAd8XTyZtCsI/2lxl+Y8nUptO+pqXMp0UStQs4C6EEOpYYItShSvpRmp6t6UJtP/z AJ5p5FvRnZlyZezA5fIHUBWESsaXwSnPMwTa96atmBvH2cwzMwjaEWcvRAp+5GQ1tH/nYN3XHQ8x 2yB03OtT5e23OZt57BQDcu0rLrJyarmlIw8u/rrnfHoAWky6ATSWxBnCb1YCXgBFIZqpuUIIZwRn 1HMjURK46fIl3dHWN8a6W8Pbila84ssAvU1GfreAxq7o+QhnbVtSA46D/yJVKsZRu+30xRIOCX9f S+D357JhUacl1gq84LuO64iDUTpxUvqFhnphCdTTcfNW5PNVgunEr5WA4fpR0+sv/ftI3/SQEQeo SNdiIWA6jbJg7ksMNgZe7QNet5QZ8HiSYPWltbodehrwQwrd9k8JXEltpzziJuytGMMvo0kXVqaY 0BpXiv0ZCN7Pc3epdmGhziicFaEVkkMbDwK2NOeuMLRJaPDQxQdpoE32O52nXhh54xnBYa7RRMTJ E6vqdCrkX7QFMAb8BQRlo8R+ECzlTkfJE50FIQRd68q8U+nGLVM9dNogbfsq+X4fCYHe5wJtPM0e tw7ZTocxf5OkrkTfbNF1Gfenx6zml0rV09VRPy/eHalYzD+ye7UdVCm3VFBhTNDq2vey2g2EHZj7 fDp7oCdaYhxZjXwa8GJYA2hXVLI+PVACOBSNymcM97YelRt/p+xjeA/GIGb50gcZHyEZZcdd/MS0 qZkXOzaWcN1EAnCaO5MBb0pjdNJlp8EluxjwQXpntCwazBJkunedAOJoyVLkbRQ1JC8NhRGfgZdF r1JdTVDNevmhFG7eHRseZW/67Ja0uvip8FOWn/5yWIDUd2FlYj0nze8GTj/mfqyTQybIVIKG5qDF fqo6h3eWaydTgja9XpUwBvieb9JT775cD8URO3NO9AYWRlOlA6Qs6NRpggXNyYZpXdp0zqK6M6zU ldRROfZpG6rdKN5Z+W9Msq3chUGWsySBZYlrZxUJK33DW9j6DjhAmJP6WL+JfKSvLcNVuvggOhGA ZViXsHXFgHRUYDNbnhINuMcEoQagA3gQqcqLwvm5U7JfqIIO+8VFiUXX41/faI4E0/9m9HjzXVwH x73hG8Wbejwq6pW3/zKooXehTyZlxg7dzURT3UghqfJh6TuPcwjkC4Q6qbqT5SDru9gBaEFCfOQA mVxqi+JtmBPPe2/Ruh32D5Wx3ucIgARsMCIlOaeWU6+UYjQXlH5HzN63vgy715JHx+BpjDOCMMmF +0cGLHFs83BKNnnI/ZFYQ9nDES6nE06d3ARkQ8mwF0j4cOZgSpFerTwfM60IppHXJH1Wt9/JpYYS 8MvWD5HZHdwDF3RwNJwr4CMhRR6AWtqh/4iyibk86mz+biehBM32BV1YCwSVE9u277ZwQ6pe2yq4 sRuo1gAxRd5loxwAjXSb78uWKdd7eD0CWjXLJFXOxeVO02rnjp0u5yES8te7VzukYRakjjHg9rq/ YYfKS630ZednY3R+DUrjOVuAqwWc98pNnHuWF8kEoWMClXBpRxkOD6qxiTiVVqzNh5nr7+2qyBtA nHTBTJ/oXtyN3nNy+xMPWQZT9FyahNgP6wlF5uYqeusSzztD245JXifmiQ+Rus9qAL/e7C9jedDb TXgtXvqilp1xlaB8HIbw+xhxgj68/RvDx5oaL8eGJbfhmW8+cCYTzYEtI57ndwMVGkF87DNc+C/p gHT99oTm9Ibucgo9SCaBOboeIJ1m5rIHTtO4KOA2JmaSUIWFGgXwTI6xz3IezSGPUQLtrSnwG+Sz oZe2EYSgftUlfO8pVhR08vblsNp79hiESkWNzAvuhua3U5sj6mJcSqTyIiDUvDqPh5tRnZT6u72d TVxkkQ4gxibE8RsClQSL0Ckl8guIbRXR7uLnuR0qMD9FvMj1k8fzoXjnDjYZhPS0g607UZRkeHoI 8jpuE1N2/fhW3VCtPC/f3CUboQlZ8v4eJDq0MfBwRge1EdZ5T1mKF5Nau6fGRydlybusaa5R15ua 2aXJyZilW1O0Gh4Mnc47KA8PCZ4Wkw4MDhyr8OfcR7ZNJL4PaAaG/oQvZrmBpYrOw2yZLcR9P1mU jzXz/OK9UT4937qbs7Oj6I0iI/NbKDdexQblotgklaHvVY3S/Re4gnIb/RsmVRyQDzBvK6G/ABo2 kubDnl0jLjkHZRsABII4RO3FPSoT13NpUphIhx25qzGxi0j4HXheV5ZA0fJRYlGtFqDl3oURw9JB opgPMECNAqkKBfedD1xRDaqN3KGKUXMSkS5j+nMaBi0Eth0nYNt5+TCfYmgnJmM+Zw/URDxKoIvc nTeqBw5IeQm7dfkpByXPATm+Wz6t9+gIi+chVhhzUpL9Y6PHSlMWJQm3VZaG6uvSnKFK2ExF6/B0 3Ir/Ck82lpKnTeLkC1Qlidfi2pddai5gCODP/QQYVLGBKx1KM0BBskDnuib83Whc9QXAfqwg5gtg qnU3YQuxqtnQ5uVOYJAdV4xtso1u2H20fkG9EX1RrsbYdLjnZ6lqpxKeGICwxDkmgu5KhHWQrcMD 9l3j8X2DaDaFxULuEtpCTniIwwc7LDeYDIwVykAdiQVy1LQPVfTm4mpAD9gQhP3izkYNwzCQ48dM hX0GREtRwRnsUm2HbUEh4LYLzr/PGPrN/TYIPH2wrDFxULU1O/r9Qx2WYRYR8bhAqTdbhMQ5+SH0 Pzz6V0gzls87Wb8VDo/oQq2jtIT352gPL3e9WLqL3U9nCFDF3DtKZcPenpEs0wDUbLtH4+KKAnNv VkuWSzpcbmvHrLvdLBL+qWeA+L8YdVtA0RK6fcmIh0hHe0tptjgJXCngexR/ivqfUAULMOsay+WY 59F1WLFlKgkMlH7z6vnjdxu3okmK+cHXVkbIJGxmpCt0KcEIQ6PisXQNA5cS3oYPJgbTC5SYF7Dv y8C6+sHR27MHE9JRPggd85vXSRWqbeK466DK6Hgz7D78gmuaLp/+Zyak0OAhyTq8aXuuZ49AQfQg xMtx5+p7JipXQEn9aMGIdgyqLR1wEhGgV9AIAdAVTi3WpbYzrPuEgnpgRIKchNQXgNxgWJhmIZJp ss4yhEgQg/Bn5afP9x6A+ValgF812l8S5KyfVIaiWJ2FFTzEEwwRoR2dsoTZ6aGe4uBAJkVjzfh/ VvcK5fEIKGtC5NVFJCbj9+jaMlQYhSc77SHa1YVeVOr62UZUYNQWk/bHTSxfNWw6GCuhy46lQXaD DUMRUYRJsDVfM8ny+MhzAZAioh1vSTWX4N+3GbDnhlXDS5lAiJCADMY3RYuyVC6m1KT3HnW9paF5 0qwiipn7RKsJrQMuMDyrN+4STca5IW9mmJAB+VvJE1XmVKuAWmG3LMkov8Jm0AkcipQg7/8CuAVc WChuYnOtVai3kajQyek4LclQPzv9k15uT7gAz1nMNi3mC4B7AJuYT+k1uKM/RBhPhB8ebynDsnnE HK58041A2yjAfznX/HRb9JTSiqLwX8XGgV3wBCrqXjQ5g3nd7r6tnE46Jh7POoFW4Y6vjpK6AQ6N JGdI98mn3V6lZb+d4uWtKtLWuramUfaf12Yn+UAap3CmRb6WsbQtpnB0QgB4HaQS0O6x648ZTGze Sibfv97MlR6PeevvII0FTY74RLrTxEUvptdnU/qkLBAC2HE7gjlmLnQ1Vqtfaeh4xkyvJUKCjN93 SCeBj4zJSxUp5zW3SMXCFC1ZKQsNeahhVdIQyqoyT/VPQ4XTB1RqB+oZyl4RAvkRbvp3mXzJvAGS jX1Qdabi1ecpoL5ncxuqk5MsQ/puwCOsqGjQDoXdwf25fOI6cVgNdUBTxyNEivTMOCnl1Gf80K/4 9W8hv4zu8jO+oK1adq1sE8Ib+4ekyJhs1qvdoHfQmvBWOj53Fm8m14iklGFftvJxa/yET/I3uL+m 2Ge8cOYdvwccKJaW44PkoFlaBBpjQJdOp9OYucvniKiXPRwl9u9aO+o8ypzLI9fCIqpj6MPG2MQu 6z1bK/aLia3+43D2LigINwL/4AldJgZo5LyNuhxPk6oYWiENjLZ86SMlUx2ER4yNgEvPZ5Zwkg16 7lO3W2DmgOvBi77It50Apof3UOAK5WF8XOgE/xPJoH4yxASPZig4zRF6g+V/B/7TCyyfX1nnIx6l lj6I5mbw1JgNZLprNLD0RQiIFAHqh3Z5tekeJoKxWykkxTEnO8K3J8Mg0pI7U9Yl8qBACjN8ueWi dbujwxh8Qz+ltjBZHr7YRqUdlZqLdgs2ZVFQXibpr7z5w3LqKU9d75Hl9PI2bdE4SVKrAMoSkWTZ Nwi6H96mvzdJ77n4kQD4Bn1/4Hd3wRFNxeMZAWsICtUzkhv70XFRB8JAItBWToi0i43lJGwbHd6K flFl/UonrG2dvAU7OLIR0qN65/9C83KasDwtDiqcCglpY91hJUfiyYEBniLMeVqSSGyHdgH1+l4t fykkRoXUvI8YGg6DDhbxJ3E0K6VP3/amQOdb4B6f3PLeAx4nyn1breSbCVJoR7vM2DiK8VNLQn/o nuAhmu8zcevpwbtfWd+P8DJuiYl7iI/U5fXmInZJlvGWnoTn3FU10qTIt1OhTaxycH7D7uXe6Swg zU7Q+wYIFegsOwcxJKFZo8ylk/fzsYymOF0hZ7PjYc0xf63DR4lw9R8TjJJ3z9GKx24jAaCNw7Ru sxU/jK584e77eaSN6lycSGBcR7Qqo8YXPJqLrL3MwR7g91koRwSG/M9yM1KP79cfCr6ozf+z4s+U irKnqyQVe2HoBNIavQwou1lmrxYCSu0vTXHUbTpQTR0ZUHO6pPnSTm241QJm4hznZRfwWCx1eDEh ZYI4Fp2ZKGJoHUeGmhveADozA2H7/gaWWmvRl5BCTfiZSNEEx1/xw+ifCDWHTmNMNmRbrrYCY5HP KjGEprUORDxzy7RxNbj+7+KY6p3mYLRTgOCEcZMmTyv05BMBFnMDYNF+3N1YdQVjIKPNZXFwdcEJ NVDliq7mvHzMsZ/EwDoX4Lp89cpdI6+8GCO9Vb2CNNaL0b/CkdQCZL/IXmfeivgQp4efizk9YbdE KPLnt+Agbh3UxNXYl/HgjZDS6Wq2e5Qdd2d6M2NZXopo/7ED34z2wMJzMHna+IUFoWQij8MVhoZw PojFQQhuevikA9FSX+gtQGvHC0fgtBr16c2GrqXvMFnrq8MsAfLVpw96RxdeFMBnnjdUfqBmiL6e RDm4YFn5xkF6JKr6xZT0fUN9w3UMpPu+u9AXj3QIq4NNZbgSEQiv04TvmoXfCFydeSArILnbN2v/ MOBNv40qHH5d2v27FE0zyyVAICnNrjn3PfMn/JfxrIw9MsLlIMJZIZs46X/h8IWWyqJPj4xttpeS rcQiUEEHewgEvYAB/lD2lKPRtdjwt6rJrmcu4T8G4ih1s6qpz6+Dyflm/GsdsfM74b1gaJOvd8A7 oT9ZR456y60wfOIvdzs1nh1GBv5Xffd6SX7xCUkCY12xQRRhV4qI4hSnPSHu1JzJhcPbYVOX7PcU n7pi7vHu66bnewunziY+5Y1IBJkjHJ7o+Fj6Ad2KK5/auORP9AoxGc5tqvQ+lce6c1jU4ie/RcEk pd4yUmt+KDgsry+JVDENrZU0IXbevs2GJGGiKtzIpYN0UUwKjvFtwsnxHOwKcH5CSrAZ140ptuaJ XZ0V+v+eeSbeu4G2IPh2vCHf4DhnH4ERHnG4L5oIomihZ+LhPHWP7i4PIIuEhZ8bvAKWrOcZd/Gh C9hlmy0tFJH72ym0ZqXBUz3Ja6EZbHhmK26N5O2HGMe72lsUNWIQCZ4WOSI6I5BYDFGDjrSsWfbU VQkkajpAKNIic3wrsbUBnFLYgBiHr25tTG5FsZ8uTZFmAziN9uNqUKuPXeQXH41xK44n40vhxOnc vLhm3G2cQuzpEcYLIjIipc0JqO894glnwvUSp0//Gx/rVSkFp8vCQ56KqZ4CojZDY8CBm52TzsKl Z0NvjY3aEKKjdJbuJ14s/H6ObQHua5RWji2AZPng8deB0ddH7LhVFKJZkqcMdDG4+9mb53zUGwAC ERowIigFEN2fWRWXQ2Xf1p+4ol0Tl8eIXZ1C2PAvcnX6OGgL2fLhDPDh8VA9Jbi0rvyOTKQGivUK TnMGhw/vvr9z5q8db7walb1O9hcQW0Tmrzns4ep02Q/L5AfBhcbmz1idxMofsMjeHxD7DKMNjZtl qNCoIXQt2VGy3i9d9cRMKBVyJJaG87jaUJrwIzFMVBztFvn2nrh//kyEDjogho01R7s2yVnH1sUg BlwOrdvb0r4tK/l3bRSvz9dbCru3lm+YepRIoQyuCe2e7KSHV6ANt40GWS99jT5+yZyHhzCnT5in zX9EPoLii8K3Mf5F7uW3aD2EJLzGo0omP5BFQKa3uFNEb4r/dGJEgx3cLyOFCA1qb154U4OSP2GA BVvWyYtx9fT2H8bQmDZbsrrKY5CxuRPzAH94r1UFKDJ53GKC8WO0G84RccFqtzci0po4LoPFDCRF mndXoCZTMlfpxnlz2eDoqBsWNMs4+Fhg2jgS+ub55YAtkD9fi8p00z8Hfo/mxshQVrwpqPbT9nVC Hq3yiLjYPKCTTuQ6nq4JLKqP1C6NeVxe9uv8feMFXRugsSZG9bhhHXWFbT9lN4BN86F3z700Lvqj oAJWttGhnMySKrn5Bkr0DPj6rkSsg47OymS8Mwsts6l1+zsgDU9rgfLaAm4Irg36cnkX0IPTtKbF bfQ9ZcVK3qazIUOd2qlYL6WWMmBUzImbb4Lf0ZjBrIFaMEoc+2e67CppQJ2Z4fLqlF4SNu1h/X/O 1AR7jSAqR2LkdccOidZBlHnbB6NcyrQvcNY80Kj099wvF4CWeBiLbydksnOm27OB5cfE2DAw6HcB 0iKxatwlydROwfzGQto8nvn3P4tuIZY+ZwCSnzIroma22wPxL5K6anPWnNn5jrctcDCDolOm1hc/ avkaM/tGnrwhejMj+guK0g0BYcF0Azczrb+tnZvS6hPNSehmoioLAd5ol+yW03q0DAuzYfB636cV 9bbui9sMVlIE6fSnXb0yNwEeOcP8poOp3MxT1BWVaGZtDTBajBAfUDUV1IDak5boMOl5N7lUzC7t WLIXBHi6EEU9x5F+fOI4k55rQ2ODuKla/SOG3MTjvnDOXaUFd2vnYCqhrot/ZwcFcS33RS+ajIjn aNQDdjJyucUkFel5gMA8C+z0fi1fL1diq4ZHqpWjlxbddFkYJ1E1j+f4+WhqDH5HGXwD6Dht+8vN i7R2Y4Di1HyZyMRInSdEWUkQxiN2XIPGsI6Au5Lx7mbIpnEV3DqUMLuWUE0pKoQ871vPtartz8YO YsaIM9fD5dZWDdJtea/xZsBcSaaK8qjnPka89zLPSmNRd/6fGUV/LXVleI6alHbPf+SGIA1DUrnN Cr348t4FMPbc+sNRDdCyNoOdj5AxKJSaVjxpf6jHznUJ21o7l8EhUdGOiW5wwCwgueZCfX2TlX0T SfNqbY8wwmqLen8YUFBIeAqaN0LaZwhQaAe8mPwEgc00CyveiYMKL7YqHtDubhSP6WUU4Q2SpvV1 +sDWPPDIXIkcR3b/Opu8P4Yf8jQM9N244JZVWfT4GwRGFjaKudMg3Tx0t9Xjtk45saNpBVzRTtqd 7azradcw8usMKlwu1PtBt4KovpogJq54HyxE4f1pHZ22tL3ijqHTNIwpWbBDa80nJO/ifEcTqRJt vYIqqIJU+rHs0W2aYCe6Y6/5s27Q/C/sbFVyZYv91IF53s1CH1EBFoFgrv/E5lmT4kwXBbYWhcMh bHjG6p/wkj9pmwle4uryhlxAtpbkx8qoYt5hnOm77MSlWIEGCMSqTWfg/3iDXGxD57Ks1ETMj6ga +Wf+DscO0PKKQ342uxEaITmx/jMg454qhRgdMHO1LgFnRMXPB+7qc9D3UOhyOU+b3Ql+WRu6W6oH 8vGwh2fFs8DvFS2rsXufDZqgfN/qpFLr9MC5DMO8PTWNvmPcj5kkIi2Q7UKdVfLNmatn3z5+dS7T nvD7H8WpADFECB/vAH6m9fjS3SOqC9Iq2rKoxVpi/0RxhZEMgwndqFvnekVEAxF1TgVvt+jLVn4w ZUOYSufxcNIEgY0g05/HuOdy3igxaVkDDFnpiIIyWE6S8Xk60xc3BoyQ4k3Ti0AMEo11CgnrmeSi s0wWGP++5IIPCJOEjCn6V07YvSs36k9ZS/ItVf/Vxw/eLie6115UjuMXotEvEF6WaTUBb8rhf0Ct PBgm3jVWgHaXCAGWzFr1NDg3Sfwhvlybz9Fuc+v4V6JKwX2+DQKS7BopK53u0hhZ3WYX/fHFe4ha XulzoYua8CKYuMXCbTqGUfZxOcymzsb5grFVFKtFtLRPlYL9JzL3eD753slaDz+lHuiSZQyRxyhu LbHb1xecjbxrf+mGwtXD1bwdRMo7mXF7PyxkeRYiCSoI/Ck/EXkMDx/GcphNLabjIYIzxEJrOQ+Q bj4L1WmXWEbgA3FQ1FUKm8PD/iUFqO+JodsBTcb37YukvYYYPU9U2iEAN494+HF91HCLkqCl/FWT bo3NGaoT6h9zuqBb9h2n8dQcfNnEMb8UrryoCJwa8s632bppqFri6XxER6MDrLWh2UgXAXRcyq3f hs7Wy2Ga1kubzihR+I5oS2OT2cE2pDAIGxNxyYGd4af3cR3p140HV9uIQrOb56yvxvbFI9yuH3Qh mMNrWVxjfKv0lgxWigwFCAR5EXO4QmjLA8nv5RmTeZGznEwwkJeYW/YKpoMTSE8DpXMzg03r7ZP9 GWu2XCrRZsj0IeOVc4AkJMEpSLj4JrgzI0A2ZrPcU7BCptro22MZ0SUaKtFs995AgJATig3rmuaF U2UuPNBVZya1I7xtQp5TCHBEHVA6SdXjm7vyzen2dghWUfvRXpj1bw1OXY3qOVxMVBbbjTFdsXhA Kldp6v26yWSpSemQpztZQhBxRi7CRrBHM8OqOX8ciLJrQkRAq9r6/JcQ9WHvkBHYVAAGI4/ouwFq WLPAy79EkOLVBlTyGhQkOAlukjQmJezewqaRCiNP0WYQSlHZxXEPWtYfAynZ98jpretVniPrfxYL J8lZ97jiocPw9JyG9hKDTgl4JPwXM9zcLHWypvrFg4njvLU2PttzGDhgj5sUaXmy/c8AJwZLdl2P 9AfZAd1Xgu1QKLXKHCfN6Hl/BBktkUSxl2Uw7wSl5DrYYYKAb15hDBrocyzJ/XeYnw77R9j/Q95q 6sBsW1RQ3+2zw++Z6BWEs9uu6WRpyLcENUy9jQZWG5dMxJfAfOvu+O1scuLdYoKc+PMvHqEytF9q bSDmRJpjUZSF6/Zwdez7vmAxKn0Ew7I7UiMSUOjBBGFkitXG4RvrkO/XRUuAOrd8KcDSYBs+VbG7 +KKPMs0avq8JOS1ur9ZTg8UUdtl8s8U42/u6HzWr8lKy4Fho93YsPmpwCTgM414FxmYp0ONewcqN ltdAsfOaQJPU5ten3NtWCmK2BG7YQunr79ph+daIsDRPIIOuwhoJEnkrfzKM1B5P8yxvDKpfGd6Y evV85SbYcp6F+M/4LInpNZtibPwJ9uqM7rvalP7fxrLGSkHYs7KEUZE/xKH8L9wOpGLp/2VFia5P 6RF9/6Ln7TxmsJqkjWoN1k5wnNkRy3DJynzTbgbuKTI2dMoi+DEsl0IVUSZC9IEPR/aKHbTyvPAb lc8uBTKiuOfQGEWPnVCsae1MO6uOnkGC/e47y4KxTxgCKXNOr86oeypCwJ/q+YpqykBKUGHs768g tR7weFT+Wv/xkSBAhqbyLpJBJG2bVn3Thw+MVS1w5NTgPG7uoRMzpjAfDDvZvoXGg3D/WM7zPMxV pZ5ux0LRjZHjWBf5YRqa+AjUwGrFtm5T7VoGAw8tBGwvjvCa5VjJfUUVSJF+40+AtKakUiyx2Dse EI1NvJ+Xx6q8NxstIpMLSUdOBTZgqpNfLF4oizedCWJY/0lK2rKMtHIhdKnyazwIFvlSI/IBorjz yrRrTwXbmnZcwsCXrKDj4gBYbPoPriidcutPcNtMS63HuisOKm4hpqfoHJXKki2HfBfA/flpaNqA uByA0E1UlrXhQLTHDLhXGibCsHzGyTNiSFAByJh8/L7XxN/m8EleEb0Tfrqn6BruygEuubpcuMo9 XtO4BnI2HulR6Gl1i7tiDJbRLmcg6NAxfjqxE6eYw/idLfHOIXCZv/Jh0u3Bd9Pr4gT2v+CS+L2W S0yKh7xxmc2EhBzhRe0rkB7anVl0+PVnDOvS3DcM2C8YMeE1eYVEpcb+i1W2GdDCaDA/R2cQbMzG R6EUFHzpui+abS0ycSy2/DjXl26vn4i1bJ1nQFIi4HMMiuk0QqT677gTPn8S4fAm1bbqL+KBGrkK MnrTt3p08E/gQ9y/e+pOC0+X/LwpUKiEJeEBanhQYJtdqSa+Q5NL9YqHKd+ER0EN8l3IuVpNRpZK 5o66gm/wXBGjld8SdJSJhHh0gBx7kT+3B58t3ECjTA/04vEwznjiAf0NhBZMVFnxSc2h+kFldmAm LTy9NkUop+BcktvKrxpYzYfbEQ/aTvVnR6GA67usXXOE8Z2lDFkXctPoArFv/Z2tVFmVk7ErFPjl 2yqN/xduRTubVTc2FPIOjXDzjk+USZLm/aeQ1Q+oLIOhWNT88QOYw0ZD+9GV/pUmwcYvUssFn+Xh K69IwxfnVhq3PJg9OuD3gm4VVliSD8Tn5b6d1l1RMFH7RvrfMZRcGvgaeTzXb5yKTWumT3uXdirv dKGRRMaAkHUN3fPQXl5Fh/AXiGoUMgqMxEOHvAQXOd7hAFK+tAioduamI4UvnBIKsNY1jQJ+bF2A 5pmoKhCmkcrJRmQ5X+axe4JPaGS0GovkteBLcIjVTLFW6DEk4mtF06bXKIRBrbaTEyXQ7AzSQfRQ K2lveA+GvbdjmPULObLJ1up6DUo/ua9ayKCGCR0Rr9mfurDpkJOO1RbiFarUUeY6eqHSleX0iKV0 NWNL4dTYaq23BeJL8nmWelArLGGb/k3vNOsIMrzNgMG4pD48AYXJAbOWzhxG33ROUIFgRBU3RM+a iJ1FKa3ATtCYzyB35/bwvbfV9H6SRM55wsf4N9YEJatnQlklLzqC2O8YilH9dhWriYbzHT7vNOHC ukjpJjXLlmrSXwH9DXGnlFTDI/yyHMm0XYz0YwrJOy90gX8av5UbEkF2y1nJ5OscBUs//BzILeN8 lVcUYmj8TfNY4+wtBdnHJcJZYie0cAZQutCx6BQpaoeDrws41mvLtLUwcUNLINlOKN+yEgfTKPvk Lk+l+Gh+d3wWzQfewB+lWAhkzhTO2Qy7vdz2VbikXCqsdx17UPtuCCXQ3uuKZt7ZUHSdRyOJEUBz ZN1BJjW8xdzH7PM9Hd9zK59vSYccP4WxOnKQ7Xyft77e4SzcMbLKYNMX59Of3U6hb3Zui6gBzKWB +3NTTVpX+goMkl4crdpNLOJ/rCaRKkbRtTOaByiz7Ue2GkIn5e5RW7dTiHyOiqNo8d1qsg4MMWkf 6gOSfs+WFwt52ZotfJmwj8T7qGFyvKxMQ5D+ty5XNUB/khA1M40XvYmqYq2/R3sFxBqAKg0xyMqh bX7u9N3rcf5qzm/+9H6eVIIbsF3CKfGOHW+voObJ/ggFNx7yvAqEVu8OEyeYXmIWLkzft2UQh1mx gLxTjMU0L7cotHM3QwO5bqRUNtlCZldkyCLXNE1t4Rj/s2QMJK63BuGxtbLJfua07RDZlXwZQfFz UTdAJfsNcPfrMXsbwN8ujn0QeRkJ1t0FZh3R3+PZ4sOFzvI1bzGEiPtfYLGFBT4mrPRKw/2YD5Yy iD2yPu3c/YMxe1rH+WOD4MIB5vyVxUWQBwpESCj93wgqpvT48JrOM6jsj2KkVwvW9yGJtfE8ptGH G+B95TiDZEAH69okOTwwpYmbyg81OFb0Wm03OGIH2n3OOzO3n0AQyiAfePr5F9rYW/9MiAjt1oqN j96RG4krsF8+p5/BPjsOJ6I3T0Td9kUYICK8PhqPJvZTR+RNvT/2D9jyuavOCu8Yn5l/tg3fHxx+ yUA+u19HNyBKYz36f/PvjfLgj8P8q6Rhavn0RX2AvmETy+L5Sit4jfBKl2NKpFmDazMjxd745i1f MvkskUvn8/VCgNrrptysbytQqTWwWNe6osTWVGRLHUUwpKj0MNDymHJlOEFc2YYNzi1OGH7DCLeZ jbldTn4wpffR8miFG4F1gWWOpvWgT4QdVXHT0PlvwBzEcj4Xiyj4GiyjE/VF8m4euH2WLmYXYwak Zt1M7Oo178EouCIldpphyVHV+2om2IlTW6+lCT5p8hKPVPfUEG1weR3DHgaI6RnnDkW0bEpuE5wu wCdho1pvjm1WnH/S6KLfp4k+Gr8bWp4AG6un9YF2+6SV19vWgycLWXLQbYYA2Mbj5/2ipGKbtPU4 6WF7wDGgfs1V00xQAAOErb+As/KLeIw+CN6+6ODFTEPIsI4RXP9SSaxvn/+WM8RP0YScNgRcOH83 LIgpT1YdN8dlf8C/Qf5qFiOoSYOhtszXRQNmM5ojoHzRJhgqqstiPXxR+7ZRl7k5q2kWkJwUHsgU OTOPTSQs02Ye8008quzfYM5G8RjzdVjl7G7LRmP19Z1D6ex3LT+tF0HiPgwHWwDBtAtNwl7Bkyo7 b2JMNPJFKInuOrRSg7jP4D+jzvdDKjRWZtt9sebQdq94Y6krMoNgr5/+jhnwhnyskNgwtDeRVRqO SIKmvP6+8SUchBNTSbOHapKuDUuq1REo5g/Wc/k1DcXptcQs/tHKmwaz9xgArVLwESpld8fgedWs lBpdUL5hSNIHoc24KOugT9aDrwyp7u6EkQpI1wST0ph8ao2Z9Fszisi97+a4Ezz85qurzwyEJABX qpnZKv931zT2UtyFfKFYIsugQE0/DItekSyzzbkQBsQCgQ/ae6OCwi74ZVSM8QjgoVU4PugkflRM W9x3xcUwbgEbpLm2xmxGeGP5pyylEVmVs/abtVs7lhbwGIkE8ZM64Kx5NW6YfpYQAu5CVwzRusmj SgZJt9Lgv6+4sVV9sLUygvYIOcxGvd9msL+U40ubyWV+rx++pzbywhBMNttn8cCkul/gPQDPcGaC 7mMBYLjIJoglDpl10NEPSBpBzm2yGY5SPBSkfKTEft5ijNlMBDvIcI8dgm+H3U2PuB2/8YnVYNxz Ze85PLYqBNlgcjvt8cg4doNPjPo0pvToIEboR9Now2e9yF1j1S76bd80F68FKVNVQvYUvgMl/XbD 1SuJitA4K9kAlabUkO8FB2vvO41N4EVeb0k9VlN0skQXmHR3/mzLZr5Eq27MBy5j+7tOD/Me2md8 oDjSd2g7J2iOoNcT+hB6z/1OhWDkSNJY4M6kCkTdzL3/qKGrOS8Agtt2g/J29h1NAsdI/j6UuL5Q C8iZtwzuvlvwWGI6TEGjKHYai+cPglfWg254bW3KplkqoJKd1mDDTilBcAd/GBVB0geW9VcUp1Lj z8wGLOoFvNAWZ+OcTqY/IxFZhD4AiHg7q9n2LwTPNVZWZ/mOISce/TyiPW7/RgQW7BQ9CQMEXQlU E0HiYLZ1Td7Q60uEtWD/Y5SUoXtmVx/VdUD2w7yHqbqCd6TD97f19RZoW3rJY0uNNy07TsWAkBUV qWMDNHyn+TXl3wyuMaLvAtMqo6w9Onlj2ddb/fu4Z7ivrkoaXtUPRCINmGWF4ejuQ8/lEFs4cfEW DfssMyyk5T2fgWFVYHRu1fI4uO74zFhtsPgH7aWuV+O8SjVVKpylVQ+rI4hu36KtquyJIeH2vhnc 1+10g7tPgk5+vSuWiFgn8gDe3iuy7CVlEzCqiakc/eSEs7iA+6tYv29E3cx84X052gmGPQ5ttiT7 0KIbr791rzh1JCzVo6HS10E3z2dCLupcK2DzpZ0UBhJuDy4oPbespiCXj1zcI5m69mGoJ5V89QVe CXW/qPQ03M+D9eYcMss4gI/iDU9buAsExLVAFJVRlPqPXVaMPSA1wnY+bdwZypNKy2ldYkpMX0MI G6NUeh5zLh4jEFCI003G2y+xCuCjpVrkajacueMVXEZFZWUk/2+0OasUc+rJKSUCCoJCQHg9QMYA fomacHYw+NQVAKnOlB8puxW0EJmjTZz2kr9MkdG+4bFWUzzPgejAZFiNds+kMo82iyUnj74o5C50 6ZOxp0D47R/Tg2G9IQQShf/GKwzCQTD9asy2AC5jGxF1eKPM5hu+xx/b44ZAmnMJHirD0luhHXCQ Gs/B975bQBeSdpYmVzeK3r2y+VCRqoZcoBrypTPq0GusIX3NQjtZP8oOiCAy2ycLPh7eVabccr9C l184PZ9VMqOVW/dckMpLUMNuxiUFxzT9GtPFX2YfPruwlKL+XxYsiiOt7YbkHPRVngvHHtDwzlSG 4ZJq3CIQvOsPcexRFLTWgyzBurhWb5QewOJBDRjwIye0UiH198JuU7cCd/mjNq3H3wH8JZ5Dzw74 Kv1EKRX/E7UhJZJUUZycfeAW9jK/NJ/a7KiAgpsbopmMxrX2ObL11Cof9cg7PlDF71HwRnBetjJg 0TwEenLayvhYI9MfA/nzvUmlboY1NBbOJki50I8g5z6Y9ZB4OY+QOIAfvoch5CjcmWFyvN12Jwlp xftcEEh4GeAQ0/PVRInzcCWQmkdjy7asQnIj8OIII7krutUbzW09SVCmPr9qD0R6smgUAPnoFiaz PPOsm5wKsXeYpE/STBFw4zMH/PDTt4MZb8hHiR0spj4rS/pXzXyzWyLUfAfuC6pYYQig7a4He4Ec nBnpim9S0s8dtLbgWshFrhCn4q0JmZxz2OVoSDSrh9ol44ejAnAjU4YPy0XK5COFgSXz+ZJQxBlx AjxvtznyKtPNh9IMEoFNkUW+504ZrC1jMl5lbdGIRCuEL8cc51FdBqZC64U4nxasmPegoLXVuBIs yqLAHr+aRpLMTxXEGvmJILAdYOS6qk/usYgL3L3+eUPt/8mkE6eyFpjdrO81WUj0KodgVeUDwP/R 5FzrkqSmyYBfudCClMCwHs3Dnv+d1MsJTGZ9WmgpOGu07dDtoA7xJX6/n/VPik4o3ULAghpYKeAY N7VoAYB+bE6eDO59C1AGsMvdgp3nieyqSwZ0fK+a8+JoXXa2IYtvUgYiiSrH20acqhmGyyIF7xYy jdTKrp09VChoE6lbIlJIB6Eb9IH0KzOf8Rqdjbsa8OYvZe9QnXHWue/0hhLI+dQ6fweZUd1sn4kt OIjbGrkuXMwo5YjlNgXIvGsVz7tBoO6xs0EvEqau/tgSsSSC4zNQrnGQEpAIoymqKdIVnLNXSKL0 jccyJP7R1zLWoTYb7g3qLcFO/OGob+Kk9qF+Wt7+y8eaUcj3bD0ot1qkaEspfs+4wCkBFitSIaOY BIdy0UiecCequFIwasKu4ldM8msvZfBKfrUXJPrWQIwieI95a0b56SS+pMeZpZlGBbAhr4dm9H+V x/kAepyuzH9fnx61WYLjPPhjAA8JjkOxA074eDsiVRrg8S0t155L90hyPn/2ZXxPkPuWW9Z3L9+n Mwcxb8WzfqJ96Ua/merI6KeHiyoXL9R0/HrzNGZrJ96mu44RefVu1ArZt43O/0z+1pry5Zd/NRDT +LVyKShNqUhnCWc1EJvaLyYYTL61woirtvM2w+2PXU+YCN4ru+O13vYl8rV6skRg4vFL6P1GOWEO BF4hvVthbp5scsvcyfxctBllMXl3C4MknEHpAg9sCAbwP85fP/Np1QbD705LMpPubOCxovUJkYFP /1HfntTfbSeU72PWvl4kbARK1VcmVp/BowmX7zPCaX+cvyAFiF+jOyyoMzQ/qI8o67GUlfXWuFuK RLCpHMH459GWFnebCNcCBR6W9zRlXx8zI8IzsssSbFFepxZgEWbjAYJV9Fvc0cOgFtgozo+MCViO zgWDZHHhJ8bWCnRqRigL55eps/gAZGy68CK/D/S731kn58txIOYcHhtHY7pRk92UX9G/0keChL7w crjHR0PKjtsIaVRSlWYwLiVUhPX4ADJDi9qJv9/mPqAHxnSFX9RM8qHhsneUcQPsUSoCz+AOs1jN HWgjvgd3xm7MPjcJCCdYwj+HSi5U/XNEVBAEHxATu27//3vuF6EUVF03a9u2nsN68arp1IU2Jppd Br2kV6zImzoBNXAC2xHzAtQ9pvF4G0+gVQCSDxYTHW1TJzrcneznmMBiBxW3e+iNXJYMcpBfCRx/ sQ1UZNff+g9IdP9wFgzwFN9+vhaa5Tc+2+G7tyC0V6t2FrUPvbNlm1X80L8DFLg2CE33ppy+Da1g T18qSJ5OzLIAuUwbnKeSrYW85thEzEec1ORfHXt2SkGw1JA2jFjO+eITOIelFRZ+Ib6R/rE9k7P1 rAimiPRCrIOgHgx1UD3AEHC1ZitJ3QyC1jqkT6xxmLaPfo4aL38mHAf8ob0DbyGGytTlzNXqUsqp k+iyjl1vP4+iHLDyoSatiYRa4GLe9syIeiU2tTskbmPc9T8s7Dw2hrAuyxTciKxlTFSwhPtbgK2R y13axx4E5batRzyU+HTTMrYLgC6P3wVq14mPgAgElDJN44Q7uAqSYO5QNqTXFYFZghiHDIKXwIYk IXvmSEgoQu9p1dHzLhmOZSxtFWYkXExA66UfB6RrAqrpSKuQ5JU68WtiAhi42irKHYh/2RsnDQLT EeFqMpV+w9k810OWm86F3bqvgzj8Y+Dl0ukjYt70MCjRl26ZZ4vS5+VmKTqrAnZcdoxpOzVjDpGo yNSLBGkcWvENtFtUOE+EPdsJPxoKUmp7IeMQ7X8cYUEI9rTx2dAqzjdbX1uNerOVNuDO08Ol3bjI 7/SKECQncloqcV5S+UqlQiVmTSIwhFW+8Au6jPhy+ghfvOQyOEsH2vU4atzPo4Xhv+Vm5ZzftGMd jR13FLridyM4L39Ct9oF/vi9NcVTV5oQywdYTRcciRJgQfkLIfz+dBdXuLTsHSCzirrpLw29O2bT dBiUjHQMfAKZGhGkYo9COVMK50HjRTF5hnzKFok/X7EHI7hdJLH9PT41mBzD0x2qhI9j2YjbZUBt kXeFL7BgNJj4oTHkRW8mYzCeqwRAPNr4SBEJlvnL7YXpKh1JF9A4e3UVDLgouuaXnaITnuWNpNZl v3K1zhOt6pvQFR5CUCjcZdXP42DS92d0k+zieYhZ0xFv253j5LGcW3N6CBIF9J+urrAkVO0F9OzG oFvIZRvvYoQT1e9uNHI3f3G4A9d0Zdul6WXZu9MWLfHTHmBA9x9DnfJgiGi3JYou+m/kWC2eZzUU eoKtG/7LZXtzzoE7sV6IGvSVGkg6GQcPy4OCEKlDag64FfVlMiKIKnZFzAW11teejL2QpGQpBKir km4YC8rx3YUEu+LP9GNwWETwJ6yX9Gq/7xJHUEHkD1VdpKM7SC9lE+AcNA+IvNGYLOj7EOhF6lgo 20yzUDDV0XpNiunzqfW9hyYWk9bGieGsGu51bFEbRVBt3sFuHjw2pLdsX7lNi7hFiKCr+ava1tOI vmeqS+weORfCWAISfF/8PJgCfGybQYveM+0DRhKeXLPlYpvVm/bcg5Ikeych4GzBKUGCtpEbD5Nf QwVW8hixbXHz1jt+mrYRYF4DtyJ94Qux6KT7wyjqSNpl2VRS76TeNeoB+00NJzjNBCQs78IlTMnk 6pdsMgK0xwVTYVS7gCkYpVrAxly45yTyW8drjBbEYqlT0xYiWDxckzD55zaTZ/cruSeA0Xc7zoAf 3mNKJNEDX8zfEmKovp+RcotpQ+yyT1OoZLUr4MxksDmdHzG5YIE0I1aADaMP2pN+k8PJUw8AvfGp 9BVApov1pIAVZofGY18nCsV7sAALXIOCcKOPjm+dG16beG7pWRrcAIn3zp5S2ybcSnWt57Prt/JE VYaHdamoRdMCKBpaEpmYkupSHQR9gmZ10KeLazSfpEU3+P5dwIzwRNQIdmYo02fExa56V6JplsP6 W1/VeVl04l9jLzSpYQxgVRTQJ8jZHmt/FD74G0l10QBlB0zoy2rscMQCr4dK7KCXK3se0zGpYQuF 3Cfu5QJ/1pgMbNLY4PxcgvIkaOk0k3kFYDgUJV7Jf1yU/i0MRvs85Ot2SjHzrF0e8C/FlamNdWDt PHZOFOHAzc8G4e8sZP7wd38afB2gWQSorr3VlruEh/kmH9Gh3xF1Smz+D3lwGUB0L8vQ5m6w3P+l /YgQV2u71gvJ7aGOY3MRq0bRbWj3xQFIseUenlZhdSn3jQ4BcbBwUNB+6Hno5cAkYZ2l2AlmEpB4 T+ApFr8z6CP8USjXeFBnkFWx2ddJuGs9n774wpMbazL60Nz38m63gUCkCWQCjoCSUYrR5L6FpCHJ pvhNYqsWDyzr5o1rN93IrYpvgnTjf0/2eSTpYpH6BXRd7mf1gwrPMdfXV5M9ArnRUbZzyrqJiQM0 1ADi/Pv0hiRgHrIOjfYX+zASVR55edTJtVnsWcxMdw2gxhbwGuCV8hoPWyNk5s1Qkwb4eOKSlyrE Cj92tV8YJ9brghWQogvtqKiOjB3R9egkO35kWPtcv/dVo5bJhydRyB8DQQOrWgg3YRhsR1dVvppH urU5ED4ZPMoaCs+ajdN5ZoigT1opJLJtjIljvws6P2m7SwEFC+RjnPIUt5iehrNwsu4w0yMXOyh3 VqtH4LZKk75gryVpFkjJxGT+nyMAiG0F+MPRUagkpKURg0T5MVQU0dYhjTVfXHJupgRitWYI/9gH rhT2+VlWTEGRm3LlGdmlXTHP08QM39OffOXubE/cYfLFaKvL5F80ZySGRlROE4wMfc5WcA/9Kt+u jPAqurSxQ54LUbbLaTbDE5aHITD35BCNzpZ0mewOoILvgUTBRSKNOAt9Lvxq5r65JzIlZgrEkmE7 prZcAc6j2LP37ROYRAevE5fmOLWLwkkzGspUNXI6daTRaUsZiiQbi8dxnP1tgK5rBouVZfbEhRpF 6o/1IfH3HFakgo2rqaaYGBH7EHPGi2NcqpTiD2MKC32gkdiNE4qTlbZ9jbNBh3mE6tSEc/W1vwJA 32XZv5c+5wPx5ZMz7ai8KaMaKmxRWPRvHxkRgD5r0wKouJZVAtete8K2WwYXMO5ZLYx6NO93fHz0 5pVG7gHNM0b4JkbJlLYFxXKuZQJ3VYyR39hJQLCWIFrHrkrACxspplA1iK8ssVQNuf/TOAoRGOhj JMKoY9LxpJK3s9w6uUD0xMfo+649vkMoNVW+ui46XzB1vomS0HY1en7RyUtozR2t/A1s8YfgKKKU eeOgqkdPjgEZyPe2gOETTwgRJnmgPbXqk3+xpj8B2DYbTAh8VpWInlyM9+MZ37hOenijWdPTaCyT z3QwkwZIGKoaKJco0vc3opYQi3NS05gfXFhqIB3dQG6L4WPJF21ttVHD5A/bgM1uXJxYR47GIt9/ YWk75RvE9b6IKMY7Cw48zVn796C382PTBghQUV4J1jpcpclKqTqcj3M/BGVvuveakf6BRF6YKQs4 jgJ3fissM4qiHgH7/33ZhFRpWa57QtzKxhZQf36noK+quUVIbCmJSXMkLmadlHvLyIarLZltUCDN gSReoFdLJE4R29iiVRyx22mQyMDS/HOqbnmgssNxz/fktVdGciQgUqR1n+IEWwBeoa9WVrbMqc+N +/tzmLfL4x6a7XGwYXZXIP+sNP+9GOu8QxlaOImoT3vJmN7QlNmOnkMUUSXFspjZuFUc83ouHDqg I20k2Gy8wvMI6dqw3mSAtsMHqIStB91VUkm6JIqjm+IPmAUGVZozMf5MNcWt9HuRIRABzpq70nTp X60AKQMU0RsnM+w/tRV76Xqc+KJnZhRD0icMk3BOLe9fdFYUORWikOxlSPUm7uw0SE8v3LNAVDdX E2eqLv/G6DPC0vWv6SJZMQYoNTaGtfaWfv1o5Hb7fN+GMjGJSGav682w6pNhKJPkoRVIKTWIj3H+ D+mhQZHf39kTN0eYaFWFZeK8/yjWpCmMVRSpXCrSBbc/U8NI0jvrZ4rvppqpw+HyXcK+Yl4dPBjU 3uHV/C6TtpqBEUfyVzJif+oosJS20pV6pRtetng1vz/t3n6pWH9v7wiq1TRydv9GP9qMvd9ZrA1T ayoRaF7CNeUWvsj1pSGosRZwWQCawAqvZQEPv+wqIeOnpvR2r38q8+TDcMmeJuAIS2eOC6/zpPNI Fi1+noIdNy0Wo/+sLJv7AhoGb8aXhddGhB6SDG+mDO7Y0HudMY5fN3TME/YWqDx3jQauyQFzk5U5 cd48t5Q3uZycPZBi+yYEy2loWpi7Rug41t5qvRNsay6pbciotQ+S64QVQV+cNGAgl9E/2m69SMZF LLovars7ErY/ZEbhiK9FCwAK6Wz7Rz4b9TyGO9AGstuCNLjXV/c0AUYCn9P3tlCAT//YvWBIo1HW /Uso065rVlzg2WYRJxQ1bium1Dn50AWCQ/EHJU03eRTi8thj1F4sgwxcy4007Hty+mqC/q5VE9Zu tt+ifHnbdbiHHXMuVYZq3g71MQEAj017DnArYaJuRn1r5UPS9vlN8YxZOiLU5qpsP0KLK9g3hqma o66AIylE7IoN78+GlgyP9KnLxoHecCoU9j81pYDHMQcKZDputF/xpwayUgeICjYwPu8SaaoApa0U ikP8qFS3/Y/YufyuDoSY7GSpZrvrvDh38l7gaPzeLMs1O4GthyCwATmlzTaJZ/ypQgNWPiupWYKR SSNZJL4MnzmGtrZ822qaNDXObXThUL6KNPOrTsFaNkf1Y0mA38ZBX5gxv5F1hiv4PdTdRzYZvyNL WKM2OdH/lzPdeumRF4vNcuyZ6YvwmJIWtwS9S+0QLZXay80ecUaCf0jVuSteCKY1pmikTz7VDplu TuT2NdD7HFqdckxtSigvJz+J/xMBWhK7eubxS8fjhrMoYp6nK8O/nwtwpxFIDb9elFZdYytTaCH9 SdN3Mg3+YaJWs7h+2XcSQr/UYKAC8NSfc21YotuX6ZADeY759buR3j6FsYzZWo5TqtSoUG1tDXdb 8siVC2j3O2KtKC++pxjQh4XZqPL7sDJscSclZKd7ds+YE4bxwd3EvgCmVb2NEVHyjWRtw7JEL/e9 tXvXWaK65lHBxwGSUFeDbr1bxkxGhDUsIcDyadnfkOkrjo8//nsaj1eEiCX7rZArVke0+bdUrLKq WorFWAqW9V+pBO0Vp/MHDXXCkWKSdRJXO9JSlNG+ivoEOTw+xGdtJWMyNc/WePitC7nG/ApZH2Yr iopbKVkBmK8MpBBhGfUK+7Yi1oJ/0HK/nKw6gqdHZRolpmpag3Am/hzkZwvexWLcoqPe8Wbviwj7 2PDkKuVLaUyHIEYZll4Wcjo+xTGx5c6H0KV/8oN02XjietwJcg0cNfplzE+0HSoR6IYUb8ELQTzD d1I2P8ZKBfjdxwiP1e+CdqJSsp5i5VaucSqtrkeSbywZ5JMG9TGZxgtlQfeto2hPNoP1v4fvqrxx MrareVIPuxMTyptLTkRfnEYA7PFLQMVoaLPHjuBn0S5rWkQLCnSnGTMobJyZVFIAzwptPAqqW2DF OeUDfo9RgTtTwyZ9hWP06vywOqCZg3zEjjBaKl3KQMGhLtxNJB8J+auJeWoRrN7Rynkedm3VU3q/ xewDsgPrYDJLWXY3nNXzqLTH2v0l8flG4ipFOdsmASGfoPr63v+hLQc/Hly+KiZA3buWBdNnXbi/ OSNKlkc2f+n8DWUXjZjW9iIuL9pQ3Ya3cegTRCjMYLYgRf1cmjdhp66IldbqvMRsyiIEHzQY5OKz sAA5SMDOWzzE/QTjH87WOdtH36JsInSoTStGUuUrf8udTayVJ2hNHQOxewVEohZrFSdOgZjwtecV wQFUat3chq/FmLKMsxqp3ztOjeI6TKX39Aaw06Z3zA15GBWCwwMbptMCxbdoNpUYvhbLJQuwYepq DFjcdRk2KB7kYG/grwLY6KJ2rTvcyEPcwDQEpLvQ+Z4h/iMs9/Oc0BFHyznQ2smYNFC1DVepT/2t J2b8BfpPviSHGyRR1rmVTzmfDiI81xq6pM7VzxMwidldZqu3xdLyq8wZerLM8UyFaI8mWXRGT6lp q5UaSle2L3nWy9hN5PmGKimoSv+HPShRgAEfsjHustK70/HgCGkGkic5qhe//bcqIEn6yXsExmfr 7Xvh9lVqOzTcr2wx3Uoq8y7zhHKtPW/YzYsJLyken4e1mdrTsyfm+cMOnYu2JKUXkckgMZFz0M4G 2ivZ/N4gnB4y+42yxukX4CFH1BMk9jskdzO/MmLXOBo4f6LNegWtfoHXEZb5XjJpxmhPfJAx+mMD hJnUQT98DboNiiRidhcFVa94A++T12UJD/CDkIJDC5/Zt2zQ8gBErrhr62MBnGSkq7mkK7NJ2p0g sGNwUo6tfjdjSSDkkuyVJu9XdNgzM8q4NIR0ZBNSmLUD/3W+b/1a2tPkfMsBHZt6K/rvnl0O6x4D Idg0uD8UIHDxDu6YCwLTwZZlxoxAe9klxCPxQUZydzre0T5fT6c9xnRqYxRHmJyr944Y73h9jYDX dG51VtF87N4mdQ2ZDrrzJ8x2ygT1cySeL0SUIHfFCAxFOFo4M3KArzu72gh/Yu/VXxkd4PYkOv2n yhJKmgDY/IVPVEOZOEAa0H5P/miawC1TDaV5VeZB32PPM4H5IvYPV2iM3kLAXYc2PHyQFB5OjKUu oWvuD2v/GabJWiLMLvpMXRcwR+sJiJie4DUGjdlN76hgPiCsfB0q7Pqg86BSKYClcMN549YUiDA4 kk3aLXOmYByVxCO+pdQFAf0O/4e46tfjOY7UJxgLEuJfpRDPvSryCUIWR8r3QGzhhYwXVZ83pbMj YlgPaG9XCA+9DUpO0MT4IWx9JQWyDr895MHIdYnE9VKYzzsr0oe+WmP2paeU5UaNpI0vG98YoKbf hExklhtaGNTGFR6WudpRy6xylyJKc+E7U0JqquEMVGqFYseLYloHqd+jiYghRRVQdTHKFRJwerJx g8Hxh6/KGpjq2qplwsWje17lQKSyj4vYAt12rcrV+VFok/ZiXthT0dnlzjXh55im/TyNtjoFVILi ZM3KjrKxEEcUbrTWMwO7E0PK5y0yfx8J87AmSzcUyRXAW+m95Lo6zgud2QKYWxXz71OOIhnHu5Tw AQNqHmRGTLO9pG3HwCz2YYB/X6QXr5SKzfePczODjdyeUhXTfhUVKoRRO6zpQoN/sf91RTzROORI 2iwXoQ7/4Bee0aLNUQ9jFSN3C1fjftRCCNZ5CRB9Fohfs6mrzpFQRqXKTV6N1qwY1Hqwr4ma3As4 8YEXZ1Uu9Wq3qkiyJJizUAB1tbXUoIj+I21Hqcc4o0SYP/btsoXKQVt+RpJsKDIhkXNvyzD2OP23 0rh6TikaFOuAoT1WUzZS0BYtqJ2ELhMq06HVrsW8DpHLOpwQ1OZx1Nt8hYZMjmOq+BTbockZ03gr iBDcVVVn/5Czs+7GP6R1lyXpbkhVZMvDuZYLa3LTv2rDEQyIFFFeQ/nEllvgzYr6dL+xByQRgLfj GPHgNFm7ZINc6rlq3xRL2udj/JkyNw7RuKS+lXr+gDYO9cO0cg570fMzfajlkl48gWDD2pnqEWv6 YsGB53x3shX7LIIGC901OX573PPVKnNYv8zV4YP/2h8JB4QZnkxP7v2Uf+11naXLFTfCOz30p9gE MFY+twDvUAFcTFkVRAPTa7YKUhBEBtkyPEoCCNfPjGku2CJOgREbsC9kjM4okNVjVOlGS2B9YOee 9m8y+ZvSClvxNs7jTf/u5CuNwGraLKrRwauI9NljToUNtIxfg+/UYQmm7xWEUryOyN6lsx0bwb/I 7vtJKxkyok57OXMuHFNftSJnOnf4+TtbFkNadSgSz6p940Mzji0NWZJlIkmzTZFhEDJKFk3HHVZx qu9uWsADPRPUxZ0NtBPadOJVwcs9To/S5uynWtleH8ffDqbSYu/BMIgvrXzznoJ+vriQp7Ie5JoN caGspPE6j2hRW9MXVUy5gZFhCggXalehBJxXJsOHqdYOYTWKcaSGGL6nsrPoA2RTjZYuR+N4jjR4 q1whsLGLP1UDxoHzq3lTm8qN1U9wF8eXQO+XXI+nKuPdPlb+jFgc3Nxa35gauq3yyTG3C/Gy/ZCr 4mNSSTUMCPzDgjJV/tiTyGOhR8xHloct2Qa32+gMvJeuuQz8C+VjiU8L2PlVBqa6ZdTZl+/GFTpU oIVz0oy4Yc6VyTGqybtE4fiwphMuhmTubvuEf+Xf5qE2PlMvp9lHeB4FrSZJrIie9V/X8mhDeHvG SHCXTHzI3hz/EkdVm73Vkejxt/MxfUA8kVzpBv970KSgzOeUpFv2u/OR17C7DwQg5APDQK0Ikin8 q8UDacp97jD2/zIYIxb6a/lcsnVzwZuv2PNq5Q9lRj8RK8I427HczEVyqpcAMd19gLXnt8mq5m+V I3CsLtIkrEoSH9EpoUe57JRqyVVLHtxqbZCeJzLKlJVtq2PDVssnrS8Ui9Y6MtGH02qWOqFXqkjh Lq9WW1f/oleGN2tNhr6RzR0RLuKFN3AlM1Y34JTDk/gijR3ZM7bsKRe8uGdvZDlJ/2jjGqR4t3v3 l8IDpUNNTwso6UWcKin/rztukVXTDZExSTwEgLdF6hO73oY38ZbS++IqiQWIEVG2gPjcgX0Xb2ax /76jcAHTFuIWXeuTmIor0nCgDG+ss3JtUiiGKK9EmXgsTJ828j78SJ9SDI7fTJCo9XhjBVq4+7UQ k4wn1b81HGp4XfoLdUx5q6JCqK2IWBY5h+a1jw8HyQ0XJizO8fjGpY9mOiSDC31JO1mkkEbDt1Px tX3t/8L0qGX7HIvkLiUilV0pI+BRiC65/Iisr53WU3zHCA9KAs5CebliZ/Crih3YT4Z/0YGFK4Nr VVNcttMO2L3werC8XgJx4izYDa1tZBAck0ccv11mthSekj04df26tpmuE6Z6Xvkhfhs2ja8wJmV5 XKnWNJ1K6MQUAKFK1OF9buPA1QwMYIkpnkFKYdQ4NqMUm3CPafbNxjcI7SgRZGD8xzdRi5eDDoWH r/lFELh6H5A4wzEnm8BAetcMa5tOnqNlFTPOS3As4NT3FSMNsglwe77fgnzeTkVkLTlCxt7emKyj 8EAg4/J/wnzFOcL7G1IL5lzjIp0v6KM3y0erQ0ZBKTsc+OAeN9g9+/4bZEV11VExWlCLM2VAy53O kA8mhZiAFti001L4N/GcA6P86Co1X7uaofa65IoAXJzW0tUoTRcHq/QO+Sd7TqR6jcqF19W4SuTq 1nkZid9FXqIo8u6uyymNahnfgRVT9tue67nEfNevDYeRjbr6v+FIDVYUc2jiBKeGJ9Jmq7VgAaes /H+wYx3mzhcRA0ER7stj2C1OiYdeC2PIPgeDPH/zbSnPM29pz1Ot8EscxCCafV/JawZVGhw3KFd9 L1sYnaV4OL+rG/35qdxgU+Pw4hBhaYAMbXDDXEpXAKxTGxw0HvmekA39onpLm6YLNdCB4m8iyR+I 0mEiTYKfEPj76Yqx1KS86CsxfsjbN4D5Ok28JiSXERMIjiDPwsT2Ma5GOY5ijm1x/9W4a3PJSlRx wLgYOyzksA2BASjhG00PXAFH2uxTJiFkgKe9JNP9lrHeHOgsBqd6iTY13HIC7sLuw33D3fwUEuto 5zREESUSlcgwy88iCy+jUjN0asg8z6uKOqoj+YFGiVN1RnD/l4gXSzPESSF0pZZSPMWG41UYdjwj wYblpcIT0fllOPo6pV/qyrTa2trwF87eYPX03KdDzvQhHnQMYpKHkmtj/Ls2XHFtgsCLVF/4/Kv0 rAh2+UR7A03xse8CcxlaFQ2N6rz3bK+N+xfTNaH2uLYCOi6DJzyKjB5+KkvHmcEnfVjCmdQbQcvT Zh7Esc9NKX0jUaLDPqhcwaj1xfqmOlvJq+xyzADrAZ7rLlYf72KwDprjzkuxBu0C3c7/9jEu1Ish Nj9UZbSPUrq1ZhGaIkfin+S1wW5u/t394UFETddmxFoPn56TKGg/opBbgmztKBGxOEZhc7GuK0nt 4rNP7w6B0c6/Gk5Ze+/ngQcJWoQWjwROclV2JnIJA0pTrYpFNi9wk/8cD2SLLPChpriq1m6q4BW2 QnRv+xDFHnYPLKnBUoPRc/rj4FLOGF95sYDk035/NE21YDkDl3dwTUhy6b/a4cuptevedSL8id/F zH/lo3RjA5VRv1alz8fQ1H5tolMg0z8Ezt1zSyNe0NbrotdXUidWhA/A/kYv4HDnO3d5W2DIT5Fa pL7BaWccl7Xv8iwG9AnyIgKjpIbRIR5ev+oSCPk/GNqkD0zvTM06DZBgZEBW9va9oFy+lLvezIzy 5yBtx4tBoWQp0avfA+/J7UGcJk8aUhXryKI2ym6sHe4dobFxh2QRcy0e/SIBqoZjUIW17NpgFnLU Fqn25YD4j1VssuUXUG5PBx8dkC8Yv78eSCRRy0Vn/qcn9+xac7YyNy4PYUPsfkRImWC9cnyIr22I Lb9sIqOQRgcGSpRK7oQn64km93+kBmGmfyJfqCmfzAixlaYVPHv63fnqcBimeIcwZN0SxP4fSmhf N5xwneR5Enh/KgbLxhQP+i1j875HQtv7VcUE1O3r4za2vB0RT/iq9vntZOcWGKx9yfZvtkUBrgzs XqB1MdzUQanOZOIMt/cyQLl1p1HW8/AmEvzOhxtIN3rykX4Gi4no3ioFWF0Kv9S7zowjXuoQsTJy P65o7CWXNlsFtiDrfLqfE49CLw1t7KIOYP/4QuxJ3UTvPT/wHFFMNTdU0lgvK7UA2yZme6HKJl4E 6xFAxmElPkpgHxUZpdcVHwBHqtXp1Zzyj0fGFHjSmGmh299lbCfz1cjcSE6qVnoDKJ2YnCVcPV8E 0v7PatNVgtORhTkMvdZ5YAw9Z92IN6yZinphCXFE4B455kS6D4EppsEMZseLTGi7t5FumH22Y5ny osvx6pOrOaWc/kxgRoQLBnELCHETdQ1RmL6uoMq/xL8PB/6eOig3wyj6zW9vlXt6vG8hEcK2pXzX gUosdx8uU2lWk8XJiA3jePo6UHyF0oWheoYsIRu730/2/iLQ0qBIkdlpOQo1WngQiEB9AHhv5asB 2FN/PGdoEa6Z8yfo+OiBaP0x/VvIAL+2lpBqBEfEp9wovjC4iPY4NelwRSl1+1N6JIL8MV5KlsZj pCk+SVYGnsZzCHX5u+RcjTsgrOdmtoowC00ZxeOSgVJkiE2lOaEs9C+jn9hGnHdGq/7NCtmABjBD r9p/zm8oviIIQjHQvSxytKhkRb3HRAcMaElgNo2WeoILzFdsn/bPTjeBZGMm5iaenxClKd2zB1Ul bYNLcXDnw0UmedoVyNwmIgaqrY5x5FDTYLogHZqFXI68PFAgootaQbxQi1AOpa0JpVj3dedpZRnY goMOpgUz23wiEye+UO/Sg6Q2eOwNpxrcxA/CYMWF0Hdqx3JSnwUH70UNWG2DirVrKvFZjlA68dDl 2yeiJW+19VJoloGsRYH32XWCjMO3R8DAXVU+AJiNch6v3RYjIG3iBkYL04FHCiNSO4JG2jdC15+t OrWek9SlxxCjVKxjGiMJLIU9LcO7JvVF0VL7bzkFfNj5EV2gu3cxPN9NI7gp4vKBJpv9QtSD04+F ufMuReyOd4dZA+hT57kaGJ+wXUywCcOjbire8+3QoKizdFjpL65kgyb12MsI7QLlPt1VCcbFHAs5 6BShG2ZCJz7dCKshc0q2kGSI3eyGDHKXPVl/8mCMO6taWfexoMZ4hx7u4CUkR3/cjVvmWu49xb44 WxVUzf7yq8nXDjFmf0RquTRcxl0Mj5Xc1C9mJk4ZvWXbz2tcoZa2mcN8v0lwWUpmooJ7CvxCoKlm +YNjdH+5xUrxARjuoWAh9ZhY8bPB0GVs54zyyL3+LfnN09KQjp1OT0b1jnrDY33cLqyLIXyvB4MY Q9Affb1xF/6+V8QU3ohU2YOT823EUm1E7FZvAE5oTHltfsiGi+31QzHzIsl1CMGHNbHmsXvG920S BXN1J0lpDjcBVEEuzFaedxDWcynKBKJ4BKnbchpJbIckoCphApGD6+A8CgpZ38XtSArEJ+vkHyNJ 5FLMXUQ6hgZOoHiJNo8YTmdpMnOvfiQSX+MIdrg0iB9XMaZdvLWWE/h4xrb+4Yxnzk7YjiVeGdMm sa8nkAVnHlpd9AE70FBb5uOOC5SSJGYOpLdfvvO823z9kWtRBKptEJXXf2Np3jo37NM8Kx3Q309B EADSKQXtZDTjuDVcMjOJRDpUGkdrdf/Nks8BOljK14lvBOJesUY+CU1+2SQB+NM1ffPmav/zmkgt Mb18ioKPD698RuW1e6S0KE7vr/Xk2snHTRPUhXiVfaaZaCOvVShh+vAFmLiiI4RI5EiGFUoSJ2u7 uTp/PUMtRDDlkExjMxlFa73JhHAny6lkvbqJD1IPu275UsLvy/pL4rminNcDs72vILU0MX1LYe7y FyqF/nhrhgx4hFxT36EZE1W6OiD+bDFFqb2K2swBslCmzJ6Gyb2ifqg6SghHqkti1O3AiHps9vSj 8ywTKtnw70h9WSm2MyjX6AMDqestIgskFvHmo6TjNOS93qYPox0eIlN5Z2Yu6yLufsbFx2RAXuVg eJLV5gL7oEE6glG1KlcqCebrmYb6cwukCFFGk2daxEDrTzxwbw0szJwFA9ocWOaPWXTpJ3lX4KJe wQVqQ00TaGtIgyWb6qgSwtaGwlfFhxVafMQYWgaT7pbL3biYYTaeGYZDOgESb7vF37ieAx8l1Hek lSILPXXU54aYh97kUx7R+0Pe7bK3HHNw9HMSY3AyzI1sO2SSCwwdGmFughvf4vlc374Uc0hNZ4vt yrc9klPsk4lqhWZuKwrnCPNNokza4RgwosBIoHatcfnPC29to3AMdEHOAF96xhz9tQjyzKbg7WX0 vU4s8egSh+ivs1g5CUi1tQcLcv57MZwQRPnYG4F1djMpi6PlnXnrwd7ZhpnWxtsNDYd41/FHR160 XzECiEXYb9L7Qv9hMX7sgUF3ihO9y+Fr/wc7uRGe7RnaslRNlER/kd8rADY1K9Qwr5Jhsu0ryqO0 kjDyZlGyJLcETyto4f4mGEzz+hwnQoFS9zwUq7NtzeLJVErzTM7o7aNeUm7DkOIuBBLqEDmeWRNU QtGpvZvqg6ihV46BNMlnc2Mb33/VpbeNEwvOEFj7pqg97vq2PeNLm8WspGllwwnRpPl8XAXMgxG/ CeMjwsHTYxpZkLVClETG70xeWhiumm6wMsdx26mHuyM+1oIOvNR3ntB1oY1lIMMRA0AaS2RASz/H 2/DFUYpAv+KHENzfhphiRVFGaI7bpGsK3YR+00zz5qJDXSJp3x6iCQpuddwrZ4b3kt/mRxsjaBYa 54wWPAbAyet+pyhIz9V7DvxdsSaPe7PDbzb0RqKiY5Kpdb1mwn/KpHxRF80TyvZUVYieppUBDAK8 DZEpVjQgMBGam+kPgmUh5VjZy4PX/EtTutKHlWnB3iXHU/yFokkd2+psUfEl38AMGgP83/RdBRDd OE2KYq1Bsgbe0LQvC86q/tjPhkXXEXm1d6h+baaxB6el7RlNUoGapMj9YNlr9krfZzKGcbsJ0FlY c194OEdT7Bp9nwZx7hYT5e1C8HLZKljgXItB4wL+MQAo/qAThfefpr9OAH2kK0hLB37jHe1NQ38J cgHDmzvfmv08aEzHphFFYbteulUAUr1l1ZY9njeMmPjRC5IIKILohfEdnnwPFnN/orHdDsjJ4m3j /XfaBLLN2r4BSm9lubBSXPl0fx+XaOsL1fs1xDA3PWMHtHdvcJx9/gysp6QbMX9KUfGIe2hNzYKb 3+HcaVG0tQd3YiV+DulACWlqu/iQUs+o6hGgQAsyKKEhUj/xVsJv+EIfhcMJoDV/s++U6E8XkN35 opXVvpwI5vgAqh1NKlt/Kmrn2aSPMau3oKpVNI5Hd2TSFVyojNgrib8z43/I5xRkLYZKGkFKEXml 6giuQVFH3UIUnocy9jbNnZQSyFib48sN/8xuLY/Fc3qecXUF5/t5QU5FEU5QCyiZgUONEW1s5biu OAdl6eiiF9pY7BGNJn0PVgxg0104Dy0aOxw9tkZMLsZo65EX1WLusyKZr8JfWsjkEaBLLOGnBHe6 R/5uZqv6D9XDrx+Fu4iGqGoH3YbaGtrDxu738OVnSlxDiW/CZGeMFq+suh0PopxMdGYYa6wpWb9q 1vdaGLwbw15c1u5fuPsTsEzhImEUL8lTKL0W4OTmcmhf2L3Lq7kDhIO1Q/vw0xWabzc9YpLTG2mu Jv62WOXv9Zb9bxcg3OYT+s8E89KHifwKrUh9+SSzBFzu9JRnPy3PlncAKYbOipc8ds7Lj3nZQ6A+ 1J7zSAolXjrSYibiSRxkLzMej764vfe4uW6Y1Tnc8nfXAwwY/ydCwCDVyPkdyKJ4lfLeg36kqosT DKsZtEzu4gW+fIbGh9gTQDMdaerQAxtuW/nbcH33pshPQi2faeIkaCwgShaudO1JRVj3vesSwEWO K2zkIBFAYGQXVDUoW6NCY+cP7b2/P9tCCUU2YuLC/WTc/bcj6FyKE3FJWIBLTzwrUlWR9u9I+dzT cLkut1b/Cves/WUz2IJiL7+AmSffvRBPUF/imcbQQrDyriRvMXEUT4FJkIFMXKwZNB8NuvmrmeTV 8FsKmD38vodRyg2q1+gbRb4avupd/XKiKUu//NkJx2SSCcXRjXoXkDT3TTIq8UqMu/aAkN30rvtY aI3lnOK6NX4+XwSyvX9a/Urne3vEVJJRgChb4MS2/bfFHxxi3MAa0fepWa/pYb92tvbWuC43r4f4 FbisH+WQsar8NnvHveXmayaH2RlJZhKD+iSeR0lAERbMZL6Km0kpSDlrlyFNOIJziDpKZ0hV4dN9 wrGhpU1L8crM6+s2AtZAFC5SeZ+AgCpi2FFEXmETSt+Wesm/Pr6zKGWQ2sicIgMW0WchrtIB3mHG YQIBQFl0jvoqaVwQl1s4ZXRGgC+mfj2TFIejAavaEov+vvCkM3eAeoH17E73+hUYKBcMCboONwzr l/qsPLBZrQYN1wDUzMQjq672STdN63CpNX+gDB1TqeQxXGzIj7SzrBp1zFU3PtywZAsJoYwe8KQX Ps/qEm5XKQZJm7RiSfGHjUWZ5SwXjQqPv/PPBQpxs7LAcUjPgZ+jVgmFl0aJvoYpC3vM0Up8GDz4 r3HXJPJghdwAkwBxLdICqJ2GeDt/2fmWySi4kfXMa2vw8OZNvQfGu7x5nU2R7MQJ8x436B6hK/QM ZWfZrFf85DMR+hV88+yKBKGmB7DRfzISuGoBAT2bJKO4AUcdyqBYtUs+jk9GrDdj3k/NOKKc37Tf GkKnVmTd4h3SxzbwtC4xTkVm4m38w5IuQIIDJUYLstS2xFVxvQf9rozG0cCwl6fJbw7Gnop3SjS4 CgVyFu9NiOP7gnM+JIR8AwaXjqEvvOctZ8bE0yxBwQrev7xf/qynaTbx+g7kZeQz4X82HhY6cOMZ ygLw3hgey3gerkckzNHXzh7JZE3fhKMzyhH9eulowyyPEbot1Pfc80PUiAZ6zRc86X7+P4Vh8XBM 7du2TA3w/Bz2NPfnPnazGzRKfUE2KH2CuyF28i5T612nF8VoHVn3h1YVvdpkIiCADJLcL8ApGoYz 1WzdINY3ihFOr2JVVRq+qxcPXJcs7CAee1/8A6Ls9eGhwQAr3BCFSJQ9UBM1XGYnKZnWzeM3dh0N rKq4k8YIkLuwDGswS/KOmXQT22zg1LRZHVD4hSPeq8twuy+1r2AYy1B0789XMGbll1AV6+GUum3V HAIhi1yB29pdBXRcQbstM8llYI4BuGF8yDQMBJXkIdWE1a3THJLw4ILMLyOr15fJ/5L6GawPAtYX eq+Z68beuQ6nk3t2tzuXTM1pSk0XX6FjnhLwDaqI1VOdmPrb3quC0NZr93ATYTAaUroR9J2IRAdl zyAQk6nglNgQfcjl19q3eVCiwTpE2Yv0HME8+93R5YnCDSNqoyON212mFruKrkU8srWqLtMzF3Dg HNp3hSIG0JFIUPQ6RLLl6PXtVz9Aj7fEZtIouVoXPvbQx1WUY2Z80ia6/AnlWpZq+1EXyeORN5Bh HWWxU/zm15LAt6Oh6NZp1u4vr6B6XqDCrpLjTQboqfiLoM+xIbT6hoTlsAk2exAJRqCmAZetjl95 WovrvKERTHLLeIT51B+9rkTIEeMDAm1rLV72us77jb8wzO70rXLrtBgb/23usX6ulVP3g+D47Q9j og4AYZi62x0kSTKYtXh5g+REWzcE7ODFceiylqE0zhlN590rmnurvNY/Z0NtDBgr+KiliEFtsuP4 G2CN6vWPGbn9qKuVJrePM8lCHPaH+tyev9vR7PGxK7WTmg9/Qwl+EJKS8cmo5dGPjA2/IYb0bhjL g6biUWXUkUS9mMC8nBxsIE5kRgATeyfA07PNU+wKnFp3CuFyUu9ON/Im9qXRmbJm0JgVTteeU4e2 1biO577fwmSwdqIY/JVjURYZJx2da4Yh6uPWhyXZheAPKD8ue1LCKfigyAlCcRy9emuvrZZaMHdC /BL0wU9rJ3fxMfIUDQSkEwxVYw1gyxV1SsnKo1H314ral8ZqISRPieY6R7hEUDOINxShCA6NWnlH UF+oqhoW+tE7Xv2Pl1+VG6ximTF25oI8xKLpXpxxkjNydYXv5GSQAzrvPEn7sVIM6YDdh02bSPtJ 7doEbajm5PsL7IuqTn2Q1L1ciiDTZfaZGk3X7a9sPC3xWnKb+/oSCVA7uc2Fsu8ruizqT1uzmLCa J9f4HH570RZC9f28HkdOGAhryfZBaTmQyPElDhKHFWmmMe4opkV9o58tmEy3qa86TZxVpo3mxnIr wS94VP97ZHZNo7DVhCx/+4cvXLWXdZdMbcfD4IEKp2Ke2fpCvM9rMkNkMFWd73rFddly/Vp5BX4k UpOjGQqU3xwwkhu3Z72qkca1bNrAB0yzyA4/bT+HbQPBZNzYAsDVVEgB4dx0/ZeUVtvfDGCmVOaR MgrIHZmd+q0gWF9pYLxIQTPP3VvU/YJ/1XHu7a2i4WC/8XMiYsuEOgSJJlKnyZnauUvNZMa3LlU5 MXuAHuPGvAi8aBQ5E2N/u1krrLJkvG4aA2zXlVFsgeNg2nTJ80zUy1oVBWZeNJM7ueR6AZ5OLI+4 2emZTDk7aqXzjwAF/ak2qBS95OCdvHSByVkucbDklzBMl2bFqSRch1LSkOaaXkblM65+6am63vua hhwZSWFt344uTiVoVjwAUz5zyrkLHDR28qFiEw60SN72VQqsIrt1Xl2VuNVQog6KvSeVRJ5/MCXw Xa9eaul2YKyEUdsm8Gn6ui0lr0I225qQ4T911chDXbQajl9GvnY3KMhdaHvmBfoSd63fZBSFMqYu zmykqhOIZ5mnVNgNW03h9AGVkfNm9fnNJJVrSDqb3bOzcBli6zwToBhahMDEwrVb1TImkYuHAR39 HP1cNdWfLvQw3kdv1QzpOhSxWhQsStAx+kWFdVqYAwofRxWGlpVqjpHMrG8xcDAuJtA+cj9xq5R5 4A9ot0xamRkVXw7tCgiE9MahQTNgIPDIw5QDIjIplX5vC596zcRYysDl8jSndJY3O95F40TOUQgS z9JBMCZK42i3xl8ZGiYKCUtdJgl0Ff+/h0DE+UhBmOqRrZeamUnf12lMfrOhAG/8poO/5/MMYv2Q m2YO8XGacfGxPXTWIv01JLzWV1RwZd9rPfTji/Mw2NId54VFUQfo5N55S+MKJeW1rxmBeR3H9ald wpv5pmhrLLsmBOWVJmznblMt2BGXyR1UcBe3BXzZAdTe3pMMl6h5eFNk2ae5cMpmSZL01jZSIdCu ukJiyqS88evtVZMmNvt0aUy1ag9t5wwvWZShAYSby6vut38hXc6zg55WC3WqiXrXQIsnTn7Fy8qz xrtVJulITfS8FxxcmOwttdVncVvF2r8UAh4Tjn75bfOcp0i9kB8A8uOxW4q3H7tcLyRSNsy24oLd PX/UVHSnM3DwgzhOsuYLERgDIT+6z16TXgqAeh7IdZ/0xWNkTFKqBaz7/uOHo4674hccloVWiQt7 WqXmhd66sL7wzqHLIru/g3AaNOticvm0ZKhxsOTY/N8LShVphwAv2NWWvjVRWNjl6Hr+10V4vnqg 1bPKqfwjaenH73oDsPP8uyeFciBiE6VLEa9dg85EMCYY4te+8nJzqIYY2ZF8zCLGt2rb5zDhl6VV /IWkLmzGBbhg1+t+BRptXznlTIWGgczr46bhLTrnicDJL7BUPs4BexoJzH+8F9V/WrMnRFucjAs1 hW/ovQLDSysbTFl9JTomagtD2u1zx2/UIf2pmq+39Ddiad9QA/HAZAI1mOMKHUsMwZp7vzuiYdrw Lin9IJIcupK/w731YdblYrAZCRjBkQ5kZP0djx+h1MNkgSL7+vXxOf+vvWDo8yPIi6Qc60mNG+lc cOcFndG9fS7agQBrK4/pIYwRJGEKWUXXhBNfsJxueAiP1UVwyCLcWHzT4cw8SZowyczunv3THI/Z m48Vv7nyT65j7NBjWzm+tzuJ4u6pp+Xfkie+EohY244BB9DmKQWFKDJDawBqvYyET/WQEStbdrIT T0tyRx+VW4eC6tWLIOPLKs65VZdmMiILQV9Wg7oTPGWuXnCs8cfjpfk0uyLWjb7MoK4yWGd1ZzL0 cYUJYzF8KOuH/0zSvAUfwPZzMSSFt7BiJKxWwg6uJEWpzeviHxWZFZXe+9sFYsFETNE/MY5Xrnxe F2KiFX9IYO0kItb2AvDXL9Po4AwcuTx4Z0IGoDvkMwKFHXhLhwJ4Cenp9YJt+ZpYoaDvn3UPcTLV 21LO9s9pTbtODbxadDOwWWfWU0ssc8txhvy3Hi+GYZWoVs4PcZSovNm1QkGXigu4OQ/KLx5t+XvJ Mi8jZTTMA3V8uOziLTWC2dlzlBM0E+0SK8vdwxKW9wLilwVEwzIacDxO3l9/cghr7MFQvqi8fUA6 RemBQzkRvuzOARKv+3Jj4WIlyhRSGOsNhubVTyaIwCdCUyw3Ttlnb63JSz2IfViCkMb45NGHW32N 85cDrxE2tdaQKHqoGJciAHkLQ5n+LGwmR1+Kom+hMXwbIHtompvAdu+5Fg/bpiu3+Cuc0Z+pBNxF vMGVBW+4sMmzle4TmKi+0wJs9ec5BW7wl+o4y+jD8TigzfpVj9iGPE9meOLUKhwZUJPDZCWZQILA KfxUKwe9LjHl4EsOQSzxPlm9pXAZn0vykF/izHoUA/1zy40Dl+3labGa+tQ+NjUkKD09oiwQdvUr W80YH17rAIbA+Bx7do2LXXWGJjWeo3zsmo1uDqfwVjhuFwZEj7Q4fClMrWcac3FOuR47KzB1uDZO gS5Y1DRwz/A5CJOyaZlBvblQSBTmSjvULC5Mq6DizjFt2X8/zONkmXM7wi6F0GoBH+wtvcj1CRwr P1DLtRcfrIhzjGppgdJ1jh8w7cHDRNcUDmtCriegSfabZo+1Appy1+pZu3gKocIDtWpPyBtK0l61 2KxOnv4ockAyTUzjexkHJIpLBelvmHe40kSi+BKzu5ZZB57FtkbWyWxKIxY+WlNgiszkFoagKprd WEjqitx80adT9eh9LfdOhQfGu7QNU0f3edjBPT3uTLwNGNJfQzv3gjNmKRdIjgYNc3kEMi2uIitX f6gej4Aog0Eyfl5KIC1OMt1gTN+tByqwI3OlUH9VZbazL7tUAEFNvh+OFHglGokCZ0wm1BZVk3tr Lon+xas/4zBx5Xl0lrWnsprRnxXr3lZnfNY8rsgbfkjDcJtPSiNGU5+QBZ1TDeEukT7TtL+4r9OF sUcHrsaCn9u4Qt7uRTR2JPGM7f8phLoR9N5JehrtIdGq8lmV1k5nohip4SjMPNjTGSkhs/NlcvIK YSgpUH79P/RYRSuunfwKvA5hVG0vKxnx6YrdFFgA+2Ucczo6a2hzLnSivhW39u/3vFD6MtRugips RLpX7+qjMnD5zIWEqQNa1Cj5wzzrogrffyKosDriqLwUGucvzQMC9DX38UpgrdniYvbB7IX7VePK 3uO9dNl/x1q3VwlaQdIr5OPvi2fcFDhQCmQZMpnreo5bKg9slevUsWZu8QpaaUfLIGSF9F4gNv2M QNxy2cKZFnKn319Mc2ARaJryoqVz25U4h90x5OAqOJQa4vTnLeWk35iBA2Q4lxw76bVr7M6auE/Q Y0XxiCGXdMTQZIUvJqKQoX50TKzb4gTWGFiX3v3nzvZ9Mjz0s8TdQ4ZJX/8Nt1Bm0t8hQRw8a5aM dIlmITTI/hWu/wAUnwty8YdovjvcdWcEJskyG+sN1VBiCBdUBGLfxByL2dCr1PsFh8q3yGGnoyFe zB0Dvobl43LmCAg/8KwT/LhVsdxgldaOG74H3wbyeShemaT7BTHtthg8INQ0W4htPQM7NaixonR/ qyQQpqNHEekJQdulhFoVDQpWw4b8s+u/PsMhAxh3RBdEJN3ZNimfYHZY5aAxBaD2sPj/uZH7S4pi 2zQPhjRznPP80MlfvbSDaMDMdxmkYwhBHqmSHM1s+3Gq0itXnzE016GeTx0kxnfLlRrbFuOQSnYm aJW/LGO/u/Fw3QOCk9ud/+qt1wgeaFVxdCvYxUJL8PRACm8R38ppdA22he9YehUCtxwI9kxfGuuY vzVEs5+7xSLGBQpj9Tb16xWJD7bHz2vM/NiYSGxUx3TgSdW3atWXorr7+OGedP8UbP/umwQc2Yfp FEA8WiPsnbL/eoIfmWjpubmws3WVchB3qOXztykEeOCzgFLW2S6e9GudfmKdhk7NOkC7j7bA/KUi Z4XNBxS2WR7gj8yZV2QpZJj19YODTW5F1fbER1hpwIyPDeg9ZKMmZpbFrchg13GD9J5UjazJLtdQ aFSDfsaQvawbvCkqHJSrcpifjAxlxalM+F4n99B1TMkhx6MCX+V/AnF/Py9W93yfsTne872aPp01 xrUfTvsNeB6hzeRmoN3kUTC5NkS7+c89oWoUmMBIzuz/7JXJXCWm3u5YPIeTf+Ndb0u/oYkRVdFe up+E8iEutyRfXLS/CLmuAu09bYamtExlCF6uW5KFFBIZFxsiPdPqhvgRKbaSyMQyxUYpLpu7u6fO n1x2yyg4FLRUxcIDhGw+zXgH9ngT3MHUyrXWqdZWrCRMA7Y85cPhmL8M14FeP5dQJKZ/pKrhEDdd KrRuOrQXkGhKUZdWiBlygYMLBkGC/hZn5V+Fai8kjqF6jPTxI0zTDlGTbuuyEdS61y5FrWd7TmX4 IpmnyeBgvQv44Ag3eIoQP6qC6ozutO4fNF9A4VkhbbiqO60UIgCKOjnlP7R0BxJScjCWFVU+Z1zB PA0xa60On6OTe6BffdMCzap9gg5wKYBYKyoUSQkQqo9R34Tq3OHGmRD0EGb4sG3cv+UDDdA9PtAe OfGuyWy2i5f8Qq2wUgpqzJ3+8sSzI8Fxj50Km1MgSlSvLkkQDMgtPs+Q6/ogF7ZegVhUeEUQMZQv cbqi3o3MaBwQeIXUiFE4J0bk14031neibgQaljSQo6q/bZ6VAMMUVWje+BBJawxXqpENXmawJqIq dIIaj+hBrISd+jPqoLB8UzHhDpKgBlrDNfb41EHDUGCJ5Bb5b5pn4eEh8JXaeknsyeSZk81k5ioK M9lJxll0Sab7Dy0HHozr7X4qNRDmSIBegud7NQ9WcdnE55AwkhJZpL3abqjSi5ICGoKdd/GY7xOs hgeam0Kbwho3nfA/gsLu1EFVstJCFZYjFPEGVR1KRbPgkHa+TK8WcHF8HfThFSm6RRxJCKxPDjc7 MafFsi6UqTMFKD7D2tTS3ClrSLdslr2Ama9zxSuTb6R4DRqV9qEgKzVZz1VZcraELJNEONgz6ift UrEXINT3vu8QWVe1lA2h0v5799+vAspj1G2XG7kSm3N0DtAlf4JuiA9S21F3mRxBMvchLk36T4kd F2Z173U/n7KQh1yBzxjSBJ4Hf1ARkiXxcRNdag/EWmaV71TrRnTeigHsClyE23WhWvPj/oMUu6Bz Yvy58mnvZKE+We+TNI+6kivUgPXmvbshu45ZGAfbXeFo/iLhcdLBu2H7EYLHqu7AnRaQOlaeBuwo CWaaPMP07KDq3DJQrrtWi31qJcO+bB5VbdwEiOQhYI/ditezpESnag29dKSC0VNh3NrMzP0i+vQ9 DzdMBQH3Z5suwi+Wm4g2mkHWhXmvMcXDPUnWkNDIMLRqQnTvzYoJfqYIJHmaKqghKGiQeolxKY5C bEvpFnDvkJ70OlUWrp1y5V/JzckwAB+RKbS6HcVIqLtQd/q0gClarF7s91EREbivt62+OlOiBdk9 yJiL0+lIyvDfrfRPoMtcQpZok5igwBVlTERdbXWxSs5AQf2SBpwkcTOiC+j/zmxhKUBT7JA0bbYl W6B6tIJtj63CWVSN/KHAGawPpPQ/IO44uwRVlo341aiEbPNHj5AomFq0rV2Dxby0qz7lcE6dRokH FSSzWzkvMsmx4B+KpPixU8C/DIaZGDHZz4g2JdDbiHRywihKRG91BOPS7ATniQlp9QibiWUgzGS1 miS+gL6fan+2lR+2AXSzQ28Rnd0VZJPuEyVWkFlpOiUrePKlfBz3nfmVtEWB51FFibjz4hZNhsBs T7Arn1Kkw5unLAhKaTPY47fLjR6YuaHNJwmeryCnpgnaFF0Zurnyuzepg5DOF6io5/unKUGn0d+F dfOFkS8EYTfUpRZlwzPWUeDu81+tS1OUTgm0GKP5pcyVADEJP1zSbRByPqTGB6MycTKNNCK6AUBU N8yL/owAsR1JAjGWQUZAuPfo1pbrIshnaglARBPDgp56YeWt8LGCwEU8CkKlfkibBErVFeo35ajv 4LEgwDQD1riH90eqUiAd446sWSWafKw6UELTg/DERMPmh7VTFVveSfe5snLvF9SpG8ZFUvj+2XmD EUaKnVQRzuYSU1nAxxAHOMO5LRcuEPa3mFyjqdlYedJ007bMeQZMxnpFLjF84cMnyE5Pzsj2KrXU NLCR3GXUQBS7mOxnoMZ9b2JPuKA5xQ3e3Q0Y1wIBy/FGJvCTK9mmUXYPWPA5lWkK/OddjAXZvlEW GgJZS0/v1m52g16M2CbYbTf+2EFILMjE/ftw/uLb/z8FctlWz+I3aJztpoaMjNTxJw3WB4t1FCNo QrWI9XVk48CmkDyDnPePHnArDxBS+mfushkod2fqibLF0W2fl8OGlBCKASj7tkPApmMEL7hkbnHD Jiplb0aNcY//3vqBnZVaYk+szeKCa/+H53vYrKlRLTA7Ojs6Hspsz3v2qbhXFY8M6CL6AG/X7XuA G5zEx8841StkUTwIsiXZdueUSEcZeUSInuL82ZIB6m8RFs5+cMPOBIkWps5U3oScs+fff5+QHMhV 8e72csO2Qn6e7r1vY/gpngxQOrtRpJ5uVyBnep7gfiq4ntBoD/DwYVql7BrFtU/+RoRF/HBRbxWY /DLJz7dz+fA78/fzGDueTDChOLfTOiDlKQpoVSRzqeHKd5SDeM2DojHMDGjw4NzbvE9vQ6PC4fWK IMLrtlJB1MnHr9/mL6EAEMpfnXDdrbi8A1LNhPyhGNTtub+6VeukMUwTGMjcmtrM0/BmdwZfw6CY kThiPEOf6pSdhLtINhy9NCwyCpyQDfxNUFYmh/eBGW8nC8LJcWPWmNvJ0owvbL5lFeJPzFjwUcC9 B/RSa8crZi/IuspeH8LB+huybZUyI5F0O8Qc/yRS25kUDpA0RdreGsvIUbKL5leUtlkGdJWts5FX jbg00laKjpxcEHVfQIezj7JD/sePBH53fLjvI9pUELBA3hflxdZkLx09d0C0ewd1D/gMfLuEoG6g mcQl0rsU5hwlvAVIKTgBiNwQzw7Rz+XqpwKjJwSXC7CylqKcJqTjGTw25byQPtzTyKI1fFJxyPbu 9Ok4zSb35ehu1K31iqKzhPoH9IkSU6xYItIxYBcIzFyDHlV5EVNNjnoMPzqjT6faHFvntCG2FvMh yrhLU42J0E8R8jjJvAxPtMsDzCgdgiJd/tjyTxT+sTzjePmyiBatso9pxtjcwv7RTVw2ui36wAD0 cck8AfjhHYhDdxpX9Y784936jgPYccKFva9k9wd1tLXbqe2FUNOv/PLcfLs3M1IJgUPc3ajXp8X7 FqpErNb8YFOrmfo88OvJF3vvb7we9z+1rUYqMxRCfTgmOJt6dxi0Sj28OftlVQtgb2a8oGWtjaHe YSuBncUJ/tZ9x+15hv2lya6rbZvDwKpioyFDQcGQ3rpUbvuHULIgnzh2Xw2SqPzD98f9TQrLLx9p u1VzyE5Svpm64Amorj85P8aaPPe4L7I3t1N1C8UoJTsNtJedA4wde1PAbnOkkhzX/ZrRk83IJW/z Ym6u5yIAi52L70apfWEN9VctlfZ4T1vcdIS7q/FUtTdSWob8FXjrihXMf9LsV2wNsz35BamSxWdv Lnz0xeP3AjMSdNo2T+2FRgprW0Z0BIr7icvhWaDSfRLVsq5j+rkq1ZdgqGoAUK/pVMJNTpFrBsSe Z5iaWE/okjQR1seF6YkDSoAXNzrsQRonNT1nauep9s1a4m+cKmM+pk+KMD/0YajNrjbdUuCIPaV7 J+bpRrq59PnbjMMoU1E9+PzJxAusfruMMJnmzGFdBWjWE/jek70yRdm1xKUHqK0gDx5rVWnJcx90 zQhgCzGu9NOS1mOMQIIiQUWNLxk8OaVan+7byS76vLLEp2ZubMpLT+lMbd3oApMZl8GOe9+o755W VeJgP+QHpZh3anHgOEgL8boVDhBt93MhsFl5BFYv/fo2fDAwgVBVOnzpKVp5eyzsffx32oNx7ukf zqVKAGVt03XAl6sbs4DoBSA+eO6sc9963JdDIbTl/ah4/vz7PdF6AQNXc2lIOW9PUBlCtHEZVScW slQ1JuXKhcY9uIW2202JIviUXWZUbBritux3GZd0/4l+J3P7BBy7nltZSiswmsaMK06cAfaXbBxe KnqmeB92RFzbo6LFxX+UP+UEif2icI+Xv6liA/iytkbRtHwcpoTLW6b76UGAcUj4Ca6aNxP9kPxk z81g+iaoYwf6njwA5+fVPAjjv+YDkokoTQObLXtuxx/I9tiMDy4oeDhmi3ZUDyh60x4IV0QbuPop I34wVEHeLqVPVeNgFRs1FxBJ1utJOB5AiWDm+06uvhBi8rxIPELXYOwEYMVSgp55+UrGTqu1NqwQ YrofuVavozHozNHziSoHsSAtRSm4N50TvYrW/xdcI3VwG90i6Bqh4W1yHZs3kXX3dj8WvjqTNVmV Fh2/P68DrGDoJYbTz+hlgcse/cumOp0lAxQDLYuPUw4xhcg9gdtGEoEADvffpysuKgk2ibC34eYh iTo8ow/TT6sFJp7XsR3F+/PCeqYSGxSi5NtVJV2q/NrNrW73N7T+WCoCXCxIA10apAMuyUbVkADD /2+KYTXWbmWc7nDS3zmBPjdFKz17i3uIkiEHNYkJ5Rq7GKrBOcotaB+kf/1hV+Kx1y6GswfogV5b 5Zn02+W9rhixHjf5ZWjVvT+pmNVnVzcWyExVhyVK8GXv0Oqn11ph8f+tfd33edKbzUNhqKEh3mNA PNk7YgZD+rKFzvQOvhrY+FieGxMxJMtnd0zCXGtyLtAXvQxl4SmI8ZB8xsG5+a/hOT2joKF8ZT8W 4+JMyVb/FSZaziLxjlyBoOG2GFVW7JFNQtIUU7Owqt/UvKijB/YY998YJ/ePioosxt/QcTHGJFyB E1eWm9n8RMshd+W/WzgkCJBrGgzcfzvZtpmjuwso23esot1nNhDXQ9AJsX5JXkhmiyhDIZhmX36O Uj+AZzzvMIwMcKNoY95C9KU6Pkjld2CwyxeimtP8Sko/ReqFMNYnddDpQm/w0LS4/bh7U1u0C6Pu puB4QIByQ45RwQCQoG0vGR24DZtOIGHW7ovsMsbNy7JtRViXVc/HPCcYrHcIESXSPVlahX33u5hd dsP88CpL0gNtRI1vgMLFN4VYOvPqZ2W84pRXFL0+dnmwOLcGUyX4isoVGKiPND8P6MR4pOASYvHC g4Q2AnNuGW3gEvOnioi90nEjHC0yx14hALC8fG2T7W3ncz4fBFfVBE1udqmfA71X0bYKrndFAaE0 o0JvsqRzKg6cTQjj21HrkhDrKqKPHFxdF53lJou/Tn1GGpZBFfND8iqFJDQjDcGBjxzuEO8sCeil 1ZP4QAmeuzHvhyPmMzEucnrZFpz7LlUReAgaSmwHzDXve/Y09aAQ0AboGLBTOO8W8P2yGH8ye3bq 4xGpAxrDx5Wf7jUZ4sozShid05NQLl/iymDlqVX2CCJFj6Y/K36GJ2cQxMyeCvXJsoQ74xaZuYDp T123hGQ7aPxXsPHAcHAZy1j58Z8lPUlwZMia6OvOCNuK8dHEGnQeG3MgQnP4Js7Y844wBaQ+yyvu 40jPISjrWh6YAUPiYV+7TDsDMK9Z658VX24IMFkZ6GJ7unUeT7FtOzO0YvUM0GDtClLVVX3B5Umh qdvlLmxQr7hdZVIn/ryGazFqZXzGGFrREOSMtHcqagN7JXM0eawTEmLVYvvlfEQB//+JnYanfxN3 CUGpoletr/8fGEy8iNvfl7C4Fnas2atbmV2cb8LDlNds7czZlDhNy7GIn9Og/wzT3+V9+YQGfAUL 2mUz39ohKMVVePCME9igM3WTkVIKowngw0fFMDvR/co9yNDzZKfyzL79LP0y5KV0PTJMibDGzKhq rc0RBoV5XgCOfsbJjxsqnyEihdualdDJpfSHln5QKY8lsiS3IE5DbkyX05EyAo6AxdK1WKl0KD4z pplpjnyCBcjhRkcJ/1aF57zjxwCgIIksB7KAC0UQ3mKDKnUpSrEXEYZAmh9GYNYumADWWWVdXHL3 MIXjK1u09mjpk43i1bB3FGPXgt3PH/9NOY7we9dhMte2xayvAiKlqvfYRZzp2NMdlLlZmPGU+Zqs V65DaYMhDXJxW9d1fxf7hirsWCO1P9gWQmzQExG8bMF130i6nFN395fWBdZt9Il55bx8wbtjM4uv TyrhoUEvuakL/ZXGN5vj6pYUxUYRVhPk8eryPZLqi3BGEbCSDeSRZ8vbM7Z/AHU1pfLhyRTd9/S2 5UKwUJdQMpA22JYJjlzXF+kz0z6bu2evbNlMlJMl/O5wfN/L96+p6ghHqh1PuA3rDQ8hEofFyj+T wDELy1XHw96Tci+k5fZEeS23nHaB+N5N1L9HP35faruH50zOgtLVxjrda7qZYlxaXltsfFRKVvZu y6xwtLYP76fXw2l577Mcy9a8s/UKdZSj7554+mxxd7F5fuINpqW8QiyjEx/g/GmBDskjpp78pf3L vGZgUH+ZeKYz8li542d+vvjPvHAyemOmeqnX5DdsLKh9ADvMVclGxzYmTD6O5bw8wdmblH8ORmAP a7C6R3TrktQ8TDTA6eXGSUXVJYrgAnZuVrhR83SFpOlN5lC8yAt7vg5ovmifOfIlaehymgCTTdj8 kDtA/spKlY4iB+efvODixb6qA0Imz/6zjSORNGZ+lB7pw16avHG0ZsdP9I+k4iYr4K9hfCWsdDqX eVDyxLtstr3cFv1VH7cN8jiNbSn8LrtvkmfOEw5P1LR3BWkcEGJJr/hgYZuYqfAzp7BXWza0PIZ/ UI8laPS96420Vt9jtTTa/ZC6zlJMmF//Q/XyPgfFLOl+kRrE6uizFc/bzsdOgN+FoVZiNKQMpqzz G/Cd5QlAt2YiT55YnZyvK/eyYKxc90LpSopxBKtacnvXYIDozvUNzNulGpnUnmBmy0laylYkILD/ ExtPvWxu1AJYzrG8xkgqhcUsQqM1gKgnDjx1cM+esFfA4fGOmxG9GSatoJzUIO6aXk2IPbPCtMJe 4NhoOfvdHDzz1yM2KoqtZiMxwyrsjORQDe9qk3vSkrDYT4u3CunrhXH02mjViqbB0K/fcpNib/MB T7pp+nYCMjIcKwQ2dT+azT8kIkGCWVLmZl5OGXyU2bZ/5rKHRUSbApiCdRGdyufEL924phDYQNL9 yXeMFvCgQ2PKLZmI+Qk57ejKSVdW0uPUND0/l3FE8aE5wOr+kawSNdYnKS2mbeso3YR57rvIj708 FMNDe9jMvjW0PPhK5LLvMuqKW1O9On35Hex+g33Pl3viT+Rr9nHpm8ut+u3MP3xlhm8HLQvnwZCV X0xvslC2bwu9gQbMbiO1EPor+9C2cplIYMshNRqv20YTICAXqr6Sdpd6XXlHHvrb/VDzzZumN60l +Vns+VgSfT5GzXeKdvUsIQsQDxBKY1nscLmyKWMXBhx3QAgSxTtTk3Bv8mIKIbUKMMP2IXZLyiB5 MLnkXAcgAe1UReZKiWoy7Qfn1/ZcOv/IWroIvSAHkAgWaUO+JO3bVculsSUCNLJvqDWs2aavA2ZZ 8/J2jFOkwH0S538Y4v9wfnMyCCpLdDOyLZM9rERBBt79TIekmj66CZBFV6Njg31FWjuQ1XAdNaz8 l++w3ofRR7Dpg9tesNu+4sNc2g1V4AGQXFpz1b9w+iB2h9qdmCVKMMcpp8BG0qNQ+X1m0d4Ylhq0 jnnquLp7iyk9N/nLUphlI9O/IHg7lX/Oz4YB/a/uGzMbdtF9x3CwG+OXdRvFslpcRXxH++cg817t nbUF0RuZCrEH4H+YKoFQHSqWwCrZRdfZTMha5ge3SRV/tdaXkg2cK3fJtBj5VhpRsHIbboHupmz2 UTSPc62FvBeLgNbZjBJmFQWe3Qc9Mt2eVVFtzm2Mnba4vO6i78oRwdavJB8QXcAMTsAZPeQD+gT0 WMLIgw1ivvR7uiZG+X50hEipKItYJak4eEEo23TlSC7ddyZgS+OPhDQ5vmvV7IwgUOeQXJOP6GIC t9TQdzHSNWROC3xLawDh14xY/AO/dohCAmkHZ3wm243QnqlmTZHwWuGz0IjslFdjxJjpOqwTxufN n5qAB/ltJbUd2F6Q/HSD1YxTiGkuUugDmaViiaNiNw4JbonzL6wimVxIEjEBSdYSdqru2LR2IyZc GJNvg+gbOHKMmpF7acjOjt3qlD8vqLTfLLUfwxSdYWZxnkJqtnxe4T7OXhzFWGKyMrudBnEbinh/ k5TNDJJippbqASEVU2uZJG1rHnCjBZerybgUmIQMWSVBvked5XRFsWOt/sHbZqUofCKKj5UYLZDZ gri+qwZDJuRZkjndhuhEnYk+FSHVJq2jqS8pws7yPxdFl+sAvmdQzmG+vcpteLmaJliykAzwke/B boL2voeQeUTDThjRaP/KAgiTzMDX1nH35vdQjhgU953/7rJgx7nqqNZYJVYPH+VdupomPdwCwJ1o oRGP0hdc3tF7pL5r3BncPouWhGpFuv2RTYeP0CgL6q7o2s0lPK6O3MXKhx+2bMr4gGk7Xwyiym7R XVGl9XzTkbKrN+kJX6Y2uB6GkfBHew8Y74EyWOSISkMjyoWkkvaxRv41tqEUdJQ6If+5HdNpkuK4 P/uGrzXJjokdp2Unlppx1LbX26c0XhbSAgBcl6d+Cw328uQN0NNEt/biQR//eNE7OYr6Ms3rMtjQ JrtWJWZTNYatklQvvVYTN7QoX5VubsYSGv5lJ8DJ0gf8BotN04lO6y3Dw7qtq+Z9z/xMvAnSKdzv Zg6Y7gCapDnGxqedIcZy0XfhP2j0UcDJHRVa5yR6Me8YeTrM1N9CObO7L+L+2Y7U1bifAs2GKSQr Q+1UHQaPETZAyjN2baoT/4qZtL4qbri6eUBYbpWnQQplyzEJbjnGaL0vETcb63NqWgFoC0mcjJ2O yinBFq5W9pAlfrPCzUkNy57lK6KUd5BT0OvlY5cxvjVax3uimUSBh37yFDMyxOA81YtpBJ+XeSjP yEieLr98OEvYvb6NP3Lz1K0hoIuRRB3WRcaFbfjnmm3a6/qg/4bDBQjbljeV3ch42T8rwkx6ib+y ZuqW0sndk0SC6NRfzK4jlnr7c3T/c4fq2LKQxwDMMGniQZLXKkUXvFYWmwlwfvgPJmts+aCLs1xx 7t36syrLYsflluCbBcCOwdspTJ8iMJg5iN3z6V5Lf3oiUrmIuWRHzCv8YP5/PI35Yie8aoBZb6IR 4JNV4h5EddNMvAHijt52r2CcQ9gB9lSre6Kp4n9R65xtIhmf/Kt7Bgau9taVsrnNERsDtYpSH9OY ec5dRb3vcBj0ZNIl++4LMQAtExzezedMce+N+pqKsQmTtkeWtbt8GlZKyJwk1FSrl0CmER0zflua qtP6B6S+6N5RCYpaHOjJQ/DSCF+Pz7+oKRvMH0rWu1TsoHzrM08QJN421jjTVvZmmPSpJRPfLL7E wPhsQc/mJ0PTpTqvnd8b0nSSyhV0R+0W1zmMQnQWDE8f/TecYcpoGPt46Cr15Cbe030rDnnA6k3R tk/n0dWL0IH9bOEIV32G3SR74J/c5RE0J+ELVzHx/uP8azcufLzL0YCK0jeIJ3ilFHgQib0GOZgy f1ZWa1ZPz+f4FDwAFnlEhdPiv6EWntjDRp8q/xHrQx6PSIqYLCSkRxAj9yugvsahcshn2vbYBpcr vlze+C7xHUStA1L7yaJ4eEja3PXfQGvSdbQOkY+qqQajwYB0C0Sm6yBh9ECaopyKkqVD45zj+3Le z7BehyaVK9/kTxo8XeSYGmKJTaFqBfZziFO/l+ZsmYsr2sRH3o4larlKWN3haRU4QAP+gh346S+5 wkptZh9Ryt+KDCdKgfyYUtdVkfiDS7aUEkBsCifwJitRSEc3HfA9nwxSqMz8FPNh/B2Jl3f5mMeu W9xRRFxeGwk+QcSceaqVatrovjN1BY+MPvqJqjB1kyLren7puV5sCbbk7fT+O69rNqan+WG7QRIA HC91zIXWiKSUW/vyfOiOe7ovBuWjm2pbHWRhLx3bzPbnJWHek7KJb1sFZYuS/6TOs+4TXH7C8uRv ossJyaPDGJFHzNvIK+XJclHD7AOgpxiDNM+RqXQe9YFljGrrRz0MWnXE4T+zmOcpVyZ9OLdLROBe oLxH7NU0NfDRX+40aHl/HtwcXY+fy5WT4k/SO9yonD0Jyk9JoZCTCfrdgTMhNKF/E/R3sX60u65Y FXQmYCWPTNRL1VDXRi3mUbvdKF8jxA99SBFoSGBnch4UU+kH+cGKsIVRMPf5hl/5IqLPViIs1oD4 Xi07Ar6X7vOxBCenO6QuFB4j1rt8zOtgAEK98AzfViYZzdjcNCQ0vmz4E/lV+yJYPATaJpNbLyJm Qx3v/jJ1K2kYCZlmT88LOFyTMoq0Wh3GwGMRDUfAPgA2xp8ojhAp8Y20XFHZAZXc0wF8i+b6QCML i9WvINc1gS/Ok4VUd0Fx32XA2fdzGyY5ueS3znwCn8gt2UxNhBbLFIZNetOtwICAo+JakgqguaQR +6bO/eyCC9mTcpN57eFxLbr7yGGSubcAs660raLakYe7kClUP8mLcT9XGPq7pX5xJo59MkI4uvhr v43VRnaxroJi4/4yfkt3YnmsS6+TA7nc8YAtVeyOCflLzlltl0ltnYjr7BjaJ6UgquE+n7sz4FVb vLJqSAySIwGUm47tKjdH/T2pt3d4UdLpDG02JDarcWsiR+rmHZh9QEwU7fAPpq+kczTcDUShpwV3 DFKMF93BdfIlAe2Qda5NUiqhQmEJ38gTxag/TZm1KJytQOQejmJG1AhsKa+8sJAUT5rJyAbcpQjJ +NinA47Hpm+CcHZs5IPvUzMC1RbbPyNoqQxIWl3E1IjrFhCMWpY53L3LDWU4bhcI1yR5vgNN67P9 YfqyWN+Wi6phFk0PaIrE4IZOIilIXUuBxOj2I7LxyZWikpmc7cLBc5GNy2G8JNrlDW2JTCfBqrXN v3XQOV65+e4WUu/BF3fAxxRXYqQabLYI5GTsfEzwm2r4LlSGL1JQLDjZPh3Pf9pzQaZIV7RLOYe8 2Pg43JpOtQnwhEJXegl4YBgRBZgVMrXgI59ZF1LuyjiW4TqooLqFpXB6IO5xQV+p5tdKYPw+Ejm8 nU/DZuxzrb6DNedrD1QIIkOazVK+AqL/mqcfQu7Db36+nXVIRyIVwcmH3wTMvXOVdz91puno69HR bSril77sMwVw1lRavSjOK+ulDxfOLlEN2tc0lINXyiEw+IoBa7QToqVq9XbqEu9wjSGF0DS1yAvC vR7GsxcKue7xs6+0zE37cHXDVUTnaZl7IHCy+/YysF62Akm5TPCgmUkTPRqaBWCWf62aNiLh/Q6T jpICXiI61Gmxd0liU0jdyufxmQsuXn4yuNpJLVLfdidbXKwn4OBCqpfwLBSG/wUfTjaPscaPnITK vdSCGSF/lDsef8RmaymCVK/dp+IgoaWxx6zucILs5weT1ogaULkj5bbKqrODEXFDJas/XZUA0F5M tFwWWJReCjdSFLRz9FCU1blyu3vBMgZoX3s94X/8IP9QHZq3IWQ3szMydwko86Ek5+62UIMVetM9 pl0SMBa0MzyzwnnV+bTyDuimQK3bUpVFDt4SENiZczyKxDJsjQFbJMiiBjg6fNb4AOGtgDiOFsfT 7tMFg8KFmdPFVJYVKw2qb/5QqaC++kRy4lwgzeq9pI3OJhqNjWEcfOMHfEb0PZoHfzagRun/yLjn zyi/AFOnIIz0L+sYqiNAT0rV6u1iS6kITJvU6LdiCeDPFu7gRsh9+KJaVaDQqM7GRuC5CIsvIesI SSVKJJ8Q5OpiyALFGHpZOGvjHE7HRK5GtZxmP57kAfzNazb9F+NEIyXi5NP7TDDG2Sk+yXLxjJHN jM0Bkd1XXTrHQO3ClqOKNVrAh/tfmAp9C5LuO4R/N20zNzGa+gdDTpH0hJ4EmOjnQKhuS0o9lwnx CgAEG+mInJNTuyl40gQREwuNzPRu6uVG0apa9Nvtwz2G2GIqSQ36SWFqzScjB/5ZZevXh2JqEqth mogDKAJC5PR5OB2fPvQvz3bJbdCdCRiVMMBdFgkSdhJvVawXnjuGlKAmlgx0J0sd/L7Zf73S+EPT w39uibRdww9XwLa4qc2lH/eni9CC9RW0PqvgKq7t5QX/uR1dzhKotQ3sLORO7/0wNQp5i2nHZdvL EEfL71Hb13rofMiTPj7yT6vbrpcAOQXV+scMLK+e8bNHvBFrE7Kdc6kOGb/dFxneWR/t4iHtArLl DuzXSW+cU2aLccgp7gr8dIYb7vU0ceX0QZjvlEJjVxGEE/DT/ItDdrkLCqC13z5WcFEj+x00H9Xk 0wklOsLJS2yMZki2ZDwyXdgtGtBh3VRJnDvoTI7EKZfFW5RJ+CfKrWMVhDJd0Vxpu8KRoGBpRpJz R4ZElCMP3rGRFwImg4znFfaWs+gYgXh2IiABAIIzNjzPsm9z+mxm60gebZHm9Wx6ObqIxuLsp2ul 4CUeKF796yfPsm1bXIsh57gOM7/90/RPz5iD/+n1Llo0qqdo85zcx7GlmNKheGEkPsh5KIfCIFaJ dhc7il2n4zJebKiSCLE4d9YbRKTlG9vIhcdz/mMKI2JbO+ZzEV9K3YwZOaFZAQHRNPeA8MJKcg/c NvK+sV35K6krnz/lEBky9noIqJFn77f/Jbxx5WxX2QIELB/pRjwqFrA4Ut2o/U0c2vQ9MLMNu1Uy SWq/yN632qCbfcjXwIVPp03AQk0Q2Jv6YfJIGXRllzIN8a8vpt/+Fjzn0LTHjot/mP6wtFtZkUo8 pajW/OJHesoOdVjI/+CNJvxjZccAxHD86ov8sYSJnVtavtZ1Ww41X7GIXZ30cV/48YGhuz5nTFnu hbQg7S64PuAUPX4goI52o8N5B2cgiXxd8wQnK4xcqJiZXoMUJ6fHXZixHU/ng2T12yL6f03iJb6i RYDNXlqJBUMoAlWP4iYtcmOl02DrG4+yENAxH+xbgiwpfYF0eFm4rA2sj/nLcd7UyKTB0fXIXhAp L3hUN7uFY+r2qHb7Vr4hjFshv2yq+RybAI2boludRO9oSBcCE6QNT5TdQiNn1m5jQ+iA20w7RbFA ZcKYh2q/uokjKMOujuEBxlu1dLDD4yC/AFZyh7OXP+WccsWYOzoXy+20YrQniNV/z5Wj4jjwYFs2 /S6ycuHGnQTzOUh5fJJSS6azAAgnGH92WlVSrEkbjDKwoPSvzKU4IP/7bfjhA9uK8HKS7irgaiyO f8vcPfAqvkLhLq4MqT5ChShqDm7MsgRjhBxt7trX1FWkCZBXYVlFIj6WuwcVkUSR7v8UY4iOeLeZ VyK4Bp3Ad5hSv+j1gP2UnSozp27Bm+ulA556gSPJ93+P7wV4KahWm0to0yV+IdTd4aay7Mlk3OMX WLb3KF3l83at8P91KOg+H709HQ8MPbvtEzaf+Wa61N9WhxgfsBUJXVhJsE4lgp8TsKW16PpFOtbg Aqbd592hwbB3qdrxyrmLn8LxmfnTJHsaJZ1kG2gv7JilKh22EAQEE46oQqTM9D8h1P0boipc8LoI ktEHBcpVQ5RKE4OvrNH9OsjrzOLC9NuEtuN6brwFuLUGrPJ4I2zGXVEur6226t6n7mRQ5PGZ3Ubo g4VfVUXF5XDTXF9WLeTGo0IQx2kbMwJfDIKhNgTdEb0Wr4Ew06xr2d26PvHvLh9BLb63VGQa32mw FeU+3AByuE+CeIdzWi0gsmowmM18vcP7Ovoc3PsCD4IYgNtBUXbEDaS2JdLRM7iHPdrdtaPHnlKf xKNDBoKY/VDB1HJ/x5VTf8MM/8IzdjjCpZO13jAYroWkrErgnfgt0HOOMzQ5nxKRAgRpUITdly5v F8qpLROzmxteqTLHBi1JjENuUlJ+kbZuDGiHx3uABwL8cMd/0mN3PlvgCunCIyEurg7NH7OgA2qQ h2744xZnsc2XaI4qoh82KQWks566ClMoC8/OyzmZKQsKzpET3Tpr+NaFuyu2RX8X8d4k/ivT74yo 0ollPBeGY46iBGyjaHrUy9mFM7rsolE9LyrCuCtmJ+BZawYRCr3tXs40mVkTT/Omr5eV9Lo/U3sF ST7Llivi1TnWBN+1IzpG7Jhut2LEt/FawwbE9xpFRibK2xSRvI0MGJJvTtBEHoh+53e0rQM96MJu WreMLm3hxBMJFjOoJKJDa40Nl9FYkXHgBr/XZ1S9DnZ5JNdi70UHAdqF63atA/UlMSfrq/jgbhUi dcaqx6Gn4ZkKSTOKUD0xYtDq0dX2lTT8PQqOexp6ChO/AMnqOuAwsKGLXLc8poFZhDDI2SpB5oJQ v51K9G5P0yhKibm7ta4CM4EZxRJiitPCQK1eZ36wNrLy4Z5FT+HjoYL3zeuI92p8lIRrAIdZtz9i dMZeVlsY+VxY65Dy1J3IU6JoPJiZ52o/7Ei4/LG09BGFXMPoEY/P/68Tc+WYz7lfs6xkmSdRkEVZ 01NgpGttvvJtIEW0Vuh1Ir6XnOrBt6J33D3iA6tc+pEfIjIdqnjcnVko1e2U2ASEVgCc7HK5m+Xl El0RpiXh7pu2sYuy80vSwWbUrDKiRvVt8B3ERPjhJMh/ynp4w0apLjv+cHsGYkwwB33vyJGwGKxb OScN2Ry6pAVfRQNLNtLGip2HTOZHfwywKoTp7XCbp4QGYZ32zimJAA15Bo4X46NMO4wCOD894j6W /Wg/kbeO3GP9QRaYsMphcWYlcrY21DJvb6SO6QLyvBgSjW4FnJqotaHA8g8YXPmWE4qkDSwkjaqb ookIPLaV+cpjWPbkiNZS6UkC2W0hTxOhBY9gBc70nB52oc+k8aiSPC51xy4i67TL0xph/XH+G7y0 2QYPXZgQyZDTegi7V8qclH3lWHpTBgB620MsU/ueu80+IKXai45zJESF7eNH93sXMC2GoiinrqOn iR6SkiG09sZtBZvodwbiVSfzWBb+snzo1AvKyQVKfOsKuk1x6nHTVsXSubnvf8GAevea3eoxDhPN lBSvSjjAZIICQDtfEkNSn5C62JnMclsIWGKbYtplAV+Ctkwm9J0XD9Q10ImoPMz8nP/i+/NMwRM2 bs1ueRW0sXyXWjwChU/+BWefoo+W2SOgW0TPNOBaWeM4iA2RoGnFlq1FgGEjCica2z4vk+TA6pok YYTTJW3gK91Kf4SX93ewdAE67PDBN6FRdwuIE1uzltfEhBAfnI1eLaVnm/p2IQmnvK4QVURoZ88d 4pCLUfl0MdT+uS7XiWY88Al4mtMyrf4+HhPgRm/PJ/a47j6OgE0Szw4Qh5DJtzgJLCxBz1XP5tNV BftQArsItuek+jQiUtlgNL7owade+R1ouUR5IzU4pr7kV/uzIq9kDdVh+Xq8wwJkfyjyHD78gNXs fIqxakJ9Yb6g95NGFKnHlBhoLv1zP60TiF9fPU92x2u9iZFIzQ/RSQcMBIbEegAa2zmaxiCrCZzI DPdKXNuK0LHojAPvF3RKGZ3MneY6htxrkdJGlGNRquwf4ni0i9xMMtUW9OThYHbSMR5LxLggxTsp ImaSd1AjhQhM1uLvxeMKhat2HD5AqII92G0waTBlBG6QsRjlhTn2SNV4VeuLJNySxx3Sw0Pcad9+ Sy6ArFo3nn/K1NycG7+w7TDf0+ZEWq5jHYr6WYKQrFyHc1rwKcmpYUeNRbTbB1N2tfxzIxt3u8lm aGof6MEXmtRDp5+1CkXoUW8xcOtyuB/2KIXgBjFO5/whjhhcV8Ic/8X2R2nlPzYC+/eRJlVUThnh SO4820D4K5Ft1sS5+g/MJqqq0BfsCUefMLRqGkwP74b3vxtknYtRi27q9HYHQsnP/N0xl4wSkro9 wGNYSk3XTT27TKKsKVq68tSyjzDpn9k5+FyJE+C7qObT22rO+xlrA89C23gdYm1JyFw5dfAlNOB2 3WBd5oyPTCkV6CjZUd5FVV0snu8QLBLO/ktGEHIlaUuar5xuiTEpKixHYFkDeXtlLyHikXcdyloa xN5icu9XPa2pDmKJ/fFwlzGoLDx7wZy4ddl2gTTHx6J/pzLtaNcRlu1BK6QRO4VH/Hao8Rhaf0t7 PThmFd6E2NW7pZkc3m55V1/j8FpWN3GC0FwTpQDqF3yEVr/oIEPNOSnqrwXIOHJu2KCY7MC8bubY OWJNfCrkGAHadVOGoE1d0rOszbY/6LbUGN6ByfV4fYqwq8kfYdA8o9fJUII9jg30uqLNI4J4kk2V LcGcyY+IwbB8/KHK3gr1mXwpNiLx1AcWD8flRYyk9P2pqvJ+xm+e1g2xTTwhecZZEXlQSze8h50u 6/kxYt5xKkY8JDrH5Wna/WQ95/ip2qDT1qobezVh4S4wR0QReFGJS1rMFJkvHGivInJeQOmxA60G jmW6UMW14w5nt5oftodNnvwWDelo4GfeD4JrhDvLlLQ1a7jD5uWHxZ02KBSHF7n6AAPvZVV4/Jwv Q420homGSNruMAE/Z21wk0xNG9JT7jROzhqFA8SrcKMy07SUGs1amynjPTU98k285Y7p+aXizx4O 2wT0cQxtXMwJHyzy1O+l7MkX7rlzKX4tVcRkX5eWXSyFseUFn5S5jZcky8wfmPfGE+56M95crIvl XKfMGM/OUKc8ApWDMPxv0SpoDE2u0/mT7t2Hfhad0P+mzI4REC44OA7uKUlLwKX4FASphjEG0pDV lZw+xryeCVXiS8SHe3e9zHMHUDCXFKMsfCLUqInpMlAlDzIL+Djn6Tf/DD+95qEJ2++6bgKVC3zt tkhbGWCc7m6qaAMwoY/DSbpiSwMz0wB9mMh3ntyGXwKvbK5CxD89MoFdEQyHBDjtcJBtrvSYpzy+ ZOijGtlI6AVuYqQlTRvB26LZIsxnnU8EN+mJZCUUMtFeXNZxfwle9fJhe3UFATlcR+1jLQ5pac2W +EPLw9rUrge0w/HQQR2LmkKWmC3LMsI6FU9AWHjyEmq1HzxQ2tQGKZNo1we13nBYSK+ge+/V53v/ sQRaik1CRFXw6S4Ox6Ys5ag7btlPOKN2iJO3wrMAmjsECyegtzgWsMLyKz73o4raHkZxqvEHBjWV mlS7lVLjfPo6juDH2hJsKZAMYejO1iUPqJ0pIvdh+G+cXYI4J/fzaIk0NxZVMlL9jIHYq3wn6LtQ Ctc3O7iDU6V4VTGT0T5hTp1kH5E7u+ti4bc0QMy8NLzoe/2KlDhkNLytMOjPM79nIpTxcwp5mdV9 6LU+bguzuSLSmqUtdNon9QW9LCNx0aEw0B9fDNAlXcQERI8T7qr69k1XFKgPRJXL6NpObvkpS3vY TGYXv+bip45sGOaJ5NMGyyEfLGMcOK6e46chblblv2iX4oUs5XGoN6dRUaZvAX6RYF/kLKHUjkUr eLd3CTbblcdQjzvaLQXWMLmSWk/nprZjD+QWSBwyNJBx80HcpjpTCIh11jPZyA8EogNiCbLVux7X XoedMAVR7TAJE1y2akS7Ekb6Q1YF6wsOHyPYNhJNygfnuWyt8AZymoNEMrbpn7rY+X+h4iatfdaQ 18jgtHPI2A1sMmFkmuyI8nLV/znVlBaPKdzMZ1z26hmJ2BswvFTJvKQ9wawM9I0C/ABUHV1Y3h8G /adKti1zFL4TW8EkcEOh9b5eB5O82BXz9bmCzebYk/2jxw74KqZpTQfgPzKfH9aDbkVFcXBqWBUz lGQ+xbp++Pp2+FHnhvM1EhrabvHj/VSOAJvHig5yBl+31wHS6w/MYsDs0aeyxuI5s0dwOXEVMZI2 tH7e5eEDZraNATHbpXBSWP7UAOc52a2ZH8d9iaqXK45D9/6NM2t/qN8kGDhRCjlejj0YUsGdqgbe aqofvXplAWvsBIfeVF+7dPBQYGu/B9SiTaqnN5B4tgg4VOzWLq1x5gtnkkLULeUDpsY2IMjayEjK 5MsDVXHae/7TlsYrWWhdBTZg6GwmAhof475QStjiLXgGJygNAr96x3WvIGshm/lCMS3wy8xq55uH FNVowAjjAemOxAGtFVxAOizQjV9MVW9uvugazpYZTxAsndqZcq9eat3K1Lr+UY4cgIz75W8Poz/o PT/BylPT4Y3xVEQi6LS01y5DtfRLA5rLwphM5Diqh46FkOP7xIjaZ9X/sDFAJWaTENsXFRBVYS7J g7fSov5VeHTvotvMsLKDlmF4lfGrLKRIhf3zbqIYLjX8hhSgYcfy0vRnLeN1hs0XQNsBE31pk/XF tnTi2wq44s7XVeHrSxCV2vlpHP8KK74qO1L17AAGEfMb7481MpWHvsv8GOhkeVbkOJIHeMBVMida L89k2dKDKdpQbYbR0Hj1qwGMJGBVATqlkQJKdAjg4oibWxRp2uD1NCGE3RZlmNq1HU2s4FozlEXG qtdHr+tGmCy9k1bSefYm/FZJ0orj5WYezOjWKsff8jEl5d/0snIpRwqDSyJcSq5WhZRT9LrEvGb7 AcBR4EcQqQyyBSJqtsNVvKfos524h3f42/ZzLzuB0jVJ22mLwOvh0kJNHuKda/aJKcWtHHUxzDPZ VrYTPDSndMD23RelOdIQEQ5/j/itUx2KANzQwYuEViZDs8vM94Mpl6kN7JFApiwiqsKNLIcB4/0g aNdCB50dduKVkemPHK1RuZuvEjvACf38gUE2w9O7IXuoJSBKda+mwf2lsZvDEBPvQu+4XO9T6hei 4D3jVBxpvrSS4uYezlG27g8mcV9mUhe5DiGSHAt6yXfdrGmj/9UX6SCXapyk3tQfjI5jQLwYot7N xGA944CXL1BWw4rJnvenHT8Ve5O3smMNQxM7yUW0iYj+93iSH92EqzD2N4NfJmBCmkti51xlr16H ws89RHgRPlYssn4y2WBB2R5dIVdgJVrQLOxqqR9E2ZhieBsrPSQy0TLJob7Ya+K5xaiXUUYO2Ctx N4vqNee1jOhpJIoX95+PxeA6uU2BtT0idBdPqdiIp28pzXnZ1GDvafl+sPN3E50okY1HuMnMyn/p dY8OghhCprjFJ4w8MTRm25jDAyBraRqeHPPNEcL0vfm8I5A53JjPLtBIgYkYDCUaZ8CbwnobcdC2 uKCnmQCWu6q9P5tU9Dtntth2KFSSSUZVH6av1Nat/EtVaocYv+ON3xet9/bF2/Zh2DMAdJpeN9NF mZR8EnPkt93XGxYodBPD1HVAcTd9cJqzalajJaQOy6y4LIPk7qTFvp4yidlPiCwNMbBB/JI13yQI iHJEw4Wd6iWoSEWZcqQiHHZkkKwQRq66WFqotwxXxh7FNE/wzIRzcVP8cVDnTbznBDWgKIcFnV2s x3heo3ibnkLiR+ANm0gAOmbvI0Y/Q2v/luz+1C5nAleimh22HrTARTsa/l9SGK+MQowiOW3atSSP kKgtxqwXSy1Ct0zDzzXn5W7Ix+KVASjAqVib8iyILL+ckzGABMxmlE6zBjTew7lYPVV4O6NLnP5l E7z4ABqXIqyvANaPxxbkuy9uGiPBRs5segbb0N/0SNkyjI939qpXHOJ7/HL2IJ9GMit7JHerw3hT fwJzTU5SV8YcK3voulCKQ6KFJ2MIZb+WvFnR9iKfV0aeAj//Kft2H0YnD/duNaxaFajSiCxrAMLF dWjFNcAmdO+vX8nOgrvDuMg40gTNjqMI7uihKN4u7dNCMElpF921A38iDmGZr/xBnEYs2JBD9gm0 ktAlKEr7D6Cv+ZtFfgO41wGtNnmbvMvGwcLh21dZwohv1QDvd9zMG7ZFKbDkuP4d8hpT8iYjyFKw mUyvueJfMnf2wLODFYJcvPrsX75Lvxq5SyhGVFoyHo0+0ZcRALPRKWjise7mthCBADDQf3I4+ikA y4txQMbJoorOJ55FjGNnPuiJynXeZ5y0hlgOZM6/71SQyfVPZeB6xHFnym4v112UfQkXU6PLYwM9 rDzwW2LIzlUiBMdKWDHIJSVacRGvOnx0NnI+5MJPBHzKR27evtfK/aaMMTOR3QiX29kKNH4pzOzb Gb52zRuXDepkYEttklZiiN84hei0CIU4dVwXZKZoEHqDXo8XDqMBJu3MfAlLzytJxn/POONWb687 qyF8Vfk8smBC+UYOhTd3LbGXWw7EpR9r7Owu7H5Ktikqjh4xjWqanogd6RZ/CFc9D1Wsx/hzsE1I 6d0K6S6j2pIosVYOxndmToEeLYFVJAebfRMjyfU2fMTxW4lpc1IQEz5FDR2ERBWigmMKKhngaFXN ql4RgJmkpuwIxRiaSEUqMpU+ZRgDzac4nOLTaffvgy2r6KS0d1dnW+CfaeAcdjOduk6c4ehzeV6U WymYldqrpC92jeoOy450WKJAk6d05S1PQCGKu5Ilu0/azQh49MyA9HIfURNIE6F2kBcVcIdCIpGh 7GhWEpRm6m7T9AoCWWPHZcbFUikhniCYVu/1lHciCAJixm12Rwq99r57/XpF/nnl1D8UdsPOuMcU KoXzHTv+G2hWDw98/XpnIjBl9smk5nqLj+ZXNmUpYIdB8RvNNuF2WyYRNYxMv5PlPEyXX+e8581w dxJjqAAGDStkGsmVVusPJD00fD3KYQgKRbhrZu+9Yl3qxoqBvJW7JvrZY50cIYACKwdCmDhtd/jr /2VrBUFGHd+v/mLnS74VK2X9j7CtQ4+f1j7YI/3YuZ/J0nB7Pot7K6oY6oL5hCU+uqSwtt/znQze I27MVGMq9jhsXpYnec4vOWOK6v5ommHyDydm4aHK7Lmw2pQxeyg6U+RwCgTZOhRQhtOi//FjSc+J oex9XbjASWhXco02ZnxIa7/rItwF9z15Qj6qRNShz/JOjqdRwaM2QeZxfwC9JVDVmZ/NcdBNXhzI Qz3vZdlE4L4I21KgYLiZHNCyJJxG38urqriqj4mKjsDiXmkYhuJaxtEDR6gLguCizGkW9SElcc7M imGnoYU9rnzd+8ELNsaBSmosoDY7jar4mFehvTjhTw/+3YwGATeWLmLXOnJLdAjQ32hzN0lb3Q8i vZd+Z7m+ZswIhMsQ476PAHr7dqzR5OFlUh2V2GwetMhbHV3KEwayKpz1wA+rF69QZKcLdeCk08+M HQHQU40G7usfj5bWHU9wScUTNkanfhh2tBv6C+Sg5id0ybEuYeOUiFlLatYDvw35+iTz+OtTXMr+ d9+eWEOwRMsmxu0CaCjFbQbu4lSTuXqw5nfhC+lC9OnYKgzJb8TucaDal56sxLKNLuSLzIz9xTlP 9BYrQ1uXfqFwaOks5OexunnTnNsg8ikGEho4iMJ0y+JQLoi6o272HoYDiTVc+uY8nie9IQMGAEam O8lLwfl7FCBj6inhor/Zzd3fTdmJc6oWMioiq1Uc+nSEKlXcmwcKAtzJbGSdqRuIeSZjQGuKuZwf E7xpARFG64/nbgttd0Rk+HgDBQ5mDiT66TyFWxOGGOFGB8cLDTxnpQx50YafZNGhJNWwX9nBVtMC HJOTlXMO1liXma67/sYCUpITJpkc2UrQsYqIVK0CeUFyVqhcvo9ByiDUPT7fCbtjdDdAgVJHKOye f3svy62Bx0Cq6QN1W+EcBUKKMyfuSqeeSe86FZBzEkaSYfh2A2pXQ+9FkK0VkiqL6Q0Ucl7V0hL2 hIPC8qaH1NOFvcrBK623qqEjXqSg0Jk8rK3+4qrAhn8L8gJcKwKIFSF0aGP6JD3ORIvRVOQoMbch hdYgBg7DbrNxswuwPx6853Dsk1/1CcfZiL64XutVdDmfmlPCiZZDGJY6kcav0hHrUVJcct/jXE6P Vfto2A6q04/c+KC+E1SK42qVodFzYzUEiOJ3TqgkzLrj49NUV0/JuxOLRx+5rA6aNXiuFwH5mAik KFg6uZoVlbu3lB7dw8yQ+BvpGDAjwKsF7gPyfPYv6i8w1UqlSoybA//dYY2XbqMjJMr/0uZSfb+H FkZi/YyuwVqhBfUeEnDQAzP82DXmXNK/av4rGoDesRMO9xVxNTUh6ZXYTemYcNLIKEieYMvBGrlB 6Ajo9FkZAFqMEPdkKat5Cpoe2zt0Tt8Vs0Gwsj9mQDQtjGLSnIJbDHRl69vMwMZ4MW+TNuzM0/+l AR0x7+/qdEiplYJD8KCebniM26pc0cGERgF9rpFG2vPX4rWXRz1AVD0KFMCq4o/7K35YZ07SA/lR xblOeY9cRPyiGLaBe87aD/BxMlsVZmzUhDUJu59tzrvV1m80xMka4wr3ayHbNkVZzB/7jK/2psxt C1vo8c39KNwqOpBpnv6FG1WDf7+34msVtVv9uuOFwotSUoNcmmsHN7CpOxRTHzG6ihFNsk9gwH46 sPrsQWvLM8v1DFutEClFZi3fyPQxbpKac42tbNs1Rau52Zeswq4Tr8D8xy0Q3r50TrGh6slVu80O oPkWjmEw4/OAZTTh7QdS0gsv7B0x0HXuthvzZgqTpG6wbE2Dc3GzpWt9D2wmVeZibSkASOZ8JcW/ rwhscor0ck/93qRoUCb8NI9wH7hDT9NeAqw4etGu5EqthH3r1cDYDWp9WuwHlmXoD4Tjmudc9v5L dXCny21h+vOCNSzzCtRmnxNh4Tdf4t5TBwMY+tENXiEGrxdLtCmFy6mwJHzGJLNcjEuyWOCdJ8Cz lSVSB0h4uhbXTK98uZ7qTYQOc30IpwL2juocIOKdGIF94mg1d0RLwOzcGY4LseT6FidSinUtS0YE dAu6XaqDLZteXxlzpz4NMJZTVLD5u16t/0mwAS1+gzgMLEY7tw9bIi0oJdB/uf15xKCxwekTYC0H S2O1LEPXX0sfYnqMHXq5gZ9taYYhQUujL8OOjnsiJCJ/lGcAvMhwa9ECPmKR8IiTLF/MnYCElL3i EbZCOx/sv/WBQ7PAccT+3FVLFbZ7WNFaGgWnXrMICzsQJpOgrAfy7QZnWsYIqNVQP5E+w/MWjC2w zou12QELX6htE/+mIcNXWqF6q69G3vILJ1S0ZG9PO0J84YU2iHfNYAO6C9ruNpLy8iYG/YhEa71s xhOGh0MboqbvnyCrsUxXZSO0+H6uVGejcLxv1kLzD1HeUWZR1m2ML+tgDcBDwHJDjU1VzwJwHvR3 hOw0THZNBn1y5fTUPijyyiZU+PJAl/3mNScLZex5KD48vqr4gW32355XrZxIioj6ABzXLfdPv9d2 hJgd9eyMWl7/Q/xi2NZ6gyNykJN1nYt2F88W43zLTE9aGk77qsBjaXETLTtqPU86bB9CbX77GQdJ kNogMyDbXbPtx2hbpOdvSkYQj7Dz8Csl9oTrR0btLe/M2MqUE3ve8g/ifZPSEXVZFML+oGu+I0xL 1Spxn/FDCYy7CfQ5uXuX+tiBanSnqUcKZr//3hUBTw6FtweRkq4AFKSKQzqN9n3xIxOmCWrC+L38 GDXnI0IQZS4KjBwBBQIlDclH3g2cSxD4JiEX1lkjrLYiiLC/730gJg86T8/9dg3ge5IokEf+s4HP rbiC0rEuf/z7vafZxNtfS9c6ERRDSdBTCv+Ttw0oWOG4XqUO9BsBiCHUiJzIMsoQPkPd42h6AuF1 xyWhVmhUJKW8714Dd5oyLWnC6ZFXoK6AapoVPGuY/wLZbzVdfS5PMKT7jksweqBbyqd0xSAx28mg pBW3Ho1OZBHxQGqiA1MFknv7ukTaHaMxT/x7qaZ8mtHQuquWC0OJnZL9JPcpCcchATKqv/s+2omD rv8qBDLqnetc2Pl2MIOArVMjNaouyGrThjNNytxq6QjKLQIGIKwD6TAJt/+VPpuL808C5stOyYd7 2RdypbIO1gz5sVaabs8DjwisKOehrd0/vbBL6gLjWrCrNupnFD5KP3uMDt1hPajJ68BP9gjrLs01 SDJ3BHpzmRXb2pcuH23WP/dHsvvGQfwe9+tqtNTzMLSTXYaM4w3DYVAd3VdXOY9OqIMxUJtJBcvP POb8FxRg5oWKtuTrTssagMbtosw94JZ208GVB4uSXjbtHoJ2qETavz+ThtvetJKWlpqmCbmouHB6 9u3Duv2Q8NXGvrrEjQENt2od79fJmjqvcJMSzLgDErR1KV57qKTtI5eFraPwuSy9dQf6j/WYQAth MqcCoJBvocb/1VPfqteYbjnV1oHkLdd6qevRq1uWInengnPWphhbKM0aYcGUOutCRal/jjhazzjM +txB8UM+X0WOalifaD6i3FsBixPWKsY1+rN2kXzS08tIP3zsbE1JA33cl3Op5wC9X1lWlyg+1A7S m+tEfL392nXG2Cr/H491LJVWt+5Y01jM6ezDE9+JpaYOrFS7bqB9W9oToUYPq54V577pdMYdHKVb 0/8s7RQft2fPthwUpyf+HPAQWjeSsHtSaPCll/X2Z+e3BgfsylqT78NqIcd25WP7Kj9t7ObaMkag qbtC214ziDzyWu4WowVSLV0zVkaHSvLMNbtyIzjI1RRctF/Lgx3xy7s224h//YTGJapy8m7yRIxl jTxyESMRyY7kBx1kK9zm7n7qWBcdB32Pt4fN150ymz5g+hiv5d/NYXHhWhbmiBfjS0D2uT6LUocu l1FgP37uFutmrA5ZQF+kzXX9qln8yb2Vh0snPUkpYq2H1vFgznBfeqsC831+AvFsc5/cZuedXrXF BHwxZZOmcrRuDvFMQNVXkr6ofzlNyp8nls8+qdz3q2XmtEHsSCV88szaDQ2yWF3KlsPnngbM3wqq 2CCAWxsvHotgYpVwxRA7SSoijLWoZ2cKHXO0Og1E0mWQJnqQOo2NZU4jOjiLrGWyChQc5CZeEbMI yN9p7qMr20c2rIHhtJP8abmzW7oGOPu7auEJC5cflSF0ZNmAnNUD7X4bXHrvAPTouUiauFcd2x55 x7OhnKzhIjL2R7r0Mi4MEqcsOMRMnLb2U5uXNwYQjPxE/LAhbeYXGzg7mi1xNLLaKJBkmRHzy72V PyIQPnqkpQhogvgGf3vAITv3fzVzNmfcU1r6WTYSd+zi8LVk2g0syP/+3NzdINgmmLccpcghY72F 1q+YB7OwnM6xQUKmVceDh1wdSSaVLANDJMSX7VGjX30EjxYpqiXQAz2ULnhLMsjgar562w1qrQry u8HTUZtnq+0uJdynieSiOzhlvKf/0ab72UOLYHoaX5lzR06Oau2sK7AMCtiRjx8PjJBuIGzpl+PN l29qLFQqou36+4ureaPxTaBlkMGZ8lZTa3ZzNRWUgd4q7+G/Gh8WFF7e4hZUlhbwPc2NR4tmwgpl zNkNZy5m3ZFhnYxT3R/mrz9rn+tMVz6KFun8cF5YPzowD3V9hYrWEYA8mRnzYXr3J2oGQ4zaUIrH kr1bc3d3AJtSI9N4WqOvKBbAwhJmL/dMjwlIJOANhM3XlxCFbD5/WqiS3p2zR1olh2I2K8HRWewc lUqTfkLxtPqKcc4NCyH+i1SbxxUoUXgnUdNXUKkdClASBmceXPEJIOUBaTSaOlTSO0ZIWWPKt1U3 DT/QuDJ6nlgSor8tUmPW03bTuw/QRA7k0L+IEgME6CRK0DwQSRgPozpQzMvlphukq24KQLxSGOtW qt0IOEEI1kMPhUtJiWAVwP0yz0Qruc4KQdmOYgIOf2iMEF6ukODKhMDUEg4GAl2wlj0mZPhYMHqD DusyE+Rg9ptM4zdEDLOyl2PBD+Ty5A8znXA3hM7QPxYBkpRQ8t2ArRnrXqR4p4HB5eLBMgAHonIH lrhMmPovwj6pDqBfQx6DD7DQUytDLt2Me+EIFsSwHKpyX8/bcUCOfaOVtmb5h8k75a0tDF9hxrQ2 VhXdfHUtgajO3ocuy91A/am3+2xqbfgFTysQNJ6g98gboJxlLiKNVAc4EeAT0Yjr+6M96uOkELKk QbLtVVJc9rmj6P5KB2oggWXHxVyfevsrkwJnc14aNBZfGC+1Ezohbjt9YmdL0jK2gZzMK5aGA1j5 +jPwA0dGoSsJrUnz5EbbqoQhUm4KkM89mKVwZ4Z1ihURcOR9VAz08YBVpGiqa0P4+QA77eDoZKL3 ez+ywxUODBz9DC5DjbFotFL8b+Jg1En++6KqQUi7jXdJsWgXEDEvCgGu4ZEGfMDzBTuvWBj9HEq0 DhvOZUWqoxxeE1o4shIIDp54G02vDynX0/A0KNXixcZ+PAtiZeMCyuvBuryaqX4hszpbOOerJpxd kaVGwIKyPt6xP1D30OxTKxyZ3ulXqhVDPRYcMpbjzZ7CcNhxlkAUC+vGVW1ieT3Dub70+sHzGup1 YF2t8GRZRb8pLJzrFZdBXxrrLyv3O3kkSySumadxVKYyzZI899B3TnRk5oS3AWfoaES2ogU7T+Tk ozpvRWzpUOdA1AOr+YG2UdsAKwBWizql8jgIFBfBkNVArjdHgwk0qySZ8W+YOFuvYdJdaHCmEOf6 nXBZ4Pa/rwvY0VBFIF5VvHA24UQmXMI3m/AsoprIM+fbEDfLw/CxLBQO6jdxU8GmMK06npZmf/p2 TAvM6eEvQo8wE1j6DyiYhRzt06QG8e62LMfd5HBawK09U75z6wjaLa4MpaZIr7vkiNtWf9hloaGp OkH8cJ7+JsB4wmiLoN1n93pIaHIR5l/u/GU87sLSQYNjmm0oBs4J9Q2v6bq3WOGLgzcf+y/JmgFQ +IZ2494+85Tuzt4LTKpGF78H92VdPmRMCkEHi4PPz5VUeB0M+7QLz56R1AclrO6zj8ke7IBstK2v m2IlfRbAlFyvc7fz1FOY5jeoxVu74mgyaA48+BKOba4EXp75/qX6VTQ3lsPz0Kyujhx44jWFGDKn mhbo01S4AO6IeXEB47l3s1jvmESF+h2FYu3akwo7rof+D0/WNkck35xeW9xoIMMWWGV8fd50ViwA NQLt64+vSC2HPOucQXWClU82THblPREN+8EIpFuFW6lmFLinYBmkVgIUMPBTpPjzu+xY1OGkChAv B8pQDnggzESViR/Yim6qQ+wFi+Q0EmS3GlDzfu9w+asiO8Uf+2tF3Z2P+q+Nzgs2KJfgdV6yD0pF oD3DBOhNbJnoSZ2PIirmMBAPWud1NWIuIUe5YIRg5NwHdG+Srv5h9Gn2EGNuFkWL2oUs+al3jiwF Jcgvsjozf6HXjaFdhqQ4uogi0EYoCYCOen6OX3W03F5IRiS7iW5SmjLSYgvoHlvjxIGpNE+++o1y SVzTbVf4UODvSpCC2+AQpWteMvqyOGxeLikS0QoCAm36EaB/PB4gIN6vlGLIpjcG8EjioyVhizi9 3I/MrgECPFYikr9u9OY3FmxwFJ+By0Y+SmCDeCXIhL7HNIptPmDmTIyZ5KuDFZKlGzzA7uvA0ib2 Po77JwMwnBMgFk64BkLtEz/8d9lwW7NDAehV4H9mN5w7EdyIN2F0wM/ftQ7Z+bWb113pCgi1fWYM QVxNYKW9dHkrP5Pzf6Oaa9qApfHhKNW8E4v/x0cLeOzq9iSU0l+UTvIWOmXd+MwaiIwC8pTjIrr7 QoJAqMFmMYj3iqPBfYvQhpjnAo1eujUuuzTCGZEbLS3SDehYm+pI/40/EUQA/+9YJkCOqDqsyrak UAlOjZh90izUV9+iaPViXloTEa1rz1+mVP7mUvQnzWrHMj85xqJGEMn6nq14thE5+T6nplAm0mSF yrpaZ3VG/bBvS5ZVTPfRsbGxxg/C1xI/NvKKLuGwM2fX3zqX229zeeMVrXTbQeVCdAb0HWNNCv8v mUjvIUOmlwkIKqqu6gwN1Aeizx5L5DlzG566rjfZumHPWyt1zBobL175ObcVdv0w7RzfeFS8+HCW wenjE2g9nuto8OlAdTniawcGL8rpa34Va61deuhetrZB8Z+Xje7/ieLfYT58iqZH0po3bApZ1gmc rVrRsPq8bZIAyxUv3o9MW1K9zvJ9e4iDDd+uBUBwHe+yvT38c7S2emuRQ7JUQ0UxXhzlfl+ZF1+Z teb5lF+WAsiHyU1B2AqX5oNPKCrD2W02zCuSFPRvhSsW8Afho0h+t/n/kXzmmBu9H3hA2QknaO/2 QJ5LapCphhfmBBR3iPeg2VKbTq4qziXKFq+ec5s88eep0jf/CYvNgPKcIrzBkMrNz/NqL1FQZ2Hg Y78fZD9OVV3SYt3F0Z+APUbM7wkKnRX26OUgFQf8b9iJPj5h3oz55ID8bXj/uZj2A82yxWh9aqDO uOo4z6NDZ6Bj7hT/xwX7jk7eEMKEM9H5kt2WF8pETR1Qg9usVC4xEa0P3RNvEsDdcO3muJDdHHH5 KHqpTCKOmFlNTsIWpFjLkgkqLRY6DnFKr1EI53zaswEQ10vq9ZvXZhT0LQq9/z2XZTL++wPqdtjh ssHZwu2nyXsDRqYPcd+YJ1Ho5bifZGBYMDqx10sf57mSIRhWdY2/JKPlW+5LCgkSNQvwXWL54u1L 0gN7oNXvDQ21v4VJ6vh7WpeMSSNlTaEnpfk9scNNSSgQsPEew6poGO8e5BYaibNpMKXMiziXK2AB r5LKL5ZFyWay3GfB3U8y6USgBsmAuO3SqqzifpyNyEcKfl3v0uOJNzMPyRrmhKGaHTvE0LRyaYCO ssZO63j/31+2CEytCBaVD80Ta0Q490AU0LDMyWMRbAw9HYbSFGrO4dWKRo9Io1t6mz+4/JKlt986 XgCNT/DsqqXimhH8q+KDBKfDETn1un9hwzVOPMK665zlYiu3ka4PMpLl4VO1hQ6udwvcjXCPJJE/ UqogDl3cUvVCTBSOXqtP8vl/U9Z486hItZs6GRY16S/TH29bdfdN+wWnoYBM2JMEkHRQQwpKU6Rc Dmc8hBdMo5vw6xdHRJdrLYTuO2+5dRo/psHlISFd3fm4Jk1YH8f2GfpqjD0lu0qRjBUgXQe8pZZu uYdj7L4Uf/F/AX7ZUKSLWSsvnQIMiaO4Kh1bNKtkh2RdOhLYi3/65SE3o3twmZjFJzq+YBcjhvnk 4wodZVq3RYofdPk9qG3LzsGl9uNJH/HucbfhB25sI3hrr6Yke7lX0gwILOi2NfEUKIsImR6VSc9k P+SeoQpacKcKwxaMeVRC4f4petNGI6Pkz9xE6jKXptN1WvMA2MDnzgB3KSaCLS8EjWLDRJDYYUn0 5C9keFZobMgseW1BB+olQHDqdTSgsMHmf+jDDtlDI+RFsCiD4xf9f+Xn5RG7qLD3x759Ek1dHwFj G5+jatkRfhCwyGIu1jYXK7hR5ASoLskhaAjBjAzaTdrHsdKrPKfWadrYCwjyDGS2C6bMXSz9ddbB oeFuOSjjXhQaOJTdDvx/wGNYXXxEyKcdedKpCxQxWU9n670TNne0qOvxzD8BB0rZcXyZJUSt9cLF CVqfIBNMm0LcIP7dlTLH+dQml2ZMC1QEUZcIjZwOnOu4mM/Wvys28zg6q38X9BVu+J5EvaBvlzs1 6y9vPGqhilb7cytD4WYDdT9njirfCHd6QBwvOt4e9k3x46FPzcSGXw/rHffsh9ESgdbuLf3blThu qVbKsFZUlaDb1JS01u1ctrqmFx0kO5Y108AtC/tq1k7wKONrLzhH/LGs2aAHWWIQAslUphnyHaF0 k/4d1DGJswY96ZIZquBNBezKDHJ0A/KH7nJT2Hk78zOw5EF7LOhIVDTiY/LD8uyAP5KyIbSXv7zv /CsMvE0SI5YWiT7NCJ+GTnqDk3uOdgSMmTNHWLZWkCSxwFvtM3VgHYpxrxe4cTf0kfs6ZIc7XKDD cGa492x5uX0ThPPvpL6iFsuTbCG2ajuX0JuY6NR0DlGiS1LbsZPnkFhu4BG/pHWNVuvylWov5T95 A3l0+aaONRqzY6kmeVCdHSlDlQbuXDkG/xKnKIX9XkVWQFVW2AB0NJSllyB+fdEdSp4BGqe15TEd TW6XMPGVwDJK/B/kZl8X9M1pFxCrIZNGKyr+2soRsjR2fVP+93LI76GbDtt/OkwfR8xfWLJg9maJ 9lnn1xd29biwa7hF21G1QxuVTHcOxuCqh0N/BCXTJ7eO1HfUVUT46DPQhIlFOg/ybdUyxiMZfZc2 vKfnFNfVVj8OZ16D7oDi0N2n74YhDqDiNyn6634NPjwYq0Z8W3Z+Huwde/e3EeoKI90nI8OCXYSH 6xKoL9exCn47Ugjeo4JSjLtEeCAPStlqdRWpHyK5PuegJvhlK7hLfoA/dQ/FVAn+StwIbW+8wpso b5i6pMHA13Tc3FZC0nSsamPEz693sXPkVTYnD1TmJAUXqki2jp3cUtrDKqRD/PhJUf9QWyh0YdYB u4+2lpPPz/ZQth/4qjUImyfDDSwDNCFIn9zVLf2Wfz5u6H8l9gE2Mf80NO0ZEEIbSswCTerddLgU oPg1CWdz/wM3Zx9HUMNqZY5oQ3FXo/rRATrUWaSphYTZGlIvij9OHpY6kMEvd5Xf9YF8m+NWtkdX 03nmg5pHk6lV5X8mwDDCgj9a0vpDVC7s7mb2Cl5fKOVUCp1vumydGOoyJxl/cOZdnLTg9Uulo/t9 uY6GkDqh0OpvfhTjyX1z8jRiT2XY4u/gck0XO3co2xOU5L8QdvOzkPd/9gutBnSEx39mLMSX8K05 QgIDlcLkkZQGwnsZ4aIuE87VotIO5Fi3EyWU6LYzLebjkpgqmY0XIbx3I9jQO93Sl4rBrYX9c1uk UqO+5vNPZO2KN1xLLG1kcpP2hCVpP3QaPGlXSUQtoGnnIljXzynP7k0Y5myeJjfNxGbj5snPjzc6 h7VBoWuS+gcXFnpXH1qwuMFKFgpYeGK4YbvMQLbxxuW/IRoxuulvBfiEXJmqQze2hb4zLm53q5vz +DYkczDX2b79Qof7P4BVmDU9zvxjDHUSWbaz3CZT1WdGwg4FCmV5z73yiEf3UCLeJc/R75WlC9Zp ZLxvp0RTneLFlIQeRUaC3VUa8IgWcEQk89nIseN7d3E9UpMdHDmLOpjp5n99hcGXyRHGA3StREF7 hQEdX+7oHTwHURLMSa7h8lATC+U7G1r8qG2ybR90JoOb5xEKWI8rrFnyX7Y9i1LPc1bVb//M+WLc w7OjsTdhYrCgHdzKJHmAWUKpkrVPoE99TcBzbvkWS2yr8kQbcatS+jEq3r9fVt7BbYz1eQ2vtg+G qsQSbVE7S3arYuTuYEjWt/SM2FG95wqG6JAsCNXU+v7FaiekT6r5fqlZyf2HTVq9GAoKLjfnB8ET qSDwhBieHHr00UGSo7kjMZJkyxiCQXZPb3yEk/ZbixeRZpCWwoPF5KysRH5jT7YEPLayXRdxm9Lv RpXuhOC6qx35JJvd3KxHKVYBB/ZbFwE+SSW97SYmgqaQmfS9LiOsDERU4JmfKDSExU+ExMn1kWZz lOAf0uqsHitF6rG3Gp1E2yJXVTgMvPtwWpOTiJGMEVtEWMnXa6ldIKDEkP7rYqRrBz5vLA2E0uTw evlSi7EgjPpk6UHn5x3ZiW7kFGLaRITTz7A17kJ6HAq22atmBPYQeM0RbqqxHUdq7EBZbZ4Tz+Uc QA/iVJNW1mcs3ODgtl5etJgIGX+x4WiRkfOykJOlCU71gXay+YpVnzIl9DO8+IJyrVMJRChFlpmi X7CvBcKJySCqj68apFQxAmq51VTQ9qHzYXQU1y0Wv3mL2EPigii0WlRumm9vp9Su3jd48MGaJ7aZ ZQydRiyiY3HW34MdH948iHDf3ynOphE2uzTYsOabKmFrcrThtPkf7UMWA9NqlSgyXhgcnwYiYJWK whd2PRM6gR5n7xttEy0HM1Y3PBKam7K8C5nmESs7EEPzViZrFvKRn0hnhh0kZlC8ZrndkzAUfYT7 XzI1f8UDHHOXSvwMFFDSMpd7yVTjebLv4BhxA33k/CjBEBKkhwObsDl8GtltMy7ai5rMljoxRKch yLhyBNaF9R11a7yfpAYrwAT/R9OqzfpZglhz2NVWz97OCZt4qKqqIyCK185c4qoyvAaQSmzwUjqs xl02Bne6P7rp83oHi4LQ4vqAmvLw9ngQMIE0Mlr/oomb+ElQABfr+W16k+AftPuv/LNJZK4dKlgj vgHVVgmfLrb27rS9UnOmZVTpS3O/qW99IdISfAQqWbk7uGoA+ONj9qGA9ItRp88Wxi0aP2YHk7Um c6kPpxXz/Jv4q2FnLHiWWGBCh7hTZVTSPLGCd7EowifpLea7Zt5HvmV1eQGIE9TMjZSmkOlo7tBH zLT74nlny7XZoYMwnEEkQbnfHQFs9nBm2nIwi6vaSQ4nC8fH7fhjVkr6uklN8Sns6OeWMAG7SX1/ s6ppDnSePetiGzd1Gi+wToiHdjAerSEd0G7efitS3H/a9xppO9JJ4zgA+Ni+DSR4aKu564ExbgUi ZtB3jNCdi2MMaHpv2a3p5kaXVll9DjrSzGbPcUgdzW74xtyBL1g1eYVRt27p7rb9TO0QA95sphvf 3FHIqaYGLn3C91/d1RFAAKEiujlGd14odhMe9KAAzkd7uAlQkEAbRLcgPlvPmHdf+g1/6L6B0WEQ HRiM1Ht1y6H0MeTGJSmTdayFqhspN+WFC/K4t7JV8fzA9Wn1NHzKgjWelBDVKbOFNmwNgXCZSYwB KX5v2Yiz2M8NCoGtVSjLfDFJllxRGbo2nLMyxQuaEMO89tvpZ2tRmLX0GSmh2FtHs5xrrZK1ko3I 1xkAK0SqS+z3ixcguTsNbBSC8+SYl7SDuRu2owa38mLZLH2WFx5etHf5NDL8RfYKBD1EYsFx05rG lIgLjAYefXhQarZN1DBkWgvnAWWn+rJUh/nYklOdGCVJdY7JQQdckKwFnAUaywRVtxG7XXn4vvba ykyMujlOkWevuce+zCkL9k5ExFeLxuYwrxm+zjuzHD8rgyKQ7UaO/T3K1Xhn6iumBCBPchhS20jp TEbJroP/9vB/PuaCn0skdN9FYHJB3alzZo5q6p7cSPYJTEebyIzGkPuyF+boEGWQjaqyww+cHFts Zr72wUOhywv6VKT0kfdiDcjI7peJhvwNx7qDvBvs+S4IVc5WcIlTl9xB8+M0fmZJMhE//dQEO7SZ PieAOg/hG4T2DoULyXgwyISKpg4F5hPL+LX1JyxtVu28mPdI2jOCiYc64lw+0y9kM3mZNc8a0GQ1 /VpwvmFHJKoWMT1oZ2O3qK63VmI4HEGtmOHWZHv0IDi0BSIUsB8eSGjEDqgXQ5F2o9Kd+KnQnTcb o3UFvTpjcd7JalIiJBr0HlCK00gEuIPH4zgAm8uCe5/5aapCRn8tbdOZ57HAe/VhCgBctTT+4iaL mqsPMQVszPzlSxRUWKbVi1B321FXL+8KFZPLgdVzaue+PhhG6YJAAj8f3ZtyJlAnt4TtkikA4IZ7 XwmZqlvOy4CUUOvvl092fpan3gRG3cJOeA6aOUFHuYWZYcAlxgWlSlIUShzBukLR8kcThEhkjRDU 2w1D2P01WBPhSIql14qh1n7YLn7VHP5GfUQ8rkpCjaMioem0ZcdlMPpP6owU/ON7RcDYyLzpnk4Y gKn0G5bEpBeb8vFNW3i6BtbfwUfFjdOuP0s85SMH5EL2Ta7OXY8UoCp0aJm0wYHEzvetayNkNapq kqT8qCMRF9zFOVTGS9lZp20tCD3jXl2DIkhhOOfFdGByuS9X561LfP68y58zW89KM8AmdSjL0V2j LEKNiiamKgTH1D8KOpNsYIzpHGmwdyam5Wd8/Use4buSV6r1sq6po9j1YHYBspcXOOu54C2V0zo2 LRprj+06NiGEzwGxHiSGrm+4Mrz/Z/ZOUQsbK3++5OPS0/dCEfBmbwxvMM8qSSAK1izma+1xhxcb jIgZwJtnzSE+VGnyaOciRBcUJ4gz4TNT0FX/AN26uxuvInPrUT42pcczkOKqyFWB8KZwgTRe8UO9 3a/LlG7E3VXkUZ1/Q04mt7e8gn6gdwQyRyYhM8K849TNJr54zB9QhR4zbCbxTw7Dcn2jiEnF8STe MOdMmQyE/IbiLVDkIVzqyfmgSk0IMnFFxjpo4ePGlFRyEcaOFcZzmoshSiMFO7DJDEGnAgerj/oy JD0wrjeEOHQ2dfquig/0xYjeRchkI//nf0HSoav8xfkMGRFZtZIBP3PdtsWgf5AVlpxSy1VnzmKX SacwjWii6vQyyO8UDc8fpQqILKz1A4hoEXPu4Fw2DfLBiWdSJnX5a4psBfLlZFla6fv10lqLzdQn 4YZgJg/+BivnWnMa9qT4UxGFYaTLSTxyXge3dqz5dTCVwVr2siT0MTK8Sa6rNz3HGvkQAnzDQf2U koAk2j4HtCGJZr81msoWQ6+5xJyv2xmGUenD1+4pANsJomPuCCqKIQcJndWkEuszhjpv81NipU35 TfrE276o1iw2nR4l1HZktjnl3fUtk0FTsoA9NMi2ABfWKYym5si7PvzMSqgCKXOLQE5o1zVPGF0y IsdeUuiZOpBwn2Rk2uRidnaCuMqF9zTiREtzmqBYEorBgyYKclZUUraUIIAnuz/ds0teEvCutIzZ 9S4Wq5BYXiHC6uiUs3T8BJMUHgizcdOhXCEyZzigr6laDgyMQRKUUp87aITD1s3QQ2USSPVHiqW5 +GwjF/SXuMRvo9A9+7uzjWFBS9TR4QoTOwkaIGykIVypMwvxUzsYDPc1s5WhL2kEoBeALjKP9iYQ rUDtF0s97IQGS/d754XuSS8UVzPLiiOd+1brAVJ7doAr9vsn3W746o1j3deJALDlPhvWSn/LqXI7 Gw3w8jNF/Yg8rPg6tcEHpZjlJGmASxDksArMxmn01YMQEnBqP+oMpyLI/UaNs5db1kBBXyxhSSZe H/RSkgZcQD7F2cPYgaNfaPVHmPKMa0gUYNtpsrxHXb6+L4bg16AKnLtw+A3bMOyh/UdMJTHHBZCi Pe1nDoFHf2LRqyOZyMnrc8U6/mx6xK3LCDo6afBvNchBQ2hZWVUERhjWv4fNxjzY2J36FhAQMrKo iCPEr4+toIuZHZLh0xlyKABRla84YjlREm8VmT5vUQG40e7655rQSVe1pdSJnPGvb4F/WS+isgSv wj0aYlCNF55J4U+0QNc2nY3dVfys4CTxr9BRxE2/QtpCZ/rsB7sJOHlheY9hL3Vqxdbn3sbvxDC7 ywllOIL6OXtbRpdA5JzNAnvDH0qZ4IgWq1Ovz10t8Sh+yhBIwQ1hBv7jBnFebpE/UhhpMc7km3xa cM6C5JkTmn23nbU9bCJNbqDtzLtreZiLlGd2xPx+rhlCNa9wJeQXkAyyqbEus9ZzcfpMfzm1QZxW qOWeH8NCy6SpiLYsVnzpXD2KYod1oVgQ2SB6hoiJl3cv2F/4pVDuEUeevT72iE52xXHtsTQI+FlT xc435PHJmkhYyIpuFvmS7gfCLGgvxOVhcihvJYtnfFcXmFi552mw6GVyjvP8Unbso3M4YqZt9xc4 4VD336WTAdYcBeopVdOsymO1ycRjwa+UJ2Vj7lLT7nTgDF3YlEo+MXlMZnWQpqBPtXRsmuQTCmw3 813d/FWQp0Yj9l474201kfwckMgafxC+LrIMCFP+UoWHlWh9JKKLJ24fXxnyzZLNwZgnvr6LJZXY STCvrp5c2Ipo9ovyq1lGqNQ8o4FlTdYEAlGExxDNlAPKQSxzciw37/7Ja8fRCvHfesL2n7s0s+Jp DxpKVWkevbs2yYxi/9Wq8hRASJF4g+RkrtKY16A/Q98Od0CtQeXdviMRbtN/5MrKQ55BsKx/X2bd j62WHOapW58QyHcdtZaE3PExSKeG8ntaObrIchgtCW0Z234G2OAx8aI7K2nRYdmjq4A7zCccgQpW aG0xcrMiu7MV7vhpgRR41KO3N/2uEeQtBBXZigrK4olexd75QGBFkjmVXjshIprPBxa/JwoxixmO yHEdBnehcGvV4qfolaePjvgjpemkW+lvhlhAEzeEhq9FHxETibmdSngiFQMXb4CXMiuknxjmOF1g xoWIztyYiTctc2NARWV3Ie4b5EfPC70RG2h7UACGVFBrcedT9h+KFPJOQDERzK51dczvuNP26ib0 Rmg0Qasj2HvSF64+M5cfrgZQCAzXGcE3OG5RvoI4wSrTUu1MaPriEHkIClDS5LRBzXtsogvXxGkl T2LlwRTr8yd67BbIasz221MmWMCl7Mv4eWG6e+ASDgOAVRwbtiL4Z8NoxnMIb9iwRYTGOUEhVAIh Yn3W1FzHr4j/KkslxnRiueEnjJVLkDqEvwcpgfqCbRVFFm2OtFxpYgX6JVAIM2rPyRoBjWzb7cvu NoRh5oLZtqEwuIcSkgPl3+OIHQBZ07RKRLWcqJMYT52h8JPEdzLDYjy8SGNDZP55hTjb7E47X+fW 12Sokqa8yU62W905wSj73xDQqrWqcEeIvGJaVN67tgVEotmKVYh/n+vLrz5yg7oSxwGFuVHDTlw8 WjhbnFTeHAthrUj9jXVGFPUw0GVNYVmGwAVpOqtr1U55m9jT366Q0QbpVmq2adrMGcxMq09Qel4Q RQas+bteC0lu6QeSLLp+ko09Io1vG80UUAq3EN6Z4p/0TmBzx6oN/SsUUIRopllDB75+bE+YqKHt QipoWaQWW6GznK5Q03XDugMElJDCqBVXkLo0a6UZsFTgEHAF5n6eJnVLNUIKZdcCKjH6O7jRt9dg hVNUkm7/AZ6FMQccOHKs+BNNPbiWJgf1scSnajcfexl+6ZclLyvDxQRDPyW0X8OuQ4qIWP6PAC5w f5aV/yaozU2/iLVaV2ycVKpspTUIQ9xxoznjXmTrGv1Wal26iO3EWBG9MmZdyPmgh8hfrRPsxlla TToeN9/37wIb+yohpTAukS1OvEIRmHoVbtIYhBEz8XEdb2UjleFVzS7ftuNTGmkg2O07ijxqqUCF UVX+gjRdBwrDwOuhi2Sz9xYbliKHYiAO+x50SagAV4ZyTjqqC7yz02SY19KfxhIN/ka1Vdwt1q2u GwyHhIzKEBjb0MEQ2z5IGA77OD46/1PAmOCdKe6SulxivtTOPpV4HThpt902g1XGwQGA27SFuY/D 27h9wt7GmHSxJZsgGBLLH8tjY28AkOrZHB6loJRnEl3GRRbZlouVm6Eh+x9u8OQCVPUpWp4+3pMS iHsWlBkjgN6K2AioJxtTwuAZkzA77xfzKbmS78rO1yP1zGeAOgrRzGga8g14YdaPlackS3bjD1GX 4Zt5kzr3vlposHF5nS26mrQtqCca3pWqSEQx9IouXZ9Fs/kXJIZwCy4J1HcWFj1bjXFCOvNY8kYk ZxdvP9BDqpd1pIr3PpGnhYGATW/sd8twGzWORL0KW4R4EygzokieoQM+0zY30H87wxteM83caspH Mx1JKDAyb+lQCysaNzS2HeV7jo069NlmIWYovLEunwxaoYNp+0iDQzIvdFq32p0PJPIoJD/T81WH zPdMoBS/zsxW5WKI3rvGRrGw+PRokFYH+ZoAxWSE8FCF9eNEK0FWht6R/Olk8gAEHKG+sCLvdZyO qmO3/4d1MMtti+XQDs4eb5yzEbncKTuoGSOaOJQgD9tij7vDVRNhVG7aHhOakxB8xiIgeLMhPFXb dPoLfIBXCD8JLqIbtJkRN9USNnkdjfvb9+/7/ZehLdbNllbQ5kLLqHGdy621aBmO8yv65XNfWGP9 0y811OK1QaTjSjX9rD/bC88w8aSw3aS0a6LyUa22NzsN8vdTgQu2kWnhGaKhGbcsR1DrMdU5jiZT 37qr9FwOeeoyu2HUZKY6+OvbtUdIAn2DtmnB3X8f4iHq8kwDdni5wEE3iY1JXk0iEJT+bQyxQjLY asUdkC7Z1LGNVrnDjt+IjgosQWtL4pLzmtEF4tZMgp/Q+8TQJYLRcLfd1N7oLDxlBH2l29RZiJTh tDCCqdpglv5LU04oCjiSZMWgrEF0VAc0/yOmLJRRKmhdx2FbRsNpMi5SwFLsWO94bDW1CFSSYMCB lN33vc3+sRS3JQhfgz95hVYJd9lm3z+lHK6osBmLq7s6oLyt/PasPPuYcUPOnPwdrqyc4PGJ1AWC tKWFu+0tTnuzaqBj8iEn9oXQ143WdoRZfn4pY+7VESyBN8kct5fNnjJlJds5NWFYnIvRYxsvkDtt gOTkWwDWLAcJYOXh8lyrRpCRNIKgsiLQO986PJncekXbxigQtWdHLGIQFo6seR33UzZ5KLp6Cpqu 6+vZ1k36n3BoQVXlVDG2WBBmHhdDfHgA6l2U2fIzP2CAY19eDPBlXj256AEaR1F+wpWvmp6HW8bW M5cqh78s4FNZNDAKBZvXeErCtLY66WE4rwpT+nPIR1Gp86qkZ/tD2HIc8sb3zFmglDeVt5Bs2YMf /Fd7k4RN75INL4mnIsJWWzXp/PfHoqKRngkMnoh3BKRzQp9QraCGxMVYME+tsmPneaX2boTf+uQO bD4I/qwgXElw30VsjzCUvWIy+WfcRhY/X90STtB5kHoDvLwfZ09L2RQ+6odsAZEg5d3ttsu0O5/q rDdBf0q5+1JUQB1aElRPWFQUPDODRUwzFSFzAzjWG5qjhz4TPrpAXhRJMsdDiYN20c7y80Bh/BOV 3AzMKfLD671+qvy4UaH9aMUYXgQ8JEI1tIJGp4wlQ+L6praClXRR9Eyib4XXO65kyrfu6TKVd4Q6 tjFW+PvcWQvCyyPTJ5sjEPJOcGfPskOXIkr9f+gqUD+gDxJYnLqQaN6mexCA+o65FF0wfd9msLtZ xaG3uheYemXqeBC5C3CYBN5pKIw9/TQrouAvFEUoKKRcdK5MadL39VX0UTCnbPk5Wv8IKh9lRMr9 mQ30Ezc2b0JM5e2FBL4AdLm+eWRRk/kFwVMziPiYt3L3BYUIOaFJ9pK+v/RZpUE/KrFYWhAYpdQe 7Pk0RFNKlBSSIWXXE3RlCEmOGn7L++5i6tS3Uaz0dYxJ4JzdkehAw1qz1tjnZI383INjax8k/sxF ggVxDGmGaJ0Xqeph/mWLG4f3g7nNpgVM0OClLslJ/nQacZ5WZX0obRcv/QQyjiBzM2z0jUsf/o3Q WNoOt9W/MUFF0VTvvWmMs97bb+AvTYJSrN46OjhRKyfMxhPnFrm9c175PFtYkEsnbuFLfdtQFDlR 0iqYfaosvJFwGJGVMPE7NFNSu2xUrJVGhigy4Njn07rQx6vD1K22PkQPaATh41igsGZcbmT2ykpE 7M3DHsK5ZCKW8kCWtFbnGG5qD1Y3cbpXkEwiqoKft7VRBFkwxo1RUESB4aFIffYi/nAWIdKIZyZR kyKwJ+KAft6Q9IdsjTCs27QFseGCyJFjtQLTfEFxdOhGJcqI5A3IndLDzkR13zWEcTf9q3mgQWdS Q8uUXN4Ku2majynf0z+ky73IsfDeXOjAU8gd/oYkJeggpd5UDOJwId8dSimdeWv/OgV9/mNBpBAI Nc9X4DOCGsLF202hRdQOjv31LxcSgbFNWetY8a0eTVSnnA/mzkwp70wqeTsY79WQbWJdrJqfZ0xV m1Suz1YphcNeO0vQtbMtz0sdOUbGFwTnCNBQ74xYlW8UNDkpI9KAA2R96btweLmQI+WWs6p4Rxte CSDPaLZpwTS0xyK7dbX9H67l2rqfX5iDLRKx0jsgGGML1OtjEd6PmBJsrRjFFk9JsK+iTpOuHHE4 qZ+vS1rkxzVyD5/AlKOB9B6KmP8TNvv7LUMWuVhSeKXy5gfngQn2Ix3uWAxVlVtQbJqWNALUgD/Z NaS88OSON380ndkaT/4xBavKO2bUyPZ5a8Ox4juX8CV2qrDoZOEiUU0PL9NHpc1ByKjtBVmqmc2+ R+4AHogJxEOw9wsKwabhhxtqLWyfBLyW2uYhIiJJD5m6TQeu6okXq80hvQDbcvsx4WLcYA/Y9G0I mXaIUjxzQVw4JFtzRbg/om81p2XgieqMLticcTiaI/g/HAYiGdddcFSXXHUlyp6rj1IZ5JjWShNu lT3XN6UbgCIUGq9OUGfssyII4vdh1EoqDpNxnZqh0PAb5EJyXA9FGOXW1TjUGBZukDddsSn6Fsgv zwz3ssoNxpkwTp4Ubx3FVT//FSGQad1iTyKkmIBhGa72B2j9HWXx/T1tBnHmVhmHs0b+bMtVXsGA koqhZjPo3oZGu0NsWh1Ip9SwgalI0IuEoLXQcQq9aXJBz6KwSTYR1D3FLNNUB2fTvSjhyjCd0J7c davZQcjtvEsGjiwT0q7X/34xPNWnlTZvhrnUnUqcykKmV48rEKTgZ1NNkGQ2Lszj236yjLF1D7pO h8KB5xF4p1Rm3y2DffaMzX8/nymKlt/iJJFEeERr5hijLBl7GlieaCcTrCz4j8z8gWAXlYETT3YM PAT9q7xunOlaADmWn9a3AtmgNYO/DB0bhyvkG0ojuaVS4QBrwWn9DqLzeInsfBxYjKk6IJdCHGQQ rQuSTCY0HZ0KWS7xh+c5jmadwEyAVlqUtV0xnv8JOrHKV9pZCzYExhfMbj0bAsovU9GWz2lQADNx le4bzPcW/iJp6mXvODHZA18d2LpK+GoIolZe5DNZ9GiQA5d+/aoW3SQdaOHttvgQVCJXOmY3Q8x/ BMwmFMHurkD4BDRdhERAAEN6lRZ2hIeRyic/d25SwRELTa1CxVWvYfQl9XxnrtlOFKEQIB87xKYn QYtB1eP1rLWt4obQqfEI06uyx3b+ARquKOhoeS97FgIM2Tb9XnEAStngqGnGck3MS12e13L5uTcV ZnnYoQALhsOJkPVesmJ1AISqJtNRaY+COaVOPJk1hETckf1VwQa81BVdxNStNyLn7edaJFsey+w6 CNZmPEOi4gOsGV/GiOIrAnxi45/ycvDmWzZSV8+gN5QPIxVMRG6L+fZCqKO+nKCeIpOBzC/OcSLV 7ezW3EkeRS48M/brx/9a+0DXg6nsPC2O41Y4vO0Ybmqnzz7zaHGQkQkp7Wi0gJXjXWJDOKL9nCXr ovfmYtfwLq3zFfGE16+h3eV7GjORvT2ZdaMb57Qr83Yg55JLhpvsyKuhEzV9Nv5IwCfJpSBCpYt6 VnDwAIP8ydE3eResSSvU70YvqPVp075lZ9YDHH7s0xCClA81ON7WQiR91aq0iPlKKyKCqVwM6mwM 8RiwivifUwDJjKwVPDAky12a9tSN+fHJgR6IQv9hoo7Pink0B1SWxAZJp0qJqO7/J/rVYEqEVSyv JiCFzaGtzxPOf9gMDuDfd/q8Fz4mlvR0eGP3kHhF25D/hx6zSSJesTDQ6QkJETcyl63qMZJfyMsE xIZR0/1OxgxaF3ZcLbBQA8pME+Xw3r8Jer7Syivdp2Xa/UGYrjNR2nJMo7LSSPRyon+Ve+yJeQw5 oD//UkY3X5yOPCd8/Sn9l2ah/LOLkhu9qlXcgE/TSE5OuWRGvADy3mq5Ygk8/WbFJN77Dcb8R1Og jxzBZ6K18EaJSjcHp6eX5BG/IvDg+ltY3lIzC2kVI/OTOSm+BijlQD65a9gDtAYmXLztnvZvimaf q+CiN5vdIhU1gLK/XrEm9AzFF8MciDvNORm5laNvqkgHwNn+oWLzOEnPvqZo4Ddc1/WShIe9mwxD P0Ouw4UOBMWm0ngh/2IgMnyIHhPVXyTwKMC+grnq7h8aRdL/+OrirIptYt8ZTbHkVzMA+ib1GLik C7CvhMGAgHagPjotry0i34tQQeXO6kGWarYOtyDioAELEvOiHaX/LNQEI14vUREy2vXQKWfgosv/ AHLVzters32VdC4FmOrqHbnNoDFtvgugZJphArB1YpUUxLlOIAfRKc+zN5sHT2uerzH2glGFNppR +cTN3VI1yyMBpIUr51pNi+CFsYQE/Wcag4iMBaAEFKxNoBRg868GxuOOAnZ2E6qgNWnTCn8LSm+3 58mwCUp1pQNlT7GSOOev1l3tBs8DKjlneu+SI0cfGsfqQVRsakkBrXCGWPo2BjdMFEwOYc/JQmOQ 2LWuXfbjqBRg1VcUQuwPtc/cyyK+p1ebpXhTaExaXQCoC/Xp77zmSscqN5ute4KOkC/VKWhZzuPy RVnpLPpBX1OWMo/z0VDJgOhgNIxSWFglc1qOULy2+Ds1GO1aKwzjIQS7WBJXyJbSrtHSOaMykj5b yQNyPseQnRmDjBbHTlQU+KfXjuz5HDPflktE00FhpA5azLjhCzUQd1iIFfziAh52wD0aZbdJUdr4 J6Qm6f3O9jtvAmXswqNIpxYUCDbIOy/mFjcY/3h2o3uTgLDCdUzbLmNICQUAfOM50XqbIm1Zfw3e EL8k2QXXdjJHTsLNZbMuWrxzx55ti5X/WaEwPhSzGfjB8YU6IQvsdVlyObf9ADY+keB/4VzkL4MM Tg8TpoHuW4rc+72HwgBp1k509dFbF00S/MD5rT0XaAQFYuySlLayHkk4gCGOs6/ePwMBY+M9Bo+M T/5B8jOThbsBLdxkkMZfafx7bYwFcisI4nWnfnMih8iGzgbiJeCIf3dLjcoKEO42pa5korqKbX7c xPjyHX7LO/CZ/Dod9+5JCsc1eVXtr+/DtGj1IjN//ZknUJfPCqwwafWJOy7QcuMKPe1RAW1Jk2wN FIj2lDCYcDEj92vqqqWSvX2OpfbngZDl8g9qcoWhFWRMKbSk9syI+2OMacK/efUKFbMn8Blph+0U gSV2Deo15ye0nXywF2rLNL8CuErR1KYdhHVAoWJ6klSoS+vgjiQ1dgs2agvpseqCEAfSyb+956kV kM0v8QiSZF9Zog5IObw5hWCiD6adRgcAiA2F3qjkfI6qg/ZG5X2IhxrLQ/KkGUx6YY8xyca34kVE 6uajiplbNBJdSD/mZSFjFZshn/rSl3K2O8oyoo3z0SY50jxwoNEPEQScg0jC23VXiZBIR4SAbghn Ti4SVlJsD9vhuwA/DtEFjl4SLlPGGPofvVYpf4pdX/SNkwEsyMB0x6eLs0Hn+ZJ8vpKqtJYvrfuz ba6kTrwW38BfGQS9c/yNb40sEpxqbQvdXmO7bG4O7Q6BA5HT8cCHnJeE1EWUiepHJUw3W9VxtIaq 1WCMdheo6ds0rvnBFMaNzoZaK0hPR2i9CnTk6SqIooVBBlIT0M5XGFJIRWNMFhdX+MDBuBcNADz/ PhXCERoh+zLibsMXt3PzcisQdsl6zFNJ4ff5/WBKoSXFtwufwjN7BmQi/c/Wgb1KAuVJTGXK44St 7B1zp1YfFM1yPul0TdhMCm6ZxpdRVngztQwGoqMYu2mqrpN80hlJV/umjf8NPygD/7TIJpl48ug6 WK+A0uQYb4oq0EWUFZLJ2DxLf4VOA1vIvmrAVTLp/mMbiMauSVMYNL3vHEC1YlYhkHf1PjMiOI4w YfIBgsxSadh8Ak2j6aIoc4QI06HBFTkpbSTwcIzUDvP2UyWNZUgh9DAx086/G1Lx74qbqEoev50x +YZWit5CGsbSFoHqYozH9KE3fyIdem1e6aYpjdy4oEx0ZcZLAWa8JZsARNfeYASg1cUpu0AOR315 aZ9ARVQ2YIxHbwmHHp6zJrrtUWXwK2W+0Lh7yI4KCBW85J9iB3fQeyY1DsPeLvcYBxFRBPdTPt8Y Q7k1uffojHhQkRmU2jZzDtC/Wn9+dUZ6+H7LL5Fpz8BpDfoK29ApnH00VDB+oAc1uS+2Jo6O+6pa FxYL+b4AA48AkZqD/raK9Dj1IeyGCNAz2YWqkoNOOlWLrgB6fcHb3qdeUJCtXqrQCcGtgAvpwtq0 l+nOZJHC0vhC2yec3xbIzH2rL/XzjwTWjibbXQqW2jRMzhWR0bcGk1XXAZe72EMVTohBxEMKKYNy HnmHmVshVelyo8o8vr/YeNh2AvFOKxuX+59YSKVoML/dK6X5qCHcNEA8RS9EEC09Ia2MYlbN0HMu 7PJTPWhyVVxjXAcjAKqp40I3n3/SHwNDOp6WbT8dD5eniNGv8gBWCTI5RFDWZSgChlejshfGvAqL ZMYTk+kqU5KbjjxRP4eRNbPMCdxjbVHBBm3pKlfGSdnELnZFrvnYCzXeIyAoqJ9yFB7rAqxfyB/n WsfiyGz5ycwNvkZD1gpy87XFpvFuqVSc9TV0qcQOMtTO96smDYM100/Tv83wLfKsczqkV+NEkIPH tc1YBlIL3C78GrI6AhZIHg/ttkXOTtH/TFt4e4D/c2gLH+PpkWLHHUCIaKRWuacMfkUo2Dihi/Qg /icZNqE3Xx/O2xcnK8h4bTw7V9CohQGHa1rq32KHK42fH6oVP+lvIxjg8wYybc5EBmWHDy54ry6W zkmYWDNlx0pe1BqlzcOQIhyWtSoAp08TyIFSlVUJIbsaJ62dEVmMGO8gB9BJ/omLIouO6dKUY6Xg GCeVy22Jumctx3Fj8GAeBRRFqxm8IVQXjIY1KWq6zpdHDBBc2Cx4766shXuTRS7OZVDeNY9BYOKK CnUymUmbjG43a5nYOVFZ7Xg5vtxIRlfXOjmXjmZuIxk3Os5t+3TeNnrFN9vJCeEdVLdVEcH+ZYoh zE0xvvfTWvtvkRW53+t7+EVdBDYJs9fjFzAkmdwCHZLmy/lSnI3imSSbQdVWRgKkUVayeDzFsXwd PbLElstxnRwRVWCZP6GePVLnVZOcV48x3rP3BigpjN0zJ1UIQNXd7iv7P0Imnth/iYYGpcx897Pm IXH6VqugZE+R0AIDPgI1rMiEMau0YoPFoMGDUpVVZZnkvS6AWu1w3kzpRegcOCn9FGqw18xku3dO CyZSa2IC5qiJR1ovSA1CoGqllbVlHRd4NFAj3rL1AWsveRtoYN+dxUyxKqvGPx4BzU8l6ZQHj4HE 0myQW5bPvcm5PvRrMHXttjxwOus9ZJmAYnd9xc4izm1eDvDbSsyg+xOl0OMJhSvTOjd5HHUTxqE6 IXex2/+ZoIVHPrAO0GX88xpebhHm7uXzNnxDcYCHymQRyMfBSBCPmM9475M+8Pq55ZjnvCKKY9Zj 8DJnRQwlIuc+NI8+KgT+nVs+owfhkRLrtavLaXjEn7XrYlNAEL32y7/gpT6pLsGFbvigHhKNew8K ZRZGYiPPJZwH+5C+6AgTygcYpjAgsHp9/bzUfAzfeAvVjyGLJGudf63jDiGY7hZyh9binY65orc3 WKro8TpmTg3sAKs9zDwAh+4CHZ6CaEJRhFhLVMF9YkekgPqrIzQFin4pVUNKq5XF8/jo9lu565DV 7j62Io4GyJX3GRP09e6H+9+jw+FCBlZczeiQoyK1v/ng/tPW9vd8SecqrFfh+O81lHGRF+/40ReG kbDIBXtJV5uYS371HgvZoXZ3JqlWQCtkozvP3d1UEcqAwhIWUk/R4DXwSuHRZHSTGVeJ6Y8Y5MJO /UfsjNt+TGvISqaEW3idgPZ/ujGdtKc5+L6uuBQgOKeV3T41C4RNKAIn8agLhYdJ/2RNETosOtow 4A0E5K7vTgAxEr4LxbMPirPzSs3fu4eXYPt/SejP5LigiDV4jRTOfDiAyBTfHvbpVx3x3MThsaWl dRmNuyesvb66JpjPOG3iaBjgG1t7uJkTS61TlrCq1xjoFN23rOgNSRuI1hkGwouWXLpwf3lvZJTN GOdj5YnH91kjTgzpcOV3YM6H6QDESujcwXRVVFD4J1hc+WTqK3264u26IpwcXErPtgjwwUlSDWHE D5Xjd6p/ylOMCe3Psl0KICFn08YiIwX42VQ1TrXIwExFOtCQmrG/Z493IC5tINKaRW3vEiBSslAl 7ammyoIYpKUO6F3UQbKC4g80VMXD2JKdVKXT6xT92cb95JoP/GqjNdH2IctcQXNYVWgwpu1t+uSg +HTvr+bji7PxfXGuqK8XMFtfOXMv18TDR16U55X9+gg5lWKZzJuO31YdsZcvtD44803Ocy75jp8s eGOl4j+/0BEpySvIh6xswh2HrR1pVBraKq9zFGtlpaHZ+eGQqKpkvIfHWBewHxtrxQ33b3Ngw55u 8/iz9F7lhARkyKbtUCFXidWhAB3yoO53YjL6gjaSpF6iBc/eaepoXmFr91BJ0aYP5BJNXJr2cNhF zFBQ16FuaAJyzToIZiNIjlg+am1RSnISz61OtUn0F1t2t0KR/z3j90ZfWU0AGD/P5J5EIEbpx/Cp JIgNj0WGuMqNYxmnWpEDKHhthof1PoDmXADM/RkKoJ/qyKSCniijgDVAG6bYAjO2/GYYv5dw1SLl Nu/AjpKojH0G4tDsQLS9RX2bES6+arl6CoIEGndpmAtGc6fKgyBF1iNrMAhkL2ksJeh+RJ5uyX21 MmyTw44b0Ghm0NkcRtB7U38jWVrxDBACmmsUh++tdB51xtoYqtV6Pl1VsDvzuxnvoA42SPnUaoiF TuoXxkx9VEq03qMGernDtCGudVuLgOYJQH/b0MlkYmP3+z6/32mgPHBxfd5L943u5QnXSfQYIN9W 2ynUw2fwXdRGscnSeKrcPTfVx+pyvKxYdF9MMzfW06WVbkGnVBC83i4DMmEwaGEOB2S5VCTMGhnA sjMafVq0wtfaUyi3iGqWJ2Q1qpSfuZlX7JbITtSbPvDQ6z/xh3CqTFklSKv73HanrMH4FWG+T0TJ XHWgXUcBfZCYnWDj/BJre57gCRIM5PCI+8kPGX/qu91yj3E/jZ0e6ngVG8jIAASnKs9/dx6ACHzR BPGQGrH3mH7BY2pwkNStOkmfBAdawvsIoRPGBFtY1WmScDhR1B+e9Z4ES7/dcYeBMWXPaAsrz1Ce GtJPt84PtJGttYuoamgnlKkKFEgDgq2gg0LNQ3VJPKVvv5szZ/Kwf0vLqTigtTCCiZ2+hLYAtxmE D9dpSivGIUTvie1NrRlZDNygZa2eUyqjrSkPSPm4vuRTkZh/q0AwfF0RrmHTGBUK4Uv3L8F8QI26 5yO+UrAKnKsVFZIzmZeoG9U1xfu6bNXZAGLo544/kjeEU5M0hIdOflcDymahsXAWZl006GTVDSrb LT599DNXo4Uf2ktsojRIP3lgXGjNSwyxwSQI/DwrzJ2UGKazh/MgaadlV1X5oGMHmn9mtxeoJpLx hCGzTTYhS9qoaC7sM+6EHIEQiLKtW56euVVFS8wLog+/GxX+V+gD+axN4UsQOmtj7kbmCzwGQdcA Xns5fdMwsmWm80sLeJeq8tF7xd2lQAE22dTbF8y6+JB+L73sOWjdpP+IsGQxCE0JvdlUSmOML8UD +cSnmoBc7JKQedI/SM4i76x59BmeVyAm/HyC3q1FTLdkoT3PqNV9yC3eON3o9AmiMAp9w8k0GN21 qFoHJXY9iYZR/+8WdXYBt55dtIRRkeRboQFhJ4yonXHzFyS98iZygXh2+Ec8pLUut5hJJ6HNQUfm I1R/1IYpcMFMLJetiAMowJ7Rj2RvR8mMqkCy+tm4B9B+iwc8mxYJ2Se0WRRgco4VeRrQVIalJMcW b2XiIQV7zdCwVISqLqolYUlbZavbgfjI8MOg0BP+UTCvBFB1LnzpV/Oj3FgUGQmLW3GgOY8Iic7N h+ebL3XhTzeTgF/K/Xg5dg4Dy8qbY+zSAEZMwDoAoSAEMt3JdMrBjX/fdU0IUM9imQY7KUtVCHj5 1e5y07g86nvTm0SgZxQeeP62TRgHpdI+ZagmnsoeOMYjhRUzhPbTeKRJprhRxLkij0CTEHEaUySQ MNoO8jLWWhEP57JECCGUnng0Xt4GmXEHXYXxTipJXMnuxhRV1a7f0gJ7whGerHUY19/Vhr7z++WW BIyiWRC2DAmkScQq2A+mrhT+xMCdcB9FlvzUkEx1ELjXx3W/u59xWp4Bh2zZk0A/FrArNMpedKea 9S6hnjmNU0WqVDFgH4tFip3lIVmlNS/v4uXHvdWeqTOmfSHyLSx55cxF5irMibySbzSRZ0GxyHmA TTM/gOw8AWAtkaxvduDOCPegNrOL2HBztOFcGCblQigo0mgSmCRT2y3FQpOWkJa64qjWkuThGdlr WuMqITYd4nlVevLLHjQUA2jry8rRmeZQaDCXgsJuNFLXl/on9SwnXbrNWuRBalFOn3qRY7+o9yYQ 6LHXWvv+uZxRLwHJvck6LbnY5sTJj8SnC67PdchDLAX/KK2Uiu1iXufR9SrrYWMtfXEbIroKJIxx Nleio4/OhJwDVkZt8/ormxu2i87vLhVuxQ32LGQTsEeP2miuwJkFfiVGNHUcXUSuThhlGDjkCKQh V3zqMrKAzXR0q45qEd8JBrn2Z78lTePrlWmUgJ4QybLipnjmmatsrwJxwZIRu1UWHNA9fKyevFcJ 3XXH62rbmRzLyfG+szxhaT8WEy2ZRFJVW14CmHgn0TZPCBcf2Exd3D1WaMpJvye/kUXVrqAiOj2n t2uEahlgWjRw62oJQ7cLOvr+pj25xZuMRhiyZkMjwVxeKNWRY4yKwvZNCf0ZNEfnmn2aVhlV4WEe 6pITe8yza6WTnMDWtuvDmHUBWqTxYdS5GAufh4qQbmdw1ABTF4kR1IWCnXh0FRir3wCVsEpdqC6j uibTG4J9rjWJxVP6KgFYurRJo2RKkG4A7IVBdQo+/rZuyxPNCSbygs8lb91SPm0dYT5jeeKyF26Y CRv5NZCZdVSU7oDfi4nhD9zevuS24sN0l576aKbJ0Kjw+bc8ZpiTb6u2yWM4GFP4nhBR57CNvetK 87rqYQV7lUoULWZmdvaEqO7hYN1VLp5a319Siuzo4fNHI1UmNyXPWY0/RyeOwE11ZGpLqJdkvHt0 qXoCQKC94WekMs6cT+tIFZ2DdSvMeGSQgt82XKDgSXCSIjIoNA6z2mLoU4OHiLrV2WMFJXXWA9L1 Q04q0n3MIpdD31SjjmZLS5PDI37vT0VgZ6dEkd0/xTlGoawfh12/FrkxWzjCfBQHbNnZGdIovezo sdfnNdHjPGnirrwKabHQRqCNs4iEX2xe3HXB9aRJoTA2+FtlPyl5X/NiG4ylHyc28+MNrS8aRYk2 Mr8Xyf70GskrPPhFZ4BdpDFSlBiFwsHR8Au/EBUflQkgexYased9LuzG0SwnSyTKLDdohsxjDJZi kqGIN5lvKPcU9+KHbK/beJF33e4BVh9AwZ+5cA4QXLwitdQyATu6WufjP6dRZ9BaEqmB9tF9eHw7 6+daXDDi7+Enttik0j41m2j2MAfX2NiVxvCIKLyrOQHbl8s4RrOrTx42pTA3vZuU8rp/IYyehr7b 7QNA72AhbkO0LZxSmFn6kw48bQ6Wu9j14jBMXyENS/8FxroCeCw4ioZwOR8NRTW49e2Yyv6ujTkR qNRhNTqIj9sRlNnXbugcXh0j/10veOC9h5rZmb4+I3upHCNlz4EJQWImy4xro96+L1iSn+D8bXoP Uzvs7plx4fE5DmyuasYZvrdkEswr/Us8cC5lopxVGv/XmTbmkJc+48H0nVHjDzde6rOVlLDJ7Twe 7HmIvmgzMW27XtM4Q7IsP5rmqHUi1NooPKjt8yId/E2Uia8iKNhwohsGfeVdeldgq5rymCXnXR3X GenHXT5JRAFYpMKejjaZ1vwfPeBaco8HXq80L6H81HNadBT+ZtjPNDXtlInaLEKWhOuLBktpV8i2 yBmaUT+onG/Si3oWKnip1s0pWlxoVC8WaLE8naqvzdXUJrDJ43udMYHcGiKETTr57ZPAff+78w6w hiPUzoVmuMBmqa6OVs2u34B2RDq6eldopPNxljHJqzzw1IuZGHyFl2jUS+5+DzaKTcvCiZMeSOgY gkvYvi+c4fC++hjTAOV6YDLoJ+/Ui767VM+r1wPtc0uLGHRj7TRDMrxamV01dViZDrX4CCmVXlya zbLlBjaLQPSzTjdrO8cW5GhhCQi6pAC0iAbY1PfhVO5UkXKP4PGypUqo3NCIHNAwIhQXAVltHIkj zyfIwcaNSHpYLebvEgP6qT6wai9ZCBacKiI4QYdX/zv2wJU58d+/MNnlndRlYzE7oYJxpiQg55M9 WFHHs/7cj/SfF9/7I3bhWpzrjlzNFEqviLq5+MDKATR6Vd7xrs00tdxVtwvI1Sx9GngmB6MAx3k4 UymJj7gZ4ff1ZGkBHXXqKcjDG0HAae4n1PDq5XpyNoryBsemd9rvGKiSnLzA0ejEfxvt+hBIz+WA NmXIB+fqS8qiObHJ3cJ1VpI76bWm7SfcH8yoJy0thTg/8e5UtSaJ/rrqe9f3+0+1VCvFtUPKU7oK ZTgRIA1pmYeC7ruHVmWMwDKqlYhCKdIpdvww8Eext/XMKjcpVUEsbCcKTP+cwAiLpSUDvb0EEuau kXdW72G8wMnjAnc6ZXk8a+NYoKtNmDmYkGOllHJk8/dpKkzzlrZe8tPY+DcM4bPaeo5KTSnoesdB UbAJ4uaD0MNgG+4aGSoLLd92jjV/LQ27bpvT9cEkbszg4grsx+SGjUWkltXC+LPsLBUrtuAkHGNg B39HlXSNoS9LpVBGqjTV+NhHzDsxn9VyAjCDwNKvE4N+VhYOQR9m0gyEpVcmiz2E+bIvFen3Cscj NqKUuyJhpNrSVPRz+iOoi4Ojqy9zlbLZR2DyQSPlwY7nqdFbX66+cAS+dLHsaIPJm4Ho2F6INaGc lMASjU4P4YdWCrj0BtloO34SEOqybFMd4Scnqqk4T4qw1JKObqlJn4GMJJF5X3IwuVjCIqs4flAG xW/W1UgZrPcuOxxYeZj8T5Nk/yfb5JGU6dAHrkT93s9yLp03xsHa2UI6gg3h1xeQy3gp8InMRtMv EPxk3wHHXhgQSF+U7pWnpi6DvS2hN1ZOVMJkLgj8VJQSc/H3SFCYVxlAWXZ5ZsSgT3BUR0IWHnuw XOrpykhtxGty2amjjSDO8w++pKe9e4A8YeYMzndvWD4Nc3JHjA3NljvHnhmpDxHASzorCmdGam59 3efuMNv2uFtdNWwbfw1CpyAPcl8GUpn8Tr7L10ruPRI8HhVlDOqXRkPiMcbmJfg1khIhfe7/3ecN q4kC8gjI/ur83TVh+Y0tCWixbNq8O9D0gklPYZ63eh1rH+EysPycBMl60nJuhVLFZxuS58doeLbm aBGhR/sgWNpP1eQI7xZHITmvGr65fSDjQapwvzXFP0waK+VnUEsEQpYhIPNKK/jFrwIpAsdUpoV8 swzLXInMMRHFf4aRDvKGGCK54h24JP89fTLqJ9zyTEhMrwVNOd2fH7+zKalGUc/d5d4sLnoz2Rrg V7oeh0yssH65O3QAuRtUogPI1t628i0hOn/XlJtnnLFhUO0OoMuvU+xAY0qzgX0qz21TYbXAQ7F6 UoLXNzAiLLoTrmJTVKYkYVLaOatKUlcqoIDV/2T+Ig3GgBzAL5plQhGacoU2sXow51/cQdKk3z7W nOlBsnyoDYqtVkm5z7YN1D+LieldIVuegX2NPHgBPf9ST5i9sqCjYvN5my87Y9I4bLUbZsLuquII IaKNDUJ6CnfmeknkVwXYwAJ/gi2s7HoneNAKZwxf4OKiWYdXi1jcnrerfCK5KBHItHfzyp199P3y oO14I3qwjTpJ1nq7cqC3aDGj3ty6d5Xae2m7cqPM9eyf9Yl1pQQGb9NyLRBWF8lCx7MvMz8PHPPa StRqAD0nkyw6sXTYReSvry4KBJQgh8nK9pOfMOOjB6Q+oJpg/A/rzsWIzdrA466UFZGzY732O41J qBei2KuD7txfQeV7c8dS6dkV/AOb399bePkxppamj4EHDZUKj3uinQi+MnmPhpnphRQ45LIM8cJT XkghUzkkPoPw2tN0hRWjvZF1uTBc51oS50AIpea4dWQ94868K5KFjGcSgr4qXzyOqSrf4RhjcvpL 2/RZrDfmY40vuHgMAXjOOwHopFokGQ45F7ztLZiPs+fGE5I3g/3EmGcnu5qJREZC4BTB9PlqMiHB AcOZLVTvdtpNsRDztjSYqi/y1GTzJXFp++CgdJtyiafv+1nwRN8WElae4CnY/1P950dZ9d6v4hLG EnoE5/y2/UOX+D8bWZWJDDQhLRApkF/19taCSEa/BLm+inlEUkd8DL1DYHG0775lSM8mpoPFU0GK KzamrLfD9cxESe1RzfSZyYrN20gt/fDK8N1r0/9bIGxwa/7mNpEMpd9Xco8aeYVHGPBH1bv1pRRR Mzck4j0qCLaitouIWn1DTkzoro+h8PLNkbHqW5xJDNUToaixn8YwE+oI+oJ08nwKUGdilaE+Wunv bSb2PVOHsGDFL1H19X78RDCLDpdMzXR7B2yIyUHFRErS9Nd6SisFhf2qv26ZlZDhZ1RiDVLjrGdp 9IDNCtvsGpLBrmHLUc6RFWeBZAwPuO4XxhPNrehz1hlLMB+9isnp4nSJAAKzMOVm7oukDKfmd52k jBhW+nIvrt2QX5OJbTdNWz/YPjIXs3losJ2UgAZ8X5YVqdLXSod6DevXWMycW4QI1TVYXmfqCuIT +mnbvj2azwis0CRQ97fUOQHrFsfwZmntosWFXb4LF1LzwRo2etpddb8u9lEH59gNhlaAdmbGwIt7 FX5BKblXMfcBJv4TIXDtpb8PQiSSnjNp0KXVdiVh88nU/6qsVjhTBtN4PMXcA37Aa965TG7jnUQk zjeB29f6+BvO/qpXppi3j2JwxPRTZM55GaLiC+YEYtsQxgmB3abNB5fyoct9A2zJTQiHG6oXgNDv INLdHbpSuqunCzDijmIFrLys7q+H/OlT7nKpWr3/e6d6+Fot6givHYPxqrNlhNLI37W/ZXkna5M4 GIsyQgjCU/7WN9DmyqPUV9ycVDJEYWZdj7Rpi+EusMcdytzrgdT4aJO5XxgCy6l5Ch8FXNzBo1ZN u/29iu9sFFha1UECNHiBtzpTPegXwDBtNOwthmY8Wyo3iU2wNyfOGT1VMOfwwYzl3K/lGYp8diez /VcWQ+5h382WMItu3YnN8NiaOkULK6DinMeE2oiVWCQC0lSmzICOfB74I8ZvlbpD2sd4eWM12L9Q ToEsPnrVx/9BE4vEE9SZY3qrXAsAt8VY9dh/QAtTTlPJ05OJqvDdAHYebGIJ0+0di2WJxaTBbkjb IHrzs/b1y4hbLC233MqQ0cn9uWxHD3LT3vTgyl2BtwBRq3S/qWDeMthTI4OQPtR5+PSsT+6tcdrR E+VuPzdAVG5ACRjgt1hTkcrB8lk82pBbnSNd0CKpDq+AWyYDP3GGnnRroYuNX4EQKXgaAFqqV95f Ssgg+m5oqmIGabBGy+UMrM5H7wCpMXxMqv6YmwNs+4sEAwlgO7jIkVwY//gf4f8+8wn0HHTR+I3E tDNBGI5+pgs0b3TaBOBfT/AsKT9l/jUyEStTZzpSJmdQo5bPyYP7UvfqdSTrCZOKksgczZaQpjD6 F4zVLHoM+gT5IxH3o2DJC7EFk4BzR8UKGXDkCWKmxaHsTRFhqYEoaDqjQiUMemWFuvMVyZzJC+wv ImkSmQuJkCNCZ3CN+JaAjkE7iEDwQAu6ACZagid4+DtNMo17MEDc3jysT+byJqMOFVvOMS/73gMI 6yeMSW2puv3latqUMDRnXForwiqj4+E85ElJd2DK5x7WZJ3mZQ1BT9ZpB+yBUEaX1XB6Wic5qrUe YecHOnz6xLFNTlx9UVjfkbC8GXY7KhD/EGFZkyLea/Mw601p6hu2fHGRkhrlZR8+iIHZA54bv+HS GNjO8yIcg9a+rwE1sLdnMB0xcPLdpLsgeNrFyxzhiD2hMt+xmQBMh2M2LusBu+xvPCxMdniDQFyK 8GZ0GXbsffrgTkXbOxIEv+feGCvYG8idwrDljC6tOe86n4OZyjioJOduauK3AcYI8i8gB0yi7a7E IL/hk/2QnozI0Q7AcXnZKHFCkQ05mO3sTtznZ5Qbhs6XXDVQ0DJseVzAFFM9eqQxKPA/ur2QB4Xe HM2RFSp7ZzvHpzvxpFVDY2mhcet+MLI4jqvgcbcR5CUggcJR2i8kLSo/XT8P83zZSp5OCLnuXSWM qEXEvbRW+A5BPmOyowk0rvBPtW+X20QO/YsPmt4nPcNMgqBqQrTiZuv+oS0w7wjAjJszNIMk20BI nImN+rSn2CIlrgd2oH6Qw80C1SNgKitMkwVHh+uKAPk4J2JKCFintyD/YbKaaBL3OluqkPoraavO 4u+NT0x4gJrf7DfThka3hg55gHZdiG0w0WGyd2I9zS/GqHijnOSdEiLyZUTJaj9oFwLIr6lGLpYh CvpNnIO96fPlascr6uskkVOGeTT5/OnE0L6DKacWSobWmvTJn1lprzW+WSv20hGLZMB73mi4RZ8a v5VU8M3THCDHX0IPp5iHk+5DpMNYjl8CIQNjkzMhlLmDHCCUqxHf53OzbE4t/5LbQNtHt/F3464j Mj0iY64E3aPCbp9/BWxa250Oetkg1901FyK+ipj9C8ai8Nafsy+/b5OWBSCOeFLgl/ELEb+R2fGS do6zOjm+iKjl3W/kcdB8WTRmrJy48TMHqxizut4lu286RQxQe3jQ9wlfwgXR2lcXuz8hqmuxNAE7 +vkg90+uvNclQs7W8+CJKiMDv79/dAOHl21v8clKpsQHLKxuIWzBinMYaWJwsGziy7QErD8NANrD iAxIHXGZwf86MckfBTGUiUaGHNjG5bIo/CJvVzhATssqaL9f3GnngszI/0+3D7ixhvsNRbFi6A6C 4oROLgr/+ltZVPSQBDj50mDa9+Z9Gl9WY0we5JQeqTCdd+WE6I7lBa3dJpxiCtuT3p/UCVVUaU23 /MkTna8gK8fY8QF+CKMs0nrVjfiFU8J/XOnjutzzLG9MPFKmSAcpohmhGPtKKdmWZP0j7shBx+vG da+0Akbo9Cz0doOEFwJdkNBCDigAwY1Y6EW6pFiv/j0co8egD0lj6ohjrW+VUeHC6BIYJ/rqAmwZ 0SlAJKT9Yh7ZDODE/9TRND8iHhcgSA7KlC19dBPBCSpHvOTA2kJZV+mEZkxu60WL4i8Iu0at2qoZ QY9zFJgQXnQcQ//4soXGsFG2jbwfAJXNNhrkilyKzlOz0uLDCpa92pJsQZKFwFcyDs5Stms+CtPr z/NK4tLpN0tBctrFCrIXpqPOVGBhDLEPfA7k+LOeVQjw1Hz32eQh8yL0fhDtR+WJ2qLqESPIDRT0 Mi10SI3lXxS9JgR9Ab4ZnF4uUW/dYqRlT5vjYc+CtpgzHTY8Srnd7lOPZXisV2480jZejYze9RtS z0JwyZasHzcjiqlHfTyu3dyi2Qb/0nlZbpYRPOH7q3+Nad1zgjzzZtMC3jC4KXUSsIdr8G1UN6c9 KjA//5BUN0LQtDMaD6csuxbgbJfGAXFahV/7BYpv0EWhdKi1hJ4a0RvmHhFBlDI+z5V5HPqDF5jJ glbC9MQoPNy2HTXtgMeCeSVqSaV7KkGLR7TXLdegxO7vAIl9gzRvTFqslqXJOw6NydSZLIA19Z2T /aDnq+j1E5R6JR/+k7SVUukMFE6bfrRBtTs+Ojtu0vP+cPDItjK1WbVvDTkvJDfE66GmzfPqcnss PSL6rlnbUQ/Ixb27OZZQqQu5KeJaiTRpKVobf3fguoPk3Gt3l9XCLoIyhTHv3kodzhhupr61RnOV /FaYWuVlUNsdwy5u/rDAaBtQ6HGPLx/oksi1tYY6BY9IJaOry9DSnba4Sr4Sk0NgpyFw8xbOko3q f2khQgCRlom5Y8jR+fqsX1Bk1f9VAqgEuoTJ9Mw3xnVs4My9X2B1RAvgIpuuBVgOYFYq50xXMTwP X0vJNlF64ttNV2dX/wK1GXgFn7xsQkXP7IAYCfbVOUfW/qcCOvv01XND2uvcKUaunFah7qsFuf3w ueKNd7c35M4Cld3mACU88qlmc5JQphO3TGSJn7+oiDFtr8470WCgHuEz52f6A4yiwAr4DBYBoOhc G9FRoGFKBZ1mIVAC68AfeTGxmLAHIrdQ2KZbSejXr0Od47e7tCoIMiGy73Zb5yeHcLRNCSD5Su4H 7o/w8IYONpWzwWjXWD5P7uDpahT/vv1l19qS0/2RyJaTT6FLTsey02tOPU9qsLvuems2v0/a8xIg kfgU74GuersKDz89OcflfDnOGS3VQWEE6prpxz1eoM9H3Okw7VzNwNtv2Ih+M5IS/dkSWBpw9LIo Y+mKjrxndFOKtTdCO5BERijLzPULgr1IrF5lWeukdnCIPaeYLqNjeFu4uQMdKFKYhmMsHqrqid3Y D7/S0FPueN1e2ezd5Bma/9B6bUwIWrxH0soO+jGaZIiEGKIGg+7kMaptcEIPbBH6An8CVALgQ18C EG+b0FmbFWpF1xpaI2YkIzPlJaegV9K514iQYZZwXsbn7gFWoBva16XTYVjJ/8ZE4UQYMmCFFtDR CMqEtpRwqPKuWN4Nf1jiCu1bGAYkdVGPbIKPiVB1z9p/K6ShPUxse8mfLWbxu4sEDpr89D+fKkZw SKOkYZWlprk/zRB/HUqbmN42ZW31EUbolfadeqtI2sr6AVnzoT09S3+nwhuWVWIHiVjA2dV2b7bL tadv8mlEUhhRQvo+larFnBO0wde/GdAsPoJBrFsSDVwG8RqOu5kKO8ac0unH+iokmbHwUAJ4qK3i oDQsnWYwsLITEBRXnzjgH8Ak9f1M+I0nZwkAppJxS1uHM4LjmK3coUGH+CsZNWSa86srCMrOwfaK y2pQJoxN09QkeWSA4ZxUrSbtNInALHAWbtKDdYIGRZwR7ZnEW9TtA1aUCMRbg68gKZvQw9ObkoaC 0ms8U7dyZ19Z28UL1RI9faYfxc2qDAsfYSyhCMEkn5SPqW9UXxIdE/HtGBAw9BgDKNuXb2hxQjJE JbPKCIg3hF31CI82A5ObVJ2KlVcMoyGBjTNerlzBRtgvo0NS5JM0CLXGoO+RgKB/dsyZe5Od9gRM +FjZ9fkStqBaLnvvmXLbAQxh1DAnhMHXaILqeu5tovcPV0sVBsbBHk3SgxM5a0IqpXGXxYm47N+q 8XuQXu7TnATpmBr9Zi3nHUdZC7QR7X4vaF2M1XJwLvA6c1TXYZ8h/TXTSU9lreDqgzo+55hWjuWU xLpVgrSQjpMv0X6vEHj+5coxrP+qxRhMKtfjZW8bMsTqNoMMoh4MeqhsgtrHbHu+jFMw2EDAeXhC ZrEpHxXAfBG6ze75W5v/PR+A6NATwc5YPKvRY1SBpBwdi3xzkbLFsNPY+cp6wCXGUlMuA2i7SYvm MyNXWxoTJcbg+WzF7OLqx341wxF831NFTHcSXIczNJwrhA36lYROsfF9SwM9YOZRFjNNelpbp8Rj 1OW5uC5K0HgvI7zNrDEoJgzgOhhHlM986Hja0LNZbcBFYtOSnsv6Az5vcv3XBEIgYYIJK5XsEv0x sWE6Tqn6UMUPiQYwIfpE0UHeITrX70qcFqQxg8uYpRVOw6HeAyDk3rBj5VTCvbfUJf4COcIChb/J 10qHRq9wprMDugTf2y4Jj7TKqP7+cTIqJ0LDc+hjyYjzJr0zE+mrQTl9r3ya5hRkHRH4XQgDoip1 tSr2UI//+LYGESzl+JVKJ2qeLDh7Bkd3yyNjjowIw1I3fn27O1FMKHA6uBUh5NcZ2ESM3PcYMKp4 bGYeeJ5W+WgCtVQo8k5R4r+c3AmDrWTDerBU656hH15JBUlOOFVYQnIxeDvV8MGOvCJ3Umm7tkPu RL7quY6d9NBVX8ROPW/59NITMao5xYxnBJ1Tx6s2HcPSMEeoq5cqEkffjMdz29SD9V/4n5C9UxbH 0TRmZz/cmoUTWzooFydGOlKUcXcB22XfQ+mQLYHmMujk2qnd5J4ZA17ZOddZT40GUdGd+yvCF34u Z6lr5C+qfaty3IBClhvJTfsqTlfUJ+azYIvlPXccyAfrxJKkyP8itN5V/Gvx7IW906Yl94IDcB7o i9scVf+bLRGwETMSD+JDN3ynXoulAwC2cygdhrr86/NDAhCy35K7gJWBql685umYGBFNIwCzAD1h wB80haGxS6Ty70vQciVfinaRhBWZGr5nnGzxnKnLSyfCR/v0WNA6jb//8Bn6Py4DRB91lifbldd6 q0oRs8O6YWE1jt24W3fZAajquxxWACdoFtMhDG1nZ4mL/GVNitEU/25V0wmRtnyM7NZWIIxqz9lx ypq29C3LnEhnSMw/lOBRcqUvz5UhNcCiVlivMUJpdUrULvMZn7qM4fQCZdDfZ5E6H3q/JAsHIkSQ lYuCdltwXgb0IUXIy/vb2OjJDv6lIt6oPrC/S2z8DOZs/yw5/2RjzVtdFQmxqotZ0S6rMYbsCftM G5Wf9SW/OcFt3jlMELxnzJv45PrlEBPwlZVLTcjP36EohdXITt7Yi9UetHt3xVnLpUHXXq5AemD0 gHrtJ5b8iXFlN+iXdryXKx4urtA7A6lRZrLXhL9TXnDVot5bsdz8newroxZdtWgRrLJnbNV3ZgrR YgbHgztREgiKarHjRBarDEOl3NojBPs5ZxPHJa6pW/rf1JDK90EXVASdrQOp/fJzB6kaqq+u+KaG 78EywV+gqcUVG5MAQQ8fKY4h1SoL8BfxKGLfYamf9tr2KCkerhT3ThdGDki5C2Zz314R6y8BIQwX k+MvB796e6u72+nQ5A9ZIMtrJPPVMQK3NdB8a4y9vCJBozvMj5GzfwBhErfk36I2h+pnJiyfBmqI EQsV6WCNDsOM64ER+nFXy1QyJMOVKCbFKRIXYjd9ogMA3pGi/5VVp5b3egIyAvs2X+9JZkHKmmG/ w96CVArtrJcvKCz8+R+2LqxHDdkTtZUo55f/0hOP54rU9B/tsJ2iisVHlJ7PJ05TN59/2LBR4Q/o Nriosuv9VAucwJzeDVcEowtc2n6gyCdSbKNeSQJjtVGQKj3/K78hc3nuwma3C9J1h6WX/AVerUZQ jZ3b+o+AiWO5nVtvVORrVfAT4sDMEOqQE1xmMQ3aD80sq8+jLBEoUy9BGNvX/HIBDnrkaeiasN8i VWbzVuLOmU+hWZrUm2s8YKB6wh4udRBTnyCOgOQtVTf+yO6zpg/oaE9GPiCvWqpeBBD9dbJPTtIC m6rMHSoEesONiGXs1bpfM2bnbTdPLvP3QtUF6qm2ZR+fh85YoPe09bTKk3LGUaObXGyanmWLP7yQ SrIg8pSz/tlx0fJCggcbMYqaV1CzR1o7Tg5F0U2/P2WnmCfzVIerGcolobJzAZIwRsQRStygGTSP T0naPXHDQLaf2SxNdLlNS8bEtaTrkF+3KwsTfL7GulprqFCZD+JNgvaBI8CqPtEHy1a1Z0Coed1M DyvE4ZdtxxdZCrwGg59Q48lcRJEyf6b6POyjsrxCjNrNlJ6BWjmEGa04Qim4IQO6HPGhBcTgFXTP 2l8fSqK3KKIv+a6o03Hevc+dXd5MH3K75b8GtSp/jUam4fv2ftRVVPlAXEcO50e+WpFaWq/40LkA PaXZ9wqEQo+PkNUnWZsxJR5RcZNscOqItMDSwkBOzV05zCy6+rzwauB3X/JxDyYHL6b1JGTRtLBW HCRz8wIohrfYhb72+vTYt+Oz7lbBu+lg6MT2N/yM0K4zCuSTtkBM966UjooUSfVFsZRQeVa0HWLZ Ek6JZYPwUGFZw9l3UeNhiigzc/dfq5Ihj2TIG/hzoIanFDoJBekku+C+QDBUFFVm6Q2MPlDj41Aa fg4TRwFkbFUV7GzXHeR07E6/nPT9p10Ulm0tZa+fVUI8EcqkjPv23Xi0F04VdJ05wDBWHlQGmLQM MWQbiUlzQI7ylWEQ0znS8szSnA8v0mBtAofHd07JT1z2JZlGBkPQDSKa47beTUjSwNnyiGmv2AFy a6I9o1wYRFpGECU5Q9WZ4xA/04ayvCKQtzy8QegVIj/IqA8DNwSyGb/KIRwTG1YPsD2zF/3Q8ZE6 +5yp68wKqRYfl7fDssBoQt7DkOZw4dbGam2KtKA1/J3J6dyg7KMupVnUcl983EW27XZbdgb2MDeU baul0GVz7zonAg67X9MiC5JAIRRzl5Mie7fwB8mjjLwhSfH5/OVaSK0WdDeygZWwqkNk5yCza4No kGZC7LWXY3j9gRZ+KyjFz8cDPduYl0v6Jkgs4TU74gau79h9kY/yaoAuPkOtEVfEEp6IOx0CRFOq uAGNPk+kh6rAFvMXoypQl9GF4HgIwQevbRa4s+NefpfPZ3gbs4yKv1UnCh1tqrMfZHDUZEfQrsbP vja1YfmpbESE6ue5xEWTvoZ+Cufrsy2geuOYs5w7b3dRCTwB5/xbHg0iBWb0CT4m1AjRrWuLHhJ0 DF1hZ9vW0Je5wiVJyVzN9nZP/CM1JPROI+RKG50FfrFlCkn25UEiwT6ujZGqh+8O6mKi3hQGWm49 tcpOHe/us8sx9HMiypp9UKGxBkxb/aKledXNWhM1exmxOPbufalZVL7igCoVpNV1dkx4GYA/K/2y 1mWOHOz0lU+eN8Kzg3+0SY+1eCpYDxZrFs5ZEbtZdD8ladQUjb/YZm5iLyELxwPgTI6RXp3HuvKl QPBzYO3/whTKcPkJWBKyJmHO0qON3i/pqCdznGJqTdDnat4Paf/28XFg9JKHy+YckDAroxyNxYEZ GoitubkBcjkZDjvlCEN4A57HShnaTQF0aCRnKilgelrpjdnkLMi2d29ckvEowYk8kB1edhmyjUR/ Aohczd/CubuGPxAXSHeoQEXGgIVMqB7/T+azn0RPTDrWWjKDIBfMGC+QAnUJCIeIukgExAFN7AtE HPTJqlt/o6g5JPQddieEGR94iK74RrtrIcaLMNRjP094Gf/Xwf+VX88UlJ602QuYYwY4rG3aDu7M i9bMQ7sFGImH0pOzXK+w/3h511uobaoh7Nbdh5Xb3li6yrNnNOMJbGA+pK/wfIbjtjzO2oEX+cC8 2F7sOPpOpGuIOutcdEJkHrV2ddMS/+qPEVzlbInaXIEPvFUIR01MSaeraflfD1ALm+ucPWAQTW9I aDFuPBfRONrqPYDKU1YtJ7oH8VdjDe3bB+VZTqzilXbUlIOE+tcMGuRV3S8LfKRKbqQFeXqPKMhV FwnEyY/ekAQ5yAbfo7q70j+HYkOD+bcrJr9vlrrMu/tjF8J1nroxxfYzTcBmvKusXdiU4pH+vEDE q33wBfCSgcIkZQheaHHAZ3GPc/4Bwpoa9SZDbJ75W5pmk63i9LPvJVom7OyRyZLCIUcFEJYjo/Hd LvTvnuf6zAK4ZV4T9O4EzOWOF5+w0ILsM3skhFBfLgig49S9ev/QNQwydjF7iWqZUOaSGhKz5KMw jpc0h4Xn5siTsbVJE65RNZBuzdme53LMQbJKz8BIXXPz+xFQBWj+FNzhtTeaweVteJ0DfHiQ3xo7 8DCLiyV0H2lbiuNRZ0+IrFNHdBO5raEB0yj2ZI7HyTLWG7Mq9Vk5VvGP+0EgRth8aMpzi0v9obms DOD5qIcNu68am1pm9eXFB4mJ+RmUJIQ+gq9tBFEBGjgy7wprj8KK0GMtAUMpGCihQdtSV00XTaDT W4AnpAN5OdzvVTiba6zfC2O4dsY87IJOw7M/o/SI1zu25qZt/eRUPgDaAF0GmjGe0KB+01f519Du I60cVhmaYQGIZNd2XP3mFhDOR0ZWGbiC9rsvTiLd8tckKx1I4dHnUSV8/js8f6c9c9mNrMW2Jng5 Vcn6KCuNZ1XksWu8Uk1Fz1ymlcbcjdHdk0GTHIDISCtIzKJEzyP4+4pleemDwgMu96rYBWlJmLY/ 3MLJ51pWSzYsvo/uDGNVSIGKl34VL8fJloEqpWK79EeQrs6sIYsz+OiEeks3gHk+TDBTY/2da9V2 JjGPUTjsubAyisFg+uqLA9DcLpTb+EIJ1wb8wqWeVAEFrM/AoS703F3cEb18MzQUXlNZ7ZG06UAN 28woSSFRdv6PSudNFJlNIOmXu4Zxq+TLDWXulg9RbaaOD2x6pDoL+S3Lkm3X1Fjn06hDyyGUQqIZ Am1ClGbkjjiiQWAx1JHNUi2r0kT5GUUtLYZjQVEWZnA6zI37+uszdIrkzYVaeFpeWasU9PrZsANc 0pAZLQznafn6LNpjmXoS4MlWxit4NoGFJjoGbT9qx+pIqJh5uOFnBo3s+Oa7eajwCHubF5IJfY6v Y/rSnY0edc99ZIQk5DbOhXwGZVdCz7QWdZp4WilaXg5xQLWrYL7r/D7djtkWgWan9awYpY2xiH5a frti/reipgGB08Dvko99FGEcOYEWJW8a2528JTlXgJlW6ketEZRqk3+ysBQTJjJPuGTfwfxx03Le NUd5MthNNreJ6lqtGzD11fnLxx+x5JqEUe+/88juEuCtGx7khEoSoJvTM2+Rat84iqRmm7cF4iQD pTNMgybHxsMBYghilnT6D9aEriso2PXOw0tisj58mzWXUirMt4xDrItcMG2a13RzVLEExWbxxXS5 WRJIi9VRLdTScZyHmjSEOZu25D0hRVSpqzW3cJLh7G0NhIoOxrRPO7ODBhQ/bEeVzNc3+FAnVYpK iE7LrpNDylzbyB8sZioAJbDC9Lvmhd61RVaVk2NKI63ZsvvH81uyUcyZ9ql1n0yO+amzLYgRhmbu xF/A17vcTUBsn7AC/PJFaQ2z6xMIChsA3bZmH54wbyVbHbJEkyqZpiKGNrGhO09j/N+K75lml5RT Xp4/0X7eO2ZaFn5TIsqRbZovLMa5hRf8QBshE1hePlreK9fIMO6mub4lVTkcLCpq168mB3BDw450 qMH1L41RZffwrTHPiJaOkFDPUPckH777LdYk3Ob02nuyu57PNfBZf4Vzc9g8UXW8GWX2UmgzZHjB n1IMzLKktRvbTpUAsRJgxNL+yxr0P61gu5umWy7sLX+EB+el87gAnEMiEj6rq4xiSY9eGZheZcVq HLJhMXppbXg4Bo+gK99+u7nRFIaLpSaQlNJfMYSEIXSatso9IlcLq2P36fAja/4q6a5+YGzf9hpq QdiwLuzEpollPWHHNeX1w536eMC/IzwrLW6wco0CG4eeeSgJT1+bDaw3PiLhyf4dugioAAKD2GpV +yBr8c8Uenopdtu0fxvxYxZYD3rE07iN9KDqyLKVrlPOnPg+oTZ+7pktFeH5KiOFOUp2h2ybNUR5 3tm/LyY0KwBys+ZPvM5GnxKb76s70+vwmtiSguMN128XAwx22wWS5qPugRuln29YYxWiqcPwv5OI +CUYg+cdbxhVFa7oBypzV8X4G+GJrKkncq7excBO6dRjLRCJutPnpOt994ioH6ErKcrK6M8ybcvI 34qx1wBCGA7zqG6ldw8A4yomThkS2HvJfT67yA3QN2zJPWF82aD12Qnc/afI3HGhwy2TkAmq85vq XjrrrIlK9vffWvU6ruOCV3mK/c1UVqHjsvbifRRN+ZqTEA1IU0rXtW+shFm2pz6ZuHqnFD5cRqlp mC5rgOJfRQg9aKapiY9VfmEDoQSpb3VXsh0J38a4Z/BqRo0GjG/PS+v0wfCfFXkcQqrId2dVgwU+ gSaB7PhbWc8o5yYJ98RsHElB4CTXw7TY0pCoIU+2QH8FEDOsUZzkejxYuL1jS7kacA5ZdsqpflkV mApBkTCeNVgI/4EyMJ+rkvwuAHRtonbAFZLXgbxn88OCqSagV/AY6CDsgkuir5iGsf1m0wFAAbq0 YFDRX7rRuYS19CgQKHyI7+qtMjbEfeahnmLAxtSj5evFKc4e2fqzkp+CdrKLZk/GxRq8ogrWopHG q4rxByntWaMMGB08KeYiTshODiBuPWsCi4yCO8VAhRimrJlLCGe1F3a1AZNAY141HFQsVWf+ND/h fWcKscsVlXa+oSkyFpt38FPM+zDDDd1tyCXmrd44uo1fxcJJ6SvsRWewBULMMB/SY+uLL9jXs4we wP0SiFtwhlvW5up1iVgfU3b5vK7bEZJaeUvZIZVlw/ui1XzHsmlDhkhD3/yMBJmiFwnqxMZKmybc Lh2P6XNumHwxMDN2CkvQEJecEjJirvv6BfOWBZQJhBxzwSGfkuGAYCISXrE9fURbNQmmpz62ByVd FLy77V9cGC/IN4to9bpRa+exnpkFjaP8d5eukG8vMdOsKz/BoyToLt3eJKp1NfdgUunhc++FR3K2 pjqq1aJyAcDlESsuY53VYKdanrrFFaID6IZzFdN+xWS4SQE2xHw3/9ky4s6uVcAswXA8/NSbw/U9 2eJ1J8+hQdvKQMkBSgQ1F7kjYce3IqjkGiRNo3R8hKwSDj0Jhaj2mrHoJqmxNlhXbRkmY6aKNl28 hI6CAgUD2cGg+AwHoQfp1dgPZD7Knd/WTHwmXYgDsPopQSDx165wiafqY66auC/u2HOJe3/WIbNI hf7c1DEvs98j6QoQGDhrJvkvmzv656vmqQaulJe0RJZC979GDq2mrFevNQuK7cezHCNgkTgTvxGW 7EXLwfBjVz1YWt81XrotHXMPAZtu0EDZWbfUTaIH28Zv/Rwz/5ma/Cy051P4yPmCRT5k5+zuh6mt C+qsNKOLxqOTaZ9LPhWwn9HwzTuT0R3pU1gJLlPb/fF1VWJJ5irEB8JO0IYe7iG5dlsZSJostnTh HTw2auVVfy0UScyDRD3yiWd6MY1Zj+msJ2GMogjrSr7HFm5ZLCRIZfpzGN9StKQ81jRT97ejbFmT q77BKHLK7ktZWJLke/6HS7ixn7tCMXkOq7sUJiWhLOHmqTuebFRzYtduUtI7T741BQfaDbl46Z2w MeKyEz3mAMZHSGA6UNJZi4kcoo33PNwUZuH6HW10l52ppMr46WkAtZhtHGDJyB8aQOUhQJNmV/B7 YdnII3GYWOxq6kmysRV8yIoySXi61rIKLWY3X2cnFOkGOkaBSnqyhHInX8C372QSBKEoHS07XDSI Kl53KSHbdOuJe4o52/PPK4Jbjz8WQm9TH2Hylv0rcDocTEHkyyh/7mjArCrPQJVH8Ve+s5pmDp3+ d2EJsqewPFr7zTuZTT9dfBKU34rMZun91/HiZAGPhdofNpLKjNmQafxDjByf1e2l7zqI5m+uJa1W wpmW1cIcd1Q9HrfH/ydzkfXUFNO9le9Hq/BSh0+7cM4wZxJJWo247hVw6MSKx4nyKKz/tq4ZjNPg uR3AnudG0kMSvEK2qA5Z6jLtalzuwRB3BFLm33fHR37Jg+ZVq/nZov5pMjfbGKjHd8wsck5vMCC5 hNIdW7/V/SDEaKYy/FDikF2Y1yXSvoIPMEkkiR3Y3dCHV6FqiTApZHhIyHEKDtB8dM3RU5m0ZZn4 c/iASM4FMe1VAsWpIY93bpNQAYBoJUu2Z3drNel0JVBH2GJ01X21vRx0P65yiXVTo58MQ++qb8Nn 2j9iavFh42DpzBIVrHGhAGuZ7KsHIm0JDgQAJURrHijvg7+cxaKDh6cg/rw3JTbQAwekv5DfQWUP sW8wcVFl2oJTh2i3DMdVTMhqMDTnfRMvpKevtw6/nVNZRFAkb/9XEvAOB5qtAd9+5wURWTtKDq4M ud/8XXMphsBTOk+8AFJA6hDo6dVMH8fnG3iHcccu61ELsIN4/deVbOuPO/I72YDBSdcyTxDOmU/r WHlmDKO88/3Jl7VI2Ow9uh6EDa7ca+9hF8KhsNjoNMQnSuddm3dbzoidWOZg/cfXeBCzXxSjuKss MlbVUNMBslESD1MxqQBWrbPeRwquAhmGCam/sv4NIMNbpZdUhM8PKNH/vk3LLKJxUZQqRfbWxGzf 00vygr2+IragGqj4lKKds36XbsEofYxo1QALU3DTCLutauNzJaeIuVd+TNYopRQpfo6c+tiC9hxl c+7l0Gihu8EWdPAKAo19fwEN/nCUjs2Z9F7EeRcz9SWdDdym+wcc7EmyvJZ5ciy5dIEJ3LkhMTWA tIFZUYMHmHqsN3RTmwn8dv+yqvCW/65ziHLIaNPmgOfQXycnNOJ57HorpjQPLX+uZlduTj9m+3m5 iJ+MO9pz3qVEWa2U7p0KWMUZJV/QI6bd8p+eZjtiOI4g6Lui5FUe/WSyGxTBUOu6f1em3AFIYfTo NgneX+AlTLln/OOiNAgBS3Yr89esf6HRCk/1FWnpWsqvDGDadvN3JYMOlGT1vvyDKKMhg1Ayfv7q AKd5rNi22yz2IZv9gKpiwzMwSF6eN53JdkvsT4VdFDdUEJhZlCD50oGwf/YwTnNoj0Xb5OfPfApq iqnzalC427bspmfhszlV3EBrIJ/DXVUyhm2TyHwKCFLNGAvlLvpysvfnRrtpAxnNzMl/H1Na4pvR 1JbOINSEaP9aKT/tUWfGRgSIrhnsDTW8u6O+iaJVHNs27Vy+N5YXPblI68xIz/RpA0hJGkUM6LgL fvKV1inS/V1UKqJ1a9oav0GbcvYwSblkvPV1+zvN9QWKACW6XTijfjxwUxEH0SXA5+Y93awkJQmZ Kc2iVyFXEAVZvm2PPZs3D94SHoSk91aCatyYkPmhYl5O0sdw8axU3P/t19cKt7xKQJlW9NJwl9nL qZ7Y3alaKEmUKQMyRVrbHpPVgYAhPM/f5yU0lBokzNeO8s+SJigHbHvlQz5MYjlMSxwZW01Ff1e4 NSQ+ohDPhu8QiX8Zd7dIjFYVbzZ11dT5PMogssi1IkjTayrnAs1/C/k8AWz4Qx8cuWCdh+w4aAOQ 7KE7tznTamVXAFWfyIqoLZ0hdnnshRa4on0qDb6nmu16FG5DGJ8Az+rTvjN+OLEp7wM9wQiZiwoo VxP4oEbfSMA8cNnmELllKnrz80lnw+iHcJlcL+XX33BUdaSn0OgU7zGCyxcCgEhQGUjngCjn0X6J HR+ajyFZc5k6UY5wpDrLcB6naLNNH5ihvCgn+zN9KkDJhCj7y9cPdegyOB3Zwi6UDOL7xj/Dmy1F swBEGULhkn4IKvcyHcI0yNQf/kd2QynX+0+XjZ56kWcZPIq5L2L6p9OhU0moggHD89ba3LRAx9GJ 7JqHKf3lhy0lTLWH+69yEiNc2hePs3KASvBvF8n5DdXVIiWPAgRsP3m3vR3PDILSDCdfiSy/aWBn q09Kr5CylT8VIQMP08dp8Y5cYh09D4oWyPfX6G0+3/ssTHNmOIkaLusAZU+GIiSn5D++iUMkNTea Z+HsTco8jcQ4DJsM/7ceX+Ja+Gb8Wtib+1zi0xdQIadQ1HKOCxxxW9uux0+wODojfUa6m7WKQADT GGdQS2Tuyqhwfjdmry3gBBF0LPG/jqxJo4vb2IggM3k9C32peWGIEvVP+7TZ5r2mTANNyAH/wJZg PdEtIHQZTSDbwAoe5M0Wrqyr1KL6oGOIviQKw/WWwlrCoUPsdoqdFg0RjCbK88HMecrvv/WerQoJ hcW5kLRyVf4hAnyfceapt4n/htsr/GFkCPHZPRxfa+PcS2OaVuQL2CPyag4z8+2h51ygXMK91vfp N+Rjd7Pz6DgLT5NjG4wcQgNeBDYhRqMySh3T5ezJ2pXIw04dwxBsW9/+L0Fb0AHBmmYPRui68Smz p9TH8oDfBTetMwa/DTMluUj1INAzoA3apJKlnA84rD070YkI2nwQyel3WLG91P64xdOeShivpJ63 oHlaxFrNy+HOMQTpYcyyR8pN5Go4MDMvrqbmPOqzDg/ripy/tsmc0bZLZhzVZzCfBozGctLeUgYP HOBRXKwX1dgrlWnFQUR7eTtqKOSUtSpxUlA6jyQvqqV10p+6841ZYTVfE65MVPGmgOQwVwKPl2cS fR3b9j2ST4FEZBloBODJMsJfMc+F3PIJ9RaaHLah1mtQC1JWnAoEJ4jAN0IaY7J86WEqPuCZTDGB ibMK9helLDi2WxWOOXQh+t7RadYWjfTiFlY2aSAAniFdOQWUCLLuXztphAsMdcjN1N3NQQbdG6DZ FmHi5+yfeLjSTmq0TXxZdQzH9Uc/Rrwz19HsPpu5Ta+3JeUYzvzm2NBgD2O5s4RcXvyVk5IdZjjq IHMEAurZRMRnBkjjoqrDd4BLdlX+ayWa/xqvrHRPAMIUnJMg4JqDJQUfhLKXUQ3xuMcMBQ3ZNmMq 2sYbvVYlo4Vz2IrwsSvLjqoTXH6x3xUmon0dz3tk/uYWC8qXJ3JssxmbmwKIHh9BSydCeRMt/Ylf MhpfSP7Y0sSgqQMLDHX3/h89UW9lyGa/hfpSPshxGnLgkrTpPVxAOLd84t06g7YGe/L+HMdLj7b9 GYT5hIacC1AkD8q3TCYN2pl/7J1Q3jV1YU++LskwhvUQCiRqDHf4m4b+k8I130T9NrLipCm51yXu alvaUssubkT+6ErJ7qBmb5RvYgqRaBmI+1QJ2zEs5URr8/tfokRMU/SOGbLNX7IZ0aCOX95n1jn0 JBTUMuRXMPUcugZKZZgOF7aNJ9AT2vDKa6NYmSHDe2tY69K8b0T+1B9ByJbhkWjS1vweiGDQ1lkz yz54N8CuoHNqIti/9pUxnQIBBZYzrblqxQmxJN7hQ5c6DjF69vEVRayYiwjPNOl+XBvRwr30BNDj Jw71rRVALwAkblzrBlsKXEySWL9yNQvDMr+iMklEumxqrnOTnCcgCKQh3bQtQxA2lVO21Q0C5y/t Y7yEbo+OmOPhrD8Eeg930PpQSyEb36GBxQhLqEzdoJxRE+ndTJMY88eoDvrm61Ym4yXaGFeckGr6 rE/Pa20ErhOelbze9LAhmzSi/4zdHRIzX2xHC7F+GvgO1qUbOatCXf5gnXjIV1yNxMnOxFRcVPyZ vvNXerWS8+BI/fOIQTamApxjO8PvXtROAvgRw13f7oPVfp9O2XiQa/QNto1AMGgPXFUS9J1XdVae NCjwIb5A7it6wVXYy0+QV38exnJXTERhxMY9fkJR14LSaiWbRBVjsKhsU5J64JowwNGJwoufdIDm khc3m4jP0JkE01nvguAhzzkkK37GuITWYpta7xmVAsxB6n7NVLL3bKWSCzcVgIDpb36wTAv3CPqn 6gR+QgB00iJp1ZQkKMPcyEZWacXHhCwXWREm5eAvN0ZdD51jPcUn8TBAqV1XrIci5YjJztkl1PQm WOx5cr9ATk9H55+grYeXG2QN6gdtadWZK0b/V0SttoTfkEkp9wEr0vwAsPdEAxHWmw6bngGNWNal 82Sgt/LQd+NQbo8+KDBtzlaEGnH5WsVS+UhM4Tor8aqC8oXYg/9tgBHt3JhSmPTIFpFUsTJtLd7/ 4uiPBAkoLdZcyymBRIDMqAfwGCHTF9dqKuwgn6Ut381YU00cuPb0SEO0divA/xvobqMjT2U9Rw5Q xbj41XGwIbatBNB7jFi7M3itHBoq2buCx0pWQfOmYNwrU9dXaplGP7uqUWJUMZKf2qTNOOLwtozO aZAY2ZWMSIysFvnTVwQ2LyShTDLg7zmEgGFVyfccU5B0+DOpxek13KUs3JqzmWUw47d1A1eUPIty gIKGbeGtRUcFFA+5x20n9bzuH1UTLMW7G58sDux1s65EcpaiPJHd2e/HNzGP6tWKWOPaaxUcjM9z cEtz1lc/ZgvlybdnG3/y8dIVFK32SrklwpEuF4dMTJ0H2zsNOKBnnYM9PkJFPSIodrfUL13P9sAP 2laXZ7f24fMy35GEf8ADn/l/dNGAp08mal8CX+dAJit31XkJBAWTMil5ZmDafSRm43mL1RfcoPk+ o/nL3pcaUFBjZO8qMfNw9oRydD8lIkxdz9YCCegkdjz6wTnZFL2lH7w+XCHd8slUVYO387x5+Vw4 T9hWjSLAZb05nNuPk3tawC3x9AAafdPeRlXq6AluRuyqzD+WwrAQJ9LYCIWZ5WTHYMlbxcphm+Ul UZ4Gtt0pyx5Z3EhbPGt3kuNIgtUg1y6UuclxVXBgy5w2Ghr1208mfGFVy2vEB8GIiAUqKBSLafM0 WJeNvyA/CpnqlNSKzrDIWD18wrbCNfnjuoRdYbDWZUBdOfzn99bsDlrjXvJA/tuuuS+hEbMd/FNv AZkddGGfEOnwxHAM7sUqoNtR2Vz15wezjvgzw148K67+wMenAKfa9EDk0YqyFXrZQ12W4aWsEqbP G9eDc6h6MZUSpyh9jCto2NG79lFeCZiSi99RaBl7cpg7Ry5gphrqDMSVR3hLZNdguYSXErXP+pTm +6g/PReCrGEUDofLI8F/9Zxh4zyyqKEAydYylX0FjA0R5ISa+9FFisvabI+2S9oV8Z9QauPICWeK SRTfSJAMx9y/Xp3vVe2d+SurmhJHkyrjoCmm5Vdy3eCTbrEPYnNiracneKNXfDIP7LRrEaWASlJ7 LsQbUWsQHCi/SFgpePbChei/2sv6ZO3Q7+yzfG+6vXCbFlQiPqCINtOOTmiH8dxghQjL011WzpQz CFzJIPtGEsVBzjcll6v2Ypm/3gNJn5KtIQXd0DI3cDaQfaQ+3IlKcrrVr2s36MTErxFAWJVQ2xQp 1Ja5caf/4dW4XM3wc06RhJAzd/kLnNRo8E2Iou16p9RBtQq7f7stPWAgddnB1fubTt0UeiSX9M3Q To3HvrUAj7HO45V9oGL1vHapxoT2/YCZR/9wSBG4rO7fjzGIYet0ZP1SV2/AhZn4F9Zxg0i1wH16 ZInEZs6eN2fGXLuAKJvB89wUeVcSdxJDUQEsJY3PsyVWZikEnWaIjeEAmz3zwU66fdwDWOiuGKAV 5k+dcugIRn4TB/atPdSvoMNhZK5dAisnzCJ+yy8BaDwLpMPBfdjfwrC4rkKioSfJgiEA29s6vnux TUnl0mYFWFbriczFMlm/Qjxq9rMQVuLzD1kZCT0kget0ud+gdxVWGxAbYI91wIjmmAasIsaZiHLh XdwPbHcUC6j8vQc+9LsxfDLshNMlL8bdGmKpZQhfWwMU1H2sxQ8RvRIcxncQXvCdyRxeyYZUKdzp hk16UfYvD2yCKySh9mYxQFviolbNGMcf7UwQDRc61DboG7Fv24DXqYhtGr1T3VlYXNsnWVJCV22E fY1Ocf/u7z2uB5sw/A4xbxnALJBz6xGwwu+jxVfkuVZHWv74DlZbPLW5wKSAsLiJaiVA+qMqXTi0 E7u26ZKSnxXkZX7cwnUiVkgZqyW+ykj7584t06vYELQnZBT3fd/kaEMhnVRJP6W+SVEIuNqsEGiU Fd8/ksQ77xSNCpCXAau9LfSREYHM0ck85J1Zl7Md/El+az2bRgSzdmU5NGFAEezi1KR4OTgMluCl 7y4wupiq4rnSDGuLAYVv2veF+EszV1TQekGukTkB/yJIeKUKSar4qjdf8J92spyokhB18Y6jv98s bFG3JFZXBl9hzqfEK5tE3rwwCXnp8JosVyY6oDed+sKGW7MGHStiDpKnivdHI3dr7BvSGiYjoKr1 DE+O5UHZ1wzAqOjgFh6seoJ0nxflTNRBdJp6ka8ij5wCzgsF+yGjYVa9K+cr/t8CoAGidQvPWx2I RnuaTVdsbMNEJImHJ2kp5y5PNa87tnPGbs1uJmKvKmAhn6+3HFbbcxpAaNIgabcyNSjn4XBQDjdM cmrPsrj1YVGs1KOuNg2JGZtdA7Ge64TTsJlhlknXytkEQMVsjBBczcPHMG2ujM0GOPh69BSD1oUl lfz5fr5kUzsxnKkTTJzLdIXTs1OOF9FFqO8iDqM4ZYum8PRhj09ARZGxCrXPPNhxCKl8m3lpWRsR /9KucNu6QvUDwV4bDUe7sX/SD7VSAoN5UB9+LwBgRVHnoj5Sg7uyjOqE036wgspkBj8e0cubUtMK k9Q2DbTZSHBJPg315jcCcP2bPCJ79BMfRqlHC0Pln2jJH26m4Ro5CkdgRx1DMlhLYuGvYoujEx1L x3xGto57sFw8aNtmfdtqvoRT22v5mOFp9A/vE34t/XazB45PUTxud+Laaswm52s8Wr2Himt2i8lW WalJVETtB5JfApNRMaQRMTJ/8kzA/nehVnHX37su9cx7WKpSLf/IG2JmjA+8zZUWIGeV3BGOrbMs YtwE6hfBLT03Wa0brXY9HmHx5ar3RISkMUDbCa8PBWC4wbn8is5tUQr3H5BubgFERoy+iQCrS2Qr EkWYGNM9uG0eAuzJsRiLYOgpLysirjGiD6/o2ofEQs8NpuOB2IGTHsdUCsIzQLW8WBC5amB6aJ72 TNv/RqCliKYf9uLaL8/rnuHn0ewAOonI5e0o8R6RGtJ0DwjMq0NZzuWPtYUf5yFtjZo7o8KU4R+F +fIzJxiZHNwUhdZ74pfsjaDzQFM0VRGHzqCXxi1wjIKuBanqvV+9pJ2+DrRINgCzL+B4xrCpOyka nhoBn/EPGOQBpthW4bpulk4fAu2gJv/LUini4Xj+S1pKHoyyogozMalXHNYyPE8XyHJmWt8z7Scd Ezmo1SOLA4nApXG7gKyFF3YSkPXQwGmcLZ3zSnwObMK+DykXVxuHQwHrsEREnatE2fCBJOEdzI1H cNY3iyksu4xWDRAoPUbOJv5gmBWKKWHH44jW88BGUHBgZXQZW6abhuuHBavNjfK9DPs4EHECR/Se vazS6rWeVxxQ05NjdOHaX4Y7BLAywk5yUJPOgwebzeyLXYrtyfJ0c23JOAFXH3uPTvBd4yWsFclH 8MmrAAtqTAO9lnZeBmBKlifcewCckop4Ad7sw5E43/XORr02lpF7yHcqUCT9oLGzZSX0bozImD4y 2nXVpXCWn9Io8aGaiwe7Y1wdEJtmG305FD5uhYrUfCaYyJq6Kh01CZFrpU1jrNZ3/OxbEHrI/av7 qQsnOVSr9xlb8XNt9kfXFEE9PNN2im9xaaeXSdqa1HCzrqbKsBX4XAtEtjpp5Uu6SLTNsv1VwS55 SynhFhbcITOMk5gK4pZgj8AATFMK835edWry/fJJ1YZbkLNeoHnjGPHqfmfDZgEo6zVxIKZEoJBO Lgd92cdRq8l+sWMMhlGr6+MwjChvsZuSJb64MqVojZZJr5n3/m6DTdEQD9QKcdcqQkK/eudB78CS Q7ga4SNTUYCjHGRdJRZKM3GJLAXahZIEadAZhhrSBEPKw5WuBVOG++uWhjdElg9Ve+tP/jHRbBIN 82tJ8LxqvBMl4o64yfEu9tSoSoXKuMyLQA9s5sEL1PGb6Z+9hylEnjEgz3Yysi1CXKQhT4eGVeQI 3v1++VJ5e5mxGgEiIVDS24kMy1DEeCGj64gtvj3IWyeocUQYxw9KIKqjMZ/ocaqgVJY/MXayNa5X Y6+wL5Tq7/aWmScyKcaJpcGHj6rKEfy79kPwip5pdCbTbnPAvEPzqx5Du/1tJ0b1I1qekCO2af4O 5CTS9GSzyRjljS621Rzgnou2hhIU5zoZ0JecIKRAxS85dD838MV6CYc5k/nWxaUTypHWVdCHg/F9 qN1tcrqQcbBlt1jVSCjG2DaWrk837mLSTh+y1DqDPy36MDLmuAGB3fnZzoogOwuU9vHPBFlUDJYM iZNVc13IH5bjwY+5wqfA8FnHb+4CClUGTo+codqKvCzjCWmMCZo8hC3MtNCpWtuQdwSr2Xdmq+eh lZ7QAiLmTBNQXrwqhW3wZs0SDJflo/UaQ0MFjYn8qhQk+U7/jVpd5dmwbW/EONoKyOm0HUFoq7/D 4yay9UJCXAnGLnDksnKbYt3OCR8v/WcntxeqvtX7OlK38mqVirPdEWMgraBimArgRAq71oUYOQ6/ DWG/4kLDI0JRFcH1RnuePKspXYDmhkXkR+rw1nu17bgiyzYFA0Zyl5cHwmFJerXxeA5BKCQy+l0G crlKCBWcAa5g9oTdCPYZCxW/m8y3d4qh1Ptti6KI03GX62R5u8BbPF/n5/h2k++l/3ryS756/u0L 37F3wBDDLSqJRNS2QeTlbJKgGxlmQvA7OYkss+YhmqZiY7di+FPmJV4aTvv1IQlMszQdKZP08yeH FE2vnNwBH4Fvw2rUd83Zm2z3+WBH4zMcw+OAWHRuMDlL5nM5llb6iMrnU+lEwP+jmbT5/giqhbTS OeQom3KavZKvt4OlQX4oBaInuegyCdX3irnaXeXXDxGm76Nnexxg/vypvMZmWLJlEbpD6vKZTT71 PQJep557EGDYSeADxWD3A/dsNr5Q73wvGPwyDbfNtNZ661R29Wau7Km7u5mNMVdtU761RwSTzfgb CzJHegb7u7n3YRBehHOVHu/lifDaGUrqAwf1MI/0XP2DYp6Df8R6N8mWJepP6qX8uo7bgQwKoTv9 /k4KDVXatQQ1dUNf7ouj7C+xUXhahUvTI8UUiiYGJ7YeDtTM+zgQAjidGjmCI5u1NRvzUEma2EQF c/aoXJU7RM2XAA7dq2u+3aFOtZWHQPaSWgHNi51B3CCzuNhJy2iaLtlxxwlu4W72JBKlQjZC6Fqz ITSSJyiU2mHg+yTOURNE3S6elW7jEAkU01ikneoosEwZUnWG87uJexaWbsSk2qVhQhKMfC06r4GT Hyiqa1uXBWp/Enin3/5tZa+zyw9duaipteGNNS3Moec6yN3iJ+eJhscb1qZIucLhE5Wi8j6lj/YV WSyHtEZ2MJrd/ptVPJWC4LUpOWAevOjRZUp7atn8D3sCckreXKYqUMyQAgM6AAKujdbkiFY6y9xo 7PA78euWOB41bvTFHNOZnSxMtbSV0vhVochk1RSGj98kzDAWCy/+gDWRaKfuHMOi7jyU7ci8I4Sh TVn1zH8+WUT6G7iJ6utm+pFMcR/yHLjNpFMNaiLBD0fX534z5SSlAW/n/d3+Oq4+RVp6+ZSBArpJ 25CxI3tpThvCNXihloFXG2gO1KI3ijSecbeRALAvVTxpy2jptAJqHsJHbuatkjoaVm4rBSBEV8Le 43/oOaowh5xJRr3Kyb6nbXSPgmDQlC6AKuiU5OPeUXt5O4dqtP2btAKTXL/qZNYB0FlKCd4ibHRJ Vheacws62egDe1lZ0C3zcXMub+1RAP4IkP8rwfwyaRQEVx0Xj+JAmbNGgESExgmUId57+vMQgTyA KnaibbFPoQB5WvnwXg6kviav+nja+rOHdaK4Z+WR4GbcWyJQ4MqxWvuFmmSA3oMp1TFSnl7irITD dob//fCLmFuBloC8FIAKB2EqH+OAcH9gqyjJRfpC8Oc5CnzjHY7GP7P4M597wyo/uMnO5I2ESdma ClsoU0kawmqZHvnilIC0aMmrZskN0AK0nPQev1RueXopmM35MWoRMxtMwIz8ny5SnXN1xdxOtAYc SmEqR/W2nFfIfaQpx7dhT8HoJVzICPUO9LKQqfXcBYHLVLEcTWUBO/SzFefnhn+iLm6dzREcb0T7 hi8CUnapUBMBuqI5cAlvTR3xS1/vnptteu684q4bxL7H4GNtV4YWxCrDjNBV+C3imdvQWPP5XOU+ KsftYyJIXWTx3Z6XiZin6hJCJIWMV/kzjb4Yh2iZedH6xKWa6mxUgKoDKFt1lBJridN8Ftej1YGK abDqr7CfRq5g7bZiNRCwkCoAGBxGx+hgqBvDMxZ4t+08vGQk+LcBnMxPO15NbC4hN4qrRPfDjU9w itO0POsF+xuMPV9NeGewCu8G+Q1bVg/sZ0e3ftbNyR4icL54mclEUd/Jnq57kbGEW6GnNGhxk/VW VbECG+0k3+nov4Qj9NgOKNNfI1JJCcRgcuLce5RXqonH8c/pWibsBnQeYPMq6JDIqpeL/mclCy3D iTBJoJlx9RA2gWEKWTFcAY0tCSE+freKeFU3wSpYnzIz8rvSV082REEMMSj1R2mmY6u+GaOEqw8N e3x4PdC1x+fEZbguHS8/+akkm0yq6EtHv3PDP65f38XlvwcSRbICuHONNTRjor3SK9nD2V/s9F4y 1P0S0VUvlH63YKy9Fzz2f9OruTmzgOaeHtb7Mv0/VDjpfHKurulREhThltKrlxMWn638BNTxd7TZ yzd7M70ceGYDTy0X+WZhcvIt8G6Ex4PmPmgMeUp5NbTc5Gg07cGvLJV/t7nFWZ568KaGmVF68ngT pFUQo7HetrgjYVgQEKDQTvGDS1UO91b4LKvKZghtTAAISLcf4z+9dK4+3LX6ctbgo251ggscfE4y WvcQ6tS/40ZPsh2QnHkU/6ud4+epw8ljkMyaTJlHRRjFinKCEVh9Dgzswxk2I9D5MuHIYJdqGamf ZDkOpGmBsQxY7u84GnePU83hce4V0Arm3ZX/pRgPxPD6XMz2g2XMJpD4St2V0cqM0HvXeLTEQcLo 6y1LMGRwhfVSZ0Ig7syZpTqRlr7+5aS5kGyxf1YRq95ttg8Q6Nh7QDA4iWUqDafOyCKvscbDiC6C /4asKDKUF51UJl6Lnj6UDIhSmfQKej3FhuXX80YcjfJEzsQ3piwwe5yTtBUL8bmblaFzhbTDSc+Q w64NzzNBaQreV+41NLsyZWDViq47wikpVRT6qeqS6U6JF87vnH24nduODH17hgeorRvSMWybY2wy 38fZ8WKzuosIosOr+N3zpuoGEHBw34tmC37N87sDSbR8hATJbbRE/r7kxE5SitQpYI41Tu+nqDQ+ D2GIyMu2AWcZwZgst/liIYrkrKj1YXA8dngT2v/4r72Bl0Diestdrz3ymaq/RQd19JNaNJ0RqkYq yqYWPtnQ6hXOgfE2B+0Kc8DZOHcMKgpRlp2btv2Y7VDloe09pEmXgzhhVQK02cazMdfcZT9Wxxez oqePJsyBaLOeQ8UYsJG7rwOLVDQ4kjS8R0npyfoa9KkT/JbRgXSRbudSI55QyqHPpcXpuWWvBatl 6+4KdpzXwIlzXdVL4pHJ7T1TpI9obQ7IKB5ZMZ0IhpHVz9L2yG98bDrhpICO2rMlWiUCWRYX0Fb0 clEN/p2M+lH4B9uzje8R3YPPrHdsakm+xRFJghtoqdhn6FNiz3OwD0axoeLdRIZKvUVIXLfQeHv0 j8ipyk4xjJQ+1Vl3MBLyzH9OPMs575mj5+4ELslZGkjEs+/gsd1IcPcrStN5Fk/r+YqEaqGGpwZT KLp7kEx50sMTxTb39G/Bv3guJ2fj2QUcIXWXBH2SFuw+DXB72Fgn+ghrMlXc+p9lcwXl218ZgaH9 /Qri0Tw4rJwumBsqm4qBVYrlgnTFJCFRnbucKqHU6ti4wLm5WQ2L+R/w94EPWU9B9X1AFyEqpTLX DwdbEScMKRxCLEPSB8x3CvFj38vO+9zEtV/VEf5Q4wMOM8C8/tsJu9c3a1+CxnmcytGnlPgMbzi3 0srwFP0F7eD9Z+x3vYO+LNlreWwjQ30igv85mI1ewFgCIlg3B417s+YtIkkFMp542f9gOkItOJ24 9bvOF0mwy/3z+cOETblvi5Rl7SC+k4k4TVy0nujrivUfJFkeN3R0pXisY737DvF9Erxh+8wKYD7u 1BFA9Zy1qF2GTjnOg7oVLs+bVKS3w+GXgBT4dMj7/YW8+DspQ5DzIqUiJi6yw4q8RPOjpDnalbs+ 3vAM+qV+Yunxmd0UwmkPhNVxowkMF4I1WROCA2dlBm+QCJ4IYWcMmTT7Pf31TIvD2HwEHLYqIJpK xQmq95CRsMcl3AfZkXNv1wFNTVNyUR4xyUl6wY/KfptdMx8I6aDKP7OGQOb1JcvAUdnmC/uwmxm1 SzPtc/gkyzW2HTZhcrCNzYcloKrW1tzLPt9GZZqsoREL35Z/A2tt6W8SfcveQ+DWKY7WbJtcyQzv lKnd2WOK78E2c+9kG1yBmUwB2urNUELb7U15Cu6W7p6jW5jNLG7ZQIOdNjhWketSXzI+MPUrO8aK nc/eNJqydV2l29A9KnR0oBm0osJ9vJjyEtkkp6CH98O8ap5Pb7pV+VzEtFgs+uMPJmKQ/xnprQA0 iBy5/Q3twInmZIheXZ+p6DeNLTCY8uvmP4dylxd7L5NueKIrY8WDguwvZRqg3dSqtZCEAMfsidUT dKipbw7evkaDbVt6YT0P6aGChdapIoWratPLU2rtHzuI04hNDQ20uYnGpsPbi2NLoi7j9MuLgTvS rLoQmh/rbMAAMkKJtNptr9Hs/Q4cdZXMM1Fbd9zqWgI/cyxlR7Cb1FUGMnFwj4gR+BgZBQtwIC8Y hj3FAoK77EJBvrLv2DdnaTVPTQ9p9U0vfRQxw35f6Dyg1IhWShRp3oORK6t49n6wcgu9uY3vXbc0 Zo9HClCAylS9mC3RL4E5hLX+YVBCSRcazhGVttveBehqUFZrSTDe9IFtUK/UoSyqD3JabKAnD1gX LjSz6OHrZmK+mS1HrBjbYKDGousYLBucxlzPqAzcb/Xbzbi6p9n10ZurhlHMqB9ON4pHUwBLsLk1 VcUrEhlfvEb91rEh5eok/c2zRku8aB2Xh7BZXHB7YUh8jw2WmVxy1Hv+juqknCB/5qF4qE7YLQoh 7fpMa1Xtk72d1vYje9EC6Tj+ZzcLVwKN3JN6tYPhhWaR3RH397jZ2oLiOzTZCgOh3s8SxV9RT6WM eYnhUbbX5UQ4aiVQNx1kO5o4ABZnuCyqeRoJrD8HLeyIVVN3Bonnye6mt9YTWpXNAdHi0uptWXV6 wvL1xcnJB6N4gTQDMwQ9Uth8O+o70KRRbNt3LuFyY/ZNriI0cBMQIcncc4Hvf0n4Wvo60YZss8Hz i12s7gWh2bv/dbDFb7npzYs4HD+VHXUFfsZKCO6C0WZ1guRTqNcKxee+2eQdXhdzSxaXOWjyaugJ W3ZP0lN+rKij/K7arSLu98UaBkUYWacWV9IE61kmF49NtMPdiaLjj/K03RrHPligMP/hUHslfSgI mmqG50bttziTKMU6NvQa1tzUftI7av+qqXr5KM69Eb8lhHLWu3qBFp155sBuS5ff6tjeFYtuROE/ 68E1T27+ZymPdPG2k930wogyCrhpXlIxkKxTc/ObwPq2MEn2iuynbuY7MCadGn1TXDn1RL0HNmgf Wx7xYpa+gGYRJz12am5YNd+ndOw7VMbys9RtJdlvTr4voFk+2OUzfZEtV4BfGR0Qp09XzjTBxqJK j2ac4x7SkG+NU9LfNmzIi3Vt9vN02uHthfU2UuntlH71kqQESOd6rkbFp5pCOhImSi54HjYZGVVG fnLjhzmkhp7cpnoAO5zWeeRMT+xcIX0/BPBGEX8/jVUYHb3uz9zzl1BKfCc8gz+9bRj2mKd0IWgw om0211giqCxKrwxPDA5B8EFDYQuzMtAIXzH0ltkrTpcHHqWOCDB1kxQ+fFyYY44Lq1P43ek5w07o ENNZZzWA4H+IZmYEqOXZBXBIR1fbkK4Czgqj/sgRhoEGfm/uJlO+VthmLsEbrlMjgVsBXMLAcxRa o0MIr/DE1DNtdzWXHkoyc5DI9aDuU24XGnav1pCwHHLP0Jc+lzcoZUbWll2K8cD/2JV3vFOW6yst JkRrctRTCExX5jDi5+zP9MhybQG2ny1D5s5FQScYJuuJSFMxS3jXDnrqD4+L613nJDvI0uxdLjPo ChFur90iad7eAAaBfeZIVEa+7zqF2obXiZ3xdhrZn85GpwxyJHbaxOyB+Ii7FPVNgL0i+gaahPU9 wVc1GMYe2/iHkADnlUDji9XkPOhkxlUginNXrWVjovjcltZYagzVf7xnbptxAH6HvTtZqhAh1FhT 7LHJnNeO/wpd6Zmt2MpQPO2mNDpayhJHVmH/4Ck9YCItM2TA/nVoE1v5JjIEwohaW3gmsUQgRdyN dT7Wd6rFtiNsPI78ibFXkluMcxKjw3SWDufEhEQsUFh/n/c9rvVfTAS21IrsKljasliF0w8D7ADX PzRkqEm9kyRPdsO3j6pbPzEOtKGVyCG6Mp+g687cntEB2+9wEBSPcLpfW4ugX60bFV4M/tGNfW3T 7Ku/0HFKIz01Q0zwTke5FVLoC8zpLqE/PKkgAIoKVUTsecMwMEin2o9hnY/2oDXGFiOAKTWYO1sl dFcgY6he4hq1drKucmad9GREda/p9O46zZtKbm6NZPWbtAAMY4aA6vq+aVXUyHt1M/n6AFY6lQB/ YbdV6JmhXGLsfsUVwm2MNeC+W6GBrJ5laqkdbxvyhz7QgXGz4z3S/+UqoqUTG2Un0z3nfphdkBOx opgDHJFot4kGwbVn4anBDBe9PpHp/2JLN6g/6TZLiDZWDGPrloXGMnza7J38WxifQAh7YPBJ4XwA 8znK1ZCPkIZGSjqTjJySzjpa2Vm3X4xS7p+WGJwzcVj36Fqkrw7SLJbPq0ksyIyB3GGruvbKwf0C wpxB3b1qq0LprsVjdMN+2qCijcDMNOYFXm/3soh3+YSm6aSVrqeleM2flBKQP6vHmQJJPyPnKsaG lcMV5Uu2DiwwXaBi0N/Mhnb+TEzscYfdjRafuqDwN2OshYFvRFYYSu10geEMp2h0DZqijpyarpgW HsDxYbRFHF8BdtCkR0s2FnBv3yI+m7SEctE1vaqzflIQM3K92fYn6GeBOBjBsqQGh8TyNSXty4hp Fv78Vw396mMfI1pJsbbudB47HW3H0UfdRnVasfdCvqhes01JsprsQFBTM4xhUUUbMhey2un4uZ3a le4/N9HKSGP6IpRMLJI27CszkAJpWLjVz18qPfW77uRLO94VEFnU4eEkN8pJxbYG2BRszVqsfjKg bymjOn8AxiFa2OBGYR1zl9nHQMWBuAmWC9/PEBstA/M7HYLwoKTqpqU6qgLU/C9GHkzEHojyN1yd xd6zu0s/znMQawZcGeUCvpKG/V3AqKaIJR3jamcJmPcs2iH4TFPp7yaFncdTbGhR/ZbSYsI/F1h4 27FVMMZH1/iwKV3kEX7QSa+oqn6/Q/gCdW+oHAcJJB1nRijCO74lYGOY5jtz+LDcfzdYXxn9sDrM ST2og5Qcb2FHjnz3b61xAG2dG47qUOyCTmhoPcjufkVKxHgmF8Hq+jywTtxqYLY7viqCQtkkm4aX 1/Q/Y4kUByd0P3RaN9HLbjFAK6NZNchVU/cqY2hWh4mEKEnPW2ZHgi3Buj7oPdm6lqt0gel42Pqs +pZvIusuorVhIJNCGzkyM1dPrNM/LdlatAmSxrE14b6vEuSE8pRPuarfuZ+Nup98heApzBI5iK9T ygx57adbxk+tCvkOYf1M8ofwwWa75XotL/Rxt2u8+lJpqCLBilsE25LjxDw9m/IgZT+zK/RZutXy RyzpbjbhgyOAKa+yUnK0lfZopDV32uDL/RrNiLCYB20rIu3REo4sMzcHjAwVJK9he/pkIM0fNYxO cPHlViD1NFusTL/0mBGQBoM206Lsi5uCdA7mwHRVRjtIozWy6tANjxea2kaShMaY94Y4YDwXexa0 23HSd+VNmkwhaEUSyYsBqZWYwNGT1LOJMOR3MIgZdYKuGabEx6H/8Lulq+q2e5pwRhv83xnDBKAD UQPKdU6nJYKJHln1QzmENuIwRe3dn79Zt0SRp4EDhTXFwaky57ihtmJB3BZb+ikfUr+ulIO7iDqR fZkX8hb1i/3CSHurk0yvI+eEnqs/o90jE+grmVW3CqviVCJSBsTnDBfG6WObW49haJPREo38s5og /MWAi82WRpCxgLJrDeFHKcveNbNgLi5X4LbQWW2uIxnY1xQpd2gOT3fF3tmcfWhOlq7AKoutd+jq xDKiG4LbaTggRqvfMjm535cPbZ4/2H0i3Y/gkwjb5R3RSgH89cjWEVyJScbTYt9rlyh57gg7pFmO eBtl3IU9At1P3f40JxEeB87BWmlfgAArUzehe7R3aPrycq7GCj6wlu1RcLCc+Yn9z/8jiNNKD0Qj Ncz9ySAcJgIiGtk4c78o9EhmQ1x1AkmAnwSJb++5BA2ss4bK5aUnxH6dJ6M9kxadQnsx/ZD1aeE9 s26JYsYPl+f4JvoVj5WvqAGgD6rKzNSMcjbAZ0unweNRBhrxKF3o+fEMN/b2/ZdcBZDgXYz9Y9Vd JeewoozKAekZ+sgOl/cHcRbvbodmzlOuk76UeJl91kFzWqGVK8f6QqH0AL0sJdgfSBRRxL9E34tS COUoGrg81+qkXd+DGKyGPKsav/fvW9VNTrosZdBtM9qf/ziXPtJkaAVZFmGKP9FMZqCkAnPH/Lre PRc9OnlCMINIAnJtpxJ/OeszX8Z0NPbbSND3hu7qGfn9rN5JqJnYzkIHOuOil7BK+Pk4rpjbpQoy qHTHMdqa26Zu//acv9p0kxb1nTQQA6pXv0gN4IcNhwCKF09Q3JCL2YmTg/RIDImqROMPZ59HDSo9 5GXRpOU3YCL0k1XlSePU8DPlUuzCpICJaUpRXQ94VJIrZ5UnFLDpqNuTOgAeCx9MHKPBgLMB7vF5 kvEyWaIhAG/ekfVYoj7Y7j383vCDBiIISTztEARpeup1V02lsOiqfDulOP88S+mKhaannG/F07+/ 4WwpzNFCwAzWY+MIuqjZpOS/EarDi57I2lBZGEm5wYU+vOeplHVgMkxQLn2FOxgIXIaIIvtyGAgp RZAxHiQIRwUaF6+MzY7IplWz1kV8lYlxFoa3mTI0Bop5tqiG3NZEHRm9IM3gs+6lEMDM7jcLY5F+ WqEeFtVLtfywvVkjcOQ1b6hlXoazngRWXf6xmzgvPoPSPVGqqppcMngftNNRwphif741XU0Ik/n8 uhCBx7MjN0WlfbEU5+Ra71hBUPYVW1Y6KutHBDe2FYHkCmOrPdtLuY60wk1ItMhuwtN0id3VT8Fo zP5gJxQj29qmypaY8dCjIWL5CppjS4kAU3nB9RXpi1MXaGtDX3fbV4V72exA8inhgRktVfXjssrB NAuK/f/WCUCrdk5TOWMR/27prJG4saRnZ4xvtUPUIsrsGqGH34fC1HHveK2BBG6xthLI9pcL920b /+bDtFeVKJkVJ8//x3OJ+BSWX8WI1jtyU4Riz5IGQNGzvmIROiIK5fRCznjDRSizZc+KKOuV/I10 Cd1tIWn3nMc/7TqmMTbt5aBWuSdluqwVbP4iCGEX0t11/xTnP26G2tNBqNAs1k6cKxhXorj8mEiF xJGAGftUstX1F3/sQ+SF9hdehYSf3+d5UlEYwViLVmR74ndId61zhbjAEii5k8iG6i1rlv4rFU4Q fO9YCM/q4YhyPQWgXf/vWrvzETFK4OQ7GkZL37uQALWlsecDUNJAG6PBjPoDXl5y+AyHnrX0L5Ti n9UT3IRna2SbxYxxpLYfYcsWxCF85m1bI/j431w95xskKznB6wZHNZC0CkM9VR08Oycq5QbOqeLP fz9KrBsY+C0126QeeP5gwsdG/UfIzVhu6K1mZkVGLm1jfUl6ydf18kuaBrfG6XCTSPeKx1UrR/a7 UzRjJETq4nQAK7EBvrCaQI5jleKV/ATt0F1r46tOtVQmA8fLUMcGkBNz8lXdf6F8eSWYp6Xl23r7 SGj11FLlr+I7nmrAlTrm1EnygAnXTAFCFKmjpc04yAl71693xuhhUQlmKGW5bnlE3TdLIVcc850s whieEZRLRQ2/v0rDmn+NO+yKYrfnNz9UIl+pmx6w/ErFwFPqOZ5zVCqhElQs+sZB9k6PnlJwS+gn CiWzpL2yihxhXiPqWNN9+0HgPivFSfcNbl2FU3Om0wYkIQwVwQIjgNv9d8yl+Dg9wFngs5E8oXj7 AwA7B5Cah+hkTx2Y8TmuWVlDhbfyn+6Ge0EE3PSR6XweYJ2QK/W9dVYQT5a2MRv/jI3W5LVmXGTj GmKOUZqmnloMzgCdFgXRjTsUetfZL1qBVncI4l5hb0MDGc1bk4M8ieFFryWOBIqTHqygLqxVdSpC Exe/PV6XeoFROTT49Oq4wJw67FOH35bRPVb38MzrwizLVSxWZKjNZJgHZ2zD0guTdfGjyIHm8bkD gT69bf1nNzlA5BFjI+rR9FdXygy7FwD5doPDCRSEgGXaP+dUlb6d6z8seYhLXNNNCe85H9brZIHB 7a7lPiGMlA7pqVm5wnK3IqfhQtyu9E/hpwc+7DHNU+NyQNMBMiut8bTDrUyEHm5dUzFqECdnVpcs BCsm9GP1v7HevKKCZCz5ZLxsdFcTD9mxlp50mKuH07XjW+WGcT6VXbFBCKzvMUSRe8N8SOAF1o6W vR03X076ahe2uktbDQ4u3TjpEIWhE58WNujug73J/abXUkzJ5HFqv1vVHH7KDUaGhmt8a3IM8au3 P/lXqc4M/ZD0cI+/KBBVz4U9CH6T3fbv/b+EM092NzOCb8zfsx9QMel7BeuPN6zc1jLy6Y0jLw7O d/LRX9LMUafsjqtK38F5bL2eFSP7XJ3bL2xUlK1n5+Z9DOowxGYhj5dtD6FoZ9DD9p9GLFUk5RaZ OpTMZZ4vXIems1DnUsk6WyPS3Lkg5KjTMZ/+aEuRpE+xG67gmdez06N4RV2Ak44K5yZiTfcmWmZF nVzbtTC9X00Tji2spXpYng0EoYP6mVbBJ6g+7YHHnTVaPZMuNKJfJqBrzvBG9mLIMKnb/OtNX0/m IBAtkiyCu2K7OiOIKqRaDL/qbhNjTnumQihH9rkX8H6XmbwU0X06qKAXr04WWV3VDew0td4TBvjk /WOUWeOwfy/9AbR0O3ode6VYTnjrGsZ+Z4mVQnzbvjUOKQ0dHpKDT9HcsOYwqRHp45Be9tKuRgR0 SNKpbYJOmPAoQnn0OuQrnJbcfkWYfNSCuKikBLQZmJBTVq640UZYKhK8pUFZbgihNly5Q8KIoGPd IuT0zwU5+NQukQSTE5nUlm72kPcd0mbJMzKnxoYUgYWeAU/TV5s/mifdUtTTw5MD8o9N+4JITNXZ JUHN61OSa2XEMOkFvKIoyQJLXMh0WFnX2G7zt6wGK/E2CzzlntoN90Fx5JcohEAI4k0o6XpvEcH4 Xw2i4YMl4aao4v5qWuTRvJCc2WYIIuqYV4CmK0IU05N3drBcFfiJSOA2/XPOyx6o+HTeBP2DG9XR 2oknXHhqcid6+Qybmcx4oWthVl4+fD6QYoLElsuFBd5tWByyxSNwB0CzipH+SyI9gQ5C0YePlJNI E/O93XusCzwz8TJr8hwVqNWXm2+YKyQt40mUXwQK1zF/+pbBv7FpC3bZ6vAHR4UoE8p3emu3ZbWg sjedUv/nfAdpYz7z/FIJz2DCctgIsJVE4f0a+OgxZErqtkYkfvzaE7b8ZHfGNY5q1ns7I5pWJ58T r5Ve5xKgzeKdGXpIQlJGSsHJzi34KdxAXp6AIuHsnQVqkM/BXVRpqv3YUxeiSdbv6IrUCbvkksyz 18C5dafWXRVlSgjwMvX5JfousGVg677J3wxrZaCG6gu7KMV2Vr2QrpefI/RU1P1u30ObjkRUYsfm umywhukklokhp7cQbxNGonRTnUjCPl5jqrw0rX8uBmakMyelEt3TcNXkma8Fw1yhK1JUlk/6Re8x NkhOMY2qk7KnSqqKi9PvVtf6b7PrXoUT+hUBiRvIPq2tX49qIytEI7r8t5jKqVyr4OxFPmJbIHJM U6vOA3diSt4qNle2cDFWzx6sVaJcAJTLv1tpXqdfu0sYt0Gjfc8MMvFJbiLMrWV9GrNKD/eo1NuR FdaNP/cgesQwIx+yba4ws4CwmMznVEg08a0ZeNgA1Z+6yy160Ba+hQ03ZMhYpXaCAbeHhyVzPTHI xTRgbcyYwj3/cNZH4K7xvs3Lu+cFIdhUrnqCvUVctsC5K5u3wgWI7xZBwZ9IOmcbg9vkeLStTCpx 6WET5TplovNjihe8U2zpeSwlQg1qfyrcqEz6Q8O0wVnllrQC13OKmiJabtpmtQahj0Kx5Qjxwq8/ 40uwBElSATiUbBs+6uS40NmhwXVziNGmMI+sPBzS9CcbErV6peL5zOOQX8/iMtCGTEwQQZBJafyS Rj2VhBHzQHr2XaiTGDWBq1lT1wtrYDGMEdo6LigF0x+889q49me2aKKA3x0ShXJCl2L4dCFyJc4P F8bgEf/BAXGe0mgLglvEWLKYmU729KEagx8i8HHqzCEwAK94pZv5894YCHXzi7bDGW5VV1oTqyku RG0NKiac1cRFzQ3QvGnnvo/BkwT4yYapXMmVGFiHd4j4p4+vjVAW/XaSZfUZHwU1HVaaPlovNK+f vF5vgj1xtswWObmfQF8VbY4XSYc0LiuaE0522DeywURpAKyj6ng6dc9m308ZFubz9w3GXfC+XcPu 4l3QtqyqH9neXlxKAtoP9V9XGuujNi0W2qghIjtfrfGXhuANd+W6erdUwuNFOc+08k1qykHKrGZE uxg2U2dQXqFtuJIKZyPiYTpQlzgT9vQ2BRYdefhbEeK4309O3B2qtbzDN2LNRazls+WxH9bMLS6V Lj9TGoSU4fCY/p9D0CaXhB4uwIkyVC1RXOZwbRsXnJ/KWH9+3fSyRW67nDejyJ/eybVvmNcXz55F YtX1Iooofkj35edNGvlzTAnreCtV8rhoJ38ytBdrjj9hZWIFg7CweG2t8Xp7r7V4FCuXKfuNyAri 8eEAfEuwfM49x0/pHjlwE5J5UrPbbxwu9Ic3E5jAjNMe0MITV2nx1N58bSfRH069Ppxlc2t9Uszr 99SAFW0QGQnY2pI7tnTgFgcSRMkI/2USojb42s6dow6S8M6YJeYgGEVw2G593DzoTiMgbSGMQAPQ KPNduPv6C0e1vl02gDC8kNGi/B9B8AghW68RTIS241we6OGtY2iFyyEYUUfpt6ys8l7l+tmgWZ/Z JjXHOWlEhsmTd6JDzVQg83pBan1acuXLM5+oEKO9hMqt3zsTmisCbqwkDqfEtqLqoT3lNiQwYmKR 4WR44ETbPMFkbBskWWYcSesVymyWpo1gEoTS04Cl5eqZrtzy0Lq6CvtZZQgSLv5THes/vO6mDUWI pS7cisZsGO59UPxmjMjJp2vP0y1eaTIKD0L0d6za0G/JrOsQzb5ui/+572UWSdOVtYlaUHURXE+g 93GiPt0c/VyrzdJot0sVkkwtzRL2fONgp8gmEbK9DOVrdRz/z8LPvxJn1XkYQN0SMTHFnuRaimot 9bCSc6IaScUvIhSY6j2tl+y/wV7DcBMrsa4Bwtzrl7xT3lnOnA1MEmh2iqcnwN1kLCsF5Q2hTs14 327wIF8mDnZapZnQejiOld1MVOSpUKSx6T6hx2aOe3g10IGpVMF11b36xW/PKC/dy7JHqfpqVsdS EfudnRgRrA8lw8nYoIKzZ2dqUD/+HbCs9q3B0mY5nTjWZoecp9ZzPVq3C0yJD59t0/qEIRgnzvBL nSrwivRGO8LU9AgEAsLazzuJl54i5dLS10HwTJSL4fuEbK+D6bJFp6VnjcuHFNRfEbD3h5yKpSy5 ph9oH3aZKRKHCzOvhf/oeZaMnEoI1Uacwcy3OU/4bM0JCC/icI1NZ74dqVqsjTIMYjKBkNx2wHN4 ZqcYsqBN8jf6rYEQErWGHuEyPDw3xGCupVhB+8nVqJPzz5aH1J9WBWH6K7o8nN+o9Ek9x+b5+kfz l/2reve8g4YW4GncR3/c+3EbMWAXhBhAOXH+yhMQEaUY+bPaMmEEGrPq+yOE5LFPtrpVFnhh3sb8 pmT9FbVLup0LOJXOnlgZOGO9Bh3iwA5CeggzW5AplAi93+37l9Tll57bWOFiWa7Yh9/RXh33rFqw Qcl/jyCOOevlS3ODksbStCzsgz1G+MrxgOEPJ6g0orFLslCYIoTEi7MbxOJpfISf8FlSF5Bh45+B EdwG7oBUl9y0uRAoOzqOmjEfJEKNNEeNJcM1VlkevzVjLn6dWQmn7893tnwCkIVmDUj6qw/fw2kQ uxFnvP86n/yHRcNy+1brSEyG5w7bM6627Gg6G9fugkOY2OfAKxRJTqrMEuDF10kTPzjIZ7j89onB WvGT+4/Xd/o1inmA/31VHaw7HBcjESFgXSs+Q/wHUgDAkEtrYFAZtzDXV8dSDXWtyzK08I2bMU5I +fgyV69aAFZVhhJLbHMxaxXFSqjLn1oDbtgw9D/jLPwpi4vSqVhH6B1y+R8knmhUdhqS7DxwvPFs i/OvfF9w+kwf3yLVPsjuU/YwqhoAxYLxHgnSgqyS1smn29U8GroCWKqqmYGBBoKiNDJk7klPpoMT XeUh/RWq3ef4xpi4UYmAkOT7t5srxz/J+iSVRxGFo2+ybpuhOXsYLO9CCQdvWAORnrkIVTYkeELQ hrpF2LFB+pARV5KX0Ciw6hpWk/W65XJLbV7B+lD2joCqPff0ze8e9sgA+UWJRSEhqOt5hE/GxNFy i1eP1u3rvgZVg/XzS8K4SUEAUSB3HCvMpQMlpoh7+Drhq5201AwKanhUZwOwrQf0JCGGKEHPltUw ftP/+ZeUcrsigMJBiwV7coMmVHTITQHevV7lvEStSXUpX59A8yx3VIbMovAlQegEMn4S41yFZZjJ 9Yrr51uXKgC34RwHb/FqIa/gB2FJDPZCKEiRJjPqrq5W4EPw+SnLZ13HsBpf4TPIn5hEknwAqnkF AiOsd0eoBEzlDIry1oarlyiLiuieJuVbqJL7DJ4x4WNfy7b/T3Pa+jA+yGv4Hfo8v86JN3SHvy8s dK4C8Phby+ZZTsptLGAOT9dfj4m9e8GpdTAiRvgQnhEYbPEuvJxeK6u54Vmh3O6MHeYxrmO3g3BX HXo/dx87+JizpdCebyXbieXTZ+0sOAbAHpOAmZRWo+wvvuQR+G3MgVdiu5wpv8SkBR48iiCZzqbX XvlN/Ikoa7js1L74sVRMTa21ZCEfe+x3SYzPFOs57jAA4Xh6NIkOjYtEIDLvJavBf5L4nZ0W83bI gsuiB4MOGNfJ87k5fihRRGFe7K/tO8WelmyWGcng6UhaFEHmVleQ9Iac8STowyLIDoA4+imKijNV TcPvENS5Vblctwyil9x7p2FOKwP3Eq79ESLuJID2NSceeVMSJKz9WkAvNuBChwTuhdBXZirmw0z+ GkzSrE36cQ24GmgO5zFZI9NboEpvIPo+pEKXMzPoJl1djH4VqpEq4FYRcO8W6WsmqC0r40k8F22e DyBwTwZuht6PI0sQcZkkhfhJ2ilLxNOMd36Dt57jFaJxs2zjwqJK1kk3Ncorx1bg+dTseOFuwOpa 5igP91cLSP/8886FtqAblQMrP41g5jmuozYOvcc8QTHR8Hlr6G1nTVFSgASjQtIP/hRpeJDkFFb7 7Wm/fUvKQbtAYxKTcrBwJUUNko05DxLdB8aTOMcDYOy2FMovB0UNgA6BeqsYQyHNhFiqOOhww2fx VFj8gXhpezLbhJgmTFZ7b6eGV7ft7ODt5oNXtrVAE0PbDu74VcOqosgErcFZbzDooyYxFhptdn9c T3OxsnunZKkhDm+Llyi094VlIc/KfFXvCUXgI9RTs6WMtjlqoJBoMzo1m2Fp83gkNNDh29ZRikb3 ztFlU08AgQw/mcl/qE32BVa8RtkaJMOhC5fOV9L/Xsl48B9KyFYHwhdsjZZ1v0UCA9OKS1eUHxww s9gWtrQSa8WWZSqtOujojVocIig1N7oKP4P6bXzsVMu2ijMiFRjUbBPmORdoHl3/w1JwZBV2sT6i 5FbZRplOBYMZ0bL+h9PVDx5Ke9fLZr6mcmy0ogP3Uc/7KSdWHTKOddmXClDW+LDSdnMgRF4k4To7 bBxBc+hcggHMA9awm8gERVDHVLblefew2sJdR7zUf7Pf2pIjvK1P7SbaNeVzFiLbAxHPpaBGMYD+ PKTR07elGj69iBccf86sBC89cJLcHSGLO/cun40lx/sT/PQKk3xUa+CEVJ7jyLu7zf7HgJsOtzZl tuoHKm1f3v7UxqxqsO1hZTLnyAiUQHjI2u2CQXOJ0cP/Oj0L9Sqs6JcdD13AE49l5uj3tWy2mnP7 wWHfhVzYH4ZJKsFxSihLr8xf3XYhKUpoH9eFcrx3WHgJ2mcViX++cGajSiidbwmK6Inh2NebHKYl +H7bbqQkOl40CLnzFGpQK9BMp0kTEBbe/0/YByYqtf7yQRDK2cVrlqxZeratUj8DV/cTFbDZBlAR aykw8ADudYqeh9ruJljOOu5WBeAVoc6UI5z/ddh7JPKXUY9+o3XlvzVoCB1sK9OUF4qOsvr3MVnq SEDun+tvgoKCAG5K1Ba8Ob6GGbmuelQ97m2QzHqpeYG3WbFYgomvSyoUUPwJpP1H5gMv3IMtbhn0 jEb+9W/CjnhuUOlSW91BJUD/guOx3lLRBO39PhZsTxhCrYhhWH6GmQ0HUZMuusc1Zh/YpJJUddYA /B7+cYq7vPm7r8xpXDQ2il11efPDT3Lt9bZHLC5MXophmHP2GN+EEMjxDfj190sHvSn9ivSN1pRR zoBEaQbqqCfcTKdowaXPnO4ZY7G73+zaJNakCfy7OMsC26YTYAph89JSoMm4htYjJ1idl12Yc+6E qBhWmp45SIR4gnWNI2drC/SQLvno9FHezR0HAIyllsaHwJjXtLDbKh0ZKJVleE1YXEpIws8xYyAc 7O6J3paLw8Mt3DPO8gutbJlDhq/geP7n/gaOK/UbAwS+vaByNTcMsnW98/m9gIk3xqCbutjVJj+d MAgF8gaPRKrziyX+lFvnzPX7R9za1jHBFo6Yog3KzK3NPqZ87JGjpyXqCjLatkdZ1Q6hTTUP55bJ tkdpr6j2At27FlA2rmaX2bjJFw3LDcRQRD/5vWBOtM9b7s2PG9nSO16S9V78YrfVK9d7qzAb3nEi DpvcHAFIbUXyzdWP5ISTo7ZjRH5nzKSRIRTZgd9Ui3/tTzdZpyN8y5qTY473/draR6fxk/ZrJOIK txDKShbq+Svb5009hcomG7uceXbM7GF1bsv2n+lrCZYRqSNvm/s287aFHZWcbeHBH5EDstD1qZ5x XY6ZQK5UVWox3ZVIEG5oaVGT37IOMdlSAcdSbhBQyKx0XA6Bk81vtwR3PP4mjnT1JL7BB/am/9XB s0puVAo/ejqOzMa/payduDeayN/2QORQDwD2lzhkdGapaFRnUZ/UDHd4gDvXzmzNa7/3dq7yRnuT E2yZ5i5b4zY3ffbwJjMZ42aGb60gVm/Ls48ljsTFUvYBv48kQjrajW1HowXooa3Tbe4koRYXPRhA V6uGr5PZkdf4S2X1Op/9mfG1PpuipKHgnhHhj7Y9P3qnEbn5Vo1tW5P2rQzU1GujWmzh/LqZUNAY GcAuqbrlTlxyreKTVIA0HH3favbVcWaQ1N0Qh7smvD9JZKBqhRrTET4i/rwV0IKx+TQhWhzd9CVm XX+njhxPxbvPjmy/uZh7DtPgP2xerZVabKf6ladVn16KOqmTV6RC9migH7wb6MMxkXz1gP1/mk/i bE2DNSfckD6qctYX3tRkMHyeJdXLdys/iVsIBW+8xEs5vfN729BKJqp1OilYOHBX6vYsj1Taov9Q 8+D76bFaoNfF2b7P/3RbIE9GIK9f+Iz51p83KPjyJ29gnEJ72e1WiNfPajT9RjvQXT9djv2CMvr8 HpLUAo/5bItpATEDZg8VJpp2p1v7Xhq0MIy6/qD975XEnwNFliwS4ZJvhP9JO1Q+qy3mBsrsVJYU hqPBF5EVbefWxsoXHCWWNSkQ6i8pxPppq1c/J0AEbZGPOUT5LnKDU3Gmmn4cFnRgZl/x93ARVC/j MIyAxxrvx9oo02fOd27jXqkm5IOHXgRoze2XFuYODiKdVrtt0YgFg7PpjOI6gwfEsg5whcZlmBmr FBnLdKxGdnbp8bSxMrjw/jMtCNBOPhvML7xY9lbHAgm1Z/ylmfIZkqS30l9bbVqn6wSkynpmhVd1 AyEEzeJVLpIqhTJO+kpBmGRm3dfMexX9XTFlLkWqMkHtx4sL2UeR9fQot43EzH21ZepJRQv5lcbi sOAOU7DEEcS4EtZPHM6DJqvCFIVwF8/5aaO71plZkPwoEmK0xwhRBcTLNEhIZocjtReMAMCGzagA 7VD9/7RqPQHCtTLvbcnbNZUI6Hj1Dej2TDf93BHmOj/coYRow+ZUDk8T69y10qKDeXdAJxPJEDf9 ++wxeZJqzXb4awZ0l3X1V+RX/3sZGTrKwIkQGATwabKdyY1xZxG/xZLYJ4TQYcZrgQ1A9L9qSe8L XjG81ogrt8s/iScMrT0Cbg3uhh6qWliLD/246Z2s+smCm/UwunnPYT4iwoAwV8esvM3qCFCB+KIA EhyOkdwaPdPXcdSESfxNvtLMVd7nzaVcD1bEWx+RRQn5/RKrdY4mifWdTXkmjHoWJfc8xgYLr/tu O13+PjPzrlFcfM1BHQFTPCOeYyfYcKmP7iFoH/A3LNcPVYE4QLixDp/k1fGNGsk/43gKoPLwQzTq i6go3t1IKhu6NbtoO2MOOp+iMTJI0bBwHABJwmryDHGaaD+IVBsTAqlHT7Go3jekQsZgLqZZwapH RyXSlCrlwOlG2mckKFuckwFYRxWdZ9Ae5udPlZjDuvl6hl6eJNG7r/+DsYPLZGuT+wy0vCUFeVwA DxCIVX1Gpm11mNFgKBh5tik/fSAWizHvaD/DiDNESuN+OJW6OIOiJrZ+BfVN+NMAADseYYa64Rwe ZaB3KTuux7JqZLhYeLEao0SxrKIMR5HmqXYeS2AoT5vmMkUPfCpFsFwFlsg2bFglQyTSCgvWUeDO cxIGCaqe9lxg1P6UFzTlr/UrtSg4b7+8gMbHbgL8kt87yK1RbgLmLq5eX2t5qhVPSq8mKCJqMPNI EN51ayDTbes+AsId4qN/tMVLXzqW2ahKowFI93kAtil6wDt5/sviyK8QkVWynJHEXTSYCkSM6Q1S Itl7MMLQKprdGj8b/dLfROryAgzq8Vqy9ynfjutSYAcFMJZnfncT3quz2ur4AW9nAXAhBo8WkmL5 KKoY1JgcxRFWe8uciQzZoNZouguM91/Ea6BMbQNUMX7uqipYqUluyy0yFBg2n2+/I1BxznLucmVl vKlTkBFTfWjw0+3bwWHAHuE3jiDszEEbpD4uLxGWAALjKv74BRAlPE9PvPiZ8jRFgW4rUngNRnEE 3yVDi2lP9co0sUpTHM/sn5NjmP6ixxsaOUng0Av2BLXk7lv7rgoPd66CY2ZRNWwl9tKvUgL5dNg7 DYHt67fD9XI7em1LgdE40XH+Y0+Wder3zabJ43hWjxtTdw2dD9ZKadxBiKHx56uJh4Yib5y0Vceh 8w3XAGt/CSDCBZFxJ0ERVZzAxHwhmyFsZcq/0vK74h1B3PAhlLHauS8dzBYDpB8SpUcbjoq043ce ik5rDDj1SgtoQe+UMy6vXUPpbxzOivcKTYVLXxTvs0TATGNM+7IA6oBET7KLO1tKjbPfxxSJ4kkY 357mLPzi/uMZPEQE2GT8hnygW2iJMygIpnMSe9OoXoJNOakQbQ2GXEVfMe9wl8SUflxv0h3In3he zaRwhNcPRJ13UbS6r2TtCwbc+KjyWCEaPfCAgoXKtA3+3NqeXuYExGLjiiXk2gM2sojyUctu2wEU 1YRvFDWj0R4F82XxqME+X/jBnXN2HRQwHHebmvkHatpXqP+tVM+5foUiy2Mlu6dt0JF/yJQDrmtc Sn7epu8Rn1c75KFsPeT6vaTjtJwjpR7fhTaaU/PmuLS2aowSl7VOtx2W2q679AA2HTCIvMig4hfM 2NWYooGLXsQnhBDyHJLT835/arYL98IVK55jy6w0bz8uI9AOu5YCs1Hkl2buXsLTq1D/K6ga/Do1 pOer/eyueX8pe6rVpNLJ2sPNhkAcfSQQn/wXjzT84BIQYXWIh4ESAKs8Iz2gfkUW/ag6dk0beqgT jugmVaRSXBCVCRpgjn22mrZ6I+m0ktikF0DFE5MD+dpGhu7ZJSeda1jtRiG3igma7sscvr1EsZFP OYa9r4UJBBW0BjE5nSU6IXtddcMZO0ggiPfJB+4CjiPtwwd5T/egw6IFs+I/znsGgUvqJ4vfQ/Ms Yg1/j4P5TT7JogaCoUXg3jFZjOhfXRl45cTpx6d12HOBxk1KWAyOi4nF67E8I1mmB8ySgcE3+UkV udb8F3xp7E86lYocmJMithkTSW2eBdPf1VlnQ3aMunajNi73USyUUj+t/LaccIuor6Dx0TDo2fGR sFdpmH8dYtxBX9hvnRaF29ULtKXYPy8K3B6jz7PSsY3kPodGJ67goI8IfDPZRq3xpq16CGd85gM4 raQUce7D7WnPCLct5z6XM//u1shzz0cweuI+tLGbbgg8YDN8569o1zk6JmBBdtaPKljX3z1NAvxx lf/J3/gxxeiC2zYyEzn4pYgwU8CUaVrwckjhqZXrp5RLJ9nuD6NwC0rSwbb6IN4yzCtX6o5BmTYJ Hbyv8nsVMifARcPxCLOAU5LCpDY2rxI/D5BJUI0NbmrTuh0PLbigSElLanz+YOVUkEbPvtKONsL4 lyJpCmHSIDJxlIsStPX4ziO5cy7aneyVXHE5dTOV2FWUEuOwK4gQYJDd2H8i794CMK8OPs3Gu9Ck eRXEYFs1LWFIBmdPYJHMpWBMb8LA29K/V9fSOhEeaGARmAw6iwCmtC57fm3eIa8tSnnX+QA3FPx3 GG70OPaxlknQi34bJldUcuXPDVXLUG2gkUd9QI+NDkz67QPA50JTKbCXakrhJcDxEkpusSsvsXW7 cjdevXssB4qYEORuCjCwtZYQYl8HMzmtTS/LA4wzHJZ5A4p0WBwsvoeS8zpr/Vimn/Eyp3MQgd3V mJexgUjl+uf7FkW9URiLlgqrX4l61xyGNaw+XNH4azGtGMp2oC8O1lwuLJ9ufkph1SI3BVRohVKi CMKIExhdcVDKDcBRl1NXuORYd6IDNBAusmQFpKdvAeDKt64iMd+pyzX/eD6O41GOKJLG035erwOV rH6Q9hOIyPdgwBrK8RfY3b4CGPhhfbotPwTkchOlIWWNwBDSWEnP3h93abNTb55zROG8ua65YYr6 1ck3HHQOE9un1h/yOGNofrCj7tyOXSIWNg6/SXVetzx1XpH5ipLNoYx412hM4r//llMdeCBKbGeL O0R55S4aFO5Wb9AfHgx5gjeYoDe8EiP3dhNZVyvzH5s1WvvW6ARGCzTsCe1oFU6zLlxmIx51FLwh 82asXEOC4JZNZ+VffrAmu0SL5ufT5xORq38mcppa2ffuGI/nOygKroIfRvqPakZn6dCRXrtPgpQv ykpghofmZR3rcPbt4ct1RGr1eohfLuxy37doJBNfyHVzs8K8fYUUrj5UsjyjXnoysd0N/P46JEka +8eRVlwqVsHctAIt994qZcIiflTpiYLRMwL2Ks17rzAWsn+c4Z+BfVG+n0Jeps2g+TWy9dT8r6Hv gIXhhM3O+h30N0CBOnOPe0xMn58S1TQuu4CXlnJvMfPEdiAPGf8SPUfUedEmgiqnWwx9Y+MkuSvR fNtJlt0AKi+mXre0D6567JF+iaT/pjQHFi0hq/Z0l54ZV2h8276DNPwdAlHPqUTUdS01YNqmJxua m63HJcwKIj3z5cVxlmAdTIZ+bS0DsSMkw8JigRrzx05CEObPp8a6ocI8Wv9r3dz113t/DGCYC1iB AsxLogBfbBesHs2qFPVJWfj1UK14PNE/MHAkgFhdxtYIwvB0LLaUmVLA/8Dt5DHBXu8cawobQTBO MHsWrhuS6To/tLbN66C75rvtmjFIB44GaYCEEVELOfeJCLXndAtbAwJqIqSCDFaArNgBV1Y8zrgo TAEVaEN/k5wGaTSdTTjRQnbEGEB0SxT2EFxUgARfweHqsaZuh4jNuFLIrtQAu5F2pwLjIR7VbFZw OunD482wGwWSGviRLnqdg/o5uKTl7h+iinyIicn8l26+pai5wwrZN97mf0tz53HaXs+5DejjYd+t O649n+g3S9KRNz0e2WYedgTIH8N+jRWM2y5k2tHbDvjSZmGdOQkkZYdugbV5gyWZk38oLn1mWp1H iadVXVMir/IFuG0EQ1gaBEahK+5usNvRdo3zUDW58pNpolrbHHnRcjLmkJ3LpecjsgsJbuKTqZ5+ K92nS2dH8EbJM913m0Z9qKgQeVpDMYY865oynFogD20HP+3sW5oyLcY9ZkDPlLmAUNdqUDiLDKNt qgcshEcuhmv+PaZJqBOFzh5Ru6sS62MGxcY8ilRJiOzAWaha6EfnLKzJj85Q2xfJswO9gr3Crnyy PGtD966H3gON0pSW1N9jO1vri4W1n+lxok4XBG7HozTXOwkOeYU9qIcOogfIWN1zSxFV/jsCXiDJ UYT6Q0RokWbLOA1g59Hoo+4SR56lpELBk59CafDOaU7/p2UOW0Pd4W6mJRou9XDH66cqTnqE7sip ACpCEuN/OiL2VQ4pEdUeVPV6oQeGOQxeWcL4yLkerfnNn4XzH3BvTXz46BCggbGqnCxCA2P20Ilh /+C8q6vAhyIsnmoZXfyIyDRDou3r7IZK+jQwfUgH/klcxrf75LWxvGym5d2gXYh+SkAbYazhrcvH HQmc/PSUiGGZvkBg4pG9g462b2PtjgFvuozL9HQ2pwVsbiBXaZwVqlxvGFOOOiSjh9oa98Wt92Js vfftu1vuK2pdmwVoLCPDKu5P/VZv+joQmBhr/LEjsph/+iV/m+N0M53SabrsuGUJEiNcdEEmHrXn fZp+c332B0TToSTqwNu1Yj8eD8Djuge/xu5EtwFWEEhtAsF2HaDJRKOBRWxhhoDDIrQtO/pr94Sv FhK6qrR6fWV9n5RbJ3KpinnONTsV2bCrWfxOM//iF8Ho9K0EVdeecFObnGM0ncnEeYLil0UNvOJm zAx8YtGUSVV4pQ9mTjJlzieh2sht+1qlfhDpfyfb9SQ75vcuALXAcE1YIgXc0K+wYJSc3UYBJca2 Ez4aJQS0WdrHBmJJA9YiblOL8knsLz8SV6TY9Cpp5YeF/XHKth2mFRHdFaJdJ1DmriD+NDozK9g3 tN8dX4LXEe2PHwlWEu/hj1LYIV74OKnn/99FS8W/fAKpNq2oODoun5bufqczqL4ANRVCijwmvPqp D3csIgbRuNRNuUZknzLGsmPTZH9CVB+cILhfRD+rPLLytkh2Z17n5k4= `protect end_protected
gpl-2.0
1f5d883719b0b79f545c05d8431b096c
0.954316
1.809538
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xfft_v9_0/hdl/fp_convert_to_block_fp.vhd
3
18,948
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block GqnnIe3cJZpOXafXU7vNFEMIDbPYHPZkdFcSunGj4jg6NFS9G8mNwj4L3qI02z4L2pmJfUNmvNmg qU5+f54Zqg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block DZXHjXM/uZSsRxnMaT53f46cTGs1afxIBxNF7wBWe8ZDH5JzOMn+/WI028GZtmqOfpifuFe8KQ1r Bws/9kwNMl0Qw3WxQmY7+1SZOYKLNyMazs80L7Y+wTSzRrIX+I+yjlxt9c39BAU9vPRsyxzG3TNk rO7+PNcvljZZAmKWLRo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block hXfcj5Vdj8o1kJCeD/vzQT7OfJuL4H3esCoZ+SpTwtj0oGw9izmHDpI+9Xhi0LXVz3SQMm+TSH4x 6+EHD1gK925QziDiwC4gfbEcDAJxrxRdnzoJDP8gA8+pNYzTyIDiVmruNnwJ6PzDpEeuRdnyNFYs 6IvR3I+hq0Gx45kftc/jJJMSjiZjeonTO1VEzoU+f/HJNO++CRkOVA6004AwIWgA6rBfvv3P2C6M 8lPbUDRmDtAsqxCnK4TLq57od1xrKOJzK59HidGRc3IPvyw9vBy+NN9M5UJUxnupJ6Qa+einWw+C u7B+uJD11Br6uhhLptkL+VG42KIWvOsk3/sKRQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block GmbYZhLTmfPNesA8K7/ljOIHlq1iF4cFa2GfB1+2E7/nsebQWhubkv7UEGCSQcs//6m/w0Xblg6s IU5oSO6rs2pDERFx2qa0pdvin5Nz3AWYvveechw4YwZWsaFzjqMXpNweDoI47v6cfhpEQiLie4yg vjrpHmvyzUYzRqzpI7I= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block nNJAk9ZtYGexEQ0iqhD0gFJYvI9r5BLBEpe9Jq8tqukTpr0f2KL/O995k+CP8oeurXIG04/mlMcC fJU+oIIywnpB6ueSjJnwtzMlbHQm+2z2K+p7soBsiQSlNQLj5Ew830DLMEh+cMkwY6m0ETJH7YL8 xpbXBUaDixM/JcPl+pyVPcoxN7H6rTiGQlD6IZbRv5tzFQKtAS1xSCni9zOhlM2Euj8GCcU6X/bj ZNP4WHvbmXBe8wMEYZEs2h9kHq1hGF01IjDA95bovm5iVGFvF8Ge3gheuBKg0mzagE49Vwm17OXn N/X+hf/Dw/U61xX+xyqKPY66CexNavUu1xFyYw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12288) `protect data_block 49gh1AjMM9SjzvF3sSEvu3Pfbss3VxtpwFhrwVFaXc3HzUUhhwHtdHYlZTc24VroupF83pdvhNKO Nax5IuMHLXvBforzF/FHfYP+xri3srJp2UWSPwm7sPLcmI1vvb8bWdSgSH+ZaHCRqV59mZ8EK4EN aD9oIrU8s/E3KSs0lEJ5iCLofFsQojHhyth0cAkEv7gBZFm3rPOrmqK9dnOi2/r/84C7YXPYy2kM Q8W400nAnJVF7AyjZy2BbcVKKzRaua7Fr0ZQ6Co76lCPYevRmEiQl5UoWHA82f2Z5iEKy5FZD86i GzUgVMJ0rDkh6AZ+bC3nB1qXq/xO5seQNpo0Olx7tFUaCLRoh5pyF0SqDg6HkcZlLZrbvXQ7dGkS uwLVaCM7BQP29BjCzRIwcOG1OEm0lsEKELnUt4SxSDD3QObM/WYSsnU863A1Rmtk7xjc2rVMhi/c X/ONf28IMyKsPcLo4WyxJYRV9u/dQ8IkjnCThOjJ+U4GQ17yllOz0iyQAOzJFo4331vDXOO6dOl3 kEs70m7PLz3QJezLTUw9bw8GwnLPCFHzA8GhI5iI3xMKsplFUAL9NzcaXARZkRLds9wH4omatrJr LwPIQcohHuGSOPy+jNLkVhW6+JVuEUMdFEx0WzWrQEPvVwgfHxLJzTFhujJ0SmP8imklo8QU8EFx 9LrsmQ+lhvxBrq7vpFUtaAtcFA6Tqkvj2LN4PtY4ECsqy+XTJnbTd7J+P96Bpgf/KE9+KdbE0qa9 pkWkr/J3bThBtj5uo9dGNfWWIZnOfR+KCSZZMpAB4j928vWSU1II7FzlirlenlxbaWiP5dV/cv+1 o/cs0zetUavdTXLWHizg6agByurRW5XkllbteLQFezOd1KsImNTKctC4kVu+12ekIuukNYLs1Oqw kMPmjZHAVQa0fgBIfl86fZYhvecjiiFMPnNNLymQvCUCDvJbmpUgG4JPe2+clBokzEVcbykY0a4+ frddy2qAktMwsSfWWAVgHG23KiY+wc3KAz3PYbwqAWzKAtgJ73TZw8tIZo5xnkI1GPS2CnbZQVjX Rr3wZxeiPxJcXqksQjfvaXWk8f1B/vog2FzI0GIKQnkL+W8zh1LLyjOoX+EeAGUNKEUEsw3n8xna R3o/3kgt05bgbu1/bRmX2rCjrEuPIr2pZJd18NpzaR6L4ELpl8Sjl8UQMq/bDbPnWfHxnDiyBi4K F/op/IoZ7xUi8kaTkNSNJqUqPDAD+Dh1j49VwG/K9WZMHxxFkDXBhXwghYDPD9iz74izMJo3B6I4 dpMVW4PS8Z1/Hqa+0UBKHw0+NZLv9JxcXNabm2/h2D7h/WJ5krBW1ImhZFmbx2dYdgMRBBTjLAji SS2dAPAC8gOSSlK9bBLfX/a+Hol8GoWxcbnFbRJmoOxCxKi/H8azujj0Z//HOFclz4CVTVnqQ/Av +q6V4EREL8F+8ST7brBubsU3PiTrLccV4VuIttgWZfVPYoA3rBFr1/L+KZCLNgJKsL98913TAelQ ahCqvMSdv5wqNbp9oVGWc4iVXIehzusbFVx3fnaRNPU8E3NIlapNCOlijD2BYWdgd1CVOx3nFMTa l0s7HVELnCeUEpnQtJnVRWbGy1U5pplrmkYJusZzc0mbmfST81NkjVg3b5tvC42V/BS4koBC/QEf NzP7KCvuiStvTmnzj2QnbuWoXE7nnjxyPFlee4wy3CSDzZVlgA5vRyFtduU/emDmfh276k2WoxrR eAzcSiw+8wSHV2OL6icKr0wfYP8zqfLbmN/sXQdTVGS9++uiM9VaLLQD/q2NgBbg3EnCtapCsegd qNiURXs1I69opfD22oj6N8nDrMnOUdbwj/bmGVJdvK3TtaEL3c2jZsOQ0pgS7iNrm7nBaKKbI/Q4 8nato1nHHyneHr/H14vJcoA81rv9lvPTqO8dBDQTr3MFuNB6435zAUDt5tFJtDHea70yhkRyV4mn z3lqQILhVDJr86j2q+4l39CMqZHwPlovrz/r6CaSDc3Pku52cm0uayA1ClYGz/ds42C1ySo0c379 3mGayDUfP/+GY4Kp4ZgfqCcH8/DJJMrFtimfmYxLU2AGNmWD/REizQGfQv7ZTixdlNI2gejHBHw2 QeSWjUMVjOIJbKoojPCjS3Qxy+2LpYQMNyHEFwA1aNQ5Df1xDFtO2LkjyA4xKN0IW8U/DylFLe5K Q//p7GPkW7jqutbYKXQKvscbLi0uxFlnJIwx+Qot8CdLvq4Cd6rZSvpsrI95x4yfCqHzg4uDGnZ9 tITTQ/F1IcUhPRfKtLwB1KPBu2KhQYdfG0e2CJum3AwNpvPmVkQCfJBtJ0AUGFYrbJpmYrEa8OJP 4iSrLvjT86lh5YJzTQ9UuULcLmFr9zI+0tIW7rnWJwFbB5JDECCoJO4ZsHzHL5un2rcl0b/4gm4v KRNjtoQOMGAp2VqNhxPOu+7bfDlFj6SaRuwdrvbVz3+uM0aTNDS5Q/CN8npCWSbdnhjtC8X7VLrn sa2z9A6LT+XENbAUjTV3lAR2s2E0eFj/DTV3iOpV25DqW+21rS7l00a1nl6NTE52Zq0yFyttJSZE d1XKxgHida+p6Bqgq/MOCSEWfzhjiCfum6jrm4MogzWpoMPQH2/+Jhed5qMjkqT300uAn1besU2R eQNw6CtL99J9JEnWFMsC/skeN6vpfwbqwR6yVmXydYyc21Xioynj2MVz34jqG1st4pW8TCDXJ0MZ InqQKeAJqxoU5aAP3nmM0Q65BxVn+Rr4cAogdmTOdjlJp9K7zV8VQWXsZt76heM+fPa3kgn8anHR 5REGriPkXRDvD2OGp165RbopStYoB2x2okW5QRZwIm4NnE9coDqiUSsTyVScucz6O32TyIJP/mZK yTUgbjrhlY8t1co1WfJOytureJJ5cDGSizB4xgrWdzrv8FoNB4G8eyeqx9hjJGLNWxUxSPnGZwui TJ90qvojTbRJeWnnyuhALyzr228j6wpHM4G/LXEMhRkOofR5Rp/UrtGT2ScghYaRAXgD+ZdITM8h dkDVXZnDHtMP767kZ0bQSt2/VFw45ZXM+YtNgcEYmEWmPszBsN/ogRLHiRJsCNdMkeqQqzr4ISbx qq6ux8qABqQUdWncxV8dhM1rb9xG1xZtN7/YC87RjTzMudidYGOmn+itnvuGhb6Ws9BAtTXCLEvm MzZIkuGkIrPCJGtZ0mLVH2DydAOxlXqrV1w0gPeHvg4S/IreVI2zc8lna7WqepX3jLjZ+iNNG/73 wHXzHD6uQDvc1OC+bPuYDrzZvWdJZrRoVlaA+jR6yRIl/2il/LS/53e7hLJpLgEOOLQqSasYdh9K i5m4Lbs5ZqUD66xR60sI3I9SFwGruXw5biGoeSJvmKg00AgXA5TvyNiv2C5+6vsk/XXTdc/bSqvl lBCEATh1+zBkIXW7VTQrybhA47trmGOqs/L38pqjgSRLrDdxXJygBkpgtSNfeBnYsK9rqHncnmvy 2ALfpS133008HyHeaKXA4dtYhWKYZvogFdKY2o4H+qiERl8JvzghLnZz2ZQN6lSjsmzJ6rCqNxDz yuGDkqgB6OEHTB+U8eMSQvh1rXcf/uHrq7IPF+F/wpcv9AfqcEUbXBK5NHBHsQHObXIPwBiSTd8p B0/q0yJPCEAAgvVQ84YNh5EfAT51UIK44BOEVRwpq0WaB1d21+iGE7FmJwerZxBoFNIeIZQdS9NG vZfoXHOCoySxTgPYnVwIlA0uIigrSHQx/WOgRcaqNQTBnajoRqL8Rzl4AJ+SwCEFxA7619WdSSJ0 HARwSUeHQprFPy5DlDkmTk39ay4+QGRh1n3QTSXtnKIASARMpS4CQ2xqyBY9G/YP+yeMtoFjvne/ vQa4JlFYUxifX3PLYmCKDCEU2l/N4goVHWcB0EOsFmRQsVrblVVc7JdzbIz/3D3syAWNffJmy1jS qOju5fknJxuR2ei+t+SNIZZCyQNYc4zJkCit7W5D+rMeg/LYG/50xAqZ5175dfPn26lgURkpi74Z TUEYsJBj48o8Fp5W29QiH8l5Dr0XwP+FUi6/NtZXFOqwLZPN3a/4tUiW7MN/Hn9Pc0y1+dBh8Tgs i37UZGIF8V726PisTxlNH2MW0BVtHg6DiUVGUHCUiVZN88tl7YWsLpy/bmhCrgG8d+Khmktuz5s2 eb7oIqmqLjXPtCUM/AgemZBrXjHTnJd48QY33BhMmMzFWgm2JR7BzJUSlZAbLKAcMteN1KOaYC7/ Jpgcg7u6nZK05rHvoqOG4dvjiMIRhi0p+H77waGoKGwqxoYA1541JY7ZBp9i/kWiI/uZsKdoREB9 wBqpm7M5M8+JnFvTFKplZWm/T6OO6Ro7UmHrjaQ/tyYl9kFA6X9GLKrqGpX4x4mkDVIG8uGRTxDj W6se+W1pogg9JY4PLh6BI1/C/Vs8TzlueEZaM9YRxxUVe6SJaxQJ/Jb+FTNigBITjiu43GakQAAE uvQHgduN38hoGFtBT6K1jPrtUyKjCQ6bI2gxClpzhqVBverQ2JUFNvZpJycMYN//yd4HbTXM+eUq bDY6EfCDhmnla+HCrj5MYzUVqGb/GjDgkbMvx8z6shtqpDJNNN2Jczm9kbTvSB4wyJnC2bMnvFJB g7DNA+EuSzDfb2F3vJKTMhkZRRO7RwXrC74ToTTKZV6JV//IEF+DE0rI4lZdMuIQFkrBdac41SMr 8dP3D4itLEs1UtzjVCPEBO5hsCUFg7I8Q/+Ise2v5A+mJ5wvVu/wQ13jwGn99UaS4HCKN0v9uYOA S42t3KdZTysQEad3+IVy4R3TUE7gez9y9GqZABpvA622O2taN0hevLRGfyA1GUos4czWFVqPqa+x y8/jsiTVY4yLu4aXKDvd5hperKnNeAsQgbG/6IRIDuwBRFt3rWY7JOaFgjXkwWYexFbn2IKyYmnK 7JXZCvtWYR0ek7XGs7/4isO4fAue+oQDG4URL4JHh5Nxh0N4tCAMdVGQhpMaCOvAu6dJQdJhGlxa PIVObNeSeEWrsuFgPcA8Ws4U6antKyuMENLSe22RoVk0df2PX+vJpiQezC+UAv7X+BEDQYl0GlXW r/wuB+LHq8AsxgiCguRX/79art1LsYOF99foRP67+aLY4cTqxAKVNR5q9eiIPn+1mxuqGD455r6+ otonpUVLcuonUwp5m2hQKs+/QvWn8rH8nL8o09ZuZXQfasDnrZ5AZNvWaXfa9BCLiY8MjHfHazc0 lc0z5HQXYXZsuAIsEgLQLOrvZJ2/AtJaBvoCyz5ROiQN7FzUAV/G2QAaFKE+QbGwPhKWH9oI8+fW s4XKCzsvSEoeul2znRC0ls1O4lhGK8llHVuo9SFIZqviKZvtqNSoV64s+rdTokduZWrya/yGWJ6Q 1D0WwUiNMuiHI8k0G1R+5cVoAI5T4QP0h67AAYk6+J6SB6kmYmpqgPC677BUETenkuZ1XZ+8K4JN D7PmqnLJnUJ/xmbnU8r7m6nFEMK/LRhcJXdf9MYIMJOsdXa5ranHqW+Gm8nbZL6iDYaHD6xjeIoc pq8J2olSbzKDILlSscuSe4arkxYDJsF3r+dxlFsFSysh3/dgq77FWR4NtSRuFMpLJEg/q0mmYTjY 0sh39Wm7cQem69BKt0Lj5eX+cn8D0F/u+Dh1CtZgjyzIGzB3yGj1BEsZXnCcNwD1qENOg9/kKqG9 NV3v6QP4BX4iE1nKdJqrgh9opes9qepnJC2ZBjAm+g7CQPbs9e/d3NVN66gBdbQJNLmBlyDEKV4h qt2hUrhtK8liOa3w6VDWD2QeWRcBjqTzHQca9oBO4llNoRyLkXDHe0o2RAxQDg093Tx0AMHkAIIT uOBEyo+wB/9knwaUUGppGuebkx1YJXMJ8I9e/Yu3FeR2FR3Akmo6MFkYKTJ6TTKkxMS3oa/ynnJn EE06ID965lPNlpnoGb+v/aKAS80HGr4uPlM68z3OfUPr7tI2lrqOQNEYCIcuWZQny+DZPtzRm9ra ovFfk5Bq/jMADOv5YAyrF18VmIO1VjwmZgrFyIjrY+pgQfz4kBVsMCQfJ9kJy6oNgi48kRLlL3RU GMDDu1OXYqFpTe0+2aqO4AZwC/sEAWMIWV/vpxiwOabkWQyS57BlGR5kFALvTWEsEOu9qNJBY7o7 2TFeRH/0zhJt17WYTZ7Z+zPi4SKDp/5PbfbH4x2IX0bLccVACJE+HUnulKKhIpxvsgiGJMGnxVv5 AqqhHsDzt85nsmsGR4pP5Qv1QJhgGoiOBYZhpW7LjFde1RGsKn6ErzzWQPF2r77DiMowhJTyQCsH 7p9mxq+/OwJysYJ2PV6e+CTOJ1rY8aX4AZl4+likbSsiL7BXi49Zsyfzw/JMqirksagJHonWZvEL 9ZctFVgko9vutR4gbVZHS1DjHLF0ucCy4ypmn3HVGWbbCo9NjLfSI2ZHgGcDTg5Tc2etc6X7gXHU J1Hv/hPIKZFD6JEfDU2qeaHNG0bngB+85vgkUG3h0ojumHPtpmuXP+gGKDalLqL2lY6K/ht8BJF4 gISyNI7Dehtq4KOvUO/YcAtgKlZuPuooLAJ/zBNLNqQQVsVV+jMllQewt3MfHBlD4l2nYLEEtgN5 OvvKDfFQDj5Ap4pYixWYV6s8FW5rF/SGZ2L+qjRN4o9BxQb60iSXA2+l2RaVKxZ+tqUSAq0L/EI3 UIYilw+9y3p62Zn2cmRU9Fg7ZnGkG5atkmR2tizF31tds1+GAqS5Vtm1EZjp2xILVipKC2cMamUA 3vXslhjQa0Dx4HYtBdY+KwiHNKde1Zc79FfcY/bR/D/7y2FwjKzdfqd/wlyZsl9tLO7oJ/kalfLJ TmbKTCgXcaApt/0v7vdW5MyAJxJm8dvYVBG9s8QQXk69puOV18OSC1BZf/qHESZ3iMV5nhNOgDgt CEU3V4iHRrEYBfLRXVleu54XxNKyZb1bcqfa64ALhz4BOLx4XLjYpGeuzz8XsR5mQzmrovjkRmZI N4jiXLUzIXoq0goiXSzEY6iqATts2AHbVlTAkh2b2KB8JkM/w5fmJWHcJ5Dx2p7oCDMR7Cycp3BK h1hawCkgCReCkUDlwOp3nznEqQf9NBJPZOIRkw16XcYi3t5EgB1z8SH8XqUHg7GCToQJyw/dQFO/ XySMGvi0UynMawJeewLjMY5seQoFdqZDHUJfHdGx5hpTu/EEK4YyQngUCP5B3zVhHrFescQa2viV N1fv03S6FOUjMaCvviwSWXUgA7idoekT+8WYOb8GxV+ImZ4LhERpSvzExS/pk0cecYGWbjsB8RZP 1QHnLulLjXOHmxiD7J+X9kiNE4bRqml2NwDN0bzh3+7pABtn9vB7GqLJmD5q/uJXZZkiSadrheS/ 4b6BgwP9oRety3ZSvMqMZ0BKfQQh5AqhUqzHReqFe0qnbMpH2QrQgDiWSGC5UBbKGFUwKQoONPwy tkfImUsYngLVtghbIFX4vP4EP9ymxmvS6TdBf6HCKPpShfE1+74PvFkwpxA/OwyTSw/dX+qmURPr UWCKIY/LpRzednrE4KcP/xDO87KwS+jYzyyr1BpWYT4swohdizpaGPNUcmjbSR0ZO1cELHRD+fte bhGUW56WekmrFEd0DrVcqk4q2+kQOUGTwZufi2r6ve3WJ9+6au8/nMPlTuyqat17Dbc8j2x9K2hH TLyMnVX7dbg4wDpYCThAd3xLua5MMSeJPbBxkY+u6hxTd0cyATs8maPprgNuSdzz/Gj1HmkTYv0A /wpb+HTSWciffAqcuGgmzGFn4X3J4meceD+LNhfzNwUYQhuT4hY2zdN3UCWlFDunQPs6AGwLuTBx zn9dzelv8nw0fCSBpND0xl6INSjs7IZOfK3VojKilwWMEWXxZl2s+aE06Y+WLVD/azfbIE+IA7KB +ur6j/JifcILi/Mcj9vsni3vMcQfUUb3+6+onp2yvIRsaR2kFnjfOCv/OKqWgaymw7DImR84bysd 07PNykMkVg7lqMIucNtv5sHpNJVNFNAbT5VIYbImVwYB79LYULuKOyReHOUKBcolRWfzdz1qRNiB lQJd1Rfw9adAbO0lja+6ALOmrfy9sj4jCuFyL4l2AoWq2IYiQW8/YTqiPshSfiRNdDH+Yk5x6ned fd4PcelYdP2VdSeqlcarnPh19ddJ38Z9PwrrkJMH+7Aefsj7sjhupCAERr1TSF+KA97BXXelupTv msVTOL664ujzWKLiGdSUlinyidXwZyb+YD5JMIcQmb+Kss/pR7o8T8KuQN96805hzv/XtjDWCdaK 9glKQ1xTXkbAmf3nP8cVepAXfjJDmvB/v78DyuvJz1fFyo50KPbhY44/XlGfD/dgcUe89mwnCY6O +zTcaA0LmK/n2DxNyNsUyjf57xKUAfIw+LYkzgksiBm+lGLeJai/4N9awUIyfhZaFaQchkdWHkDh M0r9VQPBbetgcX6ZOiTCeZyr4LSCaW386ezTjmY2WqfdevZ4L6BxBvXVoF+aLE+gw62Tv/LbZl/+ Q7dlz3LrrtiDf0+zk+Z/7ndlq2ZDwiM2OCLfDCcQtz0YE9jZ1q02L6+ixZiaZysbl7i6khAg4uUZ RkD21l5/HygQsCe75YjOc+onsHssLDRO8rdOjHNy22lHjnB4eoNT3YsglL3ywVKJGbSTGgPhr/a5 KSzL+Klfb3mFUpE1E7GOfNndeeVgpnECl24ON0uXsPy901XN4TzwNniwJnVaz/sPxGGNKYal7s3j hOsJG7sZvA5psjEwX99coNr6EOZZBBOgP8P0n+/qzgCWdMvo+5+LW86YOOL4DgXHUxf5Mq4NlJoR d0tJ51F2G9a85iVjcff4CO77pm7Kf3+SqyX+vHps+J1FMxXa/uOPnUB6A8BoGoue6bUDaeIQap0g gXKvL+ojfe/oqiQeZeKhFmC5Hw/P5qfaAIqLcxqad4+qYrqT6Zbn+lxfj4xwcwNhtFkbDWH+v7O3 pzZOhF8ufow1tYdfmdrULkiJOj/CMnieojHbfXPAzGGIWLykhsizLxEjR5M95vEFTadyH3XdwXLR GGiLtZYRK1C/Kyf62+H6B4++AsAkqfhNuxnij/wYTWSgvPcjdwiQ0KTXeo83X1HfpzP+HKfOiaOC pQ7csMRTDD9o8Vq3uCKtW/BRHqCQL+tZ4MxHZLZC0GUyM5MXaYuK5XyP/FSe6zZuK4HHYqdIzNRL 2Ob4IZGoJW7ALymHwoCxA+F6r1MJimMccfhyGFTRbhs/Vi4g0sZ11LyIVwH9MBYPKo11rPLjEuNw R73FlBUKc8KHXV4OnJktzUFFhba+TW4gfxMSnvXU+i9MJ7lt1tmCSWQLBj/l6jmEaReeUDfogTZu PewHwWEhHX+Caf7IQTwWYOXgKWgsxHCXtqNs2nsvMDLfzvLma8p8SszdGStZNg22cLK8HKOAOGr4 2X9RKrZJ1aLJXGi8nWrJHA1kykCcIavW/4h1PL8ol7OH4PpSoRj07weuQvLnKkru5wjqL5z7ND+c JOc5FxApqE+MhFfUa+/TkB6LhcPG3ZugPRNwCzzaCia+6pl6Vj849roQGlTE3bEoAZLYq3YQGLD6 nBVJ0AjL376056Yw+HAPPzIcy3gJPDhKXwsYlru1mKxSrH2caM9qJ5ukpQpM9OBvo+b8EUU8yIQM 2j6fymG3FSwQiRIDl3hYKPPO2WJcAs0vy7NqHzfNFqyFWE867+56weJnha6fc+OjE9Q2XMHbASms sqOfQFFLbsCkO+zh7bNRrHJEXByN3FaMsDj4ZnPQCmHj30MFBWwhkS9efWrEpgCokT74ZaoBOXWM Ow54cf2slbbuUngvki61MgIYS4ofx1F3shQk+h5Hv4JBMyPLVfCOA1rda8YruJgTELEVZfl0A7lN UL5NkvQCl/lLc9GGl7CyLPbkde16etuIasDJnO69N9ZA6/DPNQqcCpr0IWhrNGCI0jrioYAwha8N Q1KdipFcIVSFkBC84TbrUAtPSchOvU+pJqXJy4rXXvNI8UzstgqXOAuacEXeE5zRhJRbYd2sLVC1 BIAfLqQcVtCZMf1xbmInK6KMmd4FP8gG813daqGTI/5eeheYC1cHLCfo+j4LN/zVZ1f/DPbHcT1p EOKS/rX3nNGdJBEz4qLpoMqxwNZ/BSIX2alpkqNzRep2bJJo2z7T4AuPGzFVkMaUwL1PClIcY3OA D8zquipBR5XGSg5a3Sr3nEut+3PwTvKoiNWIrsUZw+78OhvjMFeaq2gYFcE0ob9ChTktMeMVBcYv Snv4r1JaEA5DQzMXUrlC5Z4nb8NdjpsfcTJ8e+L/22fKrIRZrBmp1DlmhFF6sUSFCZlvyIW1b+Rp eAG4YMUYGPIDFJGt8B0nodif7oU0iyCc57SKhTPdM8xbYGG1tVoOtAX+2HYE8c5qPz7OOBfafZIS /tb/9XLYSmSPyJVgn6VVp6OIw96mCYF0MTZRTFFqWlFTU2B46wMEv0CKcKbFyvkzU1f4yKPHt4oP uwRAnXvdYj2yxDpaEDZDgYGEx1gYEDEaqWEVeSS9lVk+n0g5KdnD7FAdezcx7mGdqFmPj2X1KnLI bBtMigh13M+xBG9KiI1EEfW/hTlQt2svw5vN+5qCLvmq+iLHS21w6kTpuwwyovurCkwX/DH8Bs8x 0Yh8clgxWx0UjpxfXfGI+sjB/f6FyEZjauwl365lVqyr3SVh6Pql71AxhNzGUPz56w7JvUsOzLLf 5Id3CWqwXS1tRjXiziAxdUfTme1N6aVamOe2CNGcLC8hwDWSJRn4IbGKHMlvZzwaUEELs5cVIko9 SeVfknR+XneNl+gPUS1p4GWvDj/pI6+faz2t/UQ9DuwAmdOKHtdRhz3zlGuqQ3ccsv9+FQiVi+7Q Zg66YzPc/xx6ubtVPAeqjWYqCHlUxJu9T+0DXvxoGD0xvrjHsvCKs/oB6NQ7eyGxbbIlsgDiZfJm EIuVVGIjxy+XEJzkpInFmVcRmnxLY1mF9KjEKGPwrlWNYA247NLBRw+55q9UWTd5fG4K7uTClRYT 6n7bYJRpT0p5st5DkyFI/rLFP707Xn6ofrYfweSAJk5VcPWXmzUY3yOXco2iN0m2f2ScEtqke7Dr EJRE2XA56+5UV+3iDkgCn33+L7nyiVHlHSIk7O2xn45aLgv5EJFtLk3IyrxNvCgpPnoLWhBZNgvR Nu7h+eqcE7s6LFEoQKaDvZTRcRF7yhCsysmcxQqW24sf5OXCJWOf10GVzZenaOPN7uccE1C2Sg4N 1cGP67wEsGEu5iRHW5cZvMtT2hULYxK5LRzxyGvNLnqCGlfNcwwoiks165PuOzMEMUpQNiFsQ4Nb cj/eW1ktx8UzbKQDe6oe3TM6W9gTWlHy3zRHerdp94Kgk6PFiFVGGw8Ain/sRtR63yGY7LX6X52H 2f/zaWzE5/OF/WZYI1zTsxKNwr+P9PWjBjlda98YSX8mm8WU8l45e60TbiTBW68bZFsAXk00r6TZ aCwmP7G/5/BeHU9cWUBTYZzCsBtTJKtF4jQvbo7vS78ePvRN5rnHNjP2/cjqBMIsmKbUhsHujAs1 6yRmh45UK93jiREjAfHj4gl4taNbTu+BlwLI/k87nmm1j6ONB/WteukOVdi58L0HC1558tW2lA5G b74+/4W/k6i3ltfzlzmG4hWSg0V0b5SLlLPScvfJx/Pc3Z7RcfgsoexXPxScjg5U8UZM3mPxXh1y 18oJ/UKNYeycUMRHxh8gVbbVET7zelRIqJf9h9nzaCragA7UhPJNw26xsKCa55yJ1xF2sI1j2LrT Z+UNUE/cox0CM8EcvRGN0QHZvH8hOKTwgH42+0AOZzhFoaTpV6XWhaJSPiscKjQiIWzHCofkL10u oYi8Ehpi7/EcnQIIZ6KND8yWlWvI+4OOI6k7kODkBrYHdb/5IQjQQdbnbkP4tvcwF08Y8U3A6rhN lkGruH2za5BMgjEn86McYKXvV09hog5irQejs+ZndxaokMIPPaSFwRjK5yYE+nSJ/ogNKpsuOGwc R7P80aM8OXttVNx4o19kQ1Le7Hb+sy7u4H9Yg1GKLo3wNJYKQlg3bVZdpGXODhCYbIy97DuFNWIg x9wgxpyA+4kMmPShc6ygozLirw+2Ca6oCWkG3JZ83CY+Mh7+wKnLO0bYpkRs/OjParAEh20VoQz2 bp0lYFAdwnfUmQGKGhl3kV0Sgh/BdBx1opxJJGHwYurUQ05dqqOFGHeWGDUUjKwvjqTYgAfhKzvL nA5gY9s+0wk2nOIA8pIGD8qhcW5oLuDfqhV+4/6ldxjd2XrPqqSn0r7AI0O0IpwG8BDlMb5J6dkN yqcxmXDn61q/N/W5k+LnYZ7AbCU2drQxyKluxGoZga+0266MnNOFu+LnSPvdhd9QueniNiJK5dEe pnIuO/wA0uRBWXz/7k1v7Rqxh+TR4y7yJLtWupA6kBAKeLvgIg4k+8U7pxaPhUwpjMIokGbY9Vrg NKh3+5fDmlREy9v+KHlVimGZ97DDKVQJkrO9SDdNhqOWZcO8Ch7gXwUOoIvd2KdXqk38N8N/iTE1 NSTRJXTB6A2wHXNHG/6mhqR8/A+xrjL4QAp9VHCnWppz5bjKQD7WgIeTq9Jhh35tCkIyUWnlNH3p V7Z/giD3mxjE5Cfx/dS1a1JJIXNvR2GgI+AQLce8P773raHE1cy7BqGFLJ4iORsguAlf1v2Rt/gZ LJlkgoix0UllcZtS3z7BGahZy3s3Bs4Fg3+ABEZE1dy+54KimqpGJ01ZbuMZ7HipSi7SaCP1vl7I ZvghwWCD5t9EDTgUqPu3usR89Ei+SbTrYIKBO3gLwW9pmym4PiUd5VibaTNysRBWbhIFNXB9FCVL 3hQdwKoHrqLsbFD1fS1qOyJXtG+2U2O20Sp1L/R7GcXt7J/PX6rV6ePNLhIhur/YnfuzcczZNDN/ AuhTjS+dWKU2BHrYRobEEKu3pd/GBXXKPTJiinIB8lspWLbJnLihnMGGLY9bB0h432w/KUwANQv8 g7EbKa8LWUmak/vnx96tDEZ7qkx4Dih3AjiWJjYKfI0l9No9HIc8s3eZMvaZaQZYFkjjygwRIMgj pRntA1vx0HjnrDDP19kDqZQOSBSE7KZV6nwN1LTOIO975YIqwwxA4ouBMDUuds+HjAqLbT/2hzEF zk22PE8e1JH24WkGxAtk1KzhTh2Y9o9fYKJQguyqbQZyofly/3t+Y8TCbF9Xdgycl+pnHe94//iQ QhUi9ZZuqeMWgNFnaTA4G48EDRjHmj6Hu/970xXbDnuHXSM8/2lgrLtdP+kV4NNzu4Kxp8jVdqA7 tnYUaSyCO543/RGXwRQnjML4oy9BaCult3zjuKuJjIhsG0d/J+pMFVpRnRZ1x6C9/2D4TD4XIpMd 6hPWjZ/7/1Phayqzm3FdofJ1abJGfffK3qWI/SJTtg/JangrgGEuroicRf5bA7kMtCHWEsda+3Pl cvPUnYsEBCsxyF47l796R62C2BVD+bpZNHXiZRQjZ1nN3XB/cyGNBJxIZ6INR3VTmWtq6h7QS/RS wLSTyQ/Huep5k0uSTthC3r/00LI8DBNoBHJEtvIsC3EVaCyEjCnARzto1xzSpRSptz9cnZiPRhjA I01UIGDawfr3KS7Blz6UGJM/TElZlQmai9bx+MBfYYE3M47AxBpKCnN76ox8TC4hiK+RRkJzHcUX UVBADDRTk2AoXSjvKDwz+GO85mlfi0cd7W+TAP1KCB08HSE4/vdnMgYiaKBeAlASAkgXGyX/6c6k cZ+WBrkYSQ6DG2iDimvN0Jptm1xfgaT1/cJqLaD/Bu+Bc6vT37mln2sYtGydCtsbaSdNR8/Hieoo lxvNreG6PmTNzy5klbKwIzGbi9PCyZjjez9u+ECOeFDoJiHd5tyHgQU0YxJmyfpEmBo7Fn7kHvhD A8HZYQk/4vfQhGr4jLUZDQ9umuihZeyj2dtdyol09WfP+zjaGfw8hpLrmB/386dGWRWzt5L6QJOU y/+j1X3EX1bIcB5Ft6XENiGxQ3ScIXPQ7MttPQCCeAxee9JxQhulIRByP0XOtLEAqIiIf19t4DM5 It803P1gVyM8naogAC5WuhZkT0i/R/TqQizwWCRIznOrvQDNgq9bSHuay9EJqoFRoDFp2Y/M26a3 71TWE6yEP2WpHanXCm9D7ECvUhX/C0hZ8ANJa9c4OtcLmjgP5tOCU/nHqbgXONczUlt1mVfBMhig rNwb8EGriVPF7Z9VzdWvccH/uDqqC6VD/uzcn+ylzf+JaZLHZ2qFt2g8qmvC6ucdU8ZILpLjYtq0 jhrSkizO1kiiNPoSlAnFz2X9l1Io1VuRN+dqLhKTIROBdNpcIpG8/0vilAJh15bXUw2SAS8eLX9a FXLDlRLWP59asEqSsltiRn1ORdNdDjiZPwiMviDH8rA+ImSxwbTsydIduD1qshnU9glITWRLOAHr vvv1pSsOVT2n9lsk0U+NlkKncu8EOXlGxKRvF4T+xhs+R/X79Te7iXxDvgBDC8oebDmXWf0oYiC8 ZvspM2PMbVaoVSxjH4v2yL5Fx5VpRXtlbAEf7WCSKdRogxzFwz2AQNvqyCYYjbjCiMN1+F8YE+aU nN/RPnHL0G/ztPykgozuPoNiUmn+KcWKV0IuwLPtYAhjvmve7iDM5xOj9wANFbc6/m2cyGpp1ROJ pKTxNh9dtxMKsri4iJNArpWFuERBWUk3xMWFfV2tfKLc4DEblnyYf6zeblL/1uCsT2+1RwXZKh4O EREQ/Au7tVJkEu0jqnXXnnyuf18l9ZQ5KF4idISEl/WOU0l3JLtDdB11/nPXqD8SBVNHE4KraoW6 cG0sMaSSqB3mfOaZh5O30rX0ICxgvJGUIFwQCzITwNhyK1qxCZNkkdkJfgxnqCQwixNs99RDPEBl ApOj0Ar18iSuAURvPvh+0VFOLmTnq8OjFq7uGRuESWk2MA6pNx+g5Eyk9rG26gwDXBwngtvicE1q wE0VzQcKAuiCLkdtQeJhTJ+RM/CAaUUh8IHFUP0kQi18I54kIcJydOK8Qr4dT2YTzWQ0/a+o9aHz xz0lOZLsQE2YkmfG+c+f0qK3wfOYa2245QhnLw9D3Xu5TxwNV2tHJ8OQY6cTgzvx92U9wQXToiV7 8YsrU/yjEq0i+VJrekJuV/j75mzq1r7RDSz7tfGJA5OsMnmiY1u3pyiNkMVJklUKDeCBngc03HaU aIA2RICygUbAImAEHuDhEIqp1fcdK4W9P/Vdq6YsxbcLkwRokh0+KHwFbtdz8wk0B51LZ7BkHOS+ kebvNnFmo/ctS7I+Xir9phxBn2aR+Ie2Watw3MRBKHedNR5T3rr+/21PKnQj3iWYCty19bJptwnx lIcGwuWg+EspVSJt09+VMiLqIw7ubYfSuyLE1fj31VeJtbgBlamY9wdJk1hr+8SuIqXlsaLmEDaW XjvBgJKz2tu8rkO66iGr1RmP3CjS85vYTLEujYzzAxWWuxe5ZvAAdCRT9Zf4IfQYzVlrHZaXCrqA xM4Fjs5EibjUFKVrPdnIKv+SaUpALWTnt1B0HZlBEwrnP45yEWpaIxKv1/q3kRwW3ny0mBM/FPLN SlzEfUgtE6ZFm89mi2zM+7BRl543y4rqRU1eCH+IwCo1KTDLEy6aWa4f9wvLSyNz/eTMccghoJ4d ip+LnJCrfOjgissbbSNv0O9SYqzgfHc53uYXH9Tkv9n06eevN6p9nqnPf4yahRluFEZcBvXcEF03 v7pDNht4LJdBz+XoYn5vyah8HB/sfO4DZC5cgha1FDximTz4AxrlW+uIlrs23p/iIatUk+C+8pGK FQvQcC9HnihANc7V05vUYVXe3GUckBI01wyR/fXwI3NZ+IjRH/NlvZCqIKGXqrypYPK/73ylDMk7 3wApeRtBmlMU6R8EW5kyFERNwKcSdgGitn1aj8B20sFPiWTKaWhmKXtZvrptcDIchUKokr7906d1 nngYMNXRVcdA/mCXj46CyImJ2H71SVN7vcrdkJ8Yw792koctbWeNc8KvcblXK9d0tj8lsQFMppXA fck7jGV42ulGGTiqKuNuZBWfIYSohFX77KKFZ3pkIfmzGqBMULYO5A7FwRtPiyR+GuPdvE6JnhxM XjF1ALq2jQhpmGRygiLSyv8SX/KySm0UERCMkfwh8Mc2uE6kf25wffHU0LtCANwrklUuhIiyRake SppcOXdFiw5ndH8Smr5NEDEUfA+s13lY35+J4GeOk4/RnE4/XkJZGdXncCPccDzAKaBvUilyb1rV uCAAvRNY/YIKP78mwbCvUUUzxNBcn90hxb8XG9fcUgyc9xsCxqn8Y5DqBU3TVdaMOShzhi6xG8Vs 0IBf29kG39le+z2IZHLwZrA04X5Ai47EbdTigBGCVbSN `protect end_protected
gpl-2.0
4f8d1fdf099f07f8a36fcca9dd73af2c
0.93498
1.846424
false
false
false
false
UVVM/UVVM_All
bitvis_vip_scoreboard/src/generic_sb_pkg.vhd
1
104,602
--================================================================================================================================ -- Copyright 2020 Bitvis -- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. -- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT. -- -- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on -- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and limitations under the License. --================================================================================================================================ -- Note : Any functionality not explicitly described in the documentation is subject to change at any time -- Inspired by similar functionality in SystemVerilog/UVM and OSVVM. ---------------------------------------------------------------------------------------------------------------------------------- ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use std.textio.all; library uvvm_util; context uvvm_util.uvvm_util_context; use work.generic_sb_support_pkg.all; package generic_sb_pkg is generic (type t_element; function element_match(received_element : t_element; expected_element : t_element) return boolean; function to_string_element(element : t_element) return string; constant sb_config_default : t_sb_config := C_SB_CONFIG_DEFAULT; constant GC_QUEUE_COUNT_MAX : natural := 1000; constant GC_QUEUE_COUNT_THRESHOLD : natural := 950); type t_generic_sb is protected procedure config( constant sb_config_array : in t_sb_config_array; constant msg : in string := ""); procedure config( constant instance : in integer; constant sb_config : in t_sb_config; constant msg : in string := ""; constant ext_proc_call : in string := ""); procedure config( constant sb_config : in t_sb_config; constant msg : in string := ""); procedure enable( constant instance : in integer; constant msg : in string := ""; constant ext_proc_call : in string := ""); procedure enable( constant msg : in string); procedure enable( constant void : in t_void); procedure disable( constant instance : in integer; constant msg : in string := ""; constant ext_proc_call : in string := ""); procedure disable( constant msg : in string); procedure disable( constant void : in t_void); procedure add_expected( constant instance : in integer; constant expected_element : in t_element; constant tag_usage : in t_tag_usage; constant tag : in string; constant msg : in string := ""; constant source : in string := ""; constant ext_proc_call : in string := ""); procedure add_expected( constant expected_element : in t_element; constant tag_usage : in t_tag_usage; constant tag : in string; constant msg : in string := ""; constant source : in string := ""); procedure add_expected( constant expected_element : in t_element; constant msg : in string := ""; constant source : in string := ""); procedure add_expected( constant instance : in integer; constant expected_element : in t_element; constant msg : in string := ""; constant source : in string := ""); procedure check_received( constant instance : in integer; constant received_element : in t_element; constant tag_usage : in t_tag_usage; constant tag : in string; constant msg : in string := ""; constant ext_proc_call : in string := ""); procedure check_received( constant received_element : in t_element; constant tag_usage : in t_tag_usage; constant tag : in string; constant msg : in string := ""); procedure check_received( constant instance : in integer; constant received_element : in t_element; constant msg : in string := ""); procedure check_received( constant received_element : in t_element; constant msg : in string := ""); procedure flush( constant instance : in integer; constant msg : in string := ""; constant ext_proc_call : in string := ""); procedure flush( constant msg : in string); procedure flush( constant void : in t_void); procedure reset( constant instance : in integer; constant msg : in string := ""; constant ext_proc_call : in string := ""); procedure reset( constant msg : in string); procedure reset( constant void : in t_void); impure function is_empty( constant instance : in integer) return boolean; impure function is_empty( constant void : in t_void) return boolean; impure function get_entered_count( constant instance : in integer) return integer; impure function get_entered_count( constant void : in t_void) return integer; impure function get_pending_count( constant instance : in integer) return integer; impure function get_pending_count( constant void : in t_void) return integer; impure function get_match_count( constant instance : in integer) return integer; impure function get_match_count( constant void : in t_void) return integer; impure function get_mismatch_count( constant instance : in integer) return integer; impure function get_mismatch_count( constant void : in t_void) return integer; impure function get_drop_count( constant instance : in integer) return integer; impure function get_drop_count( constant void : in t_void) return integer; impure function get_initial_garbage_count( constant instance : in integer) return integer; impure function get_initial_garbage_count( constant void : in t_void) return integer; impure function get_delete_count( constant instance : in integer) return integer; impure function get_delete_count( constant void : in t_void) return integer; impure function get_overdue_check_count( constant instance : in integer) return integer; impure function get_overdue_check_count( constant void : in t_void) return integer; procedure set_scope( constant scope : in string); impure function get_scope( constant void : in t_void) return string; procedure enable_log_msg( constant instance : in integer; constant msg_id : in t_msg_id; constant ext_proc_call : in string := ""); procedure enable_log_msg( constant msg_id : in t_msg_id); procedure disable_log_msg( constant instance : in integer; constant msg_id : in t_msg_id; constant ext_proc_call : in string := ""); procedure disable_log_msg( constant msg_id : in t_msg_id); procedure report_counters( constant instance : in integer; constant ext_proc_call : in string := ""); procedure report_counters( constant void : in t_void); procedure insert_expected( constant instance : in integer; constant identifier_option : in t_identifier_option; constant identifier : in positive; constant expected_element : in t_element; constant tag_usage : in t_tag_usage; constant tag : in string; constant msg : in string := ""; constant source : in string := ""; constant ext_proc_call : in string := ""); procedure insert_expected( constant identifier_option : in t_identifier_option; constant identifier : in positive; constant expected_element : in t_element; constant tag_usage : in t_tag_usage; constant tag : in string; constant msg : in string := ""; constant source : in string := ""); procedure insert_expected( constant instance : in integer; constant identifier_option : in t_identifier_option; constant identifier : in positive; constant expected_element : in t_element; constant msg : in string := ""; constant source : in string := ""); procedure insert_expected( constant identifier_option : in t_identifier_option; constant identifier : in positive; constant expected_element : in t_element; constant msg : in string := ""; constant source : in string := ""); procedure delete_expected( constant instance : in integer; constant expected_element : in t_element; constant tag_usage : in t_tag_usage; constant tag : in string; constant msg : in string := ""; constant ext_proc_call : in string := ""); procedure delete_expected( constant expected_element : in t_element; constant tag_usage : in t_tag_usage; constant tag : in string; constant msg : in string := ""); procedure delete_expected( constant instance : in integer; constant expected_element : in t_element; constant msg : in string := ""); procedure delete_expected( constant expected_element : in t_element; constant msg : in string := ""); procedure delete_expected( constant instance : in integer; constant tag_usage : in t_tag_usage; constant tag : in string; constant msg : in string := ""; constant ext_proc_call : in string := ""); procedure delete_expected( constant tag_usage : in t_tag_usage; constant tag : in string; constant msg : in string := ""); procedure delete_expected( constant instance : in integer; constant identifier_option : in t_identifier_option; constant identifier_min : in positive; constant identifier_max : in positive; constant msg : in string := ""; constant ext_proc_call : in string := ""); procedure delete_expected( constant identifier_option : in t_identifier_option; constant identifier_min : in positive; constant identifier_max : in positive; constant msg : in string := ""); procedure delete_expected( constant instance : in integer; constant identifier_option : in t_identifier_option; constant identifier : in positive; constant range_option : in t_range_option; constant msg : in string := ""; constant ext_proc_call : in string := ""); procedure delete_expected( constant identifier_option : in t_identifier_option; constant identifier : in positive; constant range_option : in t_range_option; constant msg : in string := ""); impure function find_expected_entry_num( constant instance : in integer; constant expected_element : in t_element; constant tag_usage : in t_tag_usage; constant tag : in string) return integer; impure function find_expected_entry_num( constant expected_element : in t_element; constant tag_usage : in t_tag_usage; constant tag : in string) return integer; impure function find_expected_entry_num( constant instance : in integer; constant expected_element : in t_element) return integer; impure function find_expected_entry_num( constant expected_element : in t_element) return integer; impure function find_expected_entry_num( constant instance : in integer; constant tag_usage : in t_tag_usage; constant tag : in string) return integer; impure function find_expected_entry_num( constant tag_usage : in t_tag_usage; constant tag : in string) return integer; impure function find_expected_position( constant instance : in integer; constant expected_element : in t_element; constant tag_usage : in t_tag_usage; constant tag : in string) return integer; impure function find_expected_position( constant expected_element : in t_element; constant tag_usage : in t_tag_usage; constant tag : in string) return integer; impure function find_expected_position( constant instance : in integer; constant expected_element : in t_element) return integer; impure function find_expected_position( constant expected_element : in t_element) return integer; impure function find_expected_position( constant instance : in integer; constant tag_usage : in t_tag_usage; constant tag : in string) return integer; impure function find_expected_position( constant tag_usage : in t_tag_usage; constant tag : in string) return integer; impure function peek_expected( constant instance : integer; constant identifier_option : t_identifier_option; constant identifier : positive) return t_element; impure function peek_expected( constant identifier_option : t_identifier_option; constant identifier : positive) return t_element; impure function peek_expected( constant instance : integer) return t_element; impure function peek_expected( constant void : t_void) return t_element; impure function peek_source( constant instance : integer; constant identifier_option : t_identifier_option; constant identifier : positive) return string; impure function peek_source( constant identifier_option : t_identifier_option; constant identifier : positive) return string; impure function peek_source( constant instance : integer) return string; impure function peek_source( constant void : t_void) return string; impure function peek_tag( constant instance : integer; constant identifier_option : t_identifier_option; constant identifier : positive) return string; impure function peek_tag( constant identifier_option : t_identifier_option; constant identifier : positive) return string; impure function peek_tag( constant instance : integer) return string; impure function peek_tag( constant void : t_void) return string; impure function fetch_expected( constant instance : integer; constant identifier_option : t_identifier_option; constant identifier : positive; constant msg : string := ""; constant ext_proc_call : string := "") return t_element; impure function fetch_expected( constant identifier_option : t_identifier_option; constant identifier : positive; constant msg : string := "") return t_element; impure function fetch_expected( constant instance : integer; constant msg : string := "") return t_element; impure function fetch_expected( constant msg : string) return t_element; impure function fetch_expected( constant void : t_void) return t_element; impure function fetch_source( constant instance : integer; constant identifier_option : t_identifier_option; constant identifier : positive; constant msg : string := ""; constant ext_proc_call : string := "") return string; impure function fetch_source( constant identifier_option : t_identifier_option; constant identifier : positive; constant msg : string := "") return string; impure function fetch_source( constant instance : integer; constant msg : string := "") return string; impure function fetch_source( constant msg : string) return string; impure function fetch_source( constant void : t_void) return string; impure function fetch_tag( constant instance : integer; constant identifier_option : t_identifier_option; constant identifier : positive; constant msg : string := ""; constant ext_proc_call : string := "") return string; impure function fetch_tag( constant identifier_option : t_identifier_option; constant identifier : positive; constant msg : string := "") return string; impure function fetch_tag( constant instance : integer; constant msg : string := "") return string; impure function fetch_tag( constant msg : string) return string; impure function fetch_tag( constant void : t_void) return string; impure function exists( constant instance : integer; constant expected_element : t_element; constant tag_usage : t_tag_usage := NO_TAG; constant tag : string := "") return boolean; impure function exists( constant expected_element : t_element; constant tag_usage : t_tag_usage := NO_TAG; constant tag : string := "") return boolean; impure function exists( constant instance : integer; constant tag_usage : t_tag_usage; constant tag : string) return boolean; impure function exists( constant tag_usage : t_tag_usage; constant tag : string) return boolean; end protected t_generic_sb; end package generic_sb_pkg; package body generic_sb_pkg is -- SB type declaration type t_sb_entry is record expected_element : t_element; source : string(1 to C_SB_SOURCE_WIDTH); tag : string(1 to C_SB_TAG_WIDTH); entry_time : time; end record; -- Declaration of sb_queue_pkg used to store all entries package sb_queue_pkg is new uvvm_util.generic_queue_pkg generic map ( t_generic_element => t_sb_entry, scope => "SB_queue", GC_QUEUE_COUNT_MAX => GC_QUEUE_COUNT_MAX, GC_QUEUE_COUNT_THRESHOLD => GC_QUEUE_COUNT_THRESHOLD); use sb_queue_pkg.all; type t_generic_sb is protected body ---------------------------------------------------------------------------------------------------- -- Variables ---------------------------------------------------------------------------------------------------- variable vr_scope : string(1 to C_LOG_SCOPE_WIDTH) := (1 to 4 => "?_SB", others => NUL); variable vr_config : t_sb_config_array(0 to C_MAX_SB_INSTANCE_IDX) := (others => sb_config_default); variable vr_instance_enabled : boolean_vector(0 to C_MAX_SB_INSTANCE_IDX) := (others => false); variable vr_sb_queue : sb_queue_pkg.t_generic_queue; type t_msg_id_panel_array is array(0 to C_MAX_SB_INSTANCE_IDX) of t_msg_id_panel; variable vr_msg_id_panel_array : t_msg_id_panel_array := (others => C_SB_MSG_ID_PANEL_DEFAULT); -- Counters variable vr_entered_cnt : integer_vector(0 to C_MAX_SB_INSTANCE_IDX) := (others => -1); variable vr_match_cnt : integer_vector(0 to C_MAX_SB_INSTANCE_IDX) := (others => -1); variable vr_mismatch_cnt : integer_vector(0 to C_MAX_SB_INSTANCE_IDX) := (others => -1); variable vr_drop_cnt : integer_vector(0 to C_MAX_SB_INSTANCE_IDX) := (others => -1); variable vr_initial_garbage_cnt : integer_vector(0 to C_MAX_SB_INSTANCE_IDX) := (others => -1); variable vr_delete_cnt : integer_vector(0 to C_MAX_SB_INSTANCE_IDX) := (others => -1); variable vr_overdue_check_cnt : integer_vector(0 to C_MAX_SB_INSTANCE_IDX) := (others => -1); --================================================================================================== -- NON PUBLIC METHODS --================================================================================================== procedure check_instance_in_range( constant instance : in integer ) is begin check_value_in_range(instance, 0, C_MAX_SB_INSTANCE_IDX, TB_ERROR, "Instance must be within range 0 to C_MAX_SB_INSTANCE_IDX, " & to_string(C_MAX_SB_INSTANCE_IDX) & ".", vr_scope, ID_NEVER); end procedure check_instance_in_range; procedure check_instance_enabled( constant instance : in integer ) is begin check_value(vr_instance_enabled(instance), TB_ERROR, "The instance is not enabled", vr_scope, ID_NEVER); end procedure check_instance_enabled; procedure check_queue_empty( constant instance : in natural ) is begin check_value(not vr_sb_queue.is_empty(instance), TB_ERROR, "The queue is empty", vr_scope, ID_NEVER); end procedure check_queue_empty; procedure check_config_validity( constant config : in t_sb_config ) is begin check_value(config.allow_out_of_order and config.allow_lossy, false, TB_ERROR, "allow_out_of_order and allow_lossy cannot both be enabled. Se documentation for how to handle both modes.", vr_scope, ID_NEVER); check_value(config.overdue_check_time_limit >= 0 ns, TB_ERROR, "overdue_check_time_limit cannot be less than 0 ns.", vr_scope, ID_NEVER); end procedure; impure function match_received_vs_entry ( constant received_element : in t_element; constant sb_entry : in t_sb_entry; constant tag_usage : in t_tag_usage; constant tag : in string ) return boolean is begin -- If TAG then check if tag match if tag_usage = uvvm_util.types_pkg.TAG then if pad_string(tag, NUL, C_SB_TAG_WIDTH) /= sb_entry.tag then return false; end if; end if; return element_match(received_element, sb_entry.expected_element); end function match_received_vs_entry; impure function match_expected_vs_entry ( constant expected_element : in t_element; constant sb_entry : in t_sb_entry; constant tag_usage : in t_tag_usage; constant tag : in string ) return boolean is begin -- If TAG then check if tag match if tag_usage = uvvm_util.types_pkg.TAG then if pad_string(tag, NUL, C_SB_TAG_WIDTH) /= sb_entry.tag then return false; end if; end if; return expected_element = sb_entry.expected_element; end function match_expected_vs_entry; procedure log( instance : natural; msg_id : t_msg_id; msg : string; scope : string ) is begin if vr_msg_id_panel_array(instance)(msg_id) = ENABLED then log(msg_id, msg, scope, C_MSG_ID_PANEL_DEFAULT); end if; end procedure; --================================================================================================== -- PUBLIC METHODS --================================================================================================== ---------------------------------------------------------------------------------------------------- -- -- config -- -- Sets config for each instance, by array or instance parameter -- ---------------------------------------------------------------------------------------------------- procedure config( constant sb_config_array : in t_sb_config_array; constant msg : in string := "" ) is constant proc_name : string := "config"; begin -- Check if range is within limits check_value(sb_config_array'low >= 0 and sb_config_array'high <= C_MAX_SB_INSTANCE_IDX, TB_ERROR, "Configuration array must be within range 0 to C_MAX_SB_INSTANCE_IDX, " & to_string(C_MAX_SB_INSTANCE_IDX) & ".", vr_scope, ID_NEVER); -- Apply config to the defined range for i in sb_config_array'low to sb_config_array'high loop check_config_validity(sb_config_array(i)); log(i, ID_CTRL, proc_name & "() => config applied to SB. " & add_msg_delimiter(msg), vr_scope & "," & to_string(i)); vr_config(i) := sb_config_array(i); end loop; end procedure config; procedure config( constant instance : in integer; constant sb_config : in t_sb_config; constant msg : in string := ""; constant ext_proc_call : in string := "" -- not proc??? ) is constant proc_name : string := "config"; begin -- Sanity checks check_instance_in_range(instance); check_config_validity(sb_config); if ext_proc_call = "" then -- Called directly from sequencer/VVC. log(instance, ID_CTRL, proc_name & "() => config applied to SB. " & add_msg_delimiter(msg), vr_scope & "," & to_string(instance)); else -- Called from other SB method log(instance, ID_CTRL, ext_proc_call & add_msg_delimiter(msg), vr_scope & "," & to_string(instance)); end if; vr_config(instance) := sb_config; end procedure config; procedure config( constant sb_config : in t_sb_config; constant msg : in string := "" ) is begin config(1, sb_config, msg, "config() => config applied to SB. "); end procedure config; ---------------------------------------------------------------------------------------------------- -- -- enable -- -- Enable one instance or all instances. Counters is set froom -1 to 0 When enabled for the -- first time. -- ---------------------------------------------------------------------------------------------------- procedure enable( constant instance : in integer; constant msg : in string := ""; constant ext_proc_call : in string := "" -- not proc??? ) is constant proc_name : string := "enable"; begin -- Check if instance is within range and not already enabled if instance /= ALL_INSTANCES then check_instance_in_range(instance); check_value(not vr_instance_enabled(instance), TB_WARNING, "Instance " & to_string(instance) & " is already enabled", vr_scope, ID_NEVER); end if; if ext_proc_call = "" then -- Called directly from sequencer/VVC. if instance = ALL_INSTANCES then log(ID_CTRL, proc_name & "() => all instances enabled. " & add_msg_delimiter(msg), vr_scope); else log(instance, ID_CTRL, proc_name & "() => SB enabled. " & add_msg_delimiter(msg), vr_scope & "," & to_string(instance)); end if; else -- Called from other SB method log(instance, ID_CTRL, ext_proc_call & add_msg_delimiter(msg), vr_scope & "," & to_string(instance)); end if; if instance = ALL_INSTANCES then vr_instance_enabled := (others => true); for i in 0 to C_MAX_SB_INSTANCE_IDX loop if vr_entered_cnt(i) = -1 then vr_entered_cnt(i) := 0; vr_match_cnt(i) := 0; vr_mismatch_cnt(i) := 0; vr_drop_cnt(i) := 0; vr_initial_garbage_cnt(i) := 0; vr_delete_cnt(i) := 0; vr_overdue_check_cnt(i) := 0; end if; end loop; else vr_instance_enabled(instance) := true; if vr_entered_cnt(instance) = -1 then vr_entered_cnt(instance) := 0; vr_match_cnt(instance) := 0; vr_mismatch_cnt(instance) := 0; vr_drop_cnt(instance) := 0; vr_initial_garbage_cnt(instance) := 0; vr_delete_cnt(instance) := 0; vr_overdue_check_cnt(instance) := 0; end if; end if; vr_sb_queue.set_scope(instance, "SB queue"); end procedure enable; procedure enable( constant msg : in string ) is begin enable(1, msg, "enable() => SB enabled. "); end procedure enable; procedure enable( constant void : in t_void ) is begin enable(1, "", "enable() => SB enabled. "); end procedure enable; ---------------------------------------------------------------------------------------------------- -- -- disable -- -- Disable one instance or all instances. -- ---------------------------------------------------------------------------------------------------- procedure disable( constant instance : in integer; constant msg : in string := ""; constant ext_proc_call : in string := "" -- not proc??? ) is constant proc_name : string := "disable"; begin -- Check if instance is within range and not already disabled if instance /= ALL_INSTANCES then check_instance_in_range(instance); check_value(vr_instance_enabled(instance), TB_WARNING, "Instance " & to_string(instance) & " is already disabled", vr_scope, ID_NEVER); end if; if instance = ALL_INSTANCES then vr_instance_enabled := (others => false); else vr_instance_enabled(instance) := false; end if; if ext_proc_call = "" then -- Called directly from sequencer/VVC. if instance = ALL_INSTANCES then log(ID_CTRL, proc_name & "() => all instances disabled. " & add_msg_delimiter(msg), vr_scope); else log(instance, ID_CTRL, proc_name & "() => SB disabled. " & add_msg_delimiter(msg), vr_scope & "," & to_string(instance)); end if; else -- Called from other SB method log(instance, ID_CTRL, ext_proc_call & add_msg_delimiter(msg), vr_scope & "," & to_string(instance)); end if; end procedure disable; procedure disable( constant msg : in string ) is begin disable(1, msg, "disable() => SB disabled. "); end procedure disable; procedure disable( constant void : in t_void ) is begin disable(1, "", "disable() => SB disabled. "); end procedure disable; ---------------------------------------------------------------------------------------------------- -- -- add_expected -- -- Adds expected element at the back of queue. Optional tag and source. -- ---------------------------------------------------------------------------------------------------- procedure add_expected( constant instance : in integer; constant expected_element : in t_element; constant tag_usage : in t_tag_usage; constant tag : in string; constant msg : in string := ""; constant source : in string := ""; constant ext_proc_call : in string := "" ) is constant proc_name : string := "add_expected"; variable v_sb_entry : t_sb_entry; begin v_sb_entry := (expected_element => expected_element, source => pad_string(source, NUL, C_SB_SOURCE_WIDTH), tag => pad_string(tag, NUL, C_SB_TAG_WIDTH), entry_time => now); if instance = ALL_INSTANCES then for i in 0 to C_MAX_SB_INSTANCE_IDX loop if vr_instance_enabled(i) then -- add entry vr_sb_queue.add(i, v_sb_entry); -- increment counters vr_entered_cnt(i) := vr_entered_cnt(i)+1; if tag_usage = NO_TAG then log(i, ID_DATA, proc_name & "() => value: " & to_string_element(expected_element) & ". " & add_msg_delimiter(msg), vr_scope & "," & to_string(i)); else log(i, ID_DATA, proc_name & "() => value: " & to_string_element(expected_element) & ", tag: " & to_string(tag) & ". " & add_msg_delimiter(msg), vr_scope & "," & to_string(i)); end if; end if; end loop; else -- Sanity checks check_instance_in_range(instance); check_instance_enabled(instance); -- add entry vr_sb_queue.add(instance, v_sb_entry); -- increment counters vr_entered_cnt(instance) := vr_entered_cnt(instance)+1; if ext_proc_call = "" then if tag_usage = NO_TAG then log(instance, ID_DATA, proc_name & "() => value: " & to_string_element(expected_element) & ". " & add_msg_delimiter(msg), vr_scope & "," & to_string(instance)); else log(instance, ID_DATA, proc_name & "() => value: " & to_string_element(expected_element) & ", tag: " & to_string(tag) & ". " & add_msg_delimiter(msg), vr_scope & "," & to_string(instance)); end if; else -- Called from other SB method log(instance, ID_DATA, ext_proc_call & add_msg_delimiter(msg), vr_scope & "," & to_string(instance)); end if; end if; end procedure add_expected; procedure add_expected( constant expected_element : in t_element; constant tag_usage : in t_tag_usage; constant tag : in string; constant msg : in string := ""; constant source : in string := "" ) is begin if tag_usage = NO_TAG then add_expected(1, expected_element, tag_usage, tag, msg, source, "add_expected() => expected: " & to_string_element(expected_element) & ". "); else add_expected(1, expected_element, tag_usage, tag, msg, source, "add_expected() => expected: " & to_string_element(expected_element) & ", tag: " & to_string(tag) & ". "); end if; end procedure add_expected; procedure add_expected( constant instance : in integer; constant expected_element : in t_element; constant msg : in string := ""; constant source : in string := "" ) is begin add_expected(instance, expected_element, NO_TAG, "", msg, source); end procedure add_expected; procedure add_expected( constant expected_element : in t_element; constant msg : in string := ""; constant source : in string := "" ) is begin add_expected(expected_element, NO_TAG, "", msg, source); end procedure add_expected; ---------------------------------------------------------------------------------------------------- -- -- check_received -- -- Checks received against expected. Updates counters acording to match/mismatch and configuration. -- ---------------------------------------------------------------------------------------------------- procedure check_received( constant instance : in integer; constant received_element : in t_element; constant tag_usage : in t_tag_usage; constant tag : in string; constant msg : in string := ""; constant ext_proc_call : in string := "" ) is constant proc_name : string := "check_received"; procedure check_pending_exists( constant instance : in integer ) is begin check_value(not vr_sb_queue.is_empty(instance), TB_ERROR, "No pending entries to check.", vr_scope & "," & to_string(instance), ID_NEVER); end procedure check_pending_exists; procedure check_received_instance( constant instance : in integer ) is variable v_matched : boolean := false; variable v_entry : t_sb_entry; variable v_dropped_num : natural := 0; begin check_pending_exists(instance); -- If OOB if vr_config(instance).allow_out_of_order then -- Loop through entries in queue until match for i in 1 to get_pending_count(instance) loop v_entry := vr_sb_queue.peek(instance, POSITION, i); if match_received_vs_entry(received_element, v_entry, tag_usage, tag) then v_matched := true; -- Delete entry vr_sb_queue.delete(instance, POSITION, i, SINGLE); exit; end if; end loop; -- If LOSSY elsif vr_config(instance).allow_lossy then -- Loop through entries in queue until match for i in 1 to get_pending_count(instance) loop v_entry := vr_sb_queue.peek(instance, POSITION, i); if match_received_vs_entry(received_element, v_entry, tag_usage, tag) then v_matched := true; -- Delete matching entry and preceding entries for j in i downto 1 loop vr_sb_queue.delete(instance, POSITION, j, SINGLE); end loop; v_dropped_num := i - 1; exit; end if; end loop; -- Not OOB or LOSSY else v_entry := vr_sb_queue.peek(instance); if match_received_vs_entry(received_element, v_entry, tag_usage, tag) then v_matched := true; -- delete entry vr_sb_queue.delete(instance, POSITION, 1, SINGLE); elsif not(vr_match_cnt(instance) = 0 and vr_config(instance).ignore_initial_garbage) then vr_sb_queue.delete(instance, POSITION, 1, SINGLE); end if; end if; -- Update counters vr_drop_cnt(instance) := vr_drop_cnt(instance) + v_dropped_num; if v_matched then vr_match_cnt(instance) := vr_match_cnt(instance) + 1; elsif vr_match_cnt(instance) = 0 and vr_config(instance).ignore_initial_garbage then vr_initial_garbage_cnt(instance) := vr_initial_garbage_cnt(instance) + 1; else vr_mismatch_cnt(instance) := vr_mismatch_cnt(instance) + 1; end if; -- Check if overdue time if v_matched and (vr_config(instance).overdue_check_time_limit /= 0 ns) and (now-v_entry.entry_time > vr_config(instance).overdue_check_time_limit) then if ext_proc_call = "" then alert(vr_config(instance).overdue_check_alert_level, proc_name & "() => TIME LIMIT OVERDUE: time limit is " & to_string(vr_config(instance).overdue_check_time_limit) & ", time from entry is " & to_string(now-v_entry.entry_time) & ". " & add_msg_delimiter(msg) , vr_scope & "," & to_string(instance)); else alert(vr_config(instance).overdue_check_alert_level, ext_proc_call & " => TIME LIMIT OVERDUE: time limit is " & to_string(vr_config(instance).overdue_check_time_limit) & ", time from entry is " & to_string(now-v_entry.entry_time) & ". " & add_msg_delimiter(msg) , vr_scope & "," & to_string(instance)); end if; -- Update counter vr_overdue_check_cnt(instance) := vr_overdue_check_cnt(instance) + 1; end if; -- Logging if v_matched then if ext_proc_call = "" then if tag_usage = NO_TAG then log(instance, ID_DATA, proc_name & "() => MATCH, for value: " & to_string_element(v_entry.expected_element) & ". " & add_msg_delimiter(msg), vr_scope & "," & to_string(instance)); else log(instance, ID_DATA, proc_name & "() => MATCH, for value: " & to_string_element(v_entry.expected_element) & ". tag: '" & to_string(tag) & "'. " & add_msg_delimiter(msg), vr_scope & "," & to_string(instance)); end if; -- Called from other SB method else if tag_usage = NO_TAG then log(instance, ID_DATA, ext_proc_call & " => MATCH, for received: " & to_string_element(received_element) & ". " & add_msg_delimiter(msg), vr_scope & "," & to_string(instance)); else log(instance, ID_DATA, ext_proc_call & " => MATCH, for received: " & to_string_element(received_element) & ", tag: '" & to_string(tag) & "'. " & add_msg_delimiter(msg), vr_scope & "," & to_string(instance)); end if; end if; -- Initial garbage elsif not(vr_match_cnt(instance) = 0 and vr_config(instance).ignore_initial_garbage) then if ext_proc_call = "" then if tag_usage = NO_TAG then alert(vr_config(instance).mismatch_alert_level, proc_name & "() => MISMATCH, expected: " & to_string_element(v_entry.expected_element) & "; received: " & to_string_element(received_element) & ". " & add_msg_delimiter(msg), vr_scope & "," & to_string(instance)); else alert(vr_config(instance).mismatch_alert_level, proc_name & "() => MISMATCH, expected: " & to_string_element(v_entry.expected_element) & ", tag: '" & to_string(v_entry.tag) & "'; received: " & to_string_element(received_element) & ", tag: '" & to_string(tag) & "'. " & add_msg_delimiter(msg), vr_scope & "," & to_string(instance)); end if; else if tag_usage = NO_TAG then alert(vr_config(instance).mismatch_alert_level, ext_proc_call & " => MISMATCH, expected: " & to_string_element(v_entry.expected_element) & "; received: " & to_string_element(received_element) & ". " & add_msg_delimiter(msg), vr_scope & "," & to_string(instance)); else alert(vr_config(instance).mismatch_alert_level, ext_proc_call & " => MISMATCH, expected: " & to_string_element(v_entry.expected_element) & ", tag: " & to_string(v_entry.tag) & "; received: " & to_string_element(received_element) & ", tag: '" & to_string(tag) & "'. " & add_msg_delimiter(msg), vr_scope & "," & to_string(instance)); end if; end if; end if; end procedure check_received_instance; begin if instance = ALL_INSTANCES then for i in 0 to C_MAX_SB_INSTANCE_IDX loop if vr_instance_enabled(i) then check_received_instance(i); end if; end loop; else check_instance_in_range(instance); check_instance_enabled(instance); check_received_instance(instance); end if; end procedure check_received; procedure check_received( constant received_element : in t_element; constant tag_usage : in t_tag_usage; constant tag : in string; constant msg : in string := "" ) is begin check_received(1, received_element, tag_usage, tag, msg, "check_received()"); end procedure check_received; procedure check_received( constant instance : in integer; constant received_element : in t_element; constant msg : in string := "" ) is begin check_received(instance, received_element, NO_TAG, "", msg); end procedure check_received; procedure check_received( constant received_element : in t_element; constant msg : in string := "" ) is begin check_received(received_element, NO_TAG, "", msg); end procedure check_received; ---------------------------------------------------------------------------------------------------- -- -- flush -- -- Deletes all entries in queue and updates delete counter. -- ---------------------------------------------------------------------------------------------------- procedure flush( constant instance : in integer; constant msg : in string := ""; constant ext_proc_call : in string := "" ) is constant proc_name : string := "flush"; begin if instance = ALL_INSTANCES then log(ID_DATA, proc_name & "() => flushing all instances. " & add_msg_delimiter(msg), vr_scope); for i in 0 to C_MAX_SB_INSTANCE_IDX loop -- update counters vr_delete_cnt(i) := vr_delete_cnt(i) + vr_sb_queue.get_count(i); -- flush queue vr_sb_queue.flush(i); end loop; else if ext_proc_call = "" then log(instance, ID_DATA, proc_name & "() => flushing SB. " & add_msg_delimiter(msg), vr_scope & "," & to_string(instance)); else log(instance, ID_DATA, ext_proc_call & add_msg_delimiter(msg), vr_scope & "," & to_string(instance)); end if; check_instance_in_range(instance); check_instance_enabled(instance); -- update counters vr_delete_cnt(instance) := vr_delete_cnt(instance) + vr_sb_queue.get_count(instance); -- flush queue vr_sb_queue.flush(instance); end if; end procedure flush; procedure flush( constant msg : in string ) is begin flush(1, msg, "flush() => flushing SB. "); end procedure flush; procedure flush( constant void : in t_void ) is begin flush(""); end procedure flush; ---------------------------------------------------------------------------------------------------- -- -- reset -- -- Resets all counters and flushes queue. Also resets entry number count. -- ---------------------------------------------------------------------------------------------------- procedure reset( constant instance : in integer; constant msg : in string := ""; constant ext_proc_call : in string := "" ) is constant proc_name : string := "reset"; procedure reset_instance( constant instance : natural ) is begin -- reset instance 0 only if it is used if not(vr_sb_queue.is_empty(0)) or (instance > 0) then vr_sb_queue.reset(instance); vr_entered_cnt(instance) := 0; vr_match_cnt(instance) := 0; vr_mismatch_cnt(instance) := 0; vr_drop_cnt(instance) := 0; vr_initial_garbage_cnt(instance) := 0; vr_delete_cnt(instance) := 0; vr_overdue_check_cnt(instance) := 0; end if; end procedure reset_instance; begin if instance = ALL_INSTANCES then log(ID_CTRL, proc_name & "() => reseting all instances. " & add_msg_delimiter(msg), vr_scope); for i in 0 to C_MAX_SB_INSTANCE_IDX loop reset_instance(i); end loop; else if ext_proc_call = "" then log(instance, ID_CTRL, proc_name & "() => reseting SB. " & add_msg_delimiter(msg), vr_scope & "," & to_string(instance)); else log(instance, ID_CTRL, ext_proc_call & add_msg_delimiter(msg), vr_scope & "," & to_string(instance)); end if; check_instance_in_range(instance); check_instance_enabled(instance); reset_instance(instance); end if; end procedure reset; procedure reset( constant msg : in string ) is begin reset(1, msg, "reset() => reseting SB. "); end procedure reset; procedure reset( constant void : in t_void ) is begin reset(""); end procedure reset; ---------------------------------------------------------------------------------------------------- -- -- is_empty -- -- Returns true if scoreboard instance is empty, false if not. -- ---------------------------------------------------------------------------------------------------- impure function is_empty( constant instance : in integer ) return boolean is variable v_is_empty : boolean := true; begin if instance /= ALL_INSTANCES then check_instance_in_range(instance); check_instance_enabled(instance); v_is_empty := vr_sb_queue.is_empty(instance); else for idx in 0 to C_MAX_SB_INSTANCE_IDX loop -- an instance is not empty if vr_instance_enabled(idx) then if not(vr_sb_queue.is_empty(idx)) then v_is_empty := false; end if; end if; end loop; end if; return v_is_empty; end function is_empty; impure function is_empty( constant void : in t_void ) return boolean is begin return is_empty(1); end function is_empty; ---------------------------------------------------------------------------------------------------- -- -- get_entered_count -- -- Returns total number of entries made to scoreboard instance. -- Added + inserted. -- ---------------------------------------------------------------------------------------------------- impure function get_entered_count( constant instance : in integer ) return integer is begin check_instance_in_range(instance); check_instance_enabled(instance); return vr_entered_cnt(instance); end function get_entered_count; impure function get_entered_count( constant void : in t_void ) return integer is begin return get_entered_count(1); end function get_entered_count; ---------------------------------------------------------------------------------------------------- -- -- get_pending_count -- -- Returns number of entries en scoreboard instance at the moment. -- Added + inserted - checked - deleted. -- ---------------------------------------------------------------------------------------------------- impure function get_pending_count( constant instance : in integer ) return integer is begin if vr_entered_cnt(instance) = -1 then return -1; else check_instance_in_range(instance); check_instance_enabled(instance); return vr_sb_queue.get_count(instance); end if; end function get_pending_count; impure function get_pending_count( constant void : in t_void ) return integer is begin return get_pending_count(1); end function get_pending_count; ---------------------------------------------------------------------------------------------------- -- -- get_match_count -- -- Returns number of entries checked and matched against a received. -- ---------------------------------------------------------------------------------------------------- impure function get_match_count( constant instance : in integer ) return integer is begin check_instance_in_range(instance); check_instance_enabled(instance); return vr_match_cnt(instance); end function get_match_count; impure function get_match_count( constant void : in t_void ) return integer is begin return get_match_count(1); end function get_match_count; ---------------------------------------------------------------------------------------------------- -- -- get_mismatch_count -- -- Returns number of entries checked and not matched against a received. -- ---------------------------------------------------------------------------------------------------- impure function get_mismatch_count( constant instance : in integer ) return integer is begin check_instance_in_range(instance); check_instance_enabled(instance); return vr_mismatch_cnt(instance); end function get_mismatch_count; impure function get_mismatch_count( constant void : in t_void ) return integer is begin return get_mismatch_count(1); end function get_mismatch_count; ---------------------------------------------------------------------------------------------------- -- -- get_drop_count -- -- Returns number of entries dropped, total number of preceding entries before match. -- Only relevant during lossy mode. -- ---------------------------------------------------------------------------------------------------- impure function get_drop_count( constant instance : in integer ) return integer is begin check_instance_in_range(instance); check_instance_enabled(instance); return vr_drop_cnt(instance); end function get_drop_count; impure function get_drop_count( constant void : in t_void ) return integer is begin return get_drop_count(1); end function get_drop_count; ---------------------------------------------------------------------------------------------------- -- -- get_initial_garbage_count -- -- Returns number of received checked before first match. -- Only relevant when allow_initial_garbage is enabled. -- ---------------------------------------------------------------------------------------------------- impure function get_initial_garbage_count( constant instance : in integer ) return integer is begin check_instance_in_range(instance); check_instance_enabled(instance); return vr_initial_garbage_cnt(instance); end function get_initial_garbage_count; impure function get_initial_garbage_count( constant void : in t_void ) return integer is begin return get_initial_garbage_count(1); end function get_initial_garbage_count; ---------------------------------------------------------------------------------------------------- -- -- get_delete_count -- -- Returns number of deleted entries. -- Delete + fetch + flush. -- ---------------------------------------------------------------------------------------------------- impure function get_delete_count( constant instance : in integer ) return integer is begin check_instance_in_range(instance); check_instance_enabled(instance); return vr_delete_cnt(instance); end function get_delete_count; impure function get_delete_count( constant void : in t_void ) return integer is begin return get_delete_count(1); end function get_delete_count; ---------------------------------------------------------------------------------------------------- -- -- get_overdue_check_count -- -- Returns number of received checked when time limit is overdue. -- Only relevant when overdue_check_time_limit is set. -- ---------------------------------------------------------------------------------------------------- impure function get_overdue_check_count( constant instance : in integer ) return integer is begin check_instance_in_range(instance); check_instance_enabled(instance); return vr_overdue_check_cnt(instance); end function get_overdue_check_count; impure function get_overdue_check_count( constant void : in t_void ) return integer is begin return get_overdue_check_count(1); end function get_overdue_check_count; ---------------------------------------------------------------------------------------------------- -- -- set_scope / get_scope -- -- Set/Get the scope of the scoreboard. -- ---------------------------------------------------------------------------------------------------- procedure set_scope( constant scope : in string ) is begin vr_scope := pad_string(scope, NUL, C_LOG_SCOPE_WIDTH); end procedure set_scope; impure function get_scope( constant void : in t_void ) return string is begin return vr_scope; end function get_scope; ---------------------------------------------------------------------------------------------------- -- -- enable_log_msg -- -- Enables the specified message id for the instance. -- ---------------------------------------------------------------------------------------------------- procedure enable_log_msg( constant instance : in integer; constant msg_id : in t_msg_id; constant ext_proc_call : in string := "" ) is constant proc_name : string := "enable_log_msg"; begin if instance = ALL_INSTANCES then log(ID_CTRL, proc_name & "() => message id " & to_string(msg_id) & " enabled for all instances.", vr_scope); for i in 0 to C_MAX_SB_INSTANCE_IDX loop vr_msg_id_panel_array(i)(msg_id) := ENABLED; end loop; else check_instance_in_range(instance); check_instance_enabled(instance); if ext_proc_call = "" then log(instance, ID_CTRL, proc_name & "() => message id " & to_string(msg_id) & " enabled.", vr_scope & "," & to_string(instance)); else log(instance, ID_CTRL, ext_proc_call, vr_scope & "," & to_string(instance)); end if; vr_msg_id_panel_array(instance)(msg_id) := ENABLED; end if; end procedure enable_log_msg; procedure enable_log_msg( constant msg_id : in t_msg_id ) is begin enable_log_msg(1, msg_id, "enable_log_msg() => message id " & to_string(msg_id) & " enabled. "); end procedure enable_log_msg; ---------------------------------------------------------------------------------------------------- -- -- disable_log_msg -- -- Disables the specified message id for the instance. -- ---------------------------------------------------------------------------------------------------- procedure disable_log_msg( constant instance : in integer; constant msg_id : in t_msg_id; constant ext_proc_call : in string := "" ) is constant proc_name : string := "disable_log_msg"; begin if instance = ALL_INSTANCES then log(ID_CTRL, proc_name & "() => message id " & to_string(msg_id) & " disabled for all instances.", vr_scope); for i in 0 to C_MAX_SB_INSTANCE_IDX loop vr_msg_id_panel_array(i)(msg_id) := DISABLED; end loop; else check_instance_in_range(instance); check_instance_enabled(instance); if ext_proc_call = "" then log(instance, ID_CTRL, proc_name & "() => message id " & to_string(msg_id) & " disabled.", vr_scope & "," & to_string(instance)); else log(instance, ID_CTRL, ext_proc_call, vr_scope & "," & to_string(instance)); end if; vr_msg_id_panel_array(instance)(msg_id) := DISABLED; end if; end procedure disable_log_msg; procedure disable_log_msg( constant msg_id : in t_msg_id ) is begin disable_log_msg(1, msg_id, "disable_log_msg() => message id " & to_string(msg_id) & " disabled. "); end procedure disable_log_msg; ---------------------------------------------------------------------------------------------------- -- -- report_conters -- -- Prints a report of all counters to transcript for either specified instance, all enabled -- instances or all instances. -- ---------------------------------------------------------------------------------------------------- procedure report_counters( constant instance : in integer; constant ext_proc_call : in string := "" ) is variable v_line : line; variable v_line_copy : line; variable v_status_failed : boolean := true; variable v_mismatch : boolean := false; variable v_no_enabled_instances : boolean := true; constant C_HEADER : string := "*** SCOREBOARD COUNTERS SUMMARY: " & to_string(vr_scope) & " ***"; constant prefix : string := C_LOG_PREFIX & " "; constant log_counter_width : positive := 8; -- shouldn't be smaller than 8 due to the counters names variable v_log_extra_space : integer := 0; constant C_MAX_SB_INSTANCE_IDX_STRING : string := to_string(C_MAX_SB_INSTANCE_IDX); constant C_MAX_SB_INSTANCE_IDX_STRING_LEN : natural := C_MAX_SB_INSTANCE_IDX_STRING'length; begin -- Calculate how much space we can insert between the columns of the report v_log_extra_space := (C_LOG_LINE_WIDTH - prefix'length - 20 - log_counter_width*6 - 15 - 13)/8; if v_log_extra_space < 1 then alert(TB_WARNING, "C_LOG_LINE_WIDTH is too small, the report will not be properly aligned.", vr_scope); v_log_extra_space := 1; end if; write(v_line, LF & fill_string('=', (C_LOG_LINE_WIDTH - prefix'length)) & LF & timestamp_header(now, justify(C_HEADER, LEFT, C_LOG_LINE_WIDTH - prefix'length, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE)) & LF & fill_string('=', (C_LOG_LINE_WIDTH - prefix'length)) & LF); write(v_line, justify( fill_string(' ', 16) & justify("ENTERED" , center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) & justify("PENDING" , center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) & justify("MATCH" , center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) & justify("MISMATCH" , center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) & justify("DROP" , center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) & justify("INITIAL_GARBAGE", center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) & justify("DELETE" , center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) & justify("OVERDUE_CHECK" , center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space), left, C_LOG_LINE_WIDTH - prefix'length, KEEP_LEADING_SPACE, DISALLOW_TRUNCATE) & LF); if instance = ALL_INSTANCES THEN for i in 0 to C_MAX_SB_INSTANCE_IDX loop if (instance = ALL_INSTANCES and vr_instance_enabled(i)) then v_no_enabled_instances := false; write(v_line, justify( "instance: " & justify(to_string(i), right, C_MAX_SB_INSTANCE_IDX_STRING_LEN, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', 20-4-10-C_MAX_SB_INSTANCE_IDX_STRING_LEN) & justify(to_string(get_entered_count(i)) , center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) & justify(to_string(get_pending_count(i)) , center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) & justify(to_string(get_match_count(i)) , center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) & justify(to_string(get_mismatch_count(i)) , center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) & justify(to_string(get_drop_count(i)) , center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) & justify(to_string(get_initial_garbage_count(i)), center, 15, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) & justify(to_string(get_delete_count(i)) , center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) & justify(to_string(get_overdue_check_count(i)) , center, 13, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space), left, C_LOG_LINE_WIDTH - prefix'length, KEEP_LEADING_SPACE, DISALLOW_TRUNCATE) & LF); end if; end loop; -- report if no enabled instances was found if v_no_enabled_instances then write(v_line, "No enabled instances was found." & LF); end if; else check_instance_in_range(instance); check_instance_enabled(instance); write(v_line, justify( "instance: " & justify(to_string(instance), right, C_MAX_SB_INSTANCE_IDX_STRING_LEN, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', 20-4-10-C_MAX_SB_INSTANCE_IDX_STRING_LEN) & justify(to_string(get_entered_count(instance)) , center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) & justify(to_string(get_pending_count(instance)) , center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) & justify(to_string(get_match_count(instance)) , center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) & justify(to_string(get_mismatch_count(instance)) , center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) & justify(to_string(get_drop_count(instance)) , center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) & justify(to_string(get_initial_garbage_count(instance)), center, 15, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) & justify(to_string(get_delete_count(instance)) , center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) & justify(to_string(get_overdue_check_count(instance)) , center, 13, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space), left, C_LOG_LINE_WIDTH - prefix'length, KEEP_LEADING_SPACE, DISALLOW_TRUNCATE) & LF); end if; write(v_line, fill_string('=', (C_LOG_LINE_WIDTH - prefix'length)) & LF & LF); wrap_lines(v_line, 1, 1, C_LOG_LINE_WIDTH-prefix'length); prefix_lines(v_line, prefix); -- Write the info string to transcript write (v_line_copy, v_line.all); -- copy line writeline(OUTPUT, v_line); writeline(LOG_FILE, v_line_copy); end procedure report_counters; procedure report_counters( constant void : in t_void ) is begin report_counters(1, "no instance label"); end procedure report_counters; --================================================================================================== -- ADVANCED METHODS --================================================================================================== ---------------------------------------------------------------------------------------------------- -- -- insert_expected -- -- Inserts expected element to the queue based on position or entry number -- ---------------------------------------------------------------------------------------------------- procedure insert_expected( constant instance : in integer; constant identifier_option : in t_identifier_option; constant identifier : in positive; constant expected_element : in t_element; constant tag_usage : in t_tag_usage; constant tag : in string; constant msg : in string := ""; constant source : in string := ""; constant ext_proc_call : in string := "" ) is constant proc_name : string := "insert_expected"; variable v_sb_entry : t_sb_entry; begin -- Check if instance is within range if instance /= ALL_INSTANCES then check_instance_in_range(instance); end if; v_sb_entry := (expected_element => expected_element, source => pad_string(source, NUL, C_SB_SOURCE_WIDTH), tag => pad_string(tag, NUL, C_SB_TAG_WIDTH), entry_time => now); if instance = ALL_INSTANCES then for i in 0 to C_MAX_SB_INSTANCE_IDX loop if vr_instance_enabled(i) then -- Check that instance is enabled check_queue_empty(i); -- add entry vr_sb_queue.insert(i, identifier_option, identifier, v_sb_entry); -- increment counters vr_entered_cnt(i) := vr_entered_cnt(i)+1; end if; end loop; else -- Check that instance is in valid range and enabled check_instance_in_range(instance); check_instance_enabled(instance); -- insert_expected to POSITION 1 is allowed if identifier_option /= POSITION and identifier /= 1 then check_queue_empty(instance); end if; -- add entry vr_sb_queue.insert(instance, identifier_option, identifier, v_sb_entry); -- increment counters vr_entered_cnt(instance) := vr_entered_cnt(instance)+1; end if; -- Logging if ext_proc_call = "" then if instance = ALL_INSTANCES then if identifier_option = POSITION then if tag_usage = NO_TAG then log(ID_DATA, proc_name & "() => inserted expected after entry with position " & to_string(identifier) & " for all enabled instances. Expected: " & to_string_element(expected_element) & ". " & add_msg_delimiter(msg), vr_scope); else log(ID_DATA, proc_name & "() => inserted expected after entry with position " & to_string(identifier) & " for all enabled instances. Expected: " & to_string_element(expected_element) & ", tag: '" & to_string(tag) & "'. " & add_msg_delimiter(msg), vr_scope); end if; else if tag_usage = NO_TAG then log(ID_DATA, proc_name & "() => inserted expected after entry with entry number " & to_string(identifier) & " for all enabled instances. Expected: " & to_string_element(expected_element) & ". " & add_msg_delimiter(msg), vr_scope); else log(ID_DATA, proc_name & "() => inserted expected after entry with entry number " & to_string(identifier) & " for all enabled instances. Expected: " & to_string_element(expected_element) & ", tag: '" & to_string(tag) & "'. " & add_msg_delimiter(msg), vr_scope); end if; end if; else if identifier_option = POSITION then log(instance, ID_DATA, proc_name & "() => inserted expected after entry with position " & to_string(identifier) & ". " & add_msg_delimiter(msg), vr_scope & "," & to_string(instance)); else log(instance, ID_DATA, proc_name & "() => inserted expected after entry with entry number " & to_string(identifier) & ". " & add_msg_delimiter(msg), vr_scope & "," & to_string(instance)); end if; end if; else if tag_usage = NO_TAG then log(instance, ID_DATA, ext_proc_call & " Expected: " & to_string_element(expected_element) & ". " & add_msg_delimiter(msg), vr_scope & "," & to_string(instance)); else log(instance, ID_DATA, ext_proc_call & " Expected: " & to_string_element(expected_element) & ", tag: '" & to_string(tag) & "'. " & add_msg_delimiter(msg), vr_scope & "," & to_string(instance)); end if; end if; end procedure insert_expected; procedure insert_expected( constant identifier_option : in t_identifier_option; constant identifier : in positive; constant expected_element : in t_element; constant tag_usage : in t_tag_usage; constant tag : in string; constant msg : in string := ""; constant source : in string := "" ) is begin if identifier_option = POSITION then insert_expected(1, identifier_option, identifier, expected_element, tag_usage, tag, msg, source, "insert_expected() => inserted expected after entry with position " & to_string(identifier) & ". "); else insert_expected(1, identifier_option, identifier, expected_element, tag_usage, tag, msg, source, "insert_expected() => inserted expected after entry with entry number " & to_string(identifier) & ". "); end if; end procedure insert_expected; procedure insert_expected( constant instance : in integer; constant identifier_option : in t_identifier_option; constant identifier : in positive; constant expected_element : in t_element; constant msg : in string := ""; constant source : in string := "" ) is begin insert_expected(instance, identifier_option, identifier, expected_element, NO_TAG, "", msg, source, "insert_expected() => inserted expected without TAG in position " & to_string(identifier) & ". "); end procedure insert_expected; procedure insert_expected( constant identifier_option : in t_identifier_option; constant identifier : in positive; constant expected_element : in t_element; constant msg : in string := ""; constant source : in string := "" ) is begin insert_expected(1, identifier_option, identifier, expected_element, NO_TAG, "", msg, source, "insert_expected() => inserted expected without TAG in position " & to_string(identifier) & ". "); end procedure insert_expected; ---------------------------------------------------------------------------------------------------- -- -- find_expected_entry_num -- -- Returns entry number of matching entry, no match returns -1 -- ---------------------------------------------------------------------------------------------------- impure function find_expected_entry_num( constant instance : in integer; constant expected_element : in t_element; constant tag_usage : in t_tag_usage; constant tag : in string ) return integer is variable v_sb_entry : t_sb_entry; begin -- Sanity check check_instance_in_range(instance); check_instance_enabled(instance); check_queue_empty(instance); for i in 1 to get_pending_count(instance) loop -- get entry i v_sb_entry := vr_sb_queue.peek(instance, POSITION, i); -- check if match if match_expected_vs_entry(expected_element, v_sb_entry, tag_usage, tag) then return vr_sb_queue.get_entry_num(instance, i); end if; end loop; return -1; end function find_expected_entry_num; impure function find_expected_entry_num( constant expected_element : in t_element; constant tag_usage : in t_tag_usage; constant tag : in string ) return integer is begin return find_expected_entry_num(1, expected_element, tag_usage, tag); end function find_expected_entry_num; impure function find_expected_entry_num( constant instance : in integer; constant expected_element : in t_element ) return integer is begin return find_expected_entry_num(instance, expected_element, NO_TAG, ""); end function find_expected_entry_num; impure function find_expected_entry_num( constant expected_element : in t_element ) return integer is begin return find_expected_entry_num(1, expected_element, NO_TAG, ""); end function find_expected_entry_num; impure function find_expected_entry_num( constant instance : in integer; constant tag_usage : in t_tag_usage; constant tag : in string ) return integer is variable v_sb_entry : t_sb_entry; begin -- Sanity check check_instance_in_range(instance); check_instance_enabled(instance); check_queue_empty(instance); for i in 1 to get_pending_count(instance) loop -- get entry i v_sb_entry := vr_sb_queue.peek(instance, POSITION, i); -- check if match if v_sb_entry.tag = pad_string(tag, NUL, C_SB_TAG_WIDTH) then return vr_sb_queue.get_entry_num(instance, i); end if; end loop; return -1; end function find_expected_entry_num; impure function find_expected_entry_num( constant tag_usage : in t_tag_usage; constant tag : in string ) return integer is begin return find_expected_entry_num(1, tag_usage, tag); end function find_expected_entry_num; ---------------------------------------------------------------------------------------------------- -- -- find_expected_position -- -- Returns position of matching entry, no match returns -1 -- ---------------------------------------------------------------------------------------------------- impure function find_expected_position( constant instance : in integer; constant expected_element : in t_element; constant tag_usage : in t_tag_usage; constant tag : in string ) return integer is variable v_sb_entry : t_sb_entry; begin -- Sanity check check_instance_in_range(instance); check_instance_enabled(instance); check_queue_empty(instance); for i in 1 to get_pending_count(instance) loop -- get entry i v_sb_entry := vr_sb_queue.peek(instance, POSITION, i); -- check if match if match_expected_vs_entry(expected_element, v_sb_entry, tag_usage, tag) then return i; end if; end loop; return -1; end function find_expected_position; impure function find_expected_position( constant expected_element : in t_element; constant tag_usage : in t_tag_usage; constant tag : in string ) return integer is begin return find_expected_position(1, expected_element, tag_usage, tag); end function find_expected_position; impure function find_expected_position( constant instance : in integer; constant expected_element : in t_element ) return integer is begin return find_expected_position(instance, expected_element, NO_TAG, ""); end function find_expected_position; impure function find_expected_position( constant expected_element : in t_element ) return integer is begin return find_expected_position(1, expected_element, NO_TAG, ""); end function find_expected_position; impure function find_expected_position( constant instance : in integer; constant tag_usage : in t_tag_usage; constant tag : in string ) return integer is variable v_sb_entry : t_sb_entry; begin -- Sanity check check_instance_in_range(instance); check_instance_enabled(instance); check_queue_empty(instance); for i in 1 to get_pending_count(instance) loop -- get entry i v_sb_entry := vr_sb_queue.peek(instance, POSITION, i); -- check if match if v_sb_entry.tag = pad_string(tag, NUL, C_SB_TAG_WIDTH) then return i; end if; end loop; return -1; end function find_expected_position; impure function find_expected_position( constant tag_usage : in t_tag_usage; constant tag : in string ) return integer is begin return find_expected_position(1, tag_usage, tag); end function find_expected_position; ---------------------------------------------------------------------------------------------------- -- -- delete_expected -- -- Deletes expected element in queue based on specified element, position or entry number -- ---------------------------------------------------------------------------------------------------- procedure delete_expected( constant instance : in integer; constant expected_element : in t_element; constant tag_usage : in t_tag_usage; constant tag : in string; constant msg : in string := ""; constant ext_proc_call : in string := "" ) is constant proc_name : string := "delete_expected"; variable v_position : integer; begin -- Sanity checks done in find_expected_position v_position := find_expected_position(instance, expected_element, tag_usage, tag); if v_position /= -1 then vr_sb_queue.delete(instance, POSITION, v_position, SINGLE); vr_delete_cnt(instance) := vr_delete_cnt(instance) + 1; if ext_proc_call = "" then log(instance, ID_DATA, proc_name & "() => value: " & to_string_element(expected_element) & ", tag: '" & to_string(tag) & "'. " & add_msg_delimiter(msg), vr_scope & "," & to_string(instance)); else log(instance, ID_DATA, ext_proc_call & add_msg_delimiter(msg), vr_scope & "," & to_string(instance)); end if; else log(instance, ID_DATA, proc_name & "() => NO DELETION. Did not find matching entry. " & add_msg_delimiter(msg), vr_scope & "," & to_string(instance)); end if; end procedure delete_expected; procedure delete_expected( constant expected_element : in t_element; constant tag_usage : in t_tag_usage; constant tag : in string; constant msg : in string := "" ) is begin delete_expected(1, expected_element, tag_usage, tag, msg, "delete_expected() => value: " & to_string_element(expected_element) & ", tag: '" & to_string(tag) & "'. "); end procedure delete_expected; procedure delete_expected( constant instance : in integer; constant expected_element : in t_element; constant msg : in string := "" ) is begin delete_expected(instance, expected_element, NO_TAG, "", msg, "delete_expected() => value: " & to_string_element(expected_element) & ". "); end procedure delete_expected; procedure delete_expected( constant expected_element : in t_element; constant msg : in string := "" ) is begin delete_expected(1, expected_element, NO_TAG, "", msg, "delete_expected() => value: " & to_string_element(expected_element) & ". "); end procedure delete_expected; procedure delete_expected( constant instance : in integer; constant tag_usage : in t_tag_usage; constant tag : in string; constant msg : in string := ""; constant ext_proc_call : in string := "" ) is constant proc_name : string := "delete_expected"; variable v_position : integer; begin -- Sanity checks done in find_expected_position v_position := find_expected_position(instance, tag_usage, tag); if v_position /= -1 then vr_sb_queue.delete(instance, POSITION, v_position, SINGLE); vr_delete_cnt(instance) := vr_delete_cnt(instance) + 1; if ext_proc_call = "" then log(instance, ID_DATA, proc_name & "() => tag: '" & to_string(tag) & "'. " & add_msg_delimiter(msg), vr_scope & "," & to_string(instance)); else log(instance, ID_DATA, ext_proc_call & add_msg_delimiter(msg), vr_scope); end if; else log(instance, ID_DATA, proc_name & "() => NO DELETION. Did not find matching entry. " & add_msg_delimiter(msg), vr_scope & "," & to_string(instance)); end if; end procedure delete_expected; procedure delete_expected( constant tag_usage : in t_tag_usage; constant tag : in string; constant msg : in string := "" ) is begin delete_expected(1, tag_usage, tag, msg, "delete_expected() => tag: '" & to_string(tag) & "'. "); end procedure delete_expected; procedure delete_expected( constant instance : in integer; constant identifier_option : in t_identifier_option; constant identifier_min : in positive; constant identifier_max : in positive; constant msg : in string := ""; constant ext_proc_call : in string := "" ) is constant proc_name : string := "delete_expected"; constant C_PRE_DELETE_PENDING_CNT : natural := vr_sb_queue.get_count(instance); variable v_num_deleted : natural; begin -- Sanity check check_instance_in_range(instance); check_instance_enabled(instance); check_queue_empty(instance); -- Delete entries vr_sb_queue.delete(instance, identifier_option, identifier_min, identifier_max); v_num_deleted := C_PRE_DELETE_PENDING_CNT - vr_sb_queue.get_count(instance); vr_delete_cnt(instance) := vr_delete_cnt(instance) + v_num_deleted; -- If error if v_num_deleted = 0 then log(instance, ID_DATA, proc_name & "() => NO DELETION. Did not find matching entry. " & add_msg_delimiter(msg), vr_scope & "," & to_string(instance)); else if ext_proc_call = "" then log(instance, ID_DATA, proc_name & "() => entries with identifier " & to_string(identifier_option) & " range " & to_string(identifier_min) & " to " & to_string(identifier_max) & " deleted. " & add_msg_delimiter(msg), vr_scope & "," & to_string(instance)); else log(instance, ID_DATA, ext_proc_call & add_msg_delimiter(msg), vr_scope & "," & to_string(instance)); end if; end if; end procedure delete_expected; procedure delete_expected( constant identifier_option : in t_identifier_option; constant identifier_min : in positive; constant identifier_max : in positive; constant msg : in string := "" ) is begin delete_expected(1, identifier_option, identifier_min, identifier_max, msg, "delete_expected() => entries with identifier " & to_string(identifier_option) & " range " & to_string(identifier_min) & " to " & to_string(identifier_max) & " deleted. "); end procedure delete_expected; procedure delete_expected( constant instance : in integer; constant identifier_option : in t_identifier_option; constant identifier : in positive; constant range_option : in t_range_option; constant msg : in string := ""; constant ext_proc_call : in string := "" ) is constant proc_name : string := "delete_expected"; constant C_PRE_DELETE_PENDING_CNT : natural := vr_sb_queue.get_count(instance); variable v_num_deleted : natural; begin -- Sanity check check_instance_in_range(instance); check_instance_enabled(instance); check_queue_empty(instance); -- Delete entries vr_sb_queue.delete(instance, identifier_option, identifier, range_option); v_num_deleted := C_PRE_DELETE_PENDING_CNT - vr_sb_queue.get_count(instance); vr_delete_cnt(instance) := vr_delete_cnt(instance) + v_num_deleted; -- If error if v_num_deleted = 0 then log(instance, ID_DATA, proc_name & "() => NO DELETION. Did not find matching entry. " & add_msg_delimiter(msg), vr_scope & "," & to_string(instance)); else if ext_proc_call = "" then if range_option = SINGLE then log(instance, ID_DATA, proc_name & "() => entry with identifier " & to_string(identifier_option) & " " & to_string(identifier) & ". " & add_msg_delimiter(msg), vr_scope & "," & to_string(instance)); else log(instance, ID_DATA, proc_name & "() => entries with identifier " & to_string(identifier_option) & " range " & to_string(identifier) & " " & to_string(range_option) & " deleted. " & add_msg_delimiter(msg), vr_scope & "," & to_string(instance)); end if; else log(instance, ID_DATA, ext_proc_call & add_msg_delimiter(msg), vr_scope & "," & to_string(instance)); end if; end if; end procedure delete_expected; procedure delete_expected( constant identifier_option : in t_identifier_option; constant identifier : in positive; constant range_option : in t_range_option; constant msg : in string := "" ) is begin if range_option = SINGLE then delete_expected(1, identifier_option, identifier, range_option, msg, "delete_expected() => entry with identifier '" & to_string(identifier_option) & " " & to_string(identifier) & " deleted. "); else delete_expected(1, identifier_option, identifier, range_option, msg, "delete_expected() => entries with identifier '" & to_string(identifier_option) & " range " & to_string(identifier) & " to " & to_string(range_option) & " deleted. "); end if; end procedure delete_expected; ---------------------------------------------------------------------------------------------------- -- non public local_entry -- Used by all peek functions ---------------------------------------------------------------------------------------------------- impure function peek_entry( constant instance : integer; constant identifier_option : t_identifier_option; constant identifier : positive ) return t_sb_entry is begin -- Check that instance is in valid range and enabled check_instance_in_range(instance); check_instance_enabled(instance); check_queue_empty(instance); return vr_sb_queue.peek(instance, identifier_option, identifier); end function peek_entry; ---------------------------------------------------------------------------------------------------- -- -- peek_expected -- -- Returns expected element from queue entry based on position or entry number without deleting entry -- ---------------------------------------------------------------------------------------------------- impure function peek_expected( constant instance : integer; constant identifier_option : t_identifier_option; constant identifier : positive ) return t_element is begin return peek_entry(instance, identifier_option, identifier).expected_element; end function peek_expected; impure function peek_expected( constant identifier_option : t_identifier_option; constant identifier : positive ) return t_element is begin return peek_entry(1, identifier_option, identifier).expected_element; end function peek_expected; impure function peek_expected( constant instance : integer ) return t_element is begin return peek_entry(instance, POSITION, 1).expected_element; end function peek_expected; impure function peek_expected( constant void : t_void ) return t_element is begin return peek_entry(1, POSITION, 1).expected_element; end function peek_expected; ---------------------------------------------------------------------------------------------------- -- -- peek_source -- -- Returns source element from queue entry based on position or entry number without deleting entry -- ---------------------------------------------------------------------------------------------------- impure function peek_source( constant instance : integer; constant identifier_option : t_identifier_option; constant identifier : positive ) return string is begin return to_string(peek_entry(instance, identifier_option, identifier).source); end function peek_source; impure function peek_source( constant identifier_option : t_identifier_option; constant identifier : positive ) return string is begin return peek_source(1, identifier_option, identifier); end function peek_source; impure function peek_source( constant instance : integer ) return string is begin return peek_source(instance, POSITION, 1); end function peek_source; impure function peek_source( constant void : t_void ) return string is begin return peek_source(1, POSITION, 1); end function peek_source; ---------------------------------------------------------------------------------------------------- -- -- peek_tag -- -- Returns tag from queue entry based on position or entry number without deleting entry -- ---------------------------------------------------------------------------------------------------- impure function peek_tag( constant instance : integer; constant identifier_option : t_identifier_option; constant identifier : positive ) return string is begin return to_string(peek_entry(instance, identifier_option, identifier).tag); end function peek_tag; impure function peek_tag( constant identifier_option : t_identifier_option; constant identifier : positive ) return string is begin return peek_tag(1, identifier_option, identifier); end function peek_tag; impure function peek_tag( constant instance : integer ) return string is begin return peek_tag(instance, POSITION, 1); end function peek_tag; impure function peek_tag( constant void : t_void ) return string is begin return peek_tag(1, POSITION, 1); end function peek_tag; ---------------------------------------------------------------------------------------------------- -- Non public fetch_entry -- Used by all fetch functions ---------------------------------------------------------------------------------------------------- impure function fetch_entry( constant instance : integer; constant identifier_option : t_identifier_option; constant identifier : positive ) return t_sb_entry is variable v_sb_entry : t_sb_entry; begin -- Sanity check check_instance_in_range(instance); check_instance_enabled(instance); check_queue_empty(instance); v_sb_entry := vr_sb_queue.fetch(instance, identifier_option, identifier); vr_delete_cnt(instance) := vr_delete_cnt(instance) + 1; return v_sb_entry; end function fetch_entry; ---------------------------------------------------------------------------------------------------- -- -- fetch_expected -- -- Returns expected element from queue entry based on position or entry number and deleting entry -- ---------------------------------------------------------------------------------------------------- impure function fetch_expected( constant instance : integer; constant identifier_option : t_identifier_option; constant identifier : positive; constant msg : string := ""; constant ext_proc_call : string := "" ) return t_element is constant proc_name : string := "fetch_expected"; begin -- Sanity checks in fetch entry -- Logging if ext_proc_call = "" then log(instance, ID_DATA, proc_name & "() => fetching expected by " & to_string(identifier_option) & " " & to_string(identifier) & ". " & add_msg_delimiter(msg), vr_scope & "," & to_string(instance)); else log(instance, ID_DATA, ext_proc_call & add_msg_delimiter(msg), vr_scope & "," & to_string(instance)); end if; return fetch_entry(instance, identifier_option, identifier).expected_element; end function fetch_expected; impure function fetch_expected( constant identifier_option : t_identifier_option; constant identifier : positive; constant msg : string := "" ) return t_element is begin return fetch_expected(1, identifier_option, identifier, msg, "fetch_expected() => fetching expected by " & to_string(identifier_option) & " " & to_string(identifier) & ". "); end function fetch_expected; impure function fetch_expected( constant instance : integer; constant msg : string := "" ) return t_element is begin return fetch_expected(instance, POSITION, 1, msg); end function fetch_expected; impure function fetch_expected( constant msg : string ) return t_element is begin return fetch_expected(POSITION, 1, msg); end function fetch_expected; impure function fetch_expected( constant void : t_void ) return t_element is begin return fetch_expected(POSITION, 1); end function fetch_expected; ---------------------------------------------------------------------------------------------------- -- -- fetch_source -- -- Returns source element from queue entry based on position or entry number and deleting entry -- ---------------------------------------------------------------------------------------------------- impure function fetch_source( constant instance : integer; constant identifier_option : t_identifier_option; constant identifier : positive; constant msg : string := ""; constant ext_proc_call : string := "" ) return string is constant proc_name : string := "fetch_source"; begin -- Sanity checks in fetch entry -- Logging if ext_proc_call = "" then log(instance, ID_DATA, proc_name & "() => fetching source by " & to_string(identifier_option) & " " & to_string(identifier) & ". " & add_msg_delimiter(msg), vr_scope & "," & to_string(instance)); else log(instance, ID_DATA, ext_proc_call & add_msg_delimiter(msg), vr_scope & "," & to_string(instance)); end if; return to_string(fetch_entry(instance, identifier_option, identifier).source); end function fetch_source; impure function fetch_source( constant identifier_option : t_identifier_option; constant identifier : positive; constant msg : string := "" ) return string is begin return fetch_source(1, identifier_option, identifier, msg, "fetch_source() => fetching source by " & to_string(identifier_option) & " " & to_string(identifier) & ". "); end function fetch_source; impure function fetch_source( constant instance : integer; constant msg : string := "" ) return string is begin return fetch_source(instance, POSITION, 1, msg); end function fetch_source; impure function fetch_source( constant msg : string ) return string is begin return fetch_source(POSITION, 1, msg); end function fetch_source; impure function fetch_source( constant void : t_void ) return string is begin return fetch_source(POSITION, 1); end function fetch_source; ---------------------------------------------------------------------------------------------------- -- -- fetch_tag -- -- Returns tag from queue entry based on position or entry number and deleting entry -- ---------------------------------------------------------------------------------------------------- impure function fetch_tag( constant instance : integer; constant identifier_option : t_identifier_option; constant identifier : positive; constant msg : string := ""; constant ext_proc_call : string := "" ) return string is constant proc_name : string := "fetch_tag"; begin -- Sanity checks in fetch entry -- Logging if ext_proc_call = "" then log(instance, ID_DATA, proc_name & "() => fetching tag by " & to_string(identifier_option) & " " & to_string(identifier) & ". " & add_msg_delimiter(msg), vr_scope & "," & to_string(instance)); else log(instance, ID_DATA, ext_proc_call & add_msg_delimiter(msg), vr_scope & "," & to_string(instance)); end if; return to_string(fetch_entry(instance, identifier_option, identifier).tag); end function fetch_tag; impure function fetch_tag( constant identifier_option : t_identifier_option; constant identifier : positive; constant msg : string := "" ) return string is begin return fetch_tag(1, identifier_option, identifier, msg, "fetch_tag() => fetching tag by " & to_string(identifier_option) & " " & to_string(identifier) & ". "); end function fetch_tag; impure function fetch_tag( constant instance : integer; constant msg : string := "" ) return string is begin return fetch_tag(instance, POSITION, 1, msg); end function fetch_tag; impure function fetch_tag( constant msg : string ) return string is begin return fetch_tag(POSITION, 1, msg); end function fetch_tag; impure function fetch_tag( constant void : t_void ) return string is begin return fetch_tag(POSITION, 1); end function fetch_tag; ---------------------------------------------------------------------------------------------------- -- -- exists -- -- Returns true if entry exists, false if not. -- ---------------------------------------------------------------------------------------------------- impure function exists( constant instance : integer; constant expected_element : t_element; constant tag_usage : t_tag_usage := NO_TAG; constant tag : string := "" ) return boolean is begin return (find_expected_position(instance, expected_element, tag_usage, tag) /= C_NO_MATCH); end function exists; impure function exists( constant expected_element : t_element; constant tag_usage : t_tag_usage := NO_TAG; constant tag : string := "" ) return boolean is begin return exists(1, expected_element, tag_usage, tag); end function exists; impure function exists( constant instance : integer; constant tag_usage : t_tag_usage; constant tag : string ) return boolean is begin return (find_expected_position(instance, tag_usage, tag) /= C_NO_MATCH); end function exists; impure function exists( constant tag_usage : t_tag_usage; constant tag : string ) return boolean is begin return exists(1, tag_usage, tag); end function exists; end protected body; end package body generic_sb_pkg;
mit
1d689a61e938213afd8be6641af0f505
0.547255
4.397259
false
false
false
false
mcoughli/root_of_trust
experiments/secure_filesystem/secure_filesystem_hls/solution1/syn/vhdl/filesystem_encrypt_buffer_V_m_axi.vhd
1
126,197
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2017.1 -- Copyright (C) 1986-2017 Xilinx, Inc. All Rights Reserved. -- -- ============================================================== library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; entity filesystem_encrypt_buffer_V_m_axi is generic ( NUM_READ_OUTSTANDING : INTEGER := 2; NUM_WRITE_OUTSTANDING : INTEGER := 2; MAX_READ_BURST_LENGTH : INTEGER := 16; MAX_WRITE_BURST_LENGTH : INTEGER := 16; C_M_AXI_ID_WIDTH : INTEGER := 1; C_M_AXI_ADDR_WIDTH : INTEGER := 32; C_TARGET_ADDR : INTEGER := 16#00000000#; C_M_AXI_DATA_WIDTH : INTEGER := 32; C_M_AXI_AWUSER_WIDTH : INTEGER := 1; C_M_AXI_ARUSER_WIDTH : INTEGER := 1; C_M_AXI_WUSER_WIDTH : INTEGER := 1; C_M_AXI_RUSER_WIDTH : INTEGER := 1; C_M_AXI_BUSER_WIDTH : INTEGER := 1; C_USER_VALUE : INTEGER := 0; C_PROT_VALUE : INTEGER := 2#000#; C_CACHE_VALUE : INTEGER := 2#0011#; USER_DW : INTEGER := 16; USER_AW : INTEGER := 32; USER_MAXREQS : INTEGER := 16); port ( -- system signal ACLK : in STD_LOGIC; ARESET : in STD_LOGIC; ACLK_EN : in STD_LOGIC; -- write address channel AWID : out STD_LOGIC_VECTOR(C_M_AXI_ID_WIDTH-1 downto 0); AWADDR : out STD_LOGIC_VECTOR(C_M_AXI_ADDR_WIDTH-1 downto 0); AWLEN : out STD_LOGIC_VECTOR(7 downto 0); AWSIZE : out STD_LOGIC_VECTOR(2 downto 0); AWBURST : out STD_LOGIC_VECTOR(1 downto 0); AWLOCK : out STD_LOGIC_VECTOR(1 downto 0); AWCACHE : out STD_LOGIC_VECTOR(3 downto 0); AWPROT : out STD_LOGIC_VECTOR(2 downto 0); AWQOS : out STD_LOGIC_VECTOR(3 downto 0); AWREGION : out STD_LOGIC_VECTOR(3 downto 0); AWUSER : out STD_LOGIC_VECTOR(C_M_AXI_AWUSER_WIDTH-1 downto 0); AWVALID : out STD_LOGIC; AWREADY : in STD_LOGIC; -- write data channel WID : out STD_LOGIC_VECTOR(C_M_AXI_ID_WIDTH-1 downto 0); WDATA : out STD_LOGIC_VECTOR(C_M_AXI_DATA_WIDTH-1 downto 0); WSTRB : out STD_LOGIC_VECTOR(C_M_AXI_DATA_WIDTH/8-1 downto 0); WLAST : out STD_LOGIC; WUSER : out STD_LOGIC_VECTOR(C_M_AXI_WUSER_WIDTH-1 downto 0); WVALID : out STD_LOGIC; WREADY : in STD_LOGIC; -- write response channel BID : in STD_LOGIC_VECTOR(C_M_AXI_ID_WIDTH-1 downto 0); BRESP : in STD_LOGIC_VECTOR(1 downto 0); BUSER : in STD_LOGIC_VECTOR(C_M_AXI_BUSER_WIDTH-1 downto 0); BVALID : in STD_LOGIC; BREADY : out STD_LOGIC; -- read address channel ARID : out STD_LOGIC_VECTOR(C_M_AXI_ID_WIDTH-1 downto 0); ARADDR : out STD_LOGIC_VECTOR(C_M_AXI_ADDR_WIDTH-1 downto 0); ARLEN : out STD_LOGIC_VECTOR(7 downto 0); ARSIZE : out STD_LOGIC_VECTOR(2 downto 0); ARBURST : out STD_LOGIC_VECTOR(1 downto 0); ARLOCK : out STD_LOGIC_VECTOR(1 downto 0); ARCACHE : out STD_LOGIC_VECTOR(3 downto 0); ARPROT : out STD_LOGIC_VECTOR(2 downto 0); ARQOS : out STD_LOGIC_VECTOR(3 downto 0); ARREGION : out STD_LOGIC_VECTOR(3 downto 0); ARUSER : out STD_LOGIC_VECTOR(C_M_AXI_ARUSER_WIDTH-1 downto 0); ARVALID : out STD_LOGIC; ARREADY : in STD_LOGIC; -- read data channel RID : in STD_LOGIC_VECTOR(C_M_AXI_ID_WIDTH-1 downto 0); RDATA : in STD_LOGIC_VECTOR(C_M_AXI_DATA_WIDTH-1 downto 0); RRESP : in STD_LOGIC_VECTOR(1 downto 0); RLAST : in STD_LOGIC; RUSER : in STD_LOGIC_VECTOR(C_M_AXI_RUSER_WIDTH-1 downto 0); RVALID : in STD_LOGIC; RREADY : out STD_LOGIC; -- internal bus ports -- write address channel I_AWID : in STD_LOGIC_VECTOR(C_M_AXI_ID_WIDTH-1 downto 0); I_AWADDR : in STD_LOGIC_VECTOR(USER_AW-1 downto 0); I_AWLEN : in STD_LOGIC_VECTOR(31 downto 0); I_AWSIZE : in STD_LOGIC_VECTOR(2 downto 0); I_AWBURST : in STD_LOGIC_VECTOR(1 downto 0); I_AWLOCK : in STD_LOGIC_VECTOR(1 downto 0); I_AWCACHE : in STD_LOGIC_VECTOR(3 downto 0); I_AWPROT : in STD_LOGIC_VECTOR(2 downto 0); I_AWQOS : in STD_LOGIC_VECTOR(3 downto 0); I_AWREGION : in STD_LOGIC_VECTOR(3 downto 0); I_AWUSER : in STD_LOGIC_VECTOR(C_M_AXI_AWUSER_WIDTH-1 downto 0); I_AWVALID : in STD_LOGIC; I_AWREADY : out STD_LOGIC; -- write data channel I_WID : in STD_LOGIC_VECTOR(C_M_AXI_ID_WIDTH-1 downto 0); I_WDATA : in STD_LOGIC_VECTOR(USER_DW-1 downto 0); I_WSTRB : in STD_LOGIC_VECTOR(USER_DW/8-1 downto 0); I_WLAST : in STD_LOGIC; I_WUSER : in STD_LOGIC_VECTOR(C_M_AXI_WUSER_WIDTH-1 downto 0); I_WVALID : in STD_LOGIC; I_WREADY : out STD_LOGIC; -- write response channel I_BID : out STD_LOGIC_VECTOR(C_M_AXI_ID_WIDTH-1 downto 0); I_BRESP : out STD_LOGIC_VECTOR(1 downto 0); I_BUSER : out STD_LOGIC_VECTOR(C_M_AXI_BUSER_WIDTH-1 downto 0); I_BVALID : out STD_LOGIC; I_BREADY : in STD_LOGIC; -- read address channel I_ARID : in STD_LOGIC_VECTOR(C_M_AXI_ID_WIDTH-1 downto 0); I_ARADDR : in STD_LOGIC_VECTOR(USER_AW-1 downto 0); I_ARLEN : in STD_LOGIC_VECTOR(31 downto 0); I_ARSIZE : in STD_LOGIC_VECTOR(2 downto 0); I_ARBURST : in STD_LOGIC_VECTOR(1 downto 0); I_ARLOCK : in STD_LOGIC_VECTOR(1 downto 0); I_ARCACHE : in STD_LOGIC_VECTOR(3 downto 0); I_ARPROT : in STD_LOGIC_VECTOR(2 downto 0); I_ARQOS : in STD_LOGIC_VECTOR(3 downto 0); I_ARREGION : in STD_LOGIC_VECTOR(3 downto 0); I_ARUSER : in STD_LOGIC_VECTOR(C_M_AXI_ARUSER_WIDTH-1 downto 0); I_ARVALID : in STD_LOGIC; I_ARREADY : out STD_LOGIC; -- read data channel I_RID : out STD_LOGIC_VECTOR(C_M_AXI_ID_WIDTH-1 downto 0); I_RDATA : out STD_LOGIC_VECTOR(USER_DW-1 downto 0); I_RRESP : out STD_LOGIC_VECTOR(1 downto 0); I_RLAST : out STD_LOGIC; I_RUSER : out STD_LOGIC_VECTOR(C_M_AXI_RUSER_WIDTH-1 downto 0); I_RVALID : out STD_LOGIC; I_RREADY : in STD_LOGIC); end entity filesystem_encrypt_buffer_V_m_axi; architecture behave of filesystem_encrypt_buffer_V_m_axi is component filesystem_encrypt_buffer_V_m_axi_write is generic ( NUM_WRITE_OUTSTANDING : INTEGER := 1; MAX_WRITE_BURST_LENGTH : INTEGER := 1; C_M_AXI_ID_WIDTH : INTEGER := 1; C_M_AXI_ADDR_WIDTH : INTEGER := 32; C_TARGET_ADDR : INTEGER := 16#00000000#; C_M_AXI_DATA_WIDTH : INTEGER := 32; C_M_AXI_AWUSER_WIDTH : INTEGER := 1; C_M_AXI_WUSER_WIDTH : INTEGER := 1; C_M_AXI_BUSER_WIDTH : INTEGER := 1; C_USER_VALUE : INTEGER := 0; C_PROT_VALUE : INTEGER := 0; C_CACHE_VALUE : INTEGER := 2#0011#; USER_DW : INTEGER := 16; USER_AW : INTEGER := 32; USER_MAXREQS : INTEGER := 16); port ( ACLK : in STD_LOGIC; ARESET : in STD_LOGIC; ACLK_EN : in STD_LOGIC; AWID : out UNSIGNED(C_M_AXI_ID_WIDTH-1 downto 0); AWADDR : out UNSIGNED(C_M_AXI_ADDR_WIDTH-1 downto 0); AWLEN : out UNSIGNED(7 downto 0); AWSIZE : out UNSIGNED(2 downto 0); AWBURST : out UNSIGNED(1 downto 0); AWLOCK : out UNSIGNED(1 downto 0); AWCACHE : out UNSIGNED(3 downto 0); AWPROT : out UNSIGNED(2 downto 0); AWQOS : out UNSIGNED(3 downto 0); AWREGION : out UNSIGNED(3 downto 0); AWUSER : out UNSIGNED(C_M_AXI_AWUSER_WIDTH-1 downto 0); AWVALID : out STD_LOGIC; AWREADY : in STD_LOGIC; WID : out UNSIGNED(C_M_AXI_ID_WIDTH-1 downto 0); WDATA : out UNSIGNED(C_M_AXI_DATA_WIDTH-1 downto 0); WSTRB : out UNSIGNED(C_M_AXI_DATA_WIDTH/8-1 downto 0); WLAST : out STD_LOGIC; WUSER : out UNSIGNED(C_M_AXI_WUSER_WIDTH-1 downto 0); WVALID : out STD_LOGIC; WREADY : in STD_LOGIC; BID : in UNSIGNED(C_M_AXI_ID_WIDTH-1 downto 0); BRESP : in UNSIGNED(1 downto 0); BUSER : in UNSIGNED(C_M_AXI_BUSER_WIDTH-1 downto 0); BVALID : in STD_LOGIC; BREADY : out STD_LOGIC; wreq_valid : in STD_LOGIC; wreq_ack : out STD_LOGIC; wreq_addr : in UNSIGNED(USER_AW-1 downto 0); wreq_length : in UNSIGNED(31 downto 0); wreq_cache : in UNSIGNED(3 downto 0); wreq_prot : in UNSIGNED(2 downto 0); wreq_qos : in UNSIGNED(3 downto 0); wreq_user : in UNSIGNED(C_M_AXI_AWUSER_WIDTH-1 downto 0); wdata_valid : in STD_LOGIC; wdata_ack : out STD_LOGIC; wdata_strb : in UNSIGNED(USER_DW/8-1 downto 0); wdata_user : in UNSIGNED(C_M_AXI_WUSER_WIDTH-1 downto 0); wdata_data : in UNSIGNED(USER_DW-1 downto 0); wrsp_valid : out STD_LOGIC; wrsp_ack : in STD_LOGIC; wrsp : out UNSIGNED(1 downto 0)); end component filesystem_encrypt_buffer_V_m_axi_write; component filesystem_encrypt_buffer_V_m_axi_read is generic ( NUM_READ_OUTSTANDING : INTEGER := 1; MAX_READ_BURST_LENGTH : INTEGER := 1; C_M_AXI_ID_WIDTH : INTEGER := 1; C_M_AXI_ADDR_WIDTH : INTEGER := 32; C_TARGET_ADDR : INTEGER := 16#00000000#; C_M_AXI_DATA_WIDTH : INTEGER := 32; C_M_AXI_ARUSER_WIDTH : INTEGER := 1; C_M_AXI_RUSER_WIDTH : INTEGER := 1; C_USER_VALUE : INTEGER := 0; C_PROT_VALUE : INTEGER := 0; C_CACHE_VALUE : INTEGER := 2#0011#; USER_DW : INTEGER := 16; USER_AW : INTEGER := 32; USER_MAXREQS : INTEGER := 16); port ( ACLK : in STD_LOGIC; ARESET : in STD_LOGIC; ACLK_EN : in STD_LOGIC; ARID : out UNSIGNED(C_M_AXI_ID_WIDTH-1 downto 0); ARADDR : out UNSIGNED(C_M_AXI_ADDR_WIDTH-1 downto 0); ARLEN : out UNSIGNED(7 downto 0); ARSIZE : out UNSIGNED(2 downto 0); ARBURST : out UNSIGNED(1 downto 0); ARLOCK : out UNSIGNED(1 downto 0); ARCACHE : out UNSIGNED(3 downto 0); ARPROT : out UNSIGNED(2 downto 0); ARQOS : out UNSIGNED(3 downto 0); ARREGION : out UNSIGNED(3 downto 0); ARUSER : out UNSIGNED(C_M_AXI_ARUSER_WIDTH-1 downto 0); ARVALID : out STD_LOGIC; ARREADY : in STD_LOGIC; RID : in UNSIGNED(C_M_AXI_ID_WIDTH-1 downto 0); RDATA : in UNSIGNED(C_M_AXI_DATA_WIDTH-1 downto 0); RRESP : in UNSIGNED(1 downto 0); RLAST : in STD_LOGIC; RUSER : in UNSIGNED(C_M_AXI_RUSER_WIDTH-1 downto 0); RVALID : in STD_LOGIC; RREADY : out STD_LOGIC; rreq_valid : in STD_LOGIC; rreq_ack : out STD_LOGIC; rreq_addr : in UNSIGNED(USER_AW-1 downto 0); rreq_length : in UNSIGNED(31 downto 0); rreq_cache : in UNSIGNED(3 downto 0); rreq_prot : in UNSIGNED(2 downto 0); rreq_qos : in UNSIGNED(3 downto 0); rreq_user : in UNSIGNED(C_M_AXI_ARUSER_WIDTH-1 downto 0); rdata_valid : out STD_LOGIC; rdata_ack : in STD_LOGIC; rdata_data : out UNSIGNED(USER_DW-1 downto 0); rrsp : out UNSIGNED(1 downto 0)); end component filesystem_encrypt_buffer_V_m_axi_read; component filesystem_encrypt_buffer_V_m_axi_throttl is generic ( USED_FIX : BOOLEAN := true; FIX_VALUE : INTEGER := 4); port ( clk : in STD_LOGIC; reset : in STD_LOGIC; ce : in STD_LOGIC; in_len : in STD_LOGIC_VECTOR; in_req_valid : in STD_LOGIC; in_req_ready : in STD_LOGIC; in_data_valid : in STD_LOGIC; in_data_ready : in STD_LOGIC; out_req_valid : out STD_LOGIC; out_req_ready : out STD_LOGIC); end component filesystem_encrypt_buffer_V_m_axi_throttl; signal AWLEN_Dummy : STD_LOGIC_VECTOR(7 downto 0); signal AWVALID_Dummy : STD_LOGIC; signal AWREADY_Dummy : STD_LOGIC; signal WVALID_Dummy : STD_LOGIC; signal ARLEN_Dummy : STD_LOGIC_VECTOR(7 downto 0); signal ARVALID_Dummy : STD_LOGIC; signal ARREADY_Dummy : STD_LOGIC; signal RREADY_Dummy : STD_LOGIC; begin AWLEN <= AWLEN_Dummy; WVALID <= WVALID_Dummy; wreq_throttl : filesystem_encrypt_buffer_V_m_axi_throttl generic map ( USED_FIX => false ) port map ( clk => ACLK, reset => ARESET, ce => ACLK_EN, in_len => AWLEN_Dummy, in_req_valid => AWVALID_Dummy, out_req_valid => AWVALID, in_req_ready => AWREADY, out_req_ready => AWREADY_Dummy, in_data_valid => WVALID_Dummy, in_data_ready => WREADY); ARLEN <= ARLEN_Dummy; RREADY <= RREADY_Dummy; rreq_throttl : filesystem_encrypt_buffer_V_m_axi_throttl generic map ( USED_FIX => true, FIX_VALUE => 4 ) port map ( clk => ACLK, reset => ARESET, ce => ACLK_EN, in_len => ARLEN_Dummy, in_req_valid => ARVALID_Dummy, out_req_valid => ARVALID, in_req_ready => ARREADY, out_req_ready => ARREADY_Dummy, in_data_valid => RVALID, in_data_ready => RREADY_Dummy); I_BID <= (others => '0'); I_BUSER <= STD_LOGIC_VECTOR(TO_UNSIGNED(C_USER_VALUE, I_BUSER'length)); I_RID <= (others => '0'); I_RLAST <= '0'; I_RUSER <= STD_LOGIC_VECTOR(TO_UNSIGNED(C_USER_VALUE, I_RUSER'length)); -- Instantiation bus_write : filesystem_encrypt_buffer_V_m_axi_write generic map ( NUM_WRITE_OUTSTANDING => NUM_WRITE_OUTSTANDING, MAX_WRITE_BURST_LENGTH => MAX_WRITE_BURST_LENGTH, C_M_AXI_ID_WIDTH => C_M_AXI_ID_WIDTH, C_M_AXI_ADDR_WIDTH => C_M_AXI_ADDR_WIDTH, C_TARGET_ADDR => C_TARGET_ADDR, C_M_AXI_DATA_WIDTH => C_M_AXI_DATA_WIDTH, C_M_AXI_AWUSER_WIDTH => C_M_AXI_AWUSER_WIDTH, C_M_AXI_WUSER_WIDTH => C_M_AXI_WUSER_WIDTH, C_M_AXI_BUSER_WIDTH => C_M_AXI_BUSER_WIDTH, C_USER_VALUE => C_USER_VALUE, C_PROT_VALUE => C_PROT_VALUE, C_CACHE_VALUE => C_CACHE_VALUE, USER_DW => USER_DW, USER_AW => USER_AW, USER_MAXREQS => USER_MAXREQS) port map ( ACLK => ACLK, ARESET => ARESET, ACLK_EN => ACLK_EN, STD_LOGIC_VECTOR(AWID) => AWID, STD_LOGIC_VECTOR(AWADDR) => AWADDR, STD_LOGIC_VECTOR(AWLEN) => AWLEN_Dummy, STD_LOGIC_VECTOR(AWSIZE) => AWSIZE, STD_LOGIC_VECTOR(AWBURST) => AWBURST, STD_LOGIC_VECTOR(AWLOCK) => AWLOCK, STD_LOGIC_VECTOR(AWCACHE) => AWCACHE, STD_LOGIC_VECTOR(AWPROT) => AWPROT, STD_LOGIC_VECTOR(AWQOS) => AWQOS, STD_LOGIC_VECTOR(AWREGION) => AWREGION, STD_LOGIC_VECTOR(AWUSER) => AWUSER, AWVALID => AWVALID_Dummy, AWREADY => AWREADY_Dummy, STD_LOGIC_VECTOR(WID) => WID, STD_LOGIC_VECTOR(WDATA) => WDATA, STD_LOGIC_VECTOR(WSTRB) => WSTRB, WLAST => WLAST, STD_LOGIC_VECTOR(WUSER) => WUSER, WVALID => WVALID_Dummy, WREADY => WREADY, BID => UNSIGNED(BID), BRESP => UNSIGNED(BRESP), BUSER => UNSIGNED(BUSER), BVALID => BVALID, BREADY => BREADY, wreq_valid => I_AWVALID, wreq_ack => I_AWREADY, wreq_addr => UNSIGNED(I_AWADDR), wreq_length => UNSIGNED(I_AWLEN), wreq_cache => UNSIGNED(I_AWCACHE), wreq_prot => UNSIGNED(I_AWPROT), wreq_qos => UNSIGNED(I_AWQOS), wreq_user => UNSIGNED(I_AWUSER), wdata_valid => I_WVALID, wdata_ack => I_WREADY, wdata_strb => UNSIGNED(I_WSTRB), wdata_user => UNSIGNED(I_WUSER), wdata_data => UNSIGNED(I_WDATA), wrsp_valid => I_BVALID, wrsp_ack => I_BREADY, STD_LOGIC_VECTOR(wrsp) => I_BRESP); bus_read : filesystem_encrypt_buffer_V_m_axi_read generic map ( NUM_READ_OUTSTANDING => NUM_READ_OUTSTANDING, MAX_READ_BURST_LENGTH => MAX_READ_BURST_LENGTH, C_M_AXI_ID_WIDTH => C_M_AXI_ID_WIDTH, C_M_AXI_ADDR_WIDTH => C_M_AXI_ADDR_WIDTH, C_TARGET_ADDR => C_TARGET_ADDR, C_M_AXI_DATA_WIDTH => C_M_AXI_DATA_WIDTH, C_M_AXI_ARUSER_WIDTH => C_M_AXI_ARUSER_WIDTH, C_M_AXI_RUSER_WIDTH => C_M_AXI_RUSER_WIDTH, C_USER_VALUE => C_USER_VALUE, C_PROT_VALUE => C_PROT_VALUE, C_CACHE_VALUE => C_CACHE_VALUE, USER_DW => USER_DW, USER_AW => USER_AW, USER_MAXREQS => USER_MAXREQS) port map ( ACLK => ACLK, ARESET => ARESET, ACLK_EN => ACLK_EN, STD_LOGIC_VECTOR(ARID) => ARID, STD_LOGIC_VECTOR(ARADDR) => ARADDR, STD_LOGIC_VECTOR(ARLEN) => ARLEN_Dummy, STD_LOGIC_VECTOR(ARSIZE) => ARSIZE, STD_LOGIC_VECTOR(ARBURST) => ARBURST, STD_LOGIC_VECTOR(ARLOCK) => ARLOCK, STD_LOGIC_VECTOR(ARCACHE) => ARCACHE, STD_LOGIC_VECTOR(ARPROT) => ARPROT, STD_LOGIC_VECTOR(ARQOS) => ARQOS, STD_LOGIC_VECTOR(ARREGION) => ARREGION, STD_LOGIC_VECTOR(ARUSER) => ARUSER, ARVALID => ARVALID_Dummy, ARREADY => ARREADY_Dummy, RID => UNSIGNED(RID), RDATA => UNSIGNED(RDATA), RRESP => UNSIGNED(RRESP), RLAST => RLAST, RUSER => UNSIGNED(RUSER), RVALID => RVALID, RREADY => RREADY_Dummy, rreq_valid => I_ARVALID, rreq_ack => I_ARREADY, rreq_addr => UNSIGNED(I_ARADDR), rreq_length => UNSIGNED(I_ARLEN), rreq_cache => UNSIGNED(I_ARCACHE), rreq_prot => UNSIGNED(I_ARPROT), rreq_qos => UNSIGNED(I_ARQOS), rreq_user => UNSIGNED(I_ARUSER), rdata_valid => I_RVALID, rdata_ack => I_RREADY, STD_LOGIC_VECTOR(rdata_data)=> I_RDATA, STD_LOGIC_VECTOR(rrsp) => I_RRESP); end architecture behave; library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; entity filesystem_encrypt_buffer_V_m_axi_reg_slice is generic ( N : INTEGER := 8); port ( -- system signals sclk : in STD_LOGIC; reset : in STD_LOGIC; -- slave side s_data : in STD_LOGIC_VECTOR(N-1 downto 0); s_valid : in STD_LOGIC; s_ready : out STD_LOGIC; -- master side m_data : out STD_LOGIC_VECTOR(N-1 downto 0); m_valid : out STD_LOGIC; m_ready : in STD_LOGIC); end entity filesystem_encrypt_buffer_V_m_axi_reg_slice; architecture behave of filesystem_encrypt_buffer_V_m_axi_reg_slice is constant ZERO : STD_LOGIC_VECTOR(1 downto 0) := "10"; constant ONE : STD_LOGIC_VECTOR(1 downto 0) := "11"; constant TWO : STD_LOGIC_VECTOR(1 downto 0) := "01"; signal data_p1 : STD_LOGIC_VECTOR(N-1 downto 0); signal data_p2 : STD_LOGIC_VECTOR(N-1 downto 0); signal load_p1 : STD_LOGIC; signal load_p2 : STD_LOGIC; signal load_p1_from_p2 : STD_LOGIC; signal s_ready_t : STD_LOGIC; signal state : STD_LOGIC_VECTOR(1 downto 0); signal next_st : STD_LOGIC_VECTOR(1 downto 0); begin s_ready <= s_ready_t; m_data <= data_p1; m_valid <= state(0); load_p1 <= '1' when (state = ZERO and s_valid = '1') or (state = ONE and s_valid = '1' and m_ready = '1') or (state = TWO and m_ready = '1') else '0'; load_p2 <= s_valid and s_ready_t; load_p1_from_p2 <= '1' when state = TWO else '0'; data_p1_proc : process (sclk) begin if (sclk'event and sclk = '1') then if (load_p1 = '1') then if (load_p1_from_p2 = '1') then data_p1 <= data_p2; else data_p1 <= s_data; end if; end if; end if; end process; data_p2_proc : process (sclk) begin if (sclk'event and sclk = '1') then if (load_p2 = '1') then data_p2 <= s_data; end if; end if; end process; s_ready_t_proc : process (sclk) begin if (sclk'event and sclk = '1') then if (reset = '1') then s_ready_t <= '0'; elsif (state = ZERO) then s_ready_t <= '1'; elsif (state = ONE and next_st = TWO) then s_ready_t <= '0'; elsif (state = TWO and next_st = ONE) then s_ready_t <= '1'; end if; end if; end process; state_proc : process (sclk) begin if (sclk'event and sclk = '1') then if (reset = '1') then state <= ZERO; else state <= next_st; end if; end if; end process; next_st_proc : process (state, s_valid, s_ready_t, m_ready) begin case state is when ZERO => if (s_valid = '1' and s_ready_t = '1') then next_st <= ONE; else next_st <= ZERO; end if; when ONE => if (s_valid = '0' and m_ready = '1') then next_st <= ZERO; elsif (s_valid = '1' and m_ready = '0') then next_st <= TWO; else next_st <= ONE; end if; when TWO => if (m_ready = '1') then next_st <= ONE; else next_st <= TWO; end if; when others => next_st <= ZERO; end case; end process; end architecture behave; library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; entity filesystem_encrypt_buffer_V_m_axi_fifo is generic ( DATA_BITS : INTEGER := 8; DEPTH : INTEGER := 16; DEPTH_BITS : INTEGER := 4); port ( sclk : in STD_LOGIC; reset : in STD_LOGIC; sclk_en : in STD_LOGIC; empty_n : out STD_LOGIC; full_n : out STD_LOGIC; rdreq : in STD_LOGIC; wrreq : in STD_LOGIC; q : out UNSIGNED(DATA_BITS-1 downto 0); data : in UNSIGNED(DATA_BITS-1 downto 0)); end entity filesystem_encrypt_buffer_V_m_axi_fifo; architecture behave of filesystem_encrypt_buffer_V_m_axi_fifo is signal push, pop, data_vld, full_cond : STD_LOGIC; signal empty_n_tmp, full_n_tmp : STD_LOGIC; signal pout : INTEGER range 0 to DEPTH -1; subtype word is UNSIGNED(DATA_BITS-1 downto 0); type regFileType is array(0 to DEPTH-1) of word; signal mem : regFileType; begin full_n <= full_n_tmp; empty_n <= empty_n_tmp; depth_nlt2 : if DEPTH >= 2 generate full_cond <= '1' when push = '1' and pop = '0' and pout = DEPTH - 2 and data_vld = '1' else '0'; end generate; depth_lt2 : if DEPTH < 2 generate full_cond <= '1' when push = '1' and pop = '0' else '0'; end generate; push <= full_n_tmp and wrreq; pop <= data_vld and (not (empty_n_tmp and (not rdreq))); q_proc : process (sclk) begin if (sclk'event and sclk = '1') then if reset = '1' then q <= (others => '0'); elsif sclk_en = '1' then if not (empty_n_tmp = '1' and rdreq = '0') then q <= mem(pout); end if; end if; end if; end process q_proc; empty_n_proc : process (sclk) begin if (sclk'event and sclk = '1') then if reset = '1' then empty_n_tmp <= '0'; elsif sclk_en = '1' then if not (empty_n_tmp = '1' and rdreq = '0') then empty_n_tmp <= data_vld; end if; end if; end if; end process empty_n_proc; data_vld_proc : process (sclk) begin if (sclk'event and sclk = '1') then if reset = '1' then data_vld <= '0'; elsif sclk_en = '1' then if push = '1' then data_vld <= '1'; elsif push = '0' and pop = '1' and pout = 0 then data_vld <= '0'; end if; end if; end if; end process data_vld_proc; full_n_proc : process (sclk) begin if (sclk'event and sclk = '1') then if reset = '1' then full_n_tmp <= '1'; elsif sclk_en = '1' then if pop = '1' then full_n_tmp <= '1'; elsif full_cond = '1' then full_n_tmp <= '0'; end if; end if; end if; end process full_n_proc; pout_proc : process (sclk) begin if (sclk'event and sclk = '1') then if reset = '1' then pout <= 0; elsif sclk_en = '1' then if push = '1' and pop = '0' and data_vld = '1' then pout <= TO_INTEGER(TO_UNSIGNED(pout + 1, DEPTH_BITS)); elsif push = '0' and pop = '1' and pout /= 0 then pout <= pout - 1; end if; end if; end if; end process pout_proc; process (sclk) begin if (sclk'event and sclk = '1') and sclk_en = '1' then if push = '1' then for i in 0 to DEPTH - 2 loop mem(i+1) <= mem(i); end loop; mem(0) <= data; end if; end if; end process; end architecture behave; library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; entity filesystem_encrypt_buffer_V_m_axi_buffer is generic ( MEM_STYLE : STRING := "block"; DATA_WIDTH : NATURAL := 32; ADDR_WIDTH : NATURAL := 5; DEPTH : NATURAL := 32 ); port ( clk : in STD_LOGIC; reset : in STD_LOGIC; sclk_en : in STD_LOGIC; if_full_n : out STD_LOGIC; if_write_ce : in STD_LOGIC; if_write : in STD_LOGIC; if_din : in STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_empty_n : out STD_LOGIC; if_read_ce : in STD_LOGIC; if_read : in STD_LOGIC; if_dout : out STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0) ); end entity; architecture arch of filesystem_encrypt_buffer_V_m_axi_buffer is type memtype is array (0 to DEPTH - 1) of std_logic_vector(DATA_WIDTH - 1 downto 0); signal mem : memtype; signal q_buf : std_logic_vector(DATA_WIDTH - 1 downto 0) := (others => '0'); signal waddr : unsigned(ADDR_WIDTH - 1 downto 0) := (others => '0'); signal raddr : unsigned(ADDR_WIDTH - 1 downto 0) := (others => '0'); signal wnext : unsigned(ADDR_WIDTH - 1 downto 0); signal rnext : unsigned(ADDR_WIDTH - 1 downto 0); signal push : std_logic; signal pop : std_logic; signal usedw : unsigned(ADDR_WIDTH - 1 downto 0) := (others => '0'); signal full_n : std_logic := '1'; signal empty_n : std_logic := '0'; signal q_tmp : std_logic_vector(DATA_WIDTH - 1 downto 0) := (others => '0'); signal show_ahead : std_logic := '0'; signal dout_buf : std_logic_vector(DATA_WIDTH - 1 downto 0) := (others => '0'); signal dout_valid : std_logic := '0'; attribute ram_style: string; attribute ram_style of mem: signal is MEM_STYLE; begin if_full_n <= full_n; if_empty_n <= dout_valid; if_dout <= dout_buf; push <= full_n and if_write_ce and if_write; pop <= empty_n and if_read_ce and (not dout_valid or if_read); wnext <= waddr when push = '0' else (others => '0') when waddr = DEPTH - 1 else waddr + 1; rnext <= raddr when pop = '0' else (others => '0') when raddr = DEPTH - 1 else raddr + 1; -- waddr process (clk) begin if clk'event and clk = '1' then if reset = '1' then waddr <= (others => '0'); elsif sclk_en = '1' then waddr <= wnext; end if; end if; end process; -- raddr process (clk) begin if clk'event and clk = '1' then if reset = '1' then raddr <= (others => '0'); elsif sclk_en = '1' then raddr <= rnext; end if; end if; end process; -- usedw process (clk) begin if clk'event and clk = '1' then if reset = '1' then usedw <= (others => '0'); elsif sclk_en = '1' then if push = '1' and pop = '0' then usedw <= usedw + 1; elsif push = '0' and pop = '1' then usedw <= usedw - 1; end if; end if; end if; end process; -- full_n process (clk) begin if clk'event and clk = '1' then if reset = '1' then full_n <= '1'; elsif sclk_en = '1' then if push = '1' and pop = '0' then if usedw = DEPTH - 1 then full_n <= '0'; else full_n <= '1'; end if; elsif push = '0' and pop = '1' then full_n <= '1'; end if; end if; end if; end process; -- empty_n process (clk) begin if clk'event and clk = '1' then if reset = '1' then empty_n <= '0'; elsif sclk_en = '1' then if push = '1' and pop = '0' then empty_n <= '1'; elsif push = '0' and pop = '1' then if usedw = 1 then empty_n <= '0'; else empty_n <= '1'; end if; end if; end if; end if; end process; -- mem process (clk) begin if clk'event and clk = '1' then if push = '1' then mem(to_integer(waddr)) <= if_din; end if; end if; end process; -- q_buf process (clk) begin if clk'event and clk = '1' then q_buf <= mem(to_integer(rnext)); end if; end process; -- q_tmp process (clk) begin if clk'event and clk = '1' then if reset = '1' then q_tmp <= (others => '0'); elsif sclk_en = '1' then if push = '1' then q_tmp <= if_din; end if; end if; end if; end process; -- show_ahead process (clk) begin if clk'event and clk = '1' then if reset = '1' then show_ahead <= '0'; elsif sclk_en = '1' then if push = '1' and (usedw = 0 or (usedw = 1 and pop = '1')) then show_ahead <= '1'; else show_ahead <= '0'; end if; end if; end if; end process; -- dout_buf process (clk) begin if clk'event and clk = '1' then if reset = '1' then dout_buf <= (others => '0'); elsif sclk_en = '1' then if pop = '1' then if show_ahead = '1' then dout_buf <= q_tmp; else dout_buf <= q_buf; end if; end if; end if; end if; end process; -- dout_valid process (clk) begin if clk'event and clk = '1' then if reset = '1' then dout_valid <= '0'; elsif sclk_en = '1' then if pop = '1' then dout_valid <= '1'; elsif if_read_ce = '1' and if_read = '1' then dout_valid <= '0'; end if; end if; end if; end process; end architecture; library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; entity filesystem_encrypt_buffer_V_m_axi_decoder is generic ( DIN_WIDTH : integer := 3); port ( din : in UNSIGNED(DIN_WIDTH - 1 downto 0); dout : out UNSIGNED(2**DIN_WIDTH - 1 downto 0)); end entity filesystem_encrypt_buffer_V_m_axi_decoder; architecture behav of filesystem_encrypt_buffer_V_m_axi_decoder is begin process (din) begin dout <= (others => '0'); if (not(din = 0)) then dout(TO_INTEGER(din) - 1 downto 0) <= (others => '1'); end if; end process; end architecture behav; library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; entity filesystem_encrypt_buffer_V_m_axi_throttl is generic ( USED_FIX : BOOLEAN := false; FIX_VALUE : INTEGER := 4); port ( clk : in STD_LOGIC; reset : in STD_LOGIC; ce : in STD_LOGIC; in_len : in STD_LOGIC_VECTOR; in_req_valid : in STD_LOGIC; in_req_ready : in STD_LOGIC; in_data_valid : in STD_LOGIC; in_data_ready : in STD_LOGIC; out_req_valid : out STD_LOGIC; out_req_ready : out STD_LOGIC); end entity filesystem_encrypt_buffer_V_m_axi_throttl; architecture behav of filesystem_encrypt_buffer_V_m_axi_throttl is type switch_t is array(boolean) of integer; constant switch : switch_t := (true => FIX_VALUE-1, false => 0); constant threshold : INTEGER := switch(USED_FIX); signal req_en : STD_LOGIC; signal handshake : STD_LOGIC; signal load_init : UNSIGNED(7 downto 0); signal throttl_cnt : UNSIGNED(7 downto 0); begin fix_gen : if USED_FIX generate load_init <= TO_UNSIGNED(FIX_VALUE-1, 8); handshake <= '1'; end generate; no_fix_gen : if not USED_FIX generate load_init <= UNSIGNED(in_len); handshake <= in_data_valid and in_data_ready; end generate; out_req_valid <= in_req_valid and req_en; out_req_ready <= in_req_ready and req_en; req_en <= '1' when throttl_cnt = 0 else '0'; process (clk) begin if (clk'event and clk = '1') then if reset = '1' then throttl_cnt <= (others => '0'); elsif ce = '1' then if UNSIGNED(in_len) > threshold and throttl_cnt = 0 and in_req_valid = '1' and in_req_ready = '1' then throttl_cnt <= load_init; --load elsif throttl_cnt > 0 and handshake = '1' then throttl_cnt <= throttl_cnt - 1; end if; end if; end if; end process; end architecture behav; library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; entity filesystem_encrypt_buffer_V_m_axi_read is generic ( NUM_READ_OUTSTANDING : INTEGER := 2; MAX_READ_BURST_LENGTH : INTEGER := 16; C_M_AXI_ID_WIDTH : INTEGER := 1; C_M_AXI_ADDR_WIDTH : INTEGER := 32; C_TARGET_ADDR : INTEGER := 16#00000000#; C_M_AXI_DATA_WIDTH : INTEGER := 32; C_M_AXI_ARUSER_WIDTH : INTEGER := 1; C_M_AXI_RUSER_WIDTH : INTEGER := 1; C_USER_VALUE : INTEGER := 0; C_PROT_VALUE : INTEGER := 0; C_CACHE_VALUE : INTEGER := 2#0011#; USER_DW : INTEGER := 16; USER_AW : INTEGER := 32; USER_MAXREQS : INTEGER := 16); port ( ACLK : in STD_LOGIC; ARESET : in STD_LOGIC; ACLK_EN : in STD_LOGIC; ARID : out UNSIGNED(C_M_AXI_ID_WIDTH-1 downto 0); ARADDR : out UNSIGNED(C_M_AXI_ADDR_WIDTH-1 downto 0); ARLEN : out UNSIGNED(7 downto 0); ARSIZE : out UNSIGNED(2 downto 0); ARBURST : out UNSIGNED(1 downto 0); ARLOCK : out UNSIGNED(1 downto 0); ARCACHE : out UNSIGNED(3 downto 0); ARPROT : out UNSIGNED(2 downto 0); ARQOS : out UNSIGNED(3 downto 0); ARREGION : out UNSIGNED(3 downto 0); ARUSER : out UNSIGNED(C_M_AXI_ARUSER_WIDTH-1 downto 0); ARVALID : out STD_LOGIC; ARREADY : in STD_LOGIC; RID : in UNSIGNED(C_M_AXI_ID_WIDTH-1 downto 0); RDATA : in UNSIGNED(C_M_AXI_DATA_WIDTH-1 downto 0); RRESP : in UNSIGNED(1 downto 0); RLAST : in STD_LOGIC; RUSER : in UNSIGNED(C_M_AXI_RUSER_WIDTH-1 downto 0); RVALID : in STD_LOGIC; RREADY : out STD_LOGIC; rreq_valid : in STD_LOGIC; rreq_ack : out STD_LOGIC; rreq_addr : in UNSIGNED(USER_AW-1 downto 0); rreq_length : in UNSIGNED(31 downto 0); rreq_cache : in UNSIGNED(3 downto 0); rreq_prot : in UNSIGNED(2 downto 0); rreq_qos : in UNSIGNED(3 downto 0); rreq_user : in UNSIGNED(C_M_AXI_ARUSER_WIDTH-1 downto 0); rdata_valid : out STD_LOGIC; rdata_ack : in STD_LOGIC; rdata_data : out UNSIGNED(USER_DW-1 downto 0); rrsp : out UNSIGNED(1 downto 0)); function calc_data_width (x : INTEGER) return INTEGER is variable y : INTEGER; begin y := 8; while y < x loop y := y * 2; end loop; return y; end function calc_data_width; function log2 (x : INTEGER) return INTEGER is variable n, m : INTEGER; begin n := 0; m := 1; while m < x loop n := n + 1; m := m * 2; end loop; return n; end function log2; end entity filesystem_encrypt_buffer_V_m_axi_read; architecture behave of filesystem_encrypt_buffer_V_m_axi_read is --common constant USER_DATA_WIDTH : INTEGER := calc_data_width(USER_DW); constant USER_DATA_BYTES : INTEGER := USER_DATA_WIDTH / 8; constant USER_ADDR_ALIGN : INTEGER := log2(USER_DATA_BYTES); constant BUS_DATA_WIDTH : INTEGER := C_M_AXI_DATA_WIDTH; constant BUS_DATA_BYTES : INTEGER := BUS_DATA_WIDTH / 8; constant NUM_READ_WIDTH : INTEGER := log2(MAX_READ_BURST_LENGTH); constant BUS_ADDR_ALIGN : INTEGER := log2(BUS_DATA_BYTES); --AR channel constant TARGET_ADDR : INTEGER := (C_TARGET_ADDR/USER_DATA_BYTES)*USER_DATA_BYTES; constant BOUNDARY_BEATS : UNSIGNED(11 - BUS_ADDR_ALIGN downto 0) := (others => '1'); signal rreq_data : UNSIGNED(USER_AW + 31 downto 0); signal rs2f_rreq_data : UNSIGNED(USER_AW + 31 downto 0); signal rs2f_rreq_valid : STD_LOGIC; signal rs2f_rreq_ack : STD_LOGIC; signal fifo_rreq_data : UNSIGNED(USER_AW + 31 downto 0); signal tmp_addr : UNSIGNED(USER_AW - 1 downto 0); signal tmp_len : UNSIGNED(31 downto 0); signal align_len : UNSIGNED(31 downto 0); signal arlen_tmp : UNSIGNED(7 downto 0); signal araddr_tmp : UNSIGNED(C_M_AXI_ADDR_WIDTH - 1 downto 0); signal start_addr : UNSIGNED(C_M_AXI_ADDR_WIDTH - 1 downto 0); signal start_addr_buf : UNSIGNED(C_M_AXI_ADDR_WIDTH - 1 downto 0); signal end_addr : UNSIGNED(C_M_AXI_ADDR_WIDTH - 1 downto 0); signal end_addr_buf : UNSIGNED(C_M_AXI_ADDR_WIDTH - 1 downto 0); signal sect_addr : UNSIGNED(C_M_AXI_ADDR_WIDTH - 1 downto 0); signal sect_addr_buf : UNSIGNED(C_M_AXI_ADDR_WIDTH - 1 downto 0); signal sect_end : UNSIGNED(BUS_ADDR_ALIGN - 1 downto 0); signal sect_end_buf : UNSIGNED(BUS_ADDR_ALIGN - 1 downto 0); signal burst_end : UNSIGNED(BUS_ADDR_ALIGN - 1 downto 0); signal start_to_4k : UNSIGNED(11 - BUS_ADDR_ALIGN downto 0); signal sect_len : UNSIGNED(11 - BUS_ADDR_ALIGN downto 0); signal sect_len_buf : UNSIGNED(11 - BUS_ADDR_ALIGN downto 0); signal beat_len_buf : UNSIGNED(11 - BUS_ADDR_ALIGN downto 0); signal sect_cnt : UNSIGNED(C_M_AXI_ADDR_WIDTH - 13 downto 0); signal ar2r_ardata : UNSIGNED(1 downto 0); signal fifo_rctl_r : STD_LOGIC; signal zero_len_event : STD_LOGIC; signal negative_len_event : STD_LOGIC; signal invalid_len_event : STD_LOGIC; signal fifo_rreq_valid : STD_LOGIC; signal fifo_rreq_valid_buf : STD_LOGIC; signal fifo_rreq_read : STD_LOGIC; signal fifo_burst_w : STD_LOGIC; signal fifo_resp_w : STD_LOGIC; signal ARVALID_Dummy : STD_LOGIC; signal ready_for_sect : STD_LOGIC; signal next_rreq : BOOLEAN; signal ready_for_rreq : BOOLEAN; signal rreq_handling : BOOLEAN; signal first_sect : BOOLEAN; signal last_sect : BOOLEAN; signal next_sect : BOOLEAN; --R channel signal fifo_rresp_rdata : UNSIGNED(BUS_DATA_WIDTH + 2 downto 0); signal data_pack : UNSIGNED(BUS_DATA_WIDTH + 2 downto 0); signal tmp_data : UNSIGNED(BUS_DATA_WIDTH - 1 downto 0); signal rs_rrsp_rdata : UNSIGNED(USER_DW + 1 downto 0); signal rdata_data_pack : UNSIGNED(USER_DW + 1 downto 0); signal len_cnt : UNSIGNED(7 downto 0); signal ar2r_rdata : UNSIGNED(1 downto 0); signal tmp_resp : UNSIGNED(1 downto 0); signal resp_buf : UNSIGNED(1 downto 0); signal tmp_last : STD_LOGIC; signal need_rlast : STD_LOGIC; signal fifo_rctl_ready : STD_LOGIC; signal beat_valid : STD_LOGIC; signal next_beat : STD_LOGIC; signal burst_valid : STD_LOGIC; signal fifo_burst_ready : STD_LOGIC; signal next_burst : STD_LOGIC; signal rdata_ack_t : STD_LOGIC; signal rdata_valid_t : STD_LOGIC; component filesystem_encrypt_buffer_V_m_axi_fifo is generic ( DATA_BITS : INTEGER := 8; DEPTH : INTEGER := 16; DEPTH_BITS : INTEGER := 4); port ( sclk : in STD_LOGIC; reset : in STD_LOGIC; sclk_en : in STD_LOGIC; empty_n : out STD_LOGIC; full_n : out STD_LOGIC; rdreq : in STD_LOGIC; wrreq : in STD_LOGIC; q : out UNSIGNED(DATA_BITS-1 downto 0); data : in UNSIGNED(DATA_BITS-1 downto 0)); end component filesystem_encrypt_buffer_V_m_axi_fifo; component filesystem_encrypt_buffer_V_m_axi_reg_slice is generic ( N : INTEGER := 8); port ( sclk : in STD_LOGIC; reset : in STD_LOGIC; s_data : in STD_LOGIC_VECTOR(N-1 downto 0); s_valid : in STD_LOGIC; s_ready : out STD_LOGIC; m_data : out STD_LOGIC_VECTOR(N-1 downto 0); m_valid : out STD_LOGIC; m_ready : in STD_LOGIC); end component filesystem_encrypt_buffer_V_m_axi_reg_slice; component filesystem_encrypt_buffer_V_m_axi_buffer is generic ( MEM_STYLE : STRING := "block"; DATA_WIDTH : NATURAL := 32; ADDR_WIDTH : NATURAL := 5; DEPTH : NATURAL := 32 ); port ( clk : in STD_LOGIC; reset : in STD_LOGIC; sclk_en : in STD_LOGIC; if_full_n : out STD_LOGIC; if_write_ce : in STD_LOGIC; if_write : in STD_LOGIC; if_din : in STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_empty_n : out STD_LOGIC; if_read_ce : in STD_LOGIC; if_read : in STD_LOGIC; if_dout : out STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0)); end component filesystem_encrypt_buffer_V_m_axi_buffer; begin --------------------------- AR channel begin ----------------------------------- -- Instantiation rs_rreq : filesystem_encrypt_buffer_V_m_axi_reg_slice generic map ( N => USER_AW+ 32) port map ( sclk => ACLK, reset => ARESET, s_data => STD_LOGIC_VECTOR(rreq_data), s_valid => rreq_valid, s_ready => rreq_ack, UNSIGNED(m_data)=> rs2f_rreq_data, m_valid => rs2f_rreq_valid, m_ready => rs2f_rreq_ack); fifo_rreq : filesystem_encrypt_buffer_V_m_axi_fifo generic map ( DATA_BITS => USER_AW + 32, DEPTH => USER_MAXREQS, DEPTH_BITS => log2(USER_MAXREQS)) port map ( sclk => ACLK, reset => ARESET, sclk_en => ACLK_EN, full_n => rs2f_rreq_ack, wrreq => rs2f_rreq_valid, data => rs2f_rreq_data, empty_n => fifo_rreq_valid, rdreq => fifo_rreq_read, q => fifo_rreq_data); rreq_data <= (rreq_length & rreq_addr); tmp_addr <= fifo_rreq_data(USER_AW - 1 downto 0); tmp_len <= fifo_rreq_data(USER_AW + 31 downto USER_AW); end_addr <= start_addr + align_len; zero_len_event <= '1' when fifo_rreq_valid = '1' and tmp_len = 0 else '0'; negative_len_event <= tmp_len(31) when fifo_rreq_valid = '1' else '0'; next_rreq <= invalid_len_event = '0' and (fifo_rreq_valid = '1' or fifo_rreq_valid_buf = '1') and ready_for_rreq; ready_for_rreq <= not(rreq_handling and not(last_sect and next_sect)); fifo_rreq_read <= '1' when invalid_len_event = '1' or next_rreq else '0'; align_len_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then align_len <= (others => '0'); elsif ACLK_EN = '1' then if (fifo_rreq_valid = '1' and ready_for_rreq) then align_len <= SHIFT_LEFT(tmp_len, USER_ADDR_ALIGN) - 1; end if; end if; end if; end process align_len_proc; start_addr_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then start_addr <= (others => '0'); elsif ACLK_EN = '1' then if (fifo_rreq_valid = '1' and ready_for_rreq) then start_addr <= TARGET_ADDR + SHIFT_LEFT(RESIZE(tmp_addr, C_M_AXI_ADDR_WIDTH), USER_ADDR_ALIGN); end if; end if; end if; end process start_addr_proc; fifo_rreq_valid_buf_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then fifo_rreq_valid_buf <= '0'; elsif ACLK_EN = '1' then if ((fifo_rreq_valid = '1' or fifo_rreq_valid_buf = '1') and ready_for_rreq) then fifo_rreq_valid_buf <= fifo_rreq_valid; end if; end if; end if; end process fifo_rreq_valid_buf_proc; invalid_len_event_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then invalid_len_event <= '0'; elsif ACLK_EN = '1' then if ((fifo_rreq_valid = '1' or fifo_rreq_valid_buf = '1') and ready_for_rreq) then invalid_len_event <= zero_len_event or negative_len_event; end if; end if; end if; end process invalid_len_event_proc; rreq_handling_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then rreq_handling <= false; elsif ACLK_EN = '1' then if fifo_rreq_valid_buf = '1' and not rreq_handling and invalid_len_event = '0' then rreq_handling <= true; elsif (fifo_rreq_valid_buf = '0' or invalid_len_event = '1') and last_sect and next_sect then rreq_handling <= false; end if; end if; end if; end process rreq_handling_proc; start_addr_buf_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then start_addr_buf <= (others => '0'); elsif ACLK_EN = '1' then if next_rreq then start_addr_buf <= start_addr; end if; end if; end if; end process start_addr_buf_proc; end_addr_buf_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then end_addr_buf <= (others => '0'); elsif ACLK_EN = '1' then if next_rreq then end_addr_buf <= end_addr; end if; end if; end if; end process end_addr_buf_proc; beat_len_buf_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then beat_len_buf <= (others => '0'); elsif ACLK_EN = '1' then if next_rreq then beat_len_buf <= RESIZE(SHIFT_RIGHT(align_len(11 downto 0) + start_addr(BUS_ADDR_ALIGN-1 downto 0), BUS_ADDR_ALIGN), 12-BUS_ADDR_ALIGN); end if; end if; end if; end process beat_len_buf_proc; sect_cnt_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then sect_cnt <= (others => '0'); elsif ACLK_EN = '1' then if next_rreq then sect_cnt <= start_addr(C_M_AXI_ADDR_WIDTH - 1 downto 12); elsif next_sect then sect_cnt <= sect_cnt + 1; end if; end if; end if; end process sect_cnt_proc; first_sect <= (sect_cnt = start_addr_buf(C_M_AXI_ADDR_WIDTH - 1 downto 12)); last_sect <= (sect_cnt = end_addr_buf(C_M_AXI_ADDR_WIDTH -1 downto 12)); next_sect <= rreq_handling and ready_for_sect = '1'; sect_addr <= start_addr_buf when first_sect else sect_cnt & (11 downto 0 => '0'); sect_addr_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then sect_addr_buf <= (others => '0'); elsif ACLK_EN = '1' then if next_sect then sect_addr_buf <= sect_addr; end if; end if; end if; end process sect_addr_proc; start_to_4k <= BOUNDARY_BEATS - start_addr_buf(11 downto BUS_ADDR_ALIGN); sect_len <= beat_len_buf when first_sect and last_sect else start_to_4k when first_sect and not last_sect else end_addr_buf(11 downto BUS_ADDR_ALIGN) when not first_sect and last_sect else BOUNDARY_BEATS when not first_sect and not last_sect; sect_len_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then sect_len_buf <= (others => '0'); elsif ACLK_EN = '1' then if next_sect then sect_len_buf <= sect_len; end if; end if; end if; end process sect_len_proc; sect_end <= end_addr_buf(BUS_ADDR_ALIGN - 1 downto 0) when last_sect else (others => '1'); sect_end_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then sect_end_buf <= (others => '0'); elsif ACLK_EN = '1' then if next_sect then sect_end_buf <= sect_end; end if; end if; end if; end process sect_end_proc; ARID <= (others => '0'); ARSIZE <= TO_UNSIGNED(BUS_ADDR_ALIGN, ARSIZE'length); ARBURST <= "01"; ARLOCK <= "00"; ARCACHE <= TO_UNSIGNED(C_CACHE_VALUE, ARCACHE'length); ARPROT <= TO_UNSIGNED(C_PROT_VALUE, ARPROT'length); ARUSER <= TO_UNSIGNED(C_USER_VALUE, ARUSER'length); ARQOS <= rreq_qos; must_one_burst : if (BUS_DATA_BYTES >= 4096/MAX_READ_BURST_LENGTH) generate begin ARADDR <= sect_addr_buf(C_M_AXI_ADDR_WIDTH - 1 downto BUS_ADDR_ALIGN) & (BUS_ADDR_ALIGN - 1 downto 0 => '0'); ARLEN <= RESIZE(sect_len_buf, 8); ARVALID <= ARVALID_Dummy; ready_for_sect <= '1' when not (ARVALID_Dummy = '1' and ARREADY = '0') and fifo_burst_ready = '1' and fifo_rctl_ready = '1' else '0'; arvalid_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then ARVALID_Dummy <= '0'; elsif ACLK_EN = '1' then if next_sect then ARVALID_Dummy <= '1'; elsif not next_sect and ARREADY = '1' then ARVALID_Dummy <= '0'; end if; end if; end if; end process arvalid_proc; fifo_rctl_r <= '1' when next_sect else '0'; ar2r_ardata <= "10" when last_sect else "00"; fifo_burst_w <= '1' when next_sect else '0'; araddr_tmp <= sect_addr(C_M_AXI_ADDR_WIDTH - 1 downto 0); arlen_tmp <= RESIZE(sect_len, 8); burst_end <= sect_end; end generate must_one_burst; could_multi_bursts : if (BUS_DATA_BYTES < 4096/MAX_READ_BURST_LENGTH) generate signal araddr_buf : UNSIGNED(C_M_AXI_ADDR_WIDTH - 1 downto 0); signal arlen_buf : UNSIGNED(7 downto 0); signal loop_cnt : UNSIGNED(11 - NUM_READ_WIDTH - BUS_ADDR_ALIGN downto 0); signal last_loop : BOOLEAN; signal next_loop : BOOLEAN; signal ready_for_loop : BOOLEAN; signal sect_handling : BOOLEAN; begin ARADDR <= araddr_buf; ARLEN <= arlen_buf; ARVALID <= ARVALID_Dummy; last_loop <= (loop_cnt = sect_len_buf(11 - BUS_ADDR_ALIGN downto NUM_READ_WIDTH)); next_loop <= sect_handling and ready_for_loop; ready_for_loop <= not (ARVALID_Dummy = '1' and ARREADY = '0') and fifo_burst_ready = '1' and fifo_rctl_ready = '1'; ready_for_sect <= '1' when not (sect_handling and not (last_loop and next_loop)) else '0'; sect_handling_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then sect_handling <= false; elsif ACLK_EN = '1' then if rreq_handling and not sect_handling then sect_handling <= true; elsif not rreq_handling and last_loop and next_loop then sect_handling <= false; end if; end if; end if; end process sect_handling_proc; loop_cnt_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then loop_cnt <= (others => '0'); elsif ACLK_EN = '1' then if next_sect then loop_cnt <= (others => '0'); elsif next_loop then loop_cnt <= loop_cnt + 1; end if; end if; end if; end process loop_cnt_proc; araddr_tmp <= sect_addr_buf(C_M_AXI_ADDR_WIDTH -1 downto 0) when loop_cnt = 0 else araddr_buf + SHIFT_LEFT(RESIZE(arlen_buf, 32) + 1, BUS_ADDR_ALIGN); araddr_buf_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then araddr_buf <= (others => '0'); elsif ACLK_EN = '1' then if next_loop then araddr_buf <= araddr_tmp(C_M_AXI_ADDR_WIDTH - 1 downto BUS_ADDR_ALIGN) & (BUS_ADDR_ALIGN - 1 downto 0 => '0'); end if; end if; end if; end process araddr_buf_proc; arlen_tmp <= RESIZE(sect_len_buf(NUM_READ_WIDTH-1 downto 0), 8) when last_loop else TO_UNSIGNED(MAX_READ_BURST_LENGTH-1, 8); arlen_buf_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then arlen_buf <= (others => '0'); elsif ACLK_EN = '1' then if next_loop then arlen_buf <= arlen_tmp; end if; end if; end if; end process arlen_buf_proc; arvalid_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then ARVALID_Dummy <= '0'; elsif ACLK_EN = '1' then if next_loop then ARVALID_Dummy <= '1'; elsif not next_loop and ARREADY = '1' then ARVALID_Dummy <= '0'; end if; end if; end if; end process arvalid_proc; fifo_rctl_r <= '1' when next_loop else '0'; ar2r_ardata <= "10" when last_loop else "00"; fifo_burst_w <= '1' when next_loop else '0'; burst_end <= sect_end_buf(BUS_ADDR_ALIGN - 1 downto 0) when last_loop else (others => '1'); end generate could_multi_bursts; --------------------------- AR channel end ------------------------------------- --------------------------- R channel begin ------------------------------------ -- Instantiation fifo_rdata : filesystem_encrypt_buffer_V_m_axi_buffer generic map ( DATA_WIDTH => BUS_DATA_WIDTH + 3, DEPTH => NUM_READ_OUTSTANDING * MAX_READ_BURST_LENGTH, ADDR_WIDTH => log2(NUM_READ_OUTSTANDING * MAX_READ_BURST_LENGTH)) port map ( clk => ACLK, reset => ARESET, sclk_en => ACLK_EN, if_full_n => RREADY, if_write_ce => '1', if_write => RVALID, if_din => STD_LOGIC_VECTOR(fifo_rresp_rdata), if_empty_n => beat_valid, if_read_ce => '1', if_read => next_beat, UNSIGNED(if_dout) => data_pack); rs_rdata : filesystem_encrypt_buffer_V_m_axi_reg_slice generic map ( N => USER_DW + 2) port map ( sclk => ACLK, reset => ARESET, s_data => STD_LOGIC_VECTOR(rs_rrsp_rdata), s_valid => rdata_valid_t, s_ready => rdata_ack_t, UNSIGNED(m_data) => rdata_data_pack, m_valid => rdata_valid, m_ready => rdata_ack); fifo_rctl : filesystem_encrypt_buffer_V_m_axi_fifo generic map ( DATA_BITS => 2, DEPTH => NUM_READ_OUTSTANDING-1, DEPTH_BITS => log2(NUM_READ_OUTSTANDING-1)) port map ( sclk => ACLK, reset => ARESET, sclk_en => ACLK_EN, empty_n => need_rlast, full_n => fifo_rctl_ready, rdreq => tmp_last, wrreq => fifo_rctl_r, q => ar2r_rdata, data => ar2r_ardata); fifo_rresp_rdata <= (RLAST & RRESP & RDATA); tmp_data <= data_pack(BUS_DATA_WIDTH - 1 downto 0); tmp_resp <= data_pack(BUS_DATA_WIDTH + 1 downto BUS_DATA_WIDTH); tmp_last <= data_pack(BUS_DATA_WIDTH + 2) and beat_valid; bus_equal_gen : if (USER_DATA_WIDTH = BUS_DATA_WIDTH) generate signal data_buf : UNSIGNED(BUS_DATA_WIDTH - 1 downto 0); signal ready_for_data : BOOLEAN; begin rs_rrsp_rdata <= resp_buf & data_buf(USER_DW - 1 downto 0); rrsp <= rdata_data_pack(USER_DW + 1 downto USER_DW); rdata_data <= rdata_data_pack(USER_DW - 1 downto 0); fifo_burst_ready <= '1'; next_beat <= '1' when beat_valid = '1' and ready_for_data else '0'; ready_for_data <= not (rdata_valid_t = '1' and rdata_ack_t = '0'); data_buf_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if ACLK_EN = '1' then if next_beat = '1' then data_buf <= tmp_data; end if; end if; end if; end process data_buf_proc; resp_buf_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then resp_buf <= "00"; elsif ACLK_EN = '1' then if next_beat = '1' then resp_buf <= tmp_resp; end if; end if; end if; end process resp_buf_proc; rdata_valid_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then rdata_valid_t <= '0'; elsif ACLK_EN = '1' then if next_beat = '1' then rdata_valid_t <= '1'; elsif ready_for_data then rdata_valid_t <= '0'; end if; end if; end if; end process rdata_valid_proc; end generate bus_equal_gen; bus_wide_gen : if (USER_DATA_WIDTH < BUS_DATA_WIDTH) generate constant TOTAL_SPLIT : INTEGER := BUS_DATA_WIDTH / USER_DATA_WIDTH; constant SPLIT_ALIGN : INTEGER := log2(TOTAL_SPLIT); signal tmp_burst_info : UNSIGNED(2*SPLIT_ALIGN + 7 downto 0); signal burst_pack : UNSIGNED(2*SPLIT_ALIGN + 7 downto 0); signal data_buf : UNSIGNED(BUS_DATA_WIDTH - 1 downto 0); signal split_cnt : UNSIGNED(SPLIT_ALIGN - 1 downto 0); signal split_cnt_buf : UNSIGNED(SPLIT_ALIGN - 1 downto 0); signal head_split : UNSIGNED(SPLIT_ALIGN - 1 downto 0); signal tail_split : UNSIGNED(SPLIT_ALIGN - 1 downto 0); signal burst_len : UNSIGNED(7 downto 0); signal first_beat : BOOLEAN; signal last_beat : BOOLEAN; signal first_split : BOOLEAN; signal next_split : BOOLEAN; signal last_split : BOOLEAN; signal ready_for_data : BOOLEAN; begin -- instantiation fifo_burst : filesystem_encrypt_buffer_V_m_axi_fifo generic map ( DATA_BITS => 2*SPLIT_ALIGN + 8, DEPTH => USER_MAXREQS, DEPTH_BITS => log2(USER_MAXREQS)) port map ( sclk => ACLK, reset => ARESET, sclk_en => ACLK_EN, empty_n => burst_valid, full_n => fifo_burst_ready, rdreq => next_burst, wrreq => fifo_burst_w, q => burst_pack, data => tmp_burst_info); rs_rrsp_rdata <= resp_buf & data_buf(USER_DW - 1 downto 0); rrsp <= rdata_data_pack(USER_DW + 1 downto USER_DW); rdata_data <= rdata_data_pack(USER_DW - 1 downto 0); tmp_burst_info <= araddr_tmp(BUS_ADDR_ALIGN - 1 downto USER_ADDR_ALIGN) & burst_end(BUS_ADDR_ALIGN - 1 downto USER_ADDR_ALIGN) & RESIZE(arlen_tmp, 8); head_split <= burst_pack(2*SPLIT_ALIGN + 7 downto 8 + SPLIT_ALIGN); tail_split <= burst_pack(SPLIT_ALIGN + 7 downto 8); burst_len <= burst_pack(7 downto 0); fifo_burst_ready <= '1'; next_beat <= '1' when last_split else '0'; next_burst <= '1' when last_beat and last_split else '0'; ready_for_data <= not (rdata_valid_t = '1' and rdata_ack_t = '0'); first_beat <= len_cnt = 0 and burst_valid = '1' and beat_valid = '1'; last_beat <= len_cnt = burst_len and burst_valid = '1' and beat_valid = '1'; first_split <= (split_cnt = 0 and beat_valid = '1' and ready_for_data) when not first_beat else (split_cnt = head_split and ready_for_data); last_split <= (split_cnt = (TOTAL_SPLIT - 1) and ready_for_data) when not last_beat else (split_cnt = tail_split and ready_for_data); next_split <= (split_cnt /= 0 and ready_for_data) when not first_beat else (split_cnt /= head_split and ready_for_data); split_cnt <= head_split when first_beat and (split_cnt_buf = 0) else split_cnt_buf; split_cnt_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then split_cnt_buf <= (others => '0'); elsif ACLK_EN = '1' then if last_split then split_cnt_buf <= (others => '0'); elsif first_split or next_split then split_cnt_buf <= split_cnt + 1; end if; end if; end if; end process split_cnt_proc; len_cnt_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then len_cnt <= (others => '0'); elsif ACLK_EN = '1' then if last_beat and last_split then len_cnt <= (others => '0'); elsif last_split then len_cnt <= len_cnt + 1; end if; end if; end if; end process len_cnt_proc; data_buf_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if ACLK_EN = '1' then if first_split and first_beat then data_buf <= SHIFT_RIGHT(tmp_data, to_integer(head_split)*USER_DATA_WIDTH); elsif first_split then data_buf <= tmp_data; elsif next_split then data_buf <= SHIFT_RIGHT(data_buf, USER_DATA_WIDTH); end if; end if; end if; end process data_buf_proc; resp_buf_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then resp_buf <= "00"; elsif ACLK_EN = '1' then if first_split then resp_buf <= tmp_resp; end if; end if; end if; end process resp_buf_proc; rdata_valid_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then rdata_valid_t <= '0'; elsif ACLK_EN = '1' then if first_split then rdata_valid_t <= '1'; elsif not (first_split or next_split) and ready_for_data then rdata_valid_t <= '0'; end if; end if; end if; end process rdata_valid_proc; end generate bus_wide_gen; bus_narrow_gen : if (USER_DATA_WIDTH > BUS_DATA_WIDTH) generate constant TOTAL_PADS : INTEGER := USER_DATA_WIDTH / BUS_DATA_WIDTH; constant PAD_ALIGN : INTEGER := log2(TOTAL_PADS); signal data_buf : UNSIGNED(USER_DATA_WIDTH - 1 downto 0); signal pad_oh : UNSIGNED(TOTAL_PADS - 1 downto 0); signal pad_oh_reg : UNSIGNED(TOTAL_PADS - 1 downto 0); signal ready_for_data : BOOLEAN; signal next_pad : BOOLEAN; signal first_pad : BOOLEAN; signal last_pad : BOOLEAN; signal next_data : BOOLEAN; begin rrsp <= resp_buf; rdata_data <= data_buf(USER_DW - 1 downto 0); rdata_valid <= rdata_valid_t; fifo_burst_ready <= '1'; next_beat <= '1' when next_pad else '0'; ready_for_data <= not (rdata_valid_t = '1' and rdata_ack_t = '0'); next_pad <= beat_valid = '1' and ready_for_data; last_pad <= pad_oh(TOTAL_PADS - 1) = '1'; next_data <= last_pad and ready_for_data; first_pad_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then first_pad <= true; elsif ACLK_EN = '1' then if next_pad and not last_pad then first_pad <= false; elsif next_pad and last_pad then first_pad <= true; end if; end if; end if; end process first_pad_proc; pad_oh <= (others => '0') when beat_valid = '0' else TO_UNSIGNED(1, TOTAL_PADS) when first_pad else pad_oh_reg; pad_oh_reg_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then pad_oh_reg <= (others => '0'); elsif ACLK_EN = '1' then if next_pad then pad_oh_reg <= pad_oh(TOTAL_PADS - 2 downto 0) & '0'; end if; end if; end if; end process pad_oh_reg_proc; data_gen : for i in 1 to TOTAL_PADS generate begin process (ACLK) begin if (ACLK'event and ACLK = '1') then if ACLK_EN = '1' then if pad_oh(i-1) = '1' and ready_for_data then data_buf(i*BUS_DATA_WIDTH - 1 downto (i-1)*BUS_DATA_WIDTH) <= tmp_data; end if; end if; end if; end process; end generate data_gen; resp_buf_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') and ACLK_EN = '1' then if (ARESET = '1') then resp_buf <= "00"; elsif next_beat = '1' and resp_buf(0) = '0' then resp_buf <= tmp_resp; end if; end if; end process resp_buf_proc; rdata_valid_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then rdata_valid_t <= '0'; elsif ACLK_EN = '1' then if next_data then rdata_valid_t <= '1'; elsif ready_for_data then rdata_valid_t <= '0'; end if; end if; end if; end process rdata_valid_proc; end generate bus_narrow_gen; --------------------------- R channel end -------------------------------------- end architecture behave; library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; entity filesystem_encrypt_buffer_V_m_axi_write is generic ( NUM_WRITE_OUTSTANDING : INTEGER := 2; MAX_WRITE_BURST_LENGTH : INTEGER := 16; C_M_AXI_ID_WIDTH : INTEGER := 1; C_M_AXI_ADDR_WIDTH : INTEGER := 32; C_TARGET_ADDR : INTEGER := 16#00000000#; C_M_AXI_DATA_WIDTH : INTEGER := 32; C_M_AXI_AWUSER_WIDTH : INTEGER := 1; C_M_AXI_WUSER_WIDTH : INTEGER := 1; C_M_AXI_BUSER_WIDTH : INTEGER := 1; C_USER_VALUE : INTEGER := 0; C_PROT_VALUE : INTEGER := 0; C_CACHE_VALUE : INTEGER := 2#0011#; USER_DW : INTEGER := 16; USER_AW : INTEGER := 32; USER_MAXREQS : INTEGER := 16); port ( ACLK : in STD_LOGIC; ARESET : in STD_LOGIC; ACLK_EN : in STD_LOGIC; AWID : out UNSIGNED(C_M_AXI_ID_WIDTH-1 downto 0); AWADDR : out UNSIGNED(C_M_AXI_ADDR_WIDTH-1 downto 0); AWLEN : out UNSIGNED(7 downto 0); AWSIZE : out UNSIGNED(2 downto 0); AWBURST : out UNSIGNED(1 downto 0); AWLOCK : out UNSIGNED(1 downto 0); AWCACHE : out UNSIGNED(3 downto 0); AWPROT : out UNSIGNED(2 downto 0); AWQOS : out UNSIGNED(3 downto 0); AWREGION : out UNSIGNED(3 downto 0); AWUSER : out UNSIGNED(C_M_AXI_AWUSER_WIDTH-1 downto 0); AWVALID : out STD_LOGIC; AWREADY : in STD_LOGIC; WID : out UNSIGNED(C_M_AXI_ID_WIDTH-1 downto 0); WDATA : out UNSIGNED(C_M_AXI_DATA_WIDTH-1 downto 0); WSTRB : out UNSIGNED(C_M_AXI_DATA_WIDTH/8-1 downto 0); WLAST : out STD_LOGIC; WUSER : out UNSIGNED(C_M_AXI_WUSER_WIDTH-1 downto 0); WVALID : out STD_LOGIC; WREADY : in STD_LOGIC; BID : in UNSIGNED(C_M_AXI_ID_WIDTH-1 downto 0); BRESP : in UNSIGNED(1 downto 0); BUSER : in UNSIGNED(C_M_AXI_BUSER_WIDTH-1 downto 0); BVALID : in STD_LOGIC; BREADY : out STD_LOGIC; wreq_valid : in STD_LOGIC; wreq_ack : out STD_LOGIC; wreq_addr : in UNSIGNED(USER_AW-1 downto 0); wreq_length : in UNSIGNED(31 downto 0); wreq_cache : in UNSIGNED(3 downto 0); wreq_prot : in UNSIGNED(2 downto 0); wreq_qos : in UNSIGNED(3 downto 0); wreq_user : in UNSIGNED(C_M_AXI_AWUSER_WIDTH-1 downto 0); wdata_valid : in STD_LOGIC; wdata_ack : out STD_LOGIC; wdata_strb : in UNSIGNED(USER_DW/8-1 downto 0); wdata_user : in UNSIGNED(C_M_AXI_WUSER_WIDTH-1 downto 0); wdata_data : in UNSIGNED(USER_DW-1 downto 0); wrsp_valid : out STD_LOGIC; wrsp_ack : in STD_LOGIC; wrsp : out UNSIGNED(1 downto 0)); function calc_data_width (x : INTEGER) return INTEGER is variable y : INTEGER; begin y := 8; while y < x loop y := y * 2; end loop; return y; end function calc_data_width; function log2 (x : INTEGER) return INTEGER is variable n, m : INTEGER; begin n := 0; m := 1; while m < x loop n := n + 1; m := m * 2; end loop; return n; end function log2; end entity filesystem_encrypt_buffer_V_m_axi_write; architecture behave of filesystem_encrypt_buffer_V_m_axi_write is --common constant USER_DATA_WIDTH : INTEGER := calc_data_width(USER_DW); constant USER_DATA_BYTES : INTEGER := USER_DATA_WIDTH / 8; constant USER_ADDR_ALIGN : INTEGER := log2(USER_DATA_BYTES); constant BUS_DATA_WIDTH : INTEGER := C_M_AXI_DATA_WIDTH; constant BUS_DATA_BYTES : INTEGER := BUS_DATA_WIDTH / 8; constant BUS_ADDR_ALIGN : INTEGER := log2(BUS_DATA_BYTES); constant NUM_WRITE_WIDTH : INTEGER := log2(MAX_WRITE_BURST_LENGTH); --AW channel constant TARGET_ADDR : INTEGER := (C_TARGET_ADDR/USER_DATA_BYTES)*USER_DATA_BYTES; constant BOUNDARY_BEATS : UNSIGNED(11 - BUS_ADDR_ALIGN downto 0) := (others => '1'); signal wreq_data : UNSIGNED(USER_AW + 31 downto 0); signal rs2f_wreq_data : UNSIGNED(USER_AW + 31 downto 0); signal rs2f_wreq_valid : STD_LOGIC; signal rs2f_wreq_ack : STD_LOGIC; signal fifo_wreq_data : UNSIGNED(USER_AW + 31 downto 0); signal tmp_addr : UNSIGNED(USER_AW - 1 downto 0); signal tmp_len : UNSIGNED(31 downto 0); signal align_len : UNSIGNED(31 downto 0); signal awlen_tmp : UNSIGNED(7 downto 0); signal start_addr : UNSIGNED(C_M_AXI_ADDR_WIDTH - 1 downto 0); signal end_addr : UNSIGNED(C_M_AXI_ADDR_WIDTH - 1 downto 0); signal start_addr_buf : UNSIGNED(C_M_AXI_ADDR_WIDTH - 1 downto 0); signal end_addr_buf : UNSIGNED(C_M_AXI_ADDR_WIDTH - 1 downto 0); signal awaddr_tmp : UNSIGNED(C_M_AXI_ADDR_WIDTH - 1 downto 0); signal sect_addr : UNSIGNED(C_M_AXI_ADDR_WIDTH - 1 downto 0); signal sect_addr_buf : UNSIGNED(C_M_AXI_ADDR_WIDTH - 1 downto 0); signal sect_end : UNSIGNED(BUS_ADDR_ALIGN - 1 downto 0); signal sect_end_buf : UNSIGNED(BUS_ADDR_ALIGN - 1 downto 0); signal burst_end : UNSIGNED(BUS_ADDR_ALIGN - 1 downto 0); signal start_to_4k : UNSIGNED(11 - BUS_ADDR_ALIGN downto 0); signal sect_len : UNSIGNED(11 - BUS_ADDR_ALIGN downto 0); signal sect_len_buf : UNSIGNED(11 - BUS_ADDR_ALIGN downto 0); signal beat_len_buf : UNSIGNED(11 - BUS_ADDR_ALIGN downto 0); signal aw2b_awdata : UNSIGNED(1 downto 0); signal sect_cnt : UNSIGNED(C_M_AXI_ADDR_WIDTH - 13 downto 0); signal zero_len_event : STD_LOGIC; signal negative_len_event : STD_LOGIC; signal invalid_len_event : STD_LOGIC; signal invalid_len_event_1 : STD_LOGIC; signal invalid_len_event_2 : STD_LOGIC; signal fifo_wreq_valid : STD_LOGIC; signal fifo_wreq_valid_buf : STD_LOGIC; signal fifo_wreq_read : STD_LOGIC; signal fifo_burst_w : STD_LOGIC; signal fifo_resp_w : STD_LOGIC; signal last_sect_buf : STD_LOGIC; signal ready_for_sect : STD_LOGIC; signal AWVALID_Dummy : STD_LOGIC; signal next_wreq : BOOLEAN; signal ready_for_wreq : BOOLEAN; signal wreq_handling : BOOLEAN; signal first_sect : BOOLEAN; signal last_sect : BOOLEAN; signal next_sect : BOOLEAN; --W channel signal fifo_wdata_wstrb : UNSIGNED(USER_DW + USER_DW/8 - 1 downto 0); signal data_pack : UNSIGNED(USER_DW + USER_DW/8 - 1 downto 0); signal tmp_data : UNSIGNED(USER_DATA_WIDTH - 1 downto 0); signal tmp_strb : UNSIGNED(USER_DATA_BYTES - 1 downto 0); signal len_cnt : UNSIGNED(7 downto 0); signal burst_len : UNSIGNED(7 downto 0); signal data_valid : STD_LOGIC; signal next_data : STD_LOGIC; signal burst_valid : STD_LOGIC; signal fifo_burst_ready : STD_LOGIC; signal next_burst : STD_LOGIC; signal WVALID_Dummy : STD_LOGIC; signal WLAST_Dummy : STD_LOGIC; --B channel signal aw2b_bdata : UNSIGNED(1 downto 0); signal bresp_tmp : UNSIGNED(1 downto 0); signal next_resp : STD_LOGIC; signal last_resp : STD_LOGIC; signal invalid_event : STD_LOGIC; signal fifo_resp_ready : STD_LOGIC; signal need_wrsp : STD_LOGIC; signal resp_match : STD_LOGIC; signal resp_ready : STD_LOGIC; component filesystem_encrypt_buffer_V_m_axi_fifo is generic ( DATA_BITS : INTEGER := 8; DEPTH : INTEGER := 16; DEPTH_BITS : INTEGER := 4); port ( sclk : in STD_LOGIC; reset : in STD_LOGIC; sclk_en : in STD_LOGIC; empty_n : out STD_LOGIC; full_n : out STD_LOGIC; rdreq : in STD_LOGIC; wrreq : in STD_LOGIC; q : out UNSIGNED(DATA_BITS-1 downto 0); data : in UNSIGNED(DATA_BITS-1 downto 0)); end component filesystem_encrypt_buffer_V_m_axi_fifo; component filesystem_encrypt_buffer_V_m_axi_reg_slice is generic ( N : INTEGER := 8); port ( sclk : in STD_LOGIC; reset : in STD_LOGIC; s_data : in STD_LOGIC_VECTOR(N-1 downto 0); s_valid : in STD_LOGIC; s_ready : out STD_LOGIC; m_data : out STD_LOGIC_VECTOR(N-1 downto 0); m_valid : out STD_LOGIC; m_ready : in STD_LOGIC); end component filesystem_encrypt_buffer_V_m_axi_reg_slice; component filesystem_encrypt_buffer_V_m_axi_buffer is generic ( MEM_STYLE : STRING := "block"; DATA_WIDTH : NATURAL := 32; ADDR_WIDTH : NATURAL := 5; DEPTH : NATURAL := 32 ); port ( clk : in STD_LOGIC; reset : in STD_LOGIC; sclk_en : in STD_LOGIC; if_full_n : out STD_LOGIC; if_write_ce : in STD_LOGIC; if_write : in STD_LOGIC; if_din : in STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_empty_n : out STD_LOGIC; if_read_ce : in STD_LOGIC; if_read : in STD_LOGIC; if_dout : out STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0)); end component filesystem_encrypt_buffer_V_m_axi_buffer; begin --------------------------- AW channel begin ----------------------------------- -- Instantiation rs_wreq : filesystem_encrypt_buffer_V_m_axi_reg_slice generic map ( N => USER_AW + 32) port map ( sclk => ACLK, reset => ARESET, s_data => STD_LOGIC_VECTOR(wreq_data), s_valid => wreq_valid, s_ready => wreq_ack, UNSIGNED(m_data)=> rs2f_wreq_data, m_valid => rs2f_wreq_valid, m_ready => rs2f_wreq_ack); fifo_wreq : filesystem_encrypt_buffer_V_m_axi_fifo generic map ( DATA_BITS => USER_AW + 32, DEPTH => USER_MAXREQS, DEPTH_BITS => log2(USER_MAXREQS)) port map ( sclk => ACLK, reset => ARESET, sclk_en => ACLK_EN, full_n => rs2f_wreq_ack, wrreq => rs2f_wreq_valid, data => rs2f_wreq_data, empty_n => fifo_wreq_valid, rdreq => fifo_wreq_read, q => fifo_wreq_data); wreq_data <= (wreq_length & wreq_addr); tmp_addr <= fifo_wreq_data(USER_AW - 1 downto 0); tmp_len <= fifo_wreq_data(USER_AW + 31 downto USER_AW); end_addr <= start_addr + align_len; zero_len_event <= '1' when fifo_wreq_valid = '1' and tmp_len = 0 else '0'; negative_len_event <= tmp_len(31) when fifo_wreq_valid = '1' else '0'; next_wreq <= (fifo_wreq_valid = '1' or fifo_wreq_valid_buf = '1') and ready_for_wreq; ready_for_wreq <= not(wreq_handling and not(last_sect and next_sect)); fifo_wreq_read <= '1' when next_wreq else '0'; align_len_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then align_len <= (others => '0'); elsif ACLK_EN = '1' then if (fifo_wreq_valid = '1' and ready_for_wreq) then if (zero_len_event = '1' or negative_len_event = '1') then align_len <= (others => '0'); else align_len <= SHIFT_LEFT(tmp_len, USER_ADDR_ALIGN) - 1; end if; end if; end if; end if; end process align_len_proc; start_addr_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then start_addr <= (others => '0'); elsif ACLK_EN = '1' then if (fifo_wreq_valid = '1' and ready_for_wreq) then start_addr <= TARGET_ADDR + SHIFT_LEFT(RESIZE(tmp_addr, C_M_AXI_ADDR_WIDTH), USER_ADDR_ALIGN); end if; end if; end if; end process start_addr_proc; fifo_wreq_valid_buf_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then fifo_wreq_valid_buf <= '0'; elsif ACLK_EN = '1' then if (next_wreq) then fifo_wreq_valid_buf <= fifo_wreq_valid; end if; end if; end if; end process fifo_wreq_valid_buf_proc; invalid_len_event_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then invalid_len_event <= '0'; elsif ACLK_EN = '1' then if (next_wreq) then invalid_len_event <= zero_len_event or negative_len_event; end if; end if; end if; end process invalid_len_event_proc; wreq_handling_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then wreq_handling <= false; elsif ACLK_EN = '1' then if fifo_wreq_valid_buf = '1' and not wreq_handling then wreq_handling <= true; elsif fifo_wreq_valid_buf = '0' and last_sect and next_sect then wreq_handling <= false; end if; end if; end if; end process wreq_handling_proc; start_addr_buf_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then start_addr_buf <= (others => '0'); elsif ACLK_EN = '1' then if next_wreq then start_addr_buf <= start_addr; end if; end if; end if; end process start_addr_buf_proc; end_addr_buf_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then end_addr_buf <= (others => '0'); elsif ACLK_EN = '1' then if next_wreq then end_addr_buf <= end_addr; end if; end if; end if; end process end_addr_buf_proc; beat_len_buf_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then beat_len_buf <= (others => '0'); elsif ACLK_EN = '1' then if next_wreq then beat_len_buf <= RESIZE(SHIFT_RIGHT(align_len(11 downto 0) + start_addr(BUS_ADDR_ALIGN-1 downto 0), BUS_ADDR_ALIGN), 12-BUS_ADDR_ALIGN); end if; end if; end if; end process beat_len_buf_proc; sect_cnt_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then sect_cnt <= (others => '0'); elsif ACLK_EN = '1' then if next_wreq then sect_cnt <= start_addr(C_M_AXI_ADDR_WIDTH - 1 downto 12); elsif next_sect then sect_cnt <= sect_cnt + 1; end if; end if; end if; end process sect_cnt_proc; -- event registers invalid_len_event_1_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then invalid_len_event_1 <= '0'; elsif ACLK_EN = '1' then invalid_len_event_1 <= invalid_len_event; end if; end if; end process invalid_len_event_1_proc; -- end event registers first_sect <= (sect_cnt = start_addr_buf(C_M_AXI_ADDR_WIDTH - 1 downto 12)); last_sect <= (sect_cnt = end_addr_buf(C_M_AXI_ADDR_WIDTH -1 downto 12)); next_sect <= wreq_handling and ready_for_sect = '1'; sect_addr <= start_addr_buf when first_sect else sect_cnt & (11 downto 0 => '0'); sect_addr_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then sect_addr_buf <= (others => '0'); elsif ACLK_EN = '1' then if next_sect then sect_addr_buf <= sect_addr; end if; end if; end if; end process sect_addr_proc; start_to_4k <= BOUNDARY_BEATS - start_addr_buf(11 downto BUS_ADDR_ALIGN); sect_len <= beat_len_buf when first_sect and last_sect else start_to_4k when first_sect and not last_sect else end_addr_buf(11 downto BUS_ADDR_ALIGN) when not first_sect and last_sect else BOUNDARY_BEATS when not first_sect and not last_sect; sect_len_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then sect_len_buf <= (others => '0'); elsif ACLK_EN = '1' then if next_sect then sect_len_buf <= sect_len; end if; end if; end if; end process sect_len_proc; sect_end <= end_addr_buf(BUS_ADDR_ALIGN - 1 downto 0) when last_sect else (others => '1'); sect_end_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then sect_end_buf <= (others => '0'); elsif ACLK_EN = '1' then if next_sect then sect_end_buf <= sect_end; end if; end if; end if; end process sect_end_proc; -- event registers invalid_len_event_2_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then invalid_len_event_2 <= '0'; elsif ACLK_EN = '1' then invalid_len_event_2 <= invalid_len_event_1; end if; end if; end process invalid_len_event_2_proc; -- end event registers AWID <= (others => '0'); AWSIZE <= TO_UNSIGNED(BUS_ADDR_ALIGN, AWSIZE'length); AWBURST <= "01"; AWLOCK <= "00"; AWCACHE <= TO_UNSIGNED(C_CACHE_VALUE, AWCACHE'length); AWPROT <= TO_UNSIGNED(C_PROT_VALUE, AWPROT'length); AWUSER <= TO_UNSIGNED(C_USER_VALUE, AWUSER'length); AWQOS <= wreq_qos; must_one_burst : if (BUS_DATA_BYTES >= 4096/MAX_WRITE_BURST_LENGTH) generate begin AWADDR <= sect_addr_buf(C_M_AXI_ADDR_WIDTH - 1 downto BUS_ADDR_ALIGN) & (BUS_ADDR_ALIGN - 1 downto 0 => '0'); AWLEN <= RESIZE(sect_len_buf, 8); AWVALID <= AWVALID_Dummy; ready_for_sect <= '1' when not (AWVALID_Dummy = '1' and AWREADY = '0') and fifo_burst_ready = '1' and fifo_resp_ready = '1' else '0'; awvalid_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then AWVALID_Dummy <= '0'; elsif ACLK_EN = '1' then if invalid_len_event = '1' then AWVALID_Dummy <= '0'; elsif next_sect then AWVALID_Dummy <= '1'; elsif not next_sect and AWREADY = '1' then AWVALID_Dummy <= '0'; end if; end if; end if; end process awvalid_proc; fifo_resp_w <= '1' when next_sect else '0'; aw2b_awdata <= '1' & invalid_len_event when last_sect else '0' & invalid_len_event; fifo_burst_w <= '1' when invalid_len_event = '0' and next_sect else '0'; awaddr_tmp <= sect_addr(C_M_AXI_ADDR_WIDTH - 1 downto 0); awlen_tmp <= RESIZE(sect_len, 8); burst_end <= sect_end; end generate must_one_burst; could_multi_bursts : if (BUS_DATA_BYTES < 4096/MAX_WRITE_BURST_LENGTH) generate signal awaddr_buf : UNSIGNED(C_M_AXI_ADDR_WIDTH - 1 downto 0); signal awlen_buf : UNSIGNED(7 downto 0); signal loop_cnt : UNSIGNED(11 - NUM_WRITE_WIDTH - BUS_ADDR_ALIGN downto 0); signal last_loop : BOOLEAN; signal next_loop : BOOLEAN; signal ready_for_loop : BOOLEAN; signal sect_handling : BOOLEAN; begin AWADDR <= awaddr_buf; AWLEN <= awlen_buf; AWVALID <= AWVALID_Dummy; last_loop <= (loop_cnt = sect_len_buf(11 - BUS_ADDR_ALIGN downto NUM_WRITE_WIDTH)); next_loop <= sect_handling and ready_for_loop; ready_for_loop <= not (AWVALID_Dummy = '1' and AWREADY = '0') and fifo_resp_ready = '1' and fifo_burst_ready = '1'; ready_for_sect <= '1' when not (sect_handling and not (last_loop and next_loop)) else '0'; sect_handling_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then sect_handling <= false; elsif ACLK_EN = '1' then if wreq_handling and not sect_handling then sect_handling <= true; elsif not wreq_handling and last_loop and next_loop then sect_handling <= false; end if; end if; end if; end process sect_handling_proc; loop_cnt_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then loop_cnt <= (others => '0'); elsif ACLK_EN = '1' then if next_sect then loop_cnt <= (others => '0'); elsif next_loop then loop_cnt <= loop_cnt + 1; end if; end if; end if; end process loop_cnt_proc; awaddr_tmp <= sect_addr_buf(C_M_AXI_ADDR_WIDTH -1 downto 0) when loop_cnt = 0 else awaddr_buf + SHIFT_LEFT(RESIZE(awlen_buf, 32) + 1, BUS_ADDR_ALIGN); awaddr_buf_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then awaddr_buf <= (others => '0'); elsif ACLK_EN = '1' then if next_loop then awaddr_buf <= awaddr_tmp(C_M_AXI_ADDR_WIDTH - 1 downto BUS_ADDR_ALIGN) & (BUS_ADDR_ALIGN - 1 downto 0 => '0'); end if; end if; end if; end process awaddr_buf_proc; awlen_tmp <= RESIZE(sect_len_buf(NUM_WRITE_WIDTH-1 downto 0), 8) when last_loop else TO_UNSIGNED(MAX_WRITE_BURST_LENGTH-1, 8); awlen_buf_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then awlen_buf <= (others => '0'); elsif ACLK_EN = '1' then if next_loop then awlen_buf <= awlen_tmp; end if; end if; end if; end process awlen_buf_proc; awvalid_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then AWVALID_Dummy <= '0'; elsif ACLK_EN = '1' then if invalid_len_event_2 = '1' then AWVALID_Dummy <= '0'; elsif next_loop then AWVALID_Dummy <= '1'; elsif not next_loop and AWREADY = '1' then AWVALID_Dummy <= '0'; end if; end if; end if; end process awvalid_proc; fifo_resp_w <= '1' when next_loop else '0'; aw2b_awdata <= '1' & invalid_len_event_2 when last_loop and last_sect_buf = '1' else '0' & invalid_len_event_2; last_sect_buf_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then last_sect_buf <= '0'; elsif ACLK_EN = '1' then if next_sect and last_sect then last_sect_buf <= '1'; elsif next_sect then last_sect_buf <= '0'; end if; end if; end if; end process last_sect_buf_proc; fifo_burst_w <= '1' when invalid_len_event_2 = '0' and next_loop else '0'; burst_end <= sect_end_buf(BUS_ADDR_ALIGN - 1 downto 0) when last_loop else (others => '1'); end generate could_multi_bursts; --------------------------- AW channel end ------------------------------------- --------------------------- W channel begin ------------------------------------ -- Instantiation buff_wdata : filesystem_encrypt_buffer_V_m_axi_buffer generic map ( DATA_WIDTH => USER_DW + USER_DW/8, DEPTH => NUM_WRITE_OUTSTANDING * MAX_WRITE_BURST_LENGTH, ADDR_WIDTH => log2(NUM_WRITE_OUTSTANDING * MAX_WRITE_BURST_LENGTH)) port map ( clk => ACLK, reset => ARESET, sclk_en => ACLK_EN, if_full_n => wdata_ack, if_write_ce => '1', if_write => wdata_valid, if_din => STD_LOGIC_VECTOR(fifo_wdata_wstrb), if_empty_n => data_valid, if_read_ce => '1', if_read => next_data, UNSIGNED(if_dout) => data_pack); fifo_wdata_wstrb <= (wdata_strb & wdata_data); tmp_data <= RESIZE(data_pack(USER_DW - 1 downto 0), USER_DATA_WIDTH); tmp_strb <= RESIZE(data_pack(USER_DW + USER_DW/8 - 1 downto USER_DW), USER_DATA_BYTES); bus_equal_gen : if (USER_DATA_WIDTH = BUS_DATA_WIDTH) generate signal data_buf : UNSIGNED(BUS_DATA_WIDTH - 1 downto 0); signal strb_buf : UNSIGNED(BUS_DATA_BYTES - 1 downto 0); signal tmp_burst_info : UNSIGNED(7 downto 0); signal ready_for_data : BOOLEAN; begin -- Instantiation fifo_burst : filesystem_encrypt_buffer_V_m_axi_fifo generic map ( DATA_BITS => 8, DEPTH => USER_MAXREQS, DEPTH_BITS => log2(USER_MAXREQS)) port map ( sclk => ACLK, reset => ARESET, sclk_en => ACLK_EN, empty_n => burst_valid, full_n => fifo_burst_ready, rdreq => next_burst, wrreq => fifo_burst_w, q => burst_len, data => tmp_burst_info); WDATA <= data_buf; WSTRB <= strb_buf; WLAST <= WLAST_Dummy; WVALID <= WVALID_Dummy; tmp_burst_info <= RESIZE(awlen_tmp, 8); ready_for_data <= not (WVALID_Dummy = '1' and WREADY = '0'); next_data <= '1' when burst_valid = '1' and data_valid = '1' and ready_for_data else '0'; next_burst <= '1' when len_cnt = burst_len and next_data = '1' else '0'; data_buf_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if ACLK_EN = '1' then if next_data = '1' then data_buf <= tmp_data; end if; end if; end if; end process data_buf_proc; strb_buf_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then strb_buf <= (others => '0'); elsif ACLK_EN = '1' then if next_data = '1' then strb_buf <= tmp_strb; end if; end if; end if; end process strb_buf_proc; wvalid_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then WVALID_Dummy <= '0'; elsif ACLK_EN = '1' then if next_data = '1' then WVALID_Dummy <= '1'; elsif ready_for_data then WVALID_Dummy <= '0'; end if; end if; end if; end process wvalid_proc; wlast_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then WLAST_Dummy <= '0'; elsif ACLK_EN = '1' then if next_burst = '1' then WLAST_Dummy <= '1'; elsif ready_for_data then WLAST_Dummy <= '0'; end if; end if; end if; end process wlast_proc; len_cnt_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then len_cnt <= (others => '0'); elsif ACLK_EN = '1' then if next_burst = '1' then len_cnt <= (others => '0'); elsif next_data = '1' then len_cnt <= len_cnt + 1; end if; end if; end if; end process len_cnt_proc; end generate bus_equal_gen; bus_narrow_gen : if (USER_DATA_WIDTH > BUS_DATA_WIDTH) generate constant TOTAL_SPLIT : INTEGER := USER_DATA_WIDTH / BUS_DATA_WIDTH; constant SPLIT_ALIGN : INTEGER := log2(TOTAL_SPLIT); signal data_buf : UNSIGNED(USER_DATA_WIDTH - 1 downto 0); signal strb_buf : UNSIGNED(USER_DATA_BYTES - 1 downto 0); signal split_cnt : UNSIGNED(SPLIT_ALIGN - 1 downto 0); signal tmp_burst_info : UNSIGNED(7 downto 0); signal first_split : BOOLEAN; signal next_split : BOOLEAN; signal last_split : BOOLEAN; signal ready_for_data : BOOLEAN; begin -- instantiation fifo_burst : filesystem_encrypt_buffer_V_m_axi_fifo generic map ( DATA_BITS => 8, DEPTH => USER_MAXREQS, DEPTH_BITS => log2(USER_MAXREQS)) port map ( sclk => ACLK, reset => ARESET, sclk_en => ACLK_EN, empty_n => burst_valid, full_n => fifo_burst_ready, rdreq => next_burst, wrreq => fifo_burst_w, q => burst_len, data => tmp_burst_info); WDATA <= data_buf(BUS_DATA_WIDTH - 1 downto 0); WSTRB <= strb_buf(BUS_DATA_BYTES - 1 downto 0); WLAST <= WLAST_Dummy; WVALID <= WVALID_Dummy; tmp_burst_info <= RESIZE(awlen_tmp, 8); next_data <= '1' when first_split else '0'; next_burst <= '1' when len_cnt = burst_len and burst_valid = '1' and last_split else '0'; ready_for_data <= not (WVALID_Dummy = '1' and WREADY = '0'); first_split <= split_cnt = 0 and data_valid = '1' and burst_valid ='1' and ready_for_data; next_split <= split_cnt /= 0 and ready_for_data; last_split <= split_cnt = (TOTAL_SPLIT - 1) and ready_for_data; split_cnt_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then split_cnt <= (others => '0'); elsif ACLK_EN = '1' then if last_split then split_cnt <= (others => '0'); elsif first_split or next_split then split_cnt <= split_cnt + 1; end if; end if; end if; end process split_cnt_proc; len_cnt_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then len_cnt <= (others => '0'); elsif ACLK_EN = '1' then if next_burst = '1' then len_cnt <= (others => '0'); elsif next_data = '1' or next_split then len_cnt <= len_cnt + 1; end if; end if; end if; end process len_cnt_proc; data_buf_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if ACLK_EN = '1' then if next_data = '1' then data_buf <= tmp_data; elsif next_split then data_buf <= SHIFT_RIGHT(data_buf, BUS_DATA_WIDTH); end if; end if; end if; end process data_buf_proc; strb_buf_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then strb_buf <= (others => '0'); elsif ACLK_EN = '1' then if next_data = '1' then strb_buf <= tmp_strb; elsif next_split then strb_buf <= SHIFT_RIGHT(strb_buf, BUS_DATA_BYTES); end if; end if; end if; end process strb_buf_proc; wvalid_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then WVALID_Dummy <= '0'; elsif ACLK_EN = '1' then if next_data = '1' then WVALID_Dummy <= '1'; elsif not (first_split or next_split) and ready_for_data then WVALID_Dummy <= '0'; end if; end if; end if; end process wvalid_proc; wlast_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then WLAST_Dummy <= '0'; elsif ACLK_EN = '1' then if next_burst = '1' and last_split then WLAST_Dummy <= '1'; elsif ready_for_data then WLAST_Dummy <= '0'; end if; end if; end if; end process wlast_proc; end generate bus_narrow_gen; bus_wide_gen : if (USER_DATA_WIDTH < BUS_DATA_WIDTH) generate constant TOTAL_PADS : INTEGER := BUS_DATA_WIDTH / USER_DATA_WIDTH; constant PAD_ALIGN : INTEGER := log2(TOTAL_PADS); signal data_buf : UNSIGNED(BUS_DATA_WIDTH - 1 downto 0); signal strb_buf : UNSIGNED(BUS_DATA_BYTES - 1 downto 0); signal burst_pack : UNSIGNED(2*PAD_ALIGN + 7 downto 0); signal tmp_burst_info : UNSIGNED(2*PAD_ALIGN + 7 downto 0); signal head_pads : UNSIGNED(PAD_ALIGN - 1 downto 0); signal tail_pads : UNSIGNED(PAD_ALIGN - 1 downto 0); signal add_head : UNSIGNED(TOTAL_PADS - 1 downto 0); signal add_tail : UNSIGNED(TOTAL_PADS - 1 downto 0); signal pad_oh : UNSIGNED(TOTAL_PADS - 1 downto 0); signal pad_oh_reg : UNSIGNED(TOTAL_PADS - 1 downto 0); signal head_pad_sel : UNSIGNED(TOTAL_PADS - 1 downto 0); signal tail_pad_sel : UNSIGNED(0 to TOTAL_PADS - 1); signal ready_for_data : BOOLEAN; signal next_pad : BOOLEAN; signal first_pad : BOOLEAN; signal last_pad : BOOLEAN; signal first_beat : BOOLEAN; signal last_beat : BOOLEAN; signal next_beat : BOOLEAN; component filesystem_encrypt_buffer_V_m_axi_decoder is generic ( DIN_WIDTH : integer := 3); port ( din : in UNSIGNED(DIN_WIDTH - 1 downto 0); dout : out UNSIGNED(2**DIN_WIDTH - 1 downto 0)); end component filesystem_encrypt_buffer_V_m_axi_decoder; begin -- Instantiation fifo_burst : filesystem_encrypt_buffer_V_m_axi_fifo generic map ( DATA_BITS => 8 + 2*PAD_ALIGN, DEPTH => user_maxreqs, DEPTH_BITS => log2(user_maxreqs)) port map ( sclk => ACLK, reset => ARESET, sclk_en => ACLK_EN, empty_n => burst_valid, full_n => fifo_burst_ready, rdreq => next_burst, wrreq => fifo_burst_w, q => burst_pack, data => tmp_burst_info); WDATA <= data_buf; WSTRB <= strb_buf; WLAST <= WLAST_Dummy; WVALID <= WVALID_Dummy; tmp_burst_info <= awaddr_tmp(BUS_ADDR_ALIGN - 1 downto USER_ADDR_ALIGN) & burst_end(BUS_ADDR_ALIGN - 1 downto USER_ADDR_ALIGN) & RESIZE(awlen_tmp, 8); head_pad_decoder : filesystem_encrypt_buffer_V_m_axi_decoder generic map ( DIN_WIDTH => PAD_ALIGN) port map ( din => head_pads, dout => head_pad_sel); tail_pad_decoder : filesystem_encrypt_buffer_V_m_axi_decoder generic map ( DIN_WIDTH => PAD_ALIGN) port map ( din => tail_pads, dout => tail_pad_sel); head_pads <= burst_pack(2*PAD_ALIGN + 7 downto 8 + PAD_ALIGN); tail_pads <= not burst_pack(PAD_ALIGN + 7 downto 8); burst_len <= burst_pack(7 downto 0); next_data <= '1' when next_pad else '0'; next_burst <= '1' when last_beat and next_beat else '0'; ready_for_data <= not (WVALID_Dummy = '1' and WREADY = '0'); first_beat <= len_cnt = 0 and burst_valid = '1'; last_beat <= len_cnt = burst_len and burst_valid = '1'; next_beat <= burst_valid = '1' and last_pad and ready_for_data; next_pad <= burst_valid = '1' and data_valid = '1' and ready_for_data; last_pad <= pad_oh(TOTAL_PADS - to_integer(tail_pads) - 1) = '1' when last_beat else pad_oh(TOTAL_PADS - 1) = '1'; first_pad_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then first_pad <= true; elsif ACLK_EN = '1' then if next_pad and not last_pad then first_pad <= false; elsif next_pad and last_pad then first_pad <= true; end if; end if; end if; end process first_pad_proc; pad_oh <= (others => '0') when data_valid = '0' else SHIFT_LEFT(TO_UNSIGNED(1, TOTAL_PADS), TO_INTEGER(head_pads)) when first_beat and first_pad else TO_UNSIGNED(1, TOTAL_PADS) when first_pad else pad_oh_reg; pad_oh_reg_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then pad_oh_reg <= (others => '0'); elsif ACLK_EN = '1' then if next_pad then pad_oh_reg <= pad_oh(TOTAL_PADS - 2 downto 0) & '0'; end if; end if; end if; end process pad_oh_reg_proc; data_strb_gen : for i in 1 to TOTAL_PADS generate begin add_head(i-1) <= '1' when head_pad_sel(i-1) = '1' and first_beat else '0'; add_tail(i-1) <= '1' when tail_pad_sel(i-1) = '1' and last_beat else '0'; process (ACLK) begin if (ACLK'event and ACLK = '1') then if ACLK_EN = '1' then if (add_head(i-1) = '1' or add_tail(i-1) = '1') and ready_for_data then data_buf(i*USER_DATA_WIDTH - 1 downto (i-1)*USER_DATA_WIDTH) <= (others => '0'); elsif pad_oh(i-1) = '1' and ready_for_data then data_buf(i*USER_DATA_WIDTH - 1 downto (i-1)*USER_DATA_WIDTH) <= tmp_data; end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') and ACLK_EN = '1' then if (ARESET = '1') then strb_buf(i*USER_DATA_BYTES - 1 downto (i-1)*USER_DATA_BYTES) <= (others => '0'); elsif (add_head(i-1) = '1' or add_tail(i-1) = '1') and ready_for_data then strb_buf(i*USER_DATA_BYTES - 1 downto (i-1)*USER_DATA_BYTES) <= (others => '0'); elsif pad_oh(i-1) = '1' and ready_for_data then strb_buf(i*USER_DATA_BYTES - 1 downto (i-1)*USER_DATA_BYTES) <= tmp_strb; end if; end if; end process; end generate data_strb_gen; wvalid_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then WVALID_Dummy <= '0'; elsif ACLK_EN = '1' then if next_beat then WVALID_Dummy <= '1'; elsif ready_for_data then WVALID_Dummy <= '0'; end if; end if; end if; end process wvalid_proc; wlast_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then WLAST_Dummy <= '0'; elsif ACLK_EN = '1' then if next_burst = '1' then WLAST_Dummy <= '1'; elsif next_data = '1' then WLAST_Dummy <= '0'; end if; end if; end if; end process wlast_proc; len_cnt_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then len_cnt <= (others => '0'); elsif ACLK_EN = '1' then if next_burst = '1' then len_cnt <= (others => '0'); elsif next_beat then len_cnt <= len_cnt + 1; end if; end if; end if; end process len_cnt_proc; end generate bus_wide_gen; --------------------------- W channel end -------------------------------------- --------------------------- B channel begin ------------------------------------ -- Instantiation fifo_resp : filesystem_encrypt_buffer_V_m_axi_fifo generic map ( DATA_BITS => 2, DEPTH => NUM_WRITE_OUTSTANDING-1, DEPTH_BITS => log2(NUM_WRITE_OUTSTANDING-1)) port map ( sclk => ACLK, reset => ARESET, sclk_en => ACLK_EN, empty_n => need_wrsp, full_n => fifo_resp_ready, rdreq => next_resp, wrreq => fifo_resp_w, q => aw2b_bdata, data => aw2b_awdata); fifo_resp_to_user : filesystem_encrypt_buffer_V_m_axi_fifo generic map ( DATA_BITS => 2, DEPTH => USER_MAXREQS, DEPTH_BITS => log2(USER_MAXREQS)) port map ( sclk => ACLK, reset => ARESET, sclk_en => ACLK_EN, empty_n => wrsp_valid, full_n => resp_ready, rdreq => wrsp_ack, wrreq => resp_match, q => wrsp, data => bresp_tmp); BREADY <= resp_ready; last_resp <= aw2b_bdata(1); invalid_event <= aw2b_bdata(0); resp_match <= '1' when (next_resp = '1' and (last_resp = '1' or invalid_event = '1')) and need_wrsp = '1' else '0'; next_resp_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then next_resp <= '0'; elsif ACLK_EN = '1' then next_resp <= (BVALID and resp_ready) or (invalid_event and need_wrsp and (not next_resp)); end if; end if; end process next_resp_proc; bresp_tmp_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then bresp_tmp <= "00"; elsif ACLK_EN = '1' then if (resp_match = '1' and next_resp = '0') then bresp_tmp <= "00"; elsif (resp_match = '1' and next_resp = '1') then bresp_tmp <= BRESP; elsif (next_resp = '1' and bresp_tmp(1) = '0') then bresp_tmp <= BRESP; end if; end if; end if; end process bresp_tmp_proc; --------------------------- B channel end -------------------------------------- end architecture behave;
gpl-3.0
ef83d4315950532c0d3d59e1e36d6eb7
0.462816
3.87345
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xfft_v9_0/hdl/butterfly_dsp48e_mul_j_bypass_hybrid.vhd
3
31,422
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block RHsNKIHBIhTKP6KP3E+Mbk9knwoMx23fSs6jp+PZoF2O5qvMQ/iMv+mjjvIZTrkSVbP4OysE1h79 Jqlly213qA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block lyw4DhJYwS2bhd726sjgUyowveH+djQ9RfwGhZENag6ZGcTyA07B+DRiyOvWQtQGVUDwHSRzILGd KHQHhSr22BbPfTSwM7T7khdEk09GtAKIxGkpRYq1aiVDy78yj2ZQ7/UNTg/rvJnnE8Ks5KqZtoxs qgrTCtF5dshkvy+qsGY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block KsbHXV4GmggpTiOTAeSLElPLTYjiRGgOhpA01uyeVVYebI6lRYdVNRowhKfLvNCQc1z+lOyW6ZeV XTL2feUd6+NSf1UnwyfmTSCkzuovW3tfF4FU1sxK9ylUdEJ7bjpSrYqHz0arjeQKkHzN0YJuptM6 kWF8snaJcLpD4wbG7MtssdvMrEYMAJq1YfgIsp2PhbbS01N4LjL5N78w+us8NEIkn/Z62fECb1cg s5KdiMl0r6K43qBa5ikrFR/8J5+YXnc4zI5rYaLknluP2JWk99VjJto9RQB3ERytdf7uom8u3fE8 eBJXciUNU2/+PKrXf7ycmAqVywuuw/FhApejbw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block wWZnREJYqyClgEpYMS91MIeIND1GBSgepHh/SmQefGw5OTBcazirMDq39K+64Q0OXwS/zqJ7pya4 OR10RehfeSywxlXp/VhvpbPs1JDmagjl2QY2mVzOIds0cjx+A4EuPKmi6w/i7SwLLrxMjX47QrgR EF7wz6engxQv6kVErhc= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ln94SJbDrL6+ZuXWaqWMfiKATbysqtjaOfk/46R3ODGX/cwHZIa+C8L2kScXzbDDY5osYx/OLWQ8 Du88B15vEYKt3nM073AIln9LQMJ+uC+USMzpl+jnEhvsmJIB7EEYIbRG8N1L0LMSOzhIZfmaJ5FW XO/Nt4Uv2gu2rek2Qeyx3o6CwrJGQF01BYWbPz3DlgfoWH/BzbfUHaKik5aLLA/+t8uWvo+h2KuB AXCLenldr8tcEkDuY3InoBuyWHs86SO/X704OiAQm9FIbutZBiLzy6AZZlCgw7MvCNMr/QcynjxE Zlpa8yALSVRhMDi25FHn+aFjBTsHVRfQFiwUSg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21520) `protect data_block WJy0/9Po9ujoHcc32HVwGFRG7j0LD4Pz6kcFPVB3SeHvn3FjpszgdrPv0Md3pU4bYETr2fRhThVj bRZZFFvO/tY7+URpphwistak0bo8mFnH9CC09qzBuSty6hseOrJDCadraaSIqmVjtTyfrjGfiNHu q8rpj47vNegjDeYJ2/TDejt9dHO26i1PCQCf3jzi88yYYJq1/r74Jq1xRSuVcIf7SqhoJuHbBL65 rs0k0zZ+SlsA1Ovuj0Jwqt2OawWxkVwrlr1cHmhzCsPQyp/YR2pWBUKjn3M6ht503nMK3/Y3ak76 OiW0CKgZsDQ7BCIWkko2N2rzZ4YFoOQvv7o3ZgvR9WEGCoaR6QtvJ43DOiBF48cLEaJTjVTihK8e ep2Jfsk83f1+kcDfhlEer+/E1h6Uu8bzfIplntvQZlRxBNtR0Ub2nFEr/V91tgCZf9NLV+4sESkj QZn1Zd6NWa/EgFSFClOO+tcYwnc2KEx9WFW7y6CX3BV/FdM4xQgLGtgTpRoCxamrcJ+qiEmODnJu zOcNaZ2B2UZx4UlDkf6lblYAQbmP9CIr7RxFwhWIFO+24VIFchr0T9hXhcecWMcl5UqsFCxgxv8I n+HarnyDnptTtV7pisnvBQKlXGVqbKhv26nzf3l1y/fAkumyci9Q+70jbWtuhCScjivBL/lxAiq6 tHM+nngqkNKfuIy2cIrJlr59+WF3ha1nhh1h7mmBm9aUQ+/WqilccuENmqyUZvewj4aOyhlHxnKd O1lmaMqJpSz7+IEhDKGLgdkUfyOaAPH+4gSZDZkqWuN1xPk+F1A9R8XEWVsdWH2mMfx6HQwuFj6o ZjVhD+u2N+8j8IBa7lmHtGH640VVhYagiRb0W+TPWZ5Ictm4gO6HrhQYOPw7HNz51qX7pxx6tG0D fFQitEjVnHOA4Rpjfv6FErf+UpSPRlUqUXBccyK6tdZFsJ6aVmRV7580ZL4H++7amgE0vUNivnON k4E48j2Ewpg/uULhLfVe7vfVorUHUIwG7+dxtLKQQEXFzdi9Qkm7wlVoifyiTkhxPxZDhB2O86SF E+NFAPCpFLV8fgmLDEOLa7myG4uRaMKgPjIw7uV7eR2XMtWTiQfrt6dnR5r4X8YVn+pbzijPNmFx QV4fyvg7GT/3Il6QBxOJI96TJp1A0m0sOJcNvKN0PicGh2aY5YrjOJ79WACiKZTNBIecKiy9dSeu oxYFueU22lun6e/RvfgZm3RyTZoJcBTklzM60CBnu7cVe/oroQAJU2+RHg4Iv8hxX4lM8SvtQ093 nIw/65H+ErYZoyCAGdxqW8c3ccDA+I2M0V8qvTbnFTmvqusWG8SMfsb/K9Sh9laDmRH8dIVEKDwm +wUmq5B/4DyTJffZaVh5IkmvxugIVToMrrmW9pCeNZSBdOyMPd4bheJ0hFhQYxG287jy15PXj0AO wW5csdEecr2bTEUTXbgG+wAUyaDtUJFa19rQFrdvoTo8RTejs+7w3IH5lop48+g61qWilvOSglym pQsfwMYx1dwTMfJQ06Flce7X3dERgWeobwn1nNb5YIUZVdneoO2AEvfvOUO4ZwZA9nOsBnIT5J7a AKdspiVo2ugJAETZQYCXoAS+4jqNL6oZGjgth0L8PQPs7XBGBF0cHPJ6RUfb6Lq6fqDan6waWrjk /FKLIkudmroHIk1xEuTyoDFTB8RmWBHJ3PAIOEKDjcMrCq9U+ZeNKBr938bjyXeZVm78X6vKyNnV lAyiPIQw36JU7RUMZY0/E16tFS8usYAh1BeH49FUidN7jjIv5GOoiHPBED/4pW/CPQQi8xROhi8a UJj6Sg8yLo3boqtuALM11QBtTtWNQtAO4LA2HgMc4NSs5DdcZi64epcQjSRmp5j9RVZv4W+p7/bk IMO3b2hPz7KOKy14pEuwyOlj0m2Ewn7kSpN8mGdFxS3HHrJBPTCzjSFMbPQK5iorGUucmJep48yM uXnStoRYbQjF2Je6FJlUnI5X69Gd+29g9Vo/rPDn34hAJTvRCkvMzaqpGjoIRmXGyvjNGejjmeGF LTGoQFMst4U7UBThtdCYMbWbx7+zzt0Da/9IG0SmpAM/CJVeQ2uzutyU5qrN8zQMTy4Z4bHoQNy0 Em7FtIg1uQRTBigf9eyegI2t2EBSh7SAF3UmZLgIWxF8DM4/NclHLsXd5wr9KZZT5v7wvuirbGh2 7mHJX1pZ2qT+hPqJrbPIpwzvhGt8eqZjJNJFppHPp2SRH/0YDu28Y9wcM3yIqawzvHrHx3Gb/7ZA avOXq4RHKN1bAmJ0VM6X5XUHpmkklFhZe8GQFUrleGkBd7mH1wWVoBnGI7DPg16Ou0fsANBFTzgj smgx9e7dBEJJFc0UKMxkvGsDMTYoVrhRehD0zwMTuilsGcZhQRhSfg0TZzo/HACG0lKJE1c+S71Q 3GldWn8WbKUqXWEKFhNEm1SMbejBWDJf0jFThnZ3ReDRbhYqTIzxSpwLmORDWvZX1Z0ZujmxKiM8 ilmQXoG2vyhSY1cyGyGHRAeyXgnUBBydyTrT+742RBU4BZO8j9h5cJ5lHlHq76nKS7l2uoCgm4DA fCk3hpQqJewzlrT+LyvTJTgRUJN82K4YvtjAgAF2+URYXOqHFantHbViQfW1WPSHnSyCqTEieayb F6ip2Xq4/aMu+Xj2JQD3++xEd+4/zWmwcYXR1AXx1YKLnCOuUSrUWbB3XcNi4BB2IZE7yoA16jLF 6sLuTXM8h20YRp3tKn/+99AYyYCdeobPPyjf7ZvMy6ZjZeKcNj2boTZ4a/xA1gBoYmlUiIXWoJVs qUPlIFbOiFvSAKAE7gHR3ZvcSkbxkhbjBJjO3xHvLO5lQHuIc9SGI9vrvQC+8G3pAw0nCjkHRjAa QmTGOwUCVty6SebDT37MXwo88EkwB2+hzAhKDzO3cENPxUo1KyeHh/xzDwmOOw4nOi6A5FRTqU3p T3k/Nqac6imI8lq03N+VqehvCmPFixN43MnGHBFBEcLOLKeYwA3rGN5IxVKyeSF2dOGy1VSUPIUF fufgrYh0ai91h7jGabG1eTQx9I1ajnnRRcf+8vedd2TPnbyZGzsfdzNXDa71Bn4o4OjVdH2C0pCb hWs9Hra2TVYiQfdr0AXK8C36dKYTLib7sZsCVH56mb6yWVFuctVmkpggctAfTnfPD5kVnISw1SXN 03UDxOrVsvs02CCnSICSvy02dz1w6Tl3cvoEnvbhUuIlWSsZAMqBEGhbwdQc6tqMgemAV/v2mjG1 9IfG5OZuqL35PsVebiH4wV8f85ZUVgkoPhu2auSYT0bfhp/+BTQBFxtwdcIrMFBPLaIIBsrmmusn 0n69w8MSp9lTJxwcw7uPotOLWJefoPVJCHpa5/BlE7j7krUZLtfl9vcICooKjYbQ5/ZPes5U+Kgc 1yGDVnUK5E4FfY5G6tTelqy5np3O+iofvtlB+ln4vWt8DvQVkOU0Tfhdb2j4BqaOyCKf3tw+sHx4 GJv/4UKn8MAy97bvroPpy7Vx01HQr7OTlyFSR/U9rkPV2UI5Z4LP6kBNTmCSaff38r0pGHvHXCeX LSUQXt+T5+u685YPBBkAjC0PoPief+4B0/kcPKcLkWdnO5K8R0M+EXeaOpqdnrZdfk/KAAKtDukr JEmKL5D9o3NKybFCvpCmN18Fy6Mi3wNGpKW90GB8g67CA4LD7waIRsi7iiulB6Jna6+oNYfVCPjC +EuoFa/z8QAykVMRCY6jF1Imi8EUL9jHpX6FUknEswCbwaMKQU+1UxXMjBEvfxEKvilD53bwUqNk wHzg5KBqziZveIYF4YWNrFsZmZKVD78/Aqz9SerW//H227KN34arSKjGBaimdbAEbe7EDhph8vgn GASUdyVEHGV1IJCZ3BDyXHlcvSU7Mfw6dfp6bDFq0rx9COXq0fGr7vLlmEtqNSqbi31i4u3JGxda Y1ltyaDA5EVMkkrUuOOrnpsuTU3R0oDe47C1PZRLqXt+gYJv1otIhrQpID7FltuxfLG3Lc+zkib1 19Ioe2QvfxXM67AbM9YwWXRIN2C5Zdvty6vjuYLmfWa9Udb0q58oUBehmD3W/w1k76ls8bmeaj+6 DjnmNhsRn9eAkAJH1H8OM48ZiU1FU5izwGQK01A9AhJTokixI0iF8eeTiBP37BqilYt/bRL72ZjI qF4CW0M0TZ4WozbfYwh5SbD7diodSCa0ePaUhOX4CTt08GMMcwtBT7a0BbmVn/HcXif2VhYq/Z/E GUa9DsWQA+GUPs1kWy0E5/MGk8FZKmgS9sIgEbCRjoqd36TdPEoCfroppsULCp9oVY8b5nWkEneT fffn/CvLDRVPMOyVzuvtW3HHPgGpST+a/YH/ahYWSJErh0UZWfzUpEbzeei/6/f3UJfRlGITB1WQ 4SB+0t2QDDeoel5fXWykK4w2MK/fzw+oYHF3LYAf+V2P5mZzuDmXq3nzwsiis4iNNGhkJkmoGLhj oPDENy2d95z+ezfPf1ccW/X/abk7boaKbgmK9WBataC1NwFTE5cIII9BBVw5PxxWdt7ES0chaGaV 8wgRHCWpuRixjaeBxQJ48na9Qvj+g7wo9M424dhTX06N3EvM4z8dPoirAcYKgIRMJHLjcYz0y8Me 55wHY4KNoeCZCixZwpCbN3RQR+6ikjqXka9FIYv4+JmBVLvU3GbUZK55jBSsmGmM7UTUtW+RQsvV HImNakfUos0+dA1lK1VzkTM+JpYtTJoELOJig1VnrH8EcoDx0DqPd4UrmgPgc/F5QBF/ImsP/Etg ztW672kzpH+R2GCb8+5jR1uW9Sz61spwam2xwCKLPa2NachDvz3W2LOrOicfnNO79EME/d6B6aHf zFQTPml5rege4TSudiFvr5eTcRcPb2qmW1vKIeA2halqs+OozOJCjPLAvO4uxnT63uLEWRQl7uX3 h/B7Vf32z+wWpSTgOGQ9LYGJUa+Ade8GYlfsQJ6KQsTXmelYjRuN8Fb7QbiCa+x/BRbzSYPUsA4M 31i3ygjcDUaeoarvl/KGzTdQqNZVH6HfzbEIZ9LuN2qSViJ9XQ+K8s92rnBaQF+plsWH4gsCTmq1 pe60p1WM7NwoSj/KI0q8eBi64YhReEMl/YZvKc23/DotCGhftBo8JbS1kZLPz6Lt6Sw4zE3DOak2 39KvUdlCEY4PaSwg3IJgqnw4lqhYmJJ3yyNB7WTLutsyTc9h6INa203NtL/79BUSrsjuct60uhmO zXVWxJHG7uJfTliwALZ5ttnvCn4yJ46tN6ztXgP6XpDGwgzDbonHQ6JAW1krZGB0rDf5XkG/f1Js kSrQUIP72bYqMZ5QrmIq6esoVvhZET4aGjYV+YRvTtGRXGp78Vik+/J7fhpRg4B4Iyhbcr+p7354 3P8MTuSpFPcxFtjeyETIoMfN/tJ1dsSGIB6obEe42Ve/AROKZpzkRhH89I7Mxmv45+VYmUsqWJc2 pcrvb41T3uSiC8GhjpuPqoRGd+/EnulJBe0iufP6mv6kFkRFHWDoKjQFzmqEbH4YJUqKguCQpTmm yfKI/646igngCGjaTNkLdUKNOHYxQo/QEsRsp1IiNQ++Txcm4ZSxf1RPj71GilzFSToMyIpruviS UymRhx8+KS13v5z9cqlSOqAITkbfKl0XTwmUNfaQc/g4LJpmiUm0DaSKpry1yTeFKSJOcSqI28sN Oi6RiwE9OUWjn57TF91dOdtTXwNUaCFtxtj9XAQODW8c+fa1ewCucjVky3fa5MFcNUC1IiHpC3iK dYs9AJkqZOZbjcHcHkHmRwRmgUL+u2u2rqnjBpnyX5m5Wwhj5qCpr4KRc+iFwPtZdBLiV7epdv4P dQEhobooH3CMtrxwpbjLE2l7KioYW5kAaKF4sTtS10S45eLdi3EhVX5B2HSXh15uDa1I6k8m3NdP FYwbEH+bZD9FO03PWWJYrh89AAaGrt87UMoMJnyg1GatKvhgyiy6i8d6o+S+/xH+m8Qu54doEDqb LWzGaoWbrzGtKOmA0vQ0abY824PwNfNv17NoiTvbZKZa8kEyx6nviEfYYVtdafRpICLBSF98mvSv DqZqWdb8xhdK5+olvmsBnR3YdnfYuOBIqqfXWFBQLh578S7Mgu7DnrWuQHpYbbrBbJ3cG/ju4Xzq pY5X2vMVdvOINwr7RvWCpNbjTmJYa8PhGTdBcnGyxOwA5yyu8YZ86ZrhM9rNXXIo0wGbS8lyiZ0V dbQ4D+FLJ37g1RuC4SqifVHkPK74WyZh/O+6zklQIsJ9TxuYYYUGWnqRACGThFPVTPfuOBzOB6Zl Q0ThcsXrEpPIxs80hfcfklE+dQPGq/NVE0e2weeellLjtjpFhfw2bW4/ZSy0zUJ53pwpNwnyfHpM NSF6MMxhv8xeMAJ/hp3xpWVzqwSQt3ZTieJTox2TPAFNwy8CurWKwi6Brtp4/qbZtpK2kPOcZYpi kZo/xDHNpVHbsBFHvPOX9LWUX982ZvYLSXdk6Ajw0it5Z6uJmTNGFv9vbssjcuAb7Mhtg6CRlJvH mCkF9D/VBdJIajkrc9Qh0JzPeVtjncl0ARNIGimvQjyhLbF3ucD6YEkn3CzT+HUDO0yQZf1zKMT5 tmOuwj61Pce08Au5/4hCg2oV6irVute8V1r14NKcP7FbxKVMMk2D/u7AeqDD3StoSegcd1iXOUQ5 BBGqkI26JG0cs33Kazgy9w6tTUHZAuLH014VpwELkaS3qof+w6MCoi/jJSyeUUF/Y9bTQMXwcgL7 9ie4LDp3NqXpXfXDrlgr2xoJXmkwEJGjjlfdkHSR8pMZufkvPtDcrqFTsQj9wYn6/vT5iIVZF3Ed xHvQTCRUETsy3ZL4eJTjxFvfERGd3jmKf+IbjpWBN5NMzpRUeOpDG3NJjKMaWX5UD+f5+VsG9Hlb DZiWrLiM9EjcPtz+Fi9Nfld0FwnAhL3fmlQlS2Bgg+1TNWeshRxewFGENq72YTp0mgFITMP5xGbZ u/DgiCJ9RxyvuIPZcUpkrizbBgfHF0KhZLExAN6vzHpvt2z8X+tHtUeuu2RXllGTYwoHw6mEpB5i 6/EkWFxzgXHW7oErrWyHb1mvbogB6xdY3+A5eriYcOdraoqXx1zv1QEnlZIRvgvFUqoqBYvFVhAW nlTadQjcuw4VFpzKPo/BIUeovPalgL/EUuSgQ0I6Ay4W9VAAeuT/psIuz/GTVx5xjO9Gw1V2J3qr CAr6Cm1hzvi4R1vghNvrwtmxAZDVWYbc8SzqcJ9JckaZMXTDg7Jr2QwdvEYJQSDjLWTvZu0FpZyE mpc7phFKKjoFsVCZeQIUqujNhMOk4Bl529QvEpvu6di1Q0LXmX9oEmRVvgPPwUNhN/u18YSOC9Vs 1UeXmB7tRYvhBQ37byFbyirmg0n8QZ4DCWeUFR278kq8X0x5ce+W6q3b1tBPsmESH3pcJbBnTRxI c/snNdFMBWtPaF81o1Hq/cYzM8+ahHrQJtxU2jvzWvVD9BkeV6GO4ADMcD6C6pPyinQE67gnbk5Z kWB9rBm0u9zLEhRNla4mLtVjjqygDucgVA3Wui+jdmTEXGimS7LXNUbhO8iFk1gMPXjUYmyPfBaf blfVzaSx2kWqEyyFnrSwikdHrC7ur46qoql5K+UxDEXUaMiI8nLJYekwEnEohtndNUxkAqL6a2aD bH5iroEolnjbWdE12BT+Jj3dj5DlCOEwQN81fL7bCnQn9EbIEWo8PWRYxKm8++r7Ney3Ypb7SZo7 Zs6ndkeS5DCE4Gg0PmELVFx95UsNI7qi/QkprJO+4tOLkfNotu+ImDDJEnYDMYNuFsbkgVOzZMKN AdEyvJ5U8Qs1m+awBOAlS1fzD9bGZZZNElaetKouZORsIRnO1EseO8yJ5GXsBvmgoYYtkuXCR6Zi XbELKDzuJO3aJm90JNG4e0eCSiv5ZJjyxi3BvaaMX4F5wHpWqZeWsQdiJuEHOwLGgSuje9D00ZNo gYI8laFgxQXX2OXAGpRCZhEP4nZz5OUIZE2ksICHKpBhFXmwBxm854UV+WEpkyqo0ToxoAaKNgxV aZ9N3zAA+irJwSL9fLK0cgr3KQMUy6XZT2Zw5aluXOVh50hC8yqvsKV0Q36wqvwDgczAoESuntzT 5AmKBmXHSbhRxnSGTjrcYyl5gcLc49ZqR4LmzmFRrXsy8r+VNRHoTizuaGI5WNxJXy+usEBiprpm eU1OlcEy6Il3XuDfLgRUe+3pCOxYh9Duqe9oME4MlhqbzffdzGphWrTxoPBneSuiGSyoMMl8KT91 0TKQxsltzllQn0QCBF9YR270M8W/EeABTzY1F9NtjYhkApgvEpF5VS2fku7tu+6pUA08qAsYoMO2 b6QB7LjsSJepjBWtZXIo+eb39qlgkDPNoaZ7n9mmRpTzeEATAemOJBE5ofi9H8vltVWxhaRv7jp4 uBeaNVRkIbaHBf+59ji6ogkM9FBd3gkASePtDo0qnINNJXm9BMj8xLvCVmKo5U9M16E1hNUKato4 MT4sDPE0rPtKJvkU3a42IUuxSbBduWB8jvKImWblP911cYr25th9OvQ/Tkuu4OvrxTsDS7kZcxto c/cDFSjNWGSFTYVOL/tyxWodv+G8HlRKpFVdvBOBJXE7X0lCfahegJwTqb8bEGytSp4nbT1Pro2P E69zZwRJYVlgg8TC568R2qtdmpSXOVp5e5lkA+USzP8YlbNFPL6303hUkjGKq0Nz+x7Y2dc5TJuI 55DpGOD9WtaDOyswAPLIDqQuebkIuQ/QcT/WS0tPV3ut0LxqBQlPtZpLQifz4J6mD4B9HRQaGf0B sK4in+Nz0JWpvjWM0R3/tpP2Z2QC9ncE5SQVfYaP/WJlu/V87P7gTsHXZaQxXhGfo8LW1oQQ4STI lNch4COTr0oDOqNredzhzYw3zpGjirlEaHj62N1XXcyq6+oDxb4diPwFETAt7CKI0Rs4h6+JV/aC DfDvE/+Spun0e6ss7zJHpJMXrYT8AN7G7FMmJBapMnUSFy8A20a4Vstg++Pmxy23paIo4+uKdO3d jmKN8kILlWTjx1lwI6ui7Im0eVg4lEW7tFLYCuxKZ3qDfjt4XkekvaPlgHeWkk5U3IvjSJAM2Qsc zz0TQ/Oo97YW4XM8ul/e99UA4CKa+c4lgUreJlOr2zeDC9AOBFLx5MNSsIljgvssyn7IT8jYn/p2 eAXwiVYk+yUmipneYeEIeg1Cx/0sUirW1bbV4wMy13n1WGGhvScHKHqgD3rQu0c6vH0XgXPpuU2K fGeV5AA+WRlrqFOT/PeSt8AuIRSjp6T3YhaApw8XzqibH1VfZv7vc8ZQpaYDvuv0Dzkowk/U7uGB eoM3Oa2hDktVfJXTAiNo6y9txjakXAmkw8Fd4ejReLQTQFtZOejKdRIsFYZRRLblstd9cY1s4GUx sUr6jnJ2MKOceAZPkmfpxWv2slFeN7+3RY5g1ENKoJKWC30flXaNZ8Gxspq7cDEr1tQ+caFUhfXf m0samsWYM1uR6ZwP/x5BJHQMcoj4MIR75YfZL6C5OlirSjeEE3lMGg7rFVei6Lf9Q2AwjHhAyHEL kWJeIpWIUgQHWkmG+4saL0Qn9Ha5J9rez6D3Rl5z+X2y4gRTp5X3CgqqAJsSeiw1+/zwwydOnn7Z auLoZJGzhUm1cNU4xjIRK0nE29mOJRMo1+ZCXI9cnzREuQyVCMJgvLdSalJ4OzzCN0wyBWPc+541 cD7MIa+3pbJJd9UO0AGaKFcphefvvnmzd2avM2aBQmRhTtFxwThJW9+iYuM03nevG8ePoPHQkqMt gMD+SSb/fVMrLLbrzcAQPampDjDx11Edkt1hgyspwoWcjqnV2Hky+Vphphhr4mvZCrmLqyWdD3iP Toqcy3lAPhz6JHTZxxCmtGdaVUDUWXAkHK1GBuLpJd9LeV373i2uN79JXxEMwfzvIHhjiH2xdR8r rvQ65CgKmBvdSm2zkXvqanXpmW5MD5JeSx9MFLCt/Hls6omG9NfalYgaE2AQI2elu2n3BC9zrfi7 I+XqNJgbjDXMiU1R4RbiFG6HBRVfRQj+KKuywnACq8reYeqp/RZFwEgqUjRroEb0ucj1J2k1qkHS ZqAQIPsSScy0eaVkYWpv8RTw/YDBbSzfhAnAQsVHkgsnP5t5y/018z+dP3CdnQ0PNZ5EsPaFzUJU 7W733JqOUFItEeZvQdfMELQeujjoKNYWWuFNRE1+fNJGuUpLmBMEKZ0aOhQTMXedG9eJ4nQuKOvt 8ZljxDYdJ3kQbyW22kw9okbfX53fZklxi6d/epouIrrtSNrs89gjcLMM9QZ+qzINKwbyCpmWBzC+ Jzt/ulvmdcBGax8/ogvSQUruumICyHL1tZFap5eUvWw0UmD9mb3CskYbQC4bJ8lbib+KUK2/3g01 cstuih0HzI7T2w3cw7eklRnGHdauLk+DIS7nfqka+IQq/C/WIeUleufdEjNMQeyVXuQDp+gvYbbG jvnJrjopcRVPud2zlukD4Dyn7CmzKlsv2NqgcBLssfecLHbEj8iLjStBMGls80Uge/nvzhuxqc49 rP1uLOy6qRzKxktB2uCUiy1kIfpTfSF3kkTi9yXN3KGzhBMpkPI5MJicfVCqmNThcxp64VVorcKt xH7YCS0MAPx8ittz9noNfUV7mUxFSvlYiLV+lsR6gJor8rVXj06CLq3ohGfHiwkeHvydaX3iF0iJ IlEdmEo6k5N0yZa/xZe1hU6q+m7anEdw+jtJOEWSHJLUBIgO1mijeHzWRsJaQ5QOkB89MmXwnVhS FEpZ40yqaJadCYmE/K8xHhC2NJhon8wFOlxdJhupnu3Z84qk3rJtRsWxsYablkb2ugEKVmo8BOqS 574dFIDjVF6FioMpAm+P5ytRIYkhBMMtAyeSnjq0h0k0ctqgvtB3q2Q+g0JhRjE7IDMnAE0ohaxW 4SQQ9+pSuCa5xNv1uJsD0i3cnSZkBKBNcdL/PHv0p8gUwuz6yaLDGZGEECpMKovopPia08Rdw8Gn yRAK3lr25tBsxmnMtqX5PA7nldEBlpUzANU++sTlyAOIQSUTzOrjFt5IHrqqRUM504O7lUU4jZDr gdHjPse93bqeYhZS/U0cxFKheE0I1LCcdA9cpyZNXCViyxL4B4RD2fYBnvS0r9c6sjWGfowkIOrt QpB99wgTqoGVlxX1TtFC17+n+lcLkhE0dA9LpifT6963dIFqj2mQv7jFjueW0uQk74Pl2MxoBD/x lZ1goC84rgR9PP97kQo1onaO1FhTp+CCicbCDFU1YLzdgek9r0iteLH0dhoZAJJhtQHXZ9OW4LJP K5aitCirKVhy1MLZPbCsCpgWKu0cQ5svgJLUlqXxVPqEgAPfrCxbkb26BVZB5SRXhsJYLOQ0fDVt 8o4HQBeOOxBf9tlJZF7KQTr0EbQHYEWxp0Ktyn5rqpMZX0UwPZ/m1oNVZAALICVFuxEoX7BqSi58 J4p6gqROTJraZSWGNW0IoL2kJNWHzNwHzvoF1h89Wl0BxjIEB/tarVUsPP/QqC+B49q+mIXIuotr 92SQlq2MR83EwenlCy0I8W6ZqihiKijX8g2lUKFcMjYKl09fYUGFSeBmfFR0MHah5y6DVj519c3I oQ5bMWJGuTFQSrqnTzx3RcHLxklvFeTFSB/PVyJY7ag1W4Sdvh7Pm9n3tdzK2hC2DPcDK64um3O8 ZZDTJEKEcMj1eTBtHdRDg1tco4n+ZjgFU//ZppidmhNv0ojodC9rd5qKfXsio4qA6XujaBRsnC7k MmCXcc6nM/Xz/qnvopSdSyEv6gFuAxOKSvhnQ9kUngefDJf7xegPompKaKAIHc8cwf15HwHP0rZi DjcbGxBIvSZ9IbT0i5v0qsCEz0hBaAhVUMLDqGnY8u/QNIvvQP0/veQf0K7cN8ZZ2c9g4xMqCbGb 33wirYdtl78W7pkVbUcQ30oCIzA5GV2Wv1jdxPpu5sSNGH5OFn8qyadElRUQFZhJ6Eh8xUIJMD9t ncbSupLHOdRi39S4Ld+rnLk9+RGYYT4ySGmUTxMfJQ8exUobLsmWC9mU6ARVyFcRuA8y9sDRwjxq wSuQ/a/dNlB3XxwgLSmsO76xIT6EMtNNsdmGajz0od3+O26A5NwkfV9wrua3uk93hh1tIaw6eSy/ NwJE/HkMCtMF4DRtagDZ1Xgao6znWAnIOxB+g1JT/snV3RdaPgpDg3e+iOrMuSjbcGP0+bEhvBuy +XOd8LaekKsSHjE2NXB2bvhTU5htXmRizIt985IcOgOnNZ8QzC0RSfpszIbdAtM+JNAtmUqAk8v1 2CA7AAz3B86fsmgh9CKnyvm1zhCSqwb606CgU0P/xXYNzEfLq/DTBuHqjOSSHQiZNm6SAhDsNeGi EVUBb1Dlq07VGcH1TcJ/fQcfybBIS/V9xLkyP0RXT+0ReUSj4f/oyXUP7D+PlV/HPMe7ulM5LE0H v9zARbbDZxjOmoBeLRYvp+XbQtdw8TJkLzzbKh5ARLWALDufhfwrBROygtpsfTx/yw1LLqPMgrtE 7demd2adlBAj1jexpwqm6jDtLXNJSznBJ5I/r3/+szFfNy9ZlSwW7bG7LYchqArxPjcc9302RcRu eE6eqW6nl9tyEpXw+9gn/+Dr+fXZ2Ab/RTLuRDLHQ5/52MVsu0gPUkDelFJD2XKu3SZtIJecSBuO 5dL6aYZNhz9fOPNVF2wUWOYaISundawo2Zwh0qKLFCKQtWCU7E3wX8NK/Gd8pVZLy0Fwo+Zqsa4J I/5NV1O9vCKl15hQ6pAm1L+OQ3KYpySDdHe7W88eTOGEnHwFgXhGkzI+UbaibXSM6gDa+diIY6zf dM/H1zetLrp6rA6SlUsRZp1gsMQC5U0qt89Pmc4qSm5s2s2pjS3LhF1jWMoln5OLxVIoc3etVI3X Per34VzA9x7tnBYhtH90oSGbsBN0xGJRHdwYdSIZKFTSbkYAqpOGoDkSuGZaHSg1QbMcZQkMBAcB lkuKo3AOPwSKuIRsZA8byTdB7X+htFSbr8lTOSwpsyO07DrbDvaOYpog63EypDLLSSDbFnr9e7LM 04K82fuE0hVCeiY3aMVIt7FANOOlby+CaucD18oeCJmpBL8lXGYPtYUhHCtHE+M4sGbsqH8w9V6c zgzZGW3sI4f5Yx1yQjVoU59/NvP2LnYn8FWJuWgBCCubP/1+diHzdUMM6ga7TrjEI6q17rj/E6CD c5hn9RgPltTP2rKwHbpr6zo4T42aZzIBIBLOfNozpwHNzLtWKdqTUm4oKY3dWFc1xG/YtFgaSebZ 2tYTqP2qgTXBCkiZ5FG28Ir4xxm1yPBucqO6OOkkNUH3xxsQg/sS3tXJAg8ffJmiwWbCNw3CdeTZ dUEcG1s8GuKswx1J/Gpi1cq0tmfy/FDf7CqwdkbBFsNI9gjv0mUIEL5xOxihd4HYeYQkzqwIQhTs +kMKQrnGnxTTuj9siMd6KK70BdW+VHheO5rozptixQLgR0l3/mGhEpIPn9tRNUsRo6l0rtX7bCrt sIDdJkmrcstsrRGFTeUwHFDbIQYEvXWBfLgT1hikX+anyVwQJBDrV4XjGVJzVia3IsVaqzpDDd6f 2uOgydXTCh3A5eyp+8+1BavTEVQcPQIKcenTOgxwBMCbp0nU7kPiLRUG52v0Fkh6d/vPNtTrpG5+ 9uH2SeMefroCv27V3sDRdKpodBzk+COC/UzcGZ30umRvDh5toW9fowW1PcKm5UiaE6mdpFl1AB2Q kHAXZF72hFYBkR5oASsQwHunuWxaI1R9gOVH7+Pa3GjPaFEXRU8l41dQKDKTQOaagcCI5h3t0mk6 Z5WBvI7DZFwPRKp3EmI3RgTqU/3eLiZ/w/d+tekp9+Ai3AVs7o2A3sxjMQ1EsnzdsXF7F48ktgPJ rgCe55PLvCl8p5szXzjnWeAF/l9AerLWJAGqEKh6cKPb0IjGmzkQnk3SCGLiY5U2HnlHt1cQvehp A+Et5Vbtvez4RqNS48UdTmjmImTuMoa8/AaIxOiedrD53oVr7FbN+jeVrMrEH1uUcJGJ/Ly5NgSs 5eS9h7w0pdZ/Xm3G569F7E9lFGLnlvYTyqwFzIHkPt84jWoE4znwzZzhAnTDpg0IFOHpOpLqq+tX qVsZg867DVEvvhhV3G3tC508+AOLhj+NSX+DcWevhWQ+AxOi60o2/ABCX/R7mKJjAOYc4q1+K0D7 Vy4AzL0tb4K8n9GcERLVNXA53P05KLt+y0h2hzBSSQs0rEaUk4teSam4mem7gkQ40LhCPaOVSVxP vsK/OnvwPeM4E+OGTZ4XFdTR5LG4dy8+5u/KBoYgepd+AM2x0OCCuotuKC9iPQWNWOlfbO5c15St XC4GPd9BV4AdQC4NdSBKLswIhEvUMfJ7vdt2G4oOiPvDtfRGOYo7AfP6flrfLlAYFfVsAcTo2Ony xt6Cnu5bSYAYnyXl8NQreNKs9syn/C9rBEtlIj7rhL2PUgpHKCoPKtjRyEM0KA/pl8vAmOK4NJ2k //Xufi6PE0zICKCzh2DAPPkcnfV+tC3CC89M9n4tzRtglqM/YQhGeFcIcOuVhbYJvsA6p9Aamlw+ it0TtcAKQq4/NzvGeERqAg1hc0MIeTBS+gO+gLR8g5sDX8NB0aWMPalWDdUHgrHcSLOOIbtb8O8F D/kWYST7KlmPDASeyEmI0QLujw7V3ScmK/Ho87CwqIlxrXF7HbDDrcpMOJaqO92Osy+1i/I/Zlez 5DbSj0cQX/Maa8ebsqLyQR/h7HexYVwJnjPmzGip7pTiiB8JmR1/NRypDrU9IwLI0cjDQmvH9cle Ym4Gi1aGyFPp2LUVvhZMktSKd6QKxIpJEGSO9UuxKW98oLokWpsmwIsREJtKyhddpKILOuTniZHy rCz+aB4FPemfXxIjbO9aQFJT5XsbUaXUrvEGvIROBA/CxTIVrqK/pKijuYaxyTFMocDSvUe3MHHk ag2FN+eGSij+S2UWERSvceWQMDD0A6o8MfXN6i3cBm/LA1yQXnC6XdWy4WhURQPtSZWfMCcr7MIB IyjpZAOCD35TvBhApfP1emmsNxlTDOekVaEOM3lS1IjGMBA2RT3NqkgkjXJbOuXThqqc8CjeciYU 4USsWG79YgxOkA7S886IM1KIEE+yt8l5f+CLqNubuOHihMqOqDQ+tdx4/0FPnML5VjCjlw9oMv6U EjeescidOpv2T/oSckB3Ept2LI0vBT0LADg91YOlP0Ix/perx9jc/oUkN0IY+LcBBp/oOgV31OEc EnnaaF5GBAz+xjd0dbdFZIZl0OFLnMNSZ1LXgkLPuvpxWtl5aQFfMfz7gY6b5MLYSVIkyix31kPx oFaBXaA4lhoqOIt7T4y52MLr0T2tJRyGjSc2gHGcISXSefwgGfgVDbSoNSOu/EPKFK5FNJtd5eS9 cJQm0EolcN7/7Z4xQ81QrMuJIec21ughLmq0K+UvbS+zFit3oIILSz6oRDQwEg8tgr8QLHaUEGkT snMVoD/j0C73FMxJ2zSpYma3zj4sxsTOfL/4KUB3rdRBTvL9bh5Z6B7tRiBkaOmxc5+VWkPjJP2X k2zBy638RSNE8CMoRetVrw3ptZNOpp+OnQVFjFJ8QjDsHPGCIrDlRxUisDmtsYIPD3FLYWSE5vfY AhhUF1Qkf7zR2nTJsKOj9bR8Iu+zJlQ8xwXlOI28vJzMN5EaLZkMK+jxKxVqh3O7s02YdH0T6IBD DIlYy0Zm1cQwF6wPvPEP/aObtQTu3UuFqrG6ZFcr5KCECX24mkCrisQeUwltzhjyMuK+yShS4Ra+ 8reMx3xdH+qQOtZH5Er9J0E1BkuyGgIjfauM9Br7oxbd02yVHhSWd5qX2jlYdFOMTpIU6+PwR4u8 0/j38GYMlWcN6TaMXmao9xCcpNHMMUw8vTi+kkzCwthC/GKtVxJ9zJauqj6+WOteWUoqyQ1LzJYR 5bkJeZHRbEAwsq6PDCiC6oVunmLAY0whlj5Rp5GNPhzSA7whg/ZFdEfocYVLZRWt2ukUrRAJTZ2J r7e74gAItZ8fXE1MeAd85MkGt6BFhoxRoOl9mclrL+yx/tlwSFi12aFz59RpMJFpmFmKNFIJ5Gk9 KC0VyPKu5Ta0HvYuWLoD0TkEnae47nWdLxfg5Kh52q+lWLvBOOb9z4mC3YMpmH0+t01We3I9W4cj uyBT6iqnsKlz6YemDDVACaF4vn9IT1tFYz+ZQnYQIOw/yYJUGFdBWWiu/kpA0zFotuo1EVuju7ni QNr9ayWm8ZrQzy72otXs9NR5T13wEQxs5/eIiSknMwdXcvD8DQn0IZpI/bhnF0l7YneIAAO7Il6q RA4rwZ/FR3EAIf1iYIWUf0mPMvg71H80GdUsQknUdyrdYc2t4YTbcAnuJUjUy8OPapByFvHHjgEG 0DlaQgNuRjq8/tXeVKiItKR+ZlhETfaodeXzF2vFMJ0Pcv9z//g9kU9O1KuBsDpb3Q6wHZlXifJy 6ZTc4UlfCEREWuuO3ysEStrfnp4GYXBc43Hgi9FZb6lAPr1NnOqcxYcCkmeP+/3Me10+4ZBhEv5/ U6PoeEK0fTUFnzfsH7iDJY9o7ynbX23G0z5Eq6BKLEBCQ/AzR+5WINmzTVzVcPl086XJrs2SGnuS Jq+WK2xRvB6P9vZHJ6LyIfEgeME+FC9E1N0e926uo2nlSGj0ZSJ5B3sukBREKnSktrUlG0QRf8WR deTueDCcvmPIHt3Lk2VSGzORFMk0XLDDAC4d0iB6RxahoSIsB3xZZf6ctrTkYRek+Ez5xWR87h6F awqLIQHN9sp986ssLRevSty/JDNwcPd8KkpWVTMWFqqa65URy5R636dTbvPKThDgqf55NDk3BFGI gWcnZ02sywrj5tJcTL+ssDGroEWAvI0gNxRksTnFyc4g4/s6mmx1SaHiFmxJwIEdO2Q0RBgaGBXq oebzmiIo2LxCV5TP0FSJ7pNJHmsDOZw0wUL3m5CJZNZ6feSYaaZGye0U8qV1rtVhacZ+ROKZyU8L abwmADd9O92WiGmjMXMt1SExlwQ4H+9gHggcTR2pDKqZ1bU35XfHXcUF0kimhvIh5AzXgN6mrEoe El+Na7w8bTE9lb9HTMshH9PGi7S899WWWqe5OSSNat9VxrVbz7YA6q/FS6Oio0ZZ/nvJybcsVDNi 5A+p/rbJ6dx7nGnnQfkTvGe578mWjiGr3PuAWqfBYA0bI87rgcTUSxIPf1iVheE8M+DHw83CgwYb Fvr7dX9duiYEU/klYpXcZK5JdgKQdd9iSji1rZWuzQPi0VJsZAbmv/eNMLkChh88Qq+fKw2kjMBt RKztxuUy7d6QVAA7yUWMToDIjNyGBg/gj/YwBmDYAn85yMdZ2rz1YZzGf3XSokYMsJWDTFdHzyxe mwMLYThUQpxM/a8cSojVkxpMSr4XKb5kd9VT/2Nue80EbR7vY/+zg1CTZfxv471kMDrVM8K1tkC6 LacEZ+qksdWR5+1ZcDJCkNJn1E4OOzhMc6w8PhfuFb9Ynvxs77aSrXwZdCzathzWgS6lgvwUfgKw EtbN+CB4vY+CF7EeBpkXnliIDjIgcCIFh6dJ8E7DrscGvjBHV7jD2sYJyRFiZf95llT0NHu76Mrf Wc+3uaojUrzmTX8+kBEkNGs8Rq6k9LOzqQkN0NUfJ7lBdIooJNUgWiY+5CAv7GvYqHe/sLGv22AT wVCIa6/+76E2qKBZmRmKO5Yg8ibSFgkkxUplTOUzFk3s7U/PjOGxTZrxDSipYVgCwymYAQ5T2CRs HomBl/2BaQAfPK+diPGjb3dQey1tnKpebUG54nQgz7UPQc8HZopRBGIpa3c0xwtUvMqm9nNqHjon YSPO+WcDgRxW78z9EmbTBJojK2MJM6dqW+Q5RxJkVCtSexudtREyKkRPrFJJowqb63lrM+fAS6BW VlsKzEFD80mLQjQS45m/9oTuoOmVyZ5oviKY0/aQj8jct+KpejrWVz1u3lN2PCoa3mL2xuhl0RYl xVGzmZE6I8iuVZUx+uL/KAAyDqege6RIPk5wSacW5TY2wV3cvSeGpB0iBiUE5A/dYTO9MwOkO4Yf EwjMSmmqJ5Mk6cGXLQMuHhcmrI+vChp0sU3gu0jZyiWhsCRZquO4bTO9rqp32mVUkWOL51uhhv+z I3G1A97qaldSfgSM5VpnWsz3NRY4AKRC9mxIeKVQvAl4Vx8rNSDwrEZrIhwyL9/KqZttEO4CG7TH /Eh8+V7F3jgfPSSZaV4RhrPfIyMTHQ/xEir0BJrN/CZxO1ONg3AvhldXk593FGgR1pNW1WefA4/e T8ap/DX3m85/7FfBMpuzkoOjsms6P/joCOCf2Std23hcsmCw19IP/I571HKTPaDfAFsTTIxUCazE kBXJLjJiQARwOqJL1Bm5NfpY1x2603on6gxHdlvgeAW0E57jZa8A17xrU+ZMNO6nqQaHSu2iMvO1 FRbRfqj2hw1I2KS48Hu8qbC4iu/44mq0EL3VQNu+W4cYx4PVrcvLw1Qb1mPRNbPI2QDdKqWTpBzz yz31A0qQOMsGE5zqYVylqasdaSQZu6+uSLI5r76WWqk1cAbAO8kvx6kcF6ELehuk+Gmp/4SNGf2o Wpf7Zi8jXghe/UBbfEaORL3NIiyJziK2FzxqAJZMhIGay1hs3K5rRc+SpdXLtJ5ZzW4En5qI9ZyE VJ/dpz1vB4WoZbiOW1dioFx/VjIRuELXUMuy5P59ReK9Z/iIwEKs4H/i4Fng95jkqCP1qxMmt606 oDt7lBIx3XGmV0GybhL/1eRReCIbRtUtpZlVDpRYrnD9bgJd/KWwPogScSZDk6p/gWbi7i4ELHpZ LWkd9TXKTuuQypiblcLDXgU/1Lcy1j8YabaTsGzEHiK38rbm4X/g1twjnQ4Db2L9rKcev1y7abgX tvBayoqh3t8MtiJJcbF6WiznPEAECYtSZx7Z1LTu2tmha1UdflO1EH2m1o8eTQsODDO2g7ksj1Wx ohtT4HIk9IlwXzixmZ4MYcvgdsPkcJ+cqwcboVOSRW3Kxp4XCFiPoTwcTc43d3HRbIpGTXHpx253 m6ET4TSVZWFDmOVUpl06LeF+oVA0XuWZIKbPfsofQYwPY15Enlwj79xHoKl3Y1h445xvuEvuYBKr T6kIZxN99myoUfNpjOnjmqM1S6oMe6imwSM9KOClz6ph4q5fP0M4ZzHqwSW9vMPmY+vNB3ijlfls b1ucZwsrzhmr9fxstFBjXROiiIIDaBSsKTgBhQ9gLpIPJ0VXSdX7nCXfUZJgUgJfQUkM3amEQqpu jZnuz4cK8p2hC3UOLmk5ZevDVyUyM+w5iWWo8xKtPHPHP4J+KUw9oe9ztjQxochxICYaI0BB2hgD ed6efz3gjqdm3CcTKa5ZtB6OiwE+tR59Pk//1umUt4xXtMStWE1E+ZjmdSNx6FETmQ36Nl2q3kLw 1PvqPSPR4KFPtPdyY8lM0Llsjk/VpRTT6D/kpZu2wTFoEwcIp6XIshuRwdfEZRW6qexMg8CSeuPp Oop+zjGqncg6d/RKD4tD7DXn/BwxTvrVpfb275VveFKPOxvqZk32OPHWWo/OuwGv0Zjp7uw3tlmK 9gPQf5Uj0SGj/dc1fDhodRXKdoZJFKkFFhpFI1KKzp1BenXm5phZmIIloxsxih0NWkARaspUXnLI JpJtfkKRDLbcbpusQC9RSXPYzTB/l0/hgF4YzavX+e1X8By+2+0tf0TOIpnUFGaEScWl0qmFYGp6 8VYiK6JItqhLnlXIpnDj0e/lJB1/UwZY9Zr1pHJ+aYKaruPyiuBPhZRZGy04RiQf1GrZ2K/+0EVG yYyc5Rdf9RphYpSiRhYhBFh+XyN7W8vzPfktg8Kl6HOcPtvdXzFgchyJIRWwSbUT1D0oubJaFPLY O0WKcqCFRxj8/hvn7vhs5aoAHmAfiQ4mhm4Hk5mxiHNWCoUq9+6cejli0C5GSF+D3oLmh9A5+5u5 UWaatDCqH8cjgSJOwOaDUNFHLW1Yd+RBobr0kZy+1T5a80sssFEZqES4HrXfnIjCVAbKeq514p6v u2AQURpnnPotbMIgnO69bMLyM4FsJumIZ1OEmq7dnXJNxrCzLyEGF/wylEnsNOXL0ODaZJHhyQ9B K19K4/aAyfwC6SelU9ffMQuGaWvC+FjyWLC08FsXcdT65aI/p+mGC1j4P6SfSa+dSsnJeReE6YTm mNvtVwa8FxyllzgUnYONd+eCUEBuTy4gcrs6MLUB5rxKeXnoZtbzVxAe3wsJiH0Swu4AeEkI8hd1 BbiKHyqfwPf7g2GC8a/AdIRwxnA1gI4OP2oaGrqfn0LVYWmBj+kZbzGuIOIjnyDfmBohAs1s8CUl M2uy20FR7NH1o9zo9vtEpy6y2035WMcA5Vv4/oWjcHeEctcA94oQ7Y78o+yVBIZn2MhjNC1ZndZu x5MRx9FNUAr5vO4tzy7i6gVr0gQVfnJBEZKyap+tO67nAYK0rQ5rEs/LNcsLVhACA/Y5rAxS+8/u d4b4vZiBjZGBeBQXDaGw9SmBGbAi6m6gRHmnq6sD6W292Eg8Ngx7+ifYhxtbLyXzCEdO5IgJ9/DB 7w7NksbdZdKvUxGZAm/C/g7rO9fCt2olJgPCQIPQSea+em/66b5gxvyi/mKT12fB6sNotx09qxU5 HFmeyVEETk+VnAxgW5jTBRC9BgjYVGuRtWApXZW1hKhZ01fD7+9ZymqcD988dEbBny6znjAzSSma SbSMKTpxAwb6XuR+jXvM9tiw+L0dhT4fg8Zc51NXypToNzmEpDqYzPNs6459+NfudhTkfLS9jo8o zaBEQJpu2hWmRpI9YAhROeCtTHphP+jXZFnkPfAUawtjr9NjxcOfH/popBK6jYJTl7v+yqS38OUm kRM8a7GN1q8xvLpNdpkGgIsFbQVtQlQyUKwPp/E1oGNC8BTCtxSXbg4YVgoQdFMDuWPqfdqDBZtD +calXQY4HRxm5AcbPyExL2rGSq/PM66yxVMqa2hse9dfdub7wLbXDIU6190/re52jvgAi9jib9pK 8Jzf551g3dnsHzOUwJS8QddSzN8LfIMTu2/kzld7a6Ijpt0wR+PFiXRs44ksaT/usNjtml8061Sr prcRAYEWRxBPlRwnAvHFSQ4EynZj4IGt5zh/WHVWj30KDacoO9iZn0ZNEgluXNym4nh5aeIExnCd SIdBkrjsw6bijhIC41gj5bKs2QBG/XWyOQyra7aRGqHbNmenGWLgWzqKUmJgnk/0wY6ABEABKXSA adxbyqTkq/LStjz+vf8a/pX25xbR8FPKXSUXlzFOXOgN2t7tGA2at1VPufW7KPu55wdBG/P6dyiM y8HCgKPR56qCUgoHuCQnAbq2MOiGMZgyXSwZtny/Q9bX7z84D/g2VYsHRpkLQ6BQORBhba2jb3ak wQWOLQ9IB4kRfFTBQS5YexkqtWv7wpxBNEZZY8sSKNgZzaoetvuSwu43+o1uOqmZqiEbFaHixYp7 rcwP8CqLZXrqeGKRfqnDEYyXrWjv+elG5F77gOldDDrp+ZLXf2+YLShkYGAwxqYTz248q5P+wRMt 13sUUciIPpMZXBDG8Ye082J2bI6HTGqqgJpPSXJcwoSKpxaGBqW0uEy9kGllGqG8xB/6AyK+RBni KxQqJEs6vyMfZA1Z2RB1UDBgkzan0k1IgpP6COTDjfJQneSEyhMIU/eHQVZG1G3WIGWGzKKtqib5 uSEDkRMxgQ5BvuTvNiMVxQq6MhDtSHFaQF/84XxJtIAKQpCzvFoNktM3xyeegyC6tauedcXsNnsK hbZmjOiMo7fb77tH7OQQXTeFroNDtrQSa19hrnR7Mu3yjcSO+SWf805UujsCdJSiUQZnyFjmi0R7 XIRXkvUPhXoagxoLDxsvR4K1Z1bVw+wtHFHZyVrDoyP+P1pr6GWKoxq0oaYO5jshQORnj3kE3zeX ferblxZ6R1XPVwIrGsqH29lJDMRryml5IdTRScdY/QUDuKkFUsF5nDYEAuEYaYP1H9sRYBfb6eSb /hpDldxlhYkRLIywVTEDK+IJS/9a8SBXSMmvH8awA+joLEBI3CvIYbx28oo5gYQKdDi+gBZF1gE5 zHpYT2YZkKrejZZoQZ2frNvYo7Bk0RW7b+CsZoh/WBpV51Ht08BPPGuWuRA1YAwbYGJ+tmSZXgWQ o2XyjOVT95KiCvE+Yz90ejwztnQS+aiwl6TXo0eS26ek1V/FHK5GRnm6z8GbKgojPWXOFN4t4SYR vDGtRCVW/N1WbgOa1EDovII0uC1SGJbEWT8JrgPHVTjuNPFBhJ8hOvnJobmVdVtzm9zZoo5mHMUf teYEjcN1S0Ugvz1PkYCdFAhRU0kxZcj6GBmgXzXPXxfcDO9KNGLz/an4Jbw2hi6CESABaeck6rDQ MjQXqPxQTDpqNVcfo4wROJs9AP82pCtv2tUfXhuxUwr//FTKBtrmmuJapXQinFxeBViCSJnhAyzX vot4xIXJcSAYBF5t8nZ+Z64VNFA5ow4gCzrnsIUOZMMts7k5aqVkk6RX/lmj0txXmkmw7kkq8GBS JITjUT8SipEEgbePLSF1rx0bq+93QQZuliR+AGwx3UKt9/GtWbJNX35uHJvh9dX/TTdGqCa49mVC 2pz2IrFETyVSdEDKSCVYfLq8Ely1mzv3jKvw9/ms0cx0UE28MPRRpwKXf8vVQsfOe25eSbFQ0y2Z seRwiyH8U7rqAXT/PCy1Gd3r/EAENhAbpz+LlXv2/LQDMf/AI+xXs16EgyOMrQLriwLNCn21tw4j kLx/yoN1Nkt51Ub2M4cp3b9K4yiWwgpAELnWFJ8IySVRuNKndAw8U5E79tYbLNSbUNAcFcKn6qpz tZ7OvWZ7H3jJbggoOiTxNuYflsTDN32Pyzozw6Y8IlhNAttiRZUffQEPIz5OWsvPLeBqT/uasiM/ pX90a9mzpGSmdxAI/9jZ/IyV6/cxlnmH53cugFPLB3MXk6eEw3vmLSXyFrU+IfZ0qLGvDUTI9i9l 4cnW9veqScNPF2fadudogG9wszUmEmFJ6tsyyNi1YNzsb3loCb2j3tpDrKgP2P3QUA/qNgpMyVRs AbXnH6Lq+30AnCfn3A8ZCWaue6oftO9+ObjKbzqfkt3xCXlfTuoxuaLY6W+J3UjUQz07hIhbKGww qO5mDXMG0/8W+d93cdaavsdrWuqj+KKzyCkTbos8rouK7KvficelBltWYZgHyukZArXb3CJ/qlev RTUFem2OdjZw0n8xPCOA0LCKu//KWk2+27tlEmRYAwcQrnD9T4wqDl5lDKHmEu5Pl6QT3bhS3x2Y L90vNBwcY58R7AJ+5me6rj+/70cMEff7qoIn6Yor+wvG41ookO8J49GTqS+OJqQP41+eUT5tOhY9 6KSI1Op5gjlKrvGsvNVn0bXHTqBr8MJ7nbrOYuKgCFPiXWuocZAJbRBEVFXpGX4a9RbhbAsIzEzg C5CY+AgL5r9TBR9sXmx8rqnfVSD3joUP6WvBFpzamigESsyUSLLH2Z9Jm3ceSjhwoibaj5aBs3EA yW+dUBL/NbBl76Lt2cg2xC3TKTdpOpbnWWXUiNwG93WUwVvmJjiVMCpvUfiAu4u77PEETChsX7il hSB5QU8mj/adLWG+fL63DLmQG4KQqbsBL4o79ql191yDYr9KmeABuxpza8FhEVzzKJj2R2w3CcXm U3YayuP78NpiTRcV9cfcs5jwLZc/k8LyuxXKTV24h00dL1QOZKFuHnljdRSdWDK1/WRfSednUAsM 5BMv4wD366h1aIwmz/otQYvBJwe7aOXnK+LXCQXVmio47H7OG5eGl6S0rzXO6Wx54DvPBC//nGih +CVOZ4xjqKTWjJUlzFPqVmpwfW7w1bqL/e2BVOAWJgkNVUB6S+zzw7l219NVWJd0HaLLEuncO8o/ g91/nmROWajlxqNemZkeOiREkRzmITa5h+4Eo+nvWlMPY0hlBUp9CfRCLBrlloq5RpynPXisQTOX QrnchzqVtCe9d+4W9HgxJiRonDYx7Ieq/QPhnKZIvWbAOvDJYsZ6p7keEsJsLirjEA14jqXfxx7Q CsYOtHX7ShWsSfpB3alwVEefsNPTCw/6SyCgPUudlK8kINOnwdZk+Jx0VTSvPR7bAeOhfHDT5oZb zoXRaMCEKmkl3nDHsP2sW/yA0O7GciTJ39VWHMpRuvkRmIAGp1rXifwbDM5MUb/Q0GxBiFHdvsm2 37xHVTc9rT2ADl1H8rpNol6/Fchkt8aNKvmbCsZzvsKr9bpLBZZnpO523xj84lOJxPzKZAEyIvLG TeqFoq6sc40ww+gifmMWEpYjNhhwyJip5MQYMFaPXSHnAXNY+QTEISTc1+qaV9/0fsxQS3+qelzN rXEWBEsXFXj1wvMHsr4bCgDpfXfvZcYspZSBkxm29FIsAIIbEUFjntKPYxRYZTacH+juK4bhb2o8 lwtURlshRz3hjh0qlmu/hbFZ0+CvD8+3GNKQIvk3vlT/x6FXL+bJ1rWlsB0Wiw3rmFm8gqB3MPDg QWD8GHDqIn0Q241jO5WjsB5/BOLJWQgk69lRX9GtYhRFpTHGIcIpMnvVm0MnxSsxU3OTJ5w4JlVZ IbS84YZA6svLhVjepq/TO1BtC4TfjVh1ZOyQOhhEHmBQPx9O1YoZH185I3wf6CWzWjsLwb5lddr5 24WUDX+DH9tOSfEltVbfCWiteEOjV3aiTz9BGA4V8oFck9TPqGlvPc5paS7ueU4zPCT3GtpYqgEh mPq8AFJpKyiM7iy6cPJNWaWFoQAOh6kLQi2CF8RRAnTKyaY5Fr1FXwAEGzygoyTm6Lf3zE77stG6 WfKvkORaRtcVsiEE+VuvJbzkm4yYpnokMLOymedAHjBmlqZHP9Yq7ZlABaD33BDR7OKubUK8gOSA ZLoObRnfQr2Mh34LuPoAkvinZCvqr1u2PIOHQzPGy8TehVS3ynSLs+14p7gvbQLz0ww/5Rdt7XlK a0p9fs8kXZH6Ip8PO4hrtnwQzgdFBWDUjtzyhifx42Az8P7Rq/1JUzj3wZBzJXvRwYI4Roll/LWG qSnoc65ucA7fKkNNgLikeu/i0eXirryi0K6dtBf1v9M4eT2CLydqjtd3gEZCa6ubyFCTuyjz1IoV AnfhJIz1cqDPRp1Sfb0QfPHDj3+uhXBKBlUo/eAYZBfggYuvYqPyiEmZSzkMt47VT+SSvQDhrGBR o1YXrbUcx2MhcV7KpNmZbU147rxcI1pKzHhYxTwgrfMvYlHVpoj5NHx+e3aqbXGWWoJ4yaF5726a MClVWU/alnPQtgnXN7mvsr65yqMcDocgmSUhoiI1kCb0oWPwdkW812foT+WolQKUT/zAJ0E2/hje /jy8DFOdf1tCDMNLNuygjY16V2EP8IePDQ+WqYeKzhRK07eqAcQS5JxAlBliTYt587a7MixWifyK RmCCP+F07+uFAjB8PDPtAJqWLYHvG5fORtCgW7wJzcNtT0pcn2VLIeOKPFdlUf9zktYGTB2j1CJ1 N6A1/IY2BvHlftIKMj3RqkIpGBSGIlhJgCh5OUcM3OhMHf5CqqOWwKv20m7UppGHnR9xNLM9xXWH Fom9oTEptdUcco5CS0iOMgMqQGi5fAGQMrxi+JC29kTRs+R1KP0Q5WN/K0ynRwACwCo1Kn04LNlA YC1spsJMB693GQDI4WcswShu0Pqr/BMaGTyV+vcgg2wFUU6RM5Mtt0T+E0oevOLXtJN7L1X4nz4x NGJ/D2hMeJRID55FBqCRkxtP7FAZnhEO8f8oMDfVyBmDa8BVljeBIRg/EJawEPDPXcTsKucrB3xb 87dSoRTARFKTGO3NsYE/jw6TIBAK76l3Ds6OWBqNMz7d9M76dEZ3zVqbd6lQDtj/EOghcUEOxBsu GOU5WOQMZIv4g54cvS4bxO0ic5bPsRENCW5acoyJF02YJTauP8wrVyc3za9fHlFYZmCU2lp47UXA 8F1uI2saiVxQ/TdA9byvBmnTLotgSCRHpGVyUsMwyHKtjGnHOlJdfy0Fj1w7F/8/iq5ikECCOiNy 4pq2y7N3IvfNRCtmtyEBIkcMsugNZInXcGsH4ErfXaKB7BgM1kq1UIjgz65MLkFSNh5NMZjbUgQU SesFnoDdJ0JT652R+5z1q0IaQrJGiWj818TmVCb3jq3DkybJDAs79pwa0erSaL0balKnpfnk0ePw akHSYlcJKXNFUJ4zUf2Vqsugn3F5QV8I/qURfzBCjGIMyS4iKXvuWnxkBMi9fLwpjCARG45XfoWA iPzRwts3C2zEmeRq1mRC/rgmta1eqVBH7ElFed4fcPfOp0qfQwVpzjmK8WSljzzIqv0+LvdxmCmQ 6WIBcpMthcx8nBlYfjUskXFWvawih9mMUvHzL7jNbyci6PwwQbEe7pR3rBNOR/SpE2lyG79Hy6eL qhyorsoMq7YmsU/o2nYHKmjXbWZ2Nx8WFgjrVN5QNvQ6dWyTEiSVstzesn0kpkt7Mzc5Fstjw7h5 um5c2UX/fkAmFp+bt3Nbkv/YXSovigDLfKdQUs0Qj1uUWWVQnG6pcgvkYaVUq4UVN58+Tk0U/bXx +fpZ5Dvr9zxjpH5JMsDRGKXE05MvMIsH4yd7Jeu87feqCTzNKrfkafcwmBnUtYMKxP7nEDsxyNZn B2oo26JRVl1J8/h0Wo3MZ3a/u2ZddT0VpgJPykUSQ9GSW9ioItFPdwv/B/79gO4hQ/8jgWpo2PkB hF9bTpW6RuWL857zuSXqCxhucuS1r3vAsbn3vRR6a581EdE/eXIYrbeyIOWG7m7BQyNv2+nS9CEQ RSQ1tzX3s4I+wyNIPdWsCvmEG9uB+oB2dPw+hxpzkJg9i/OGpVzZiwy6qY/iFzM3XltTP47JGw4a i+HLwpCfetY+RfabbSZP/UXHLrSbw31Bzma8bgiBi23R6xvtkRPGcaOdAlCZKGXBMIyDaNTxQ+l2 NPpymHr6GPaqxlyuE8ow8m3YLmE6hDkQRofxA5qr+digfRK5r1sC3T9Hpl/e/0NTV0o5p6Jlkzu1 QXut3X5btni2sb/NzoXu5qA7txL7Z0O2H6MQnvZx1M/F95VnyHoHk+1dBQVTrPR/j09Sl6CEGNmF HuCLlaA+I0Og7JvsBaeWDsC8oBfVgsO3+4dueBX9XVFjaSavQXFhXxAFJxkQ/mdCkaMIX7Z9YIm3 XII4+yFtxcCa7toG/TsEEiAjCef5d0Kvt1+HdvIeJDxf7LMH+qnldEVJL+8YvDr/i86o1V+ArjYt msv8utfIRvmaiF4KzwdO0dgMRNZMPKTFQA/tKNGIiKrsiDav/EYtuWOfsw+2mm3h951cF3opDrST AjUvfXOGcgMLPvc2r0JWmuvsEtTt1lkSeKYK6goOW5pmbyJ1+lml9XJ+7D5XaBSaCoxCGtkm+99j QPX1M7xCxwZbxMSI/LUlmsc6iR4sBdrOS9JDCSB+B5A1WVOx8v9KwvsPbJswkUscYzdLZPTHZHNi EDdbtmQ+DqWfdrjAZzmUH20NMUZY65890hK3jt9BNvZP/90rj43O/5CequHg7mVsaLpfdNNFws+Z 5WJpZYvGNB+8+nsSfIhNOZ2Ws3iTndKwhOb6nmORHkNDiLKv1gEIo2a5ImXYP5J320tuB1GL/aOH AlWYMqWFzvf31Q3VUKvME9Srz9/J+eHDZVEs3c1OJp5XdaMAysGiDja/91kGT8WKbPHWpBGd5uBS ambjhfbSaHb5B3EeJzwrh34CuC/uOcUl/XkVUBuHe1wboZHsZKJimKXJe4b9pHRW2+K7YXh3nj5b TToqHzHEpHSCK6OixxAyjtxLabh5ZCTJW9RkLJXBpi9ZKR7JYtY2luJ2e538o4ywUPLmKWlS6fu6 kDuScDs/5Qe2vYLHmOkPH+nWOysEn95UwE2kL34zDOkZxHmBeBFUdDyx7uZ5P/jmFBbBXT/KDEGq kM18571wGjvxW17rkDuF3yx1g8oN6HYkeK8T5VyYHq3kVIQB9JhQH0isfhhmuUqj0HVBGP4cgFkp f7CwXFANg7TZUS/60DjSfOMNmA4Q0tG7k3w6vF4sb/xOe38+Vv1rHYqDiIYZYLwhRFmnHSmhGx5/ bFUXFkILu01npCbj855eWjVdESN4hH+/qcLuM1CEuCMJjyNBAsrA67zGW2geq7LwBl7TssE3WgD1 p9xNduD+6orJCyfX3P80b5MoBWlCNNzTf49ZAgKEJGFAHMTJsho06SMacyeGmtyWy767JTGqlxN1 bhCJaQPQG+2seXEAbxYZtl6qwnbO/oS7Kv2xON+vQVBwavEGieb4+JK93jgM1vDkeB8hPMrvz0HH bJPOf0Uz41/m06bevuKzCIxIwMqkFqNZ6A5eJRWgQo+Puzh2zHgnSNUfTToug1gGaG/F2WAeAolh g0TNxB9/TkJH+o0skAf2Xc1SSBXRw6iQ8KOxSokHOuHA4Heec5rfJ5wqWGBERpAqMVeUTBUYgE2k Z3TKfeBcC14xUholh2lv4855TMbEM043/0X7t1EF7tfro5rQCB9gDktH9VsDdOuS+t0iABc9KnV3 2Y73EExFuGjshVyHEw7vdEa3ZnMtNi/UbaauKc3OkA3ABk5g7XWCYww/h+TtXMCUHm336hynKAGU Vh0/zjJ4KydA0HgGoZGlp1X9JT43Bekh3NQ4Oc2fug== `protect end_protected
gpl-2.0
78bbb75a09110a306573d3fab105a7ce
0.94663
1.8425
false
false
false
false
UVVM/uvvm_vvc_framework
uvvm_vvc_framework/src_target_dependent/td_target_support_pkg.vhd
1
15,251
--======================================================================================================================== -- Copyright (c) 2017 by Bitvis AS. All rights reserved. -- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not, -- contact Bitvis AS <[email protected]>. -- -- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE -- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS -- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR -- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM. --======================================================================================================================== ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use std.textio.all; library uvvm_util; context uvvm_util.uvvm_util_context; library uvvm_vvc_framework; use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all; use work.vvc_cmd_pkg.all; package td_target_support_pkg is signal global_vvc_ack : std_logic; -- ACK on global triggers signal global_vvc_busy : std_logic := 'L'; -- ACK on global triggers shared variable protected_multicast_semaphore : t_protected_semaphore; shared variable protected_acknowledge_index : t_protected_acknowledge_cmd_idx; type t_vvc_target_record_unresolved is record -- VVC dedicated to assure signature differences between equal common methods trigger : std_logic; vvc_name : string(1 to C_VVC_NAME_MAX_LENGTH); -- as scope is vvc_name & ',' and number vvc_instance_idx : integer; vvc_channel : t_channel; end record; constant C_VVC_TARGET_RECORD_DEFAULT : t_vvc_target_record_unresolved := ( trigger => 'L', vvc_name => (others => '?'), vvc_instance_idx => -1, vvc_channel => NA ); -- type t_vvc_target_record_drivers is array (natural range <> ) of t_vvc_target_record_unresolved; function resolved ( input_vector : t_vvc_target_record_drivers) return t_vvc_target_record_unresolved; subtype t_vvc_target_record is resolved t_vvc_target_record_unresolved; ------------------------------------------- -- to_string ------------------------------------------- -- to_string method for VVC name, instance and channel -- - If channel is set to NA, it will not be included in the string function to_string( value : t_vvc_target_record; vvc_instance : integer := -1; vvc_channel : t_channel := NA ) return string; ------------------------------------------- -- format_command_idx ------------------------------------------- -- Returns an encapsulated command index as string impure function format_command_idx( command : t_vvc_cmd_record -- VVC dedicated ) return string; ------------------------------------------- -- send_command_to_vvc ------------------------------------------- -- Sends command to VVC and waits for ACK or timeout -- - Logs with ID_UVVM_SEND_CMD when sending to VVC -- - Logs with ID_UVVM_CMD_ACK when ACK or timeout occurs procedure send_command_to_vvc( -- VVC dedicated shared command used shared_vvc_cmd signal vvc_target : inout t_vvc_target_record; constant timeout : in time := std.env.resolution_limit ); ------------------------------------------- -- set_vvc_target_defaults ------------------------------------------- -- Returns a vvc target record with vvc_name and values specified in C_VVC_TARGET_RECORD_DEFAULT function set_vvc_target_defaults ( constant vvc_name : in string ) return t_vvc_target_record; ------------------------------------------- -- set_general_target_and_command_fields ------------------------------------------- -- Sets target index and channel, and updates shared_vvc_cmd procedure set_general_target_and_command_fields ( -- VVC dedicated shared command used shared_vvc_cmd signal target : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant proc_call : in string; constant msg : in string; constant command_type : in t_immediate_or_queued; constant operation : in t_operation ); ------------------------------------------- -- set_general_target_and_command_fields ------------------------------------------- -- Sets target index and channel, and updates shared_vvc_cmd procedure set_general_target_and_command_fields ( -- VVC dedicated shared command used shared_vvc_cmd signal target : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant vvc_channel : in t_channel; constant proc_call : in string; constant msg : in string; constant command_type : in t_immediate_or_queued; constant operation : in t_operation ); ------------------------------------------- -- acknowledge_cmd ------------------------------------------- -- Drives global_vvc_ack signal (to '1') for 1 delta cycle, then sets it back to 'Z'. procedure acknowledge_cmd ( signal vvc_ack : inout std_logic; constant command_idx : in natural ); end package td_target_support_pkg; package body td_target_support_pkg is function resolved ( input_vector : t_vvc_target_record_drivers) return t_vvc_target_record_unresolved is -- if none of the drives want to drive the target return value of first driver (which we need to drive at least the target name) constant C_LINE_LENGTH_MAX : natural := 100; -- VVC idx list string length variable v_result : t_vvc_target_record_unresolved := input_vector(input_vector'low); variable v_cnt : integer := 0; variable v_instance_string : string(1 to C_LINE_LENGTH_MAX) := (others => NUL); variable v_line : line; variable v_width : integer := 0; begin if input_vector'length = 1 then return input_vector(input_vector'low); else for i in input_vector'range loop -- The VVC is used if instance_idx is not -1 (which is the default value) if input_vector(i).vvc_instance_idx /= -1 then -- count the number of sequencer trying to access the VVC v_cnt := v_cnt + 1; v_result := input_vector(i); -- generating string with all instance_idx for report in case of failure write(v_line, string'(" ")); write(v_line, input_vector(i).vvc_instance_idx); -- Ensure there is room for the last item and dots v_width := v_line'length; if v_width > (C_LINE_LENGTH_MAX-15) then write(v_line, string'("...")); exit; end if; end if; end loop; if v_width > 0 then v_instance_string(1 to v_width) := v_line.all; end if; deallocate(v_line); check_value(v_cnt < 2, TB_FAILURE, "Arbitration mechanism failed. Check VVC " & to_string(v_result.vvc_name) & " implementation and semaphore handling. Crashing instances with numbers " & v_instance_string(1 to v_width), C_SCOPE, ID_NEVER); return v_result; end if; end resolved; function to_string( value : t_vvc_target_record; vvc_instance : integer := -1; vvc_channel : t_channel:= NA ) return string is variable v_instance : integer; variable v_channel : t_channel; begin if vvc_instance = -1 then v_instance := value.vvc_instance_idx; else v_instance := vvc_instance; end if; if vvc_channel = NA then v_channel := value.vvc_channel; else v_channel := vvc_channel; end if; if v_channel = NA then if vvc_instance = -2 then return to_string(value.vvc_name) & ",ALL_INSTANCES"; else return to_string(value.vvc_name) & "," & to_string(v_instance); end if; else if vvc_instance = -2 then return to_string(value.vvc_name) & ",ALL_INSTANCES" & "," & to_string(v_channel); else return to_string(value.vvc_name) & "," & to_string(v_instance) & "," & to_string(v_channel); end if; end if; end; function set_vvc_target_defaults ( constant vvc_name : in string ) return t_vvc_target_record is variable v_rec : t_vvc_target_record := C_VVC_TARGET_RECORD_DEFAULT; begin if vvc_name'length > C_MAX_VVC_NAME_LENGTH then alert(TB_FAILURE, "vvc_name is too long. Shorten name or set C_MAX_VVC_NAME_LENGTH in adaptation_pkg to desired length.", C_SCOPE); end if; v_rec.vvc_name := (others => NUL); v_rec.vvc_name(1 to vvc_name'length) := vvc_name; return v_rec; end function; procedure set_general_target_and_command_fields ( signal target : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant vvc_channel : in t_channel; constant proc_call : in string; constant msg : in string; constant command_type : in t_immediate_or_queued; constant operation : in t_operation ) is begin -- As shared_vvc_cmd is a shared variable we have to get exclusive access to it. Therefor we have to lock the protected_semaphore here. -- It is unlocked again in await_cmd_from_sequencer after it is copied localy or in send_command_to_vvc if no VVC acknowledges the command. -- It is guaranteed that no time delay occurs, only delta cycle delay. await_semaphore_in_delta_cycles(protected_semaphore); shared_vvc_cmd := C_VVC_CMD_DEFAULT; target.vvc_instance_idx <= vvc_instance_idx; target.vvc_channel <= vvc_channel; shared_vvc_cmd.proc_call := pad_string(proc_call, NUL, shared_vvc_cmd.proc_call'length); shared_vvc_cmd.msg := (others => NUL); -- default empty shared_vvc_cmd.msg(1 to msg'length) := msg; shared_vvc_cmd.command_type := command_type; shared_vvc_cmd.operation := operation; end procedure; procedure set_general_target_and_command_fields ( signal target : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant proc_call : in string; constant msg : in string; constant command_type : in t_immediate_or_queued; constant operation : in t_operation ) is begin set_general_target_and_command_fields(target, vvc_instance_idx, NA, proc_call, msg, command_type, operation); end procedure; impure function format_command_idx( command : t_vvc_cmd_record ) return string is begin return format_command_idx(command.cmd_idx); end; procedure send_command_to_vvc( signal vvc_target : inout t_vvc_target_record; constant timeout : in time := std.env.resolution_limit ) is constant C_SCOPE : string := C_TB_SCOPE_DEFAULT & "(uvvm)"; constant C_CMD_INFO : string := "uvvm cmd " & format_command_idx(shared_cmd_idx+1) & ": "; variable v_ack_cmd_idx : integer := -1; variable v_start_time : time; variable v_local_vvc_cmd : t_vvc_cmd_record; variable v_local_cmd_idx : integer; variable v_was_multicast : boolean := false; begin check_value((shared_uvvm_state /= IDLE), TB_FAILURE, "UVVM will not work without uvvm_vvc_framework.ti_uvvm_engine instantiated in the test harness", C_SCOPE, ID_NEVER); -- increment shared_cmd_inx. It is protected by the protected_semaphore and only one sequencer can access the variable at a time. shared_cmd_idx := shared_cmd_idx + 1; shared_vvc_cmd.cmd_idx := shared_cmd_idx; if global_show_msg_for_uvvm_cmd then log(ID_UVVM_SEND_CMD, to_string(shared_vvc_cmd.proc_call) & ": " & add_msg_delimiter(to_string(shared_vvc_cmd.msg)) & "." & format_command_idx(shared_cmd_idx), C_SCOPE); else log(ID_UVVM_SEND_CMD, to_string(shared_vvc_cmd.proc_call) & format_command_idx(shared_cmd_idx), C_SCOPE); end if; wait for 0 ns; if (vvc_target.vvc_instance_idx = ALL_INSTANCES) then await_semaphore_in_delta_cycles(protected_multicast_semaphore); if global_vvc_busy /= 'L' then wait until global_vvc_busy = 'L'; end if; v_was_multicast := true; end if; v_start_time := now; -- semaphore "protected_semaphore" gets released after "wait for 0 ns" in await_cmd_from_sequencer -- Before the semaphore is released copy shared_vvc_cmd to local variable, so that the shared_vvc_cmd can be used by other VVCs. v_local_vvc_cmd := shared_vvc_cmd; -- copy the shared_cmd_idx as it can be changed during this function after the semaphore is released v_local_cmd_idx := shared_cmd_idx; -- trigger the target -> vvc continues in await_cmd_from_sequencer vvc_target.trigger <= '1'; wait for 0 ns; -- the default value of vvc_target drives trigger to 'L' again vvc_target <= set_vvc_target_defaults(vvc_target.vvc_name); while v_ack_cmd_idx /= v_local_cmd_idx loop wait until global_vvc_ack = '1' for ((v_start_time + timeout) - now); v_ack_cmd_idx := protected_acknowledge_index.get_index; if not (global_vvc_ack'event) then tb_error("Time out for " & C_CMD_INFO & " '" & to_string(v_local_vvc_cmd.proc_call) & "' while waiting for acknowledge from VVC", C_SCOPE); -- lock the sequencer for 5 delta cycles as it can take so long to get every VVC in normal mode again wait for 0 ns; wait for 0 ns; wait for 0 ns; wait for 0 ns; wait for 0 ns; -- release the semaphore as no VVC can do this release_semaphore(protected_semaphore); return; end if; end loop; if (v_was_multicast = true) then release_semaphore(protected_multicast_semaphore); end if; log(ID_UVVM_CMD_ACK, "ACK received. " & format_command_idx(v_local_cmd_idx), C_SCOPE); -- clean up and prepare for next wait for 0 ns; -- wait for executor to stop driving global_vvc_ack end procedure; procedure acknowledge_cmd ( signal vvc_ack : inout std_logic; constant command_idx : in natural ) is begin -- Drive ack signal for 1 delta cycle only one command index can be acknowledged simultaneously. while(protected_acknowledge_index.set_index(command_idx) = false) loop -- if it can't set the acknowledge_index wait for one delta cycle and try again wait for 0 ns; end loop; vvc_ack <= '1'; wait until vvc_ack = '1'; vvc_ack <= 'Z'; wait for 0 ns; protected_acknowledge_index.release_index; end procedure; end package body td_target_support_pkg;
mit
05276da811a83b5d5cb72fd873f55095
0.604616
3.911516
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/dds/dds_compiler_v6_0/hdl/pipe_add.vhd
6
8,921
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Ti/yHjK5PwCWF/IK6X0p/EfA1GLqc6Mew7HiQqfpDKPbMXDjfVi7n2HfCmCmrEXeb8LAwwfz39t9 DvAOeLGfEA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block BKXLn8pNYnLb1aiWd4QSOrcNYN3HUURch7DG/rMvUKDwZ3+rx/uYxOaMTqNgHmyehaPezZWKmdfR xOw+XnKlOeWCUgxII+2dgcHkv2LN/SooarEWho4SC0FPKLzz6NDGhD8FL2liGABSj2kKYGKo2ikI C5wmqr19bk9HbjeWxYg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block A5QgknpJCsY2Rf+th3SS0lnBNR44O+VmGUZ0u7/vHU1evUfNiAEqsXO5hhuNdKGu5pXLEWBk+SMT cJANpReGFqL4hALVhyiQ8ycgXSLXisu7F4sAYcsd5037tKpiXgn+4FpwLX9GCxOmreBrhQm1A1J5 sCZUs8u1loNgk6lSFCOqB99fiRNMvfplXmi1iUfLcmHlu/LRGRJbetZDtM/aAc8+NCYaN/k7/x27 cCZRU2Mid4RKKN3lSg02ba3yHKtNdsdhMRg78QVTMXyt+4lHhBr3m5u+d2aJTQuvXE7P3ODu2q/W dprDjwR1roT8q1OXp+6+8MQIFSiFT71cj8CkvQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block FJvblnfUsTfgB7X9hepV/h6iR5XDb+VTmc3DPsB+Flm/Z2WsRZB7DOCNRt5HlSQeacUy6nthR1pq g4NRM1aTacHDo5dlg/0uBjh4pLfTG4usVOh/WsFncNHD9KYMc+mBZ7obB+yOaD96rEBGkNMyzocj uKEXrtawvmlp0jAL3Ms= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block RsC6acME3d2o9qP4MvCwOTYLEerGRCTl4QVgjJ5EpV25yZAuZ1dgwhnNsNeNI2Qz8+5e2DWTS8bG pER9+iDTaIyDS6dhnfavjAHFxkm4OV5VI6HLKmQ4tAkd2bZ0PkZiufMley0A1OS4NtoiTE2yMM0r GZcL6G0Ts4+iKToiP5AGluMSXmo9FEVOsMVnL7jMBXkVX9Hv9eq9V9CAnXHfbF/Br+B3bYs5COnx 2Lgc+EIQBrEdMKWs/FW8uPb8h94JMBr3iuFH8nd4kaN1BgXS5S/b62KGqkOD8dK1Dawfi1Di7WDR 3PDpHyT3QhiN8EYnrnM1C6r/Hh//lY7BVv8Vlw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4864) `protect data_block BFj3vnmOIDpYLRQG0WDd42k2AuRzpkOLCwWAlZhZqViuv4u8D1CkIhI2IBR3fJOf2oacUzFMXpdM jo0Vo595uuzEVn6Eh9cajrGTa/D19RStQY2JK+/qUeP+ZCEOZMboZUvpt0VuzOWJ0nmo+4qFfabE +QwQW8dmKY2k9cgWjxf189kQESjH2XxOKAOP4QcdSf8LHUeNfDgPBCGxFGAVKxcWHFvjo27xgYF3 JWXXYBEyPjQOi2J9gRYvrOwbZjCI2VBCskf1X4CNPp2Vj/xp1C5FdKl85viXEYJmghqZLRhSXazw ep07J6Q6LtOriQU+67jEdrYBvpzLrrSkvRTaqlDKzx+PckVR1ngga6FFiMNN36OIPHtqqwLYMOBp Q3jgQo3BDQ3uTDWVKdooA0YX5K8niu666IiUdInoWBPb31o3tfjOcK7EI11usEx1OvE7U1bJ3pmI /bPy1rOayyD890uoO6/bdNvSZA6JuqTKWLLiRKKoiUSYrIEoW6DrEBOseUXjm0GDjNqnjo3JrcPl N8jjxO3FSqF10IK2+fk/OSmvS/6idE8RpEVOlPFaMVtbtLiG+2NHuLJD1aFtarrftLqJC5i8X6df 1iIsz4U0S06vvtNgGaitPaK4kHQ7v0pPtPyXpCE+wVcNf1xgqVemXhl+Bt6Ka1e1c6pNyPv12P/z Za+zP8DRhVMzo7qIbKsjTBbEZnHkuu1DuAL8Kf1Sje5mVcMCejQ8afVKbLSdTYhj0uBvJ/wWxnFi D+tOvqFY/huGrYy5aOHHWLdbCDBihFogtxF4fdCGi3SNJ4b+T4YtDCtH9IuODMTKC5ML27Jw09pa Dj7opWUyEyu9aqOUj/0JNnYOwgenlow8uWXIQz6lxWR3v3yNT/jDDlR81yWfdeMgDx0CYpukzHK5 a16k9EEuNN+qCkIsUZ/nfSJ/IDResThEkFiabYAIFeUzaRVpE+D9VWaloo/1TGxs/KrnC9llYeV0 hu2AQyJ/9ebJ8NS2BjHsiOURz0TA+eoO6NnCRIM8i9M5OXKJyFhRvErmNSfaHFmccQJ0Seemig3D OIpvMWuO7iuD/hYQrm3xoQR3TDV+Dm4x/c0dSV64rJyKbctRh/oiPjJ+6xsTLSDyOKvPtAVaRflr SwHekhF8oHW93klfB3tzo2KdehOOdMbncRxBjNzGNUqLIGPowoSXwnBr6u4yAz0E0/E9wGHWEHoz 3Ny+KMCOR/3A1tEl7oXH0/kWwPWaYWrNF2OsNlwbI5FSk3ENSLWaY3enKsOOrvrO9BkkovQVnG1r 9WaNs/vSeltQE28fFRhIcLJqJikJkeVcy1Rr8fk35qAZyUn/Tu861B4CBiU8GHvj59pvXeXvL3ak CTPkE1yP7YvUIg75cVl9Easnm2HMhdwWk+gd0SUUZKPUzxslIQqzWYzX933cY+qNZnfzwS93LDZr DX8leHHHBgKTbb4txVxa4SZhjbtbmaOpQF6icKlucOu+swjFdqNBMy7EdWlymkCaSQ1fKswUyNvs lEt39GF4Pbi0Ew1tN1g2JPX5g5TIB/NhmxHwHASa+Id9+fGmN/TPQ0TEufi0mRVf7C8FfXuNJ5pl G0Vde59a8tORQfc2TeU1r9nGgLX6BdgBNay32pVhqmoW7+wIjAoOeG5ysa9QN4cGoUd1h/BKzMhn rPk3M4EIm4dpjYTKuPsLWVFTL5l1zU03DHtMRe1ggAtlrM9zFhNL9E2j68sFkt03QQIaOtzRRoMl 7nmlMf5S9hWvBQziB42UUb31gOt6v9pEWuQ4u5c1azXXElrOUDNXF7tph01bZi3gCyS6mBIYQ5Rp xhwgcsQfp1hA8bLaT0b7PkqeCzFDktJpIwYyuDJmxtYTsIMO6Y+W11/aCmKCOZvMQBBjeTsclunP nUDC6SkZgIR93JCEbkmeNewfd1PkRURMPaq8B3+sxkFEpLpEK93hBLWt30/gH2RIjig1HUgAonG8 KkN/IVMIP8qUHxUmPHR7cJmqMjNIPHyokMSGWjcMsYMudWhw0PLbGgPEMh41d0PXgEYhx7nfexNQ vefqIQcSFd7C1Yh5ipXzBabnCftCGWtjGm/l826qVchqUxOyakDs/2Z6OSmjgfyHPI+DeDrKb01D wPunxpbjCmQCZJZSze3TxeFDl2ZWj/jf8r7UZyNYRsWkf7YN13hb+9Pp1AU0th4PtOYnZlKCQgFJ UIEZbEbBl3MRu/jUHj0k4u+l2vzo6fIecq5mu6qCX7u9Ml3W5U7dshJx5cPoB24WxvpCRUCWPpYp eoK641hrKltqeUOpeFWfJWPuuic1KxJxLMFkHEgSLn5ymGUwy6aMymEc/+YYpOBXo2IbDptbLrhq /L+LfZNd7uoDHk5cW+mmfjILJv31RJdVITS/YBqOD20pN+60MvRtDFja0rgUcgSm/YqdDgHWQ4tb EP7eVOLlSu3TzvwNfxGypxHEnGGCdDU+v8ex8g08vsh2hZcwRTIWJpuVbDIKHF3MDEkuGT/M+5aI boN38W2sfgzs0Gz4AFNJS8Yh8lJYfO22+uPDciL3V3pAmxhgQ3sBtV3ea0kU16AdhGcIMb4n9EsT rp3CM8U+ocIzIaS0t+6Q7uCIDp6+bitu5aHoehlYyTTARWplL55V9ujwCtG4kmHXQgoqrBB7IP9k T5hh2PvzHHH7nhLCcuBgjKKUhHepiiwVvIGlCjuC1Z0N+yLaupsYniat1mTCBQFl+cfbGJezwZs3 IaPZQnZmNmV2jhxaJRH0Lb9Q60Qllf4F9c5WNuwyhrL3wPpsBGsbttEJ5Rw7p9DTV+49+ZRMmvj+ yT4VLDtzrWMoWuRbOw9N1Ob3u+416eHXsiP+ZAqOCpD/RLvR0rretkMFVQLtjblkrqHGpoRZSwXK t6XcjiNJgcNnB0oaKxKJ1Ob/FzlNvK85KPQCb1FmXFb6SYweFuvHGEGyW4olVENuNpaazXWK8Eb2 JgC+nNs9WRta/Ngmwwzz6DqxTTJEdtxg2VW1rCxSzpK7HZBcuAidcIZqbjcgVVWbCsTh1MWQjU4I vhXSijby/iAddLNCIq+BotbhEpEyoQ8aFCGAA5SO1l+Bgjv/EBfrMXWC473972YudkEMSXN4w8gX ClEP+7KQC0zZ6EojYlzUiv8DFo3wasUrGfPWeqWHg4yZEm+LHKoFIH3CHgbanbZ1nwzg01jxB/4C RAFuJgdQn2lo1lA7UWK5V7MuLqwKHonQxX038nF+e4pmVsHS5EulyMipcr2HKpUVshe21Uzq4A6u R7AmnR6mppFBOVN82vp+O4+QAHhks3z0/2DzpcIFioFZMQTBzrvPx5f8M1EyNCPOWPreVaIhDFfR Q7JMTIjDQTdbusfgYwysjcJcZvSryVpulaER+wgS8qkVHXk3/z93WfOecH8t95X8YdmYHVa+Gagc esPMuwp3hfKaa3BAGWJ05RT69Ll1oRDxFoEI9AaczgjBDX+fwYuHu2tVHT2ORl5Hn8X89dldYwMx sEatP7p0KDaJp2jVQrmlDzBVN5cbibOo7su9FoamqocW/IiBr4xGWwA3lHaUiHjMmBuL1UHCqFI6 +ehjR2j+jqaBioBgvx3ZfXhbFvvVPutRRD+VF6/sJm5fGvNtbD8vql1R95Z1fePfhcbDrJWDdavR VYjijGYKsOnWhrgDU8CghihcS/dbuiCD4lKjx3EQlS5F+AYOrvQ4Ovm5orytaqTqFCS6O4dahfzo 6BF8goXqPXIRp3jVb+zY/yu1t3+nf5W+4K1th5gFimIhiAfrZFRUhaXzH7JTs9K0v30Hf5IlBdNj LFdWqeLlbx8KliB9DbGgR44oTWCZLjSQV4nFKslgsOpYfSVsa6x78PVbQmJxBXi3LNVR/imGGgko ZvKIQyoFJZC1rEVvahoUJ80ScyEB1z1Hkem/Et0RJvJ0IyA2cx6LyqU51Rzob1WFMBSOwHsCXN3j Ms/+SB6khQy0SB77v+9IfGXiDSiu2rUaDB+gKSJWvm2k0swmDSmttK/zpIOmkvAiVDoXuFAGfkUO LXh9UZUyfFrFRfQPcv05UvR8/5P061tToQ+tRyd4hvm8OiaxKr8u9vkfxWJk+DEFQtuiGSZgwtka E73P7jTE7ZBhAyFC69ePZAlpjiAY46a6/HjDlDFg6ttQ6Hxe7/evOjZCcOsWTSWlWrCoVJutWzc/ AOv1Z7t/rj7c6jgnidN1SQkO4ryh1JiHM1mDl0jPCsdZJpW9KMenlw0GLiI7imbptL99qZPFpKY3 y5sPLV94IXm5hDEEGQqIldvgMqhZsfzEbKCCS2kL7LXg3aa2aLmGqzFDnMeZcA9FsbhGKc8zOGj4 rUOdbSipfvx99/IsnRT380Z8O6DuIAOGacQHebjCTETukG+prG38gJNyPcW6/cRe3JLPTRWqBmIH ul8jUqGiUOh2U1e548xQ3g4KBoCVRITSN+x5dMHBBFy5XFuDTEpBZRcDpb9PPBNn0nxERJxe8nN+ IMNmnBaMP70xU6NVRT9Ryvv0kXlmG1QQavIet3yVTsUE7Is3AhiUyxomQDNTpEdCYYevPyEvRqWi DyiLarxmATQaV91Iq/XUt0FgFrRGmJ1bQQ9RIA40hRFW4IFoCNMJnzb/JiuRGg9ULcwV4MJxSb0B 3ST6ZmcoZwLXdi9kU6TZJaeJjwNUP4we80duMuMSmfIXcy78OsgQhDVNrfEnBXumVFBu0YSQjfCE zPoEgWmzDj8V+14Zpb9tSY8zmBW59PPxbiCjuVxHefUwHmE6kRiFCjazohoPlWlxqHDaeY4Twg+c M5XuUHLQYjfpREu3rMInthzLhM4yJqYvQe5cLUyc6/KBfLl2H5LHcfyxncc12OK4+lv9Evr8M12z RcgYF9528+Ni04V99M6iIqqGmts93bHCHREnet8hiYG1t+utxKQjVYfBfPzZIWpV28qCkXthFFI9 sSfFfeF1A8YW7wrx52OLML8g4O+fGJw36mGYb9cBzt3/g0bZyIL1/uNbe3s6IviE3hkKdygm1tUZ IPOVthht6R/3nEBjwEFI9SckYBthTQJ4fizw0Wcws0I5V/J1YlpDyzFnWawFlWKLedd1nwwRcM5U O7oJCvC5vW3M1Xm8R0pNRit2hbRI9YDnc3Qq9HcnT/bPrQgz05IP7CWKeSWZMqvLugrf3RZ6/TSS qPhXo8GQMWRVvKFm33fXxiO/qNjY8GQ20+YiZxmaG1dDVQ7RI82MrVdWr1pk2ICS8TcKpfSYYk6n yqJex+SJ8x/EEnY87Hh6b5OMTIvTvol4eU3KDEZHqfWSosp+z6OmXTIXBksKOwPQAEB2dE/b2tn0 cbLr9UIjO6UKpKDFBpoU0NuAtrykx/k7zIfIyoSFJCGeiRC9Ik1B46PCeikV6I5KyY9bFEO12HNe /nffuzuXh7TXEqZXG97KoOkhyGSIpt/yYdUsnPQg4c5YZrYq/nzxX5b+xpWSW4HxH0y+Kpe+hwQs A7K57fzJ2yTkuI0fbYXB33swk1nsXYNGtfEq2nrlx+gLG496GE4vM+kZupkeH3q1kDCsGsK2jVKG PvxqbEuvNWLSsVGlX0fS6KibtqGST9NpNuaVubEITSJXUVh+vXmXv2LPd6l+vL2fuxGPAXvFzIwO 0UoV+155wkU7L1EA+jd4XV+/PzGpEO2ksTd4tJ4Q4cQTuuZDfNic80J01CrqIbKWuKnGKWv5lCZz d0H0XBhjXLhtD3yK3w2Wt9rsxifiXbTIp1ORxO5qAhvpsuZVLGhE7AX7H9LS+PgKXI6i3grLGBL4 Es6jKsKqCigcANw+GDGCZjhaBA6Nqf0oRGX9Hnb2M+rM6w/sVj0SRxcWV9pqX2B1zKOxM6VDSu63 RUmj4q78dAcHJS0XLt/qTAYTJ09I5fSwTN584FgX6V1vQ/5zeK4YzYUg9/aZonStx64FU0Zp45Km ZsK76aSnwBl85xXD3DhJDCtpaeuCyBX2scwEr7AYTuAAoa1Lo1cVL8HNXeS1CzrQxzw2ZZxEzqRY AcPErLts2ETv2lGne3Rvxi2g5HiJkhtRu/EbmmuFsBV2abr4g7D4i4qE4lmSNWGA00WUq0qluZAr 3v+IbUhQD4TSNhrb5WYFl5Nt6UHym/DhyJwaMVIjk+zHo6rxmuxwjrP/R2DqkJeLhGYJNasFG6+C yM2EdVD3/mHwEJ9NvM6LbW6S9UJuwmQfrS256P1qqTu27ebiFowDpPBNKoGGJ/c9mrXHvyeq5/41 HeN4W3GUXKlvJQdgbNP2u3/Zc+H+RBwYC6wmduARAKUqQO9AL7w50zUnWKSSRjSdoLlcKLPDLvgB m+JixjGTktBsf3Tq5yHFtjGPWo5xzaI4GZ5cDP/q/4RlkZNkYxJtAGSbfgHgJahAuR/BJTFimTki oiWbmq+GV4mOsrST6NIQGwnHQibwCzFBv3xiTk3/I7BR64WU2lt1vv05zns550W6Yv0jthB+XOdD IEEJfVXQF0T20KFdEbJfs1FLCw== `protect end_protected
gpl-2.0
0bb69c42009e4dec72e8631b75ea39f3
0.9203
1.921387
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/cmpy_v6_0/hdl/cmpy_4_dsp48_mult.vhd
3
349,624
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Nl+7KEynk56w/sIZaOBJk2gXvZF6S8VCtwXbIu9cjLdBhYScd6AK0LMhkKIXAfTKdHk57hRVxQtL S0lCN659yg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ngiUvKHl2+07701qs3UjWXrbnJcCR2HNMtRDzby5SZny6t43aJx/SAj0v87cEKBhv83AuoiCLfNW KoBg7MrP8+HHQRuO+ELLCY4n9JYiMq4pTflRMI14o8k8I1v5whL9Rm9OXj2vo5gghhecXqBtWi40 6+qK65BtRZP9T3C6uXI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block rJucjRKZqFqeiXYzVCyK1HgRo889Zj0B5VQg06+gNBjDh3wQt1AggQ76C+Csx9ee6CMA6eH7GHni 6gV/ssMfpA/eNA6UzaFuhJ1/9+wdQBReAsAdOMVyH27PTYKFOntDW8IPshSZmDWR6ScfVRjiUoFB W39Wj8ecWvEIdaVRn1ljXBXNjCj7iK/bM2g5Rq3+3XdT8Eji3it1SeWTNlRHcaQTk0K9gcpmKjx5 6mR/ITJkIFKrpuoYR5ciWN9AD3BM1EK3iAVT3HEbnwMu2umjvrJRJqQ6N00Kji3Q0E8CZ3Vh0xCT OcI7/J3AzkxeK9XtiLBh+7kTAS7lE2neOFu6JQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block WoF9mIEI0OBDViZCjXVUvBXz0RAHPtC/OF0VMRKB2RqBOzEYuv3HeyCNzdyoXCUzhGKx8/Rte9YR EJ6+9vFZuXWYKNGMoR9IwRgaWYkYyT5Km2IqWrcc++7mBlQtXB7MzUhTUS+1hi+ff4XUdOKVg/Gn 8lPX8JCnKQ5kIlagAew= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block JM8/vkUl8+ae9GXuTAWOWEXnPjMCGX9xraFVat0jQvKfcaj9L14w6sHpc+JSTHPontFNOb2RpHuF BHcKVCHtYxfS0peC9k29lyk4R/RIGyAPx80QLBK3ynezxkGK/K+HBsIqfQTK5Chd1yG6nOQvmLsF E+Yp/lee1ekzl/p+J7dt+hA9xFUIUjRbhVnbR8YkemRrp5Kax7JIfmAqwkHliytyRNQjmxalzWv1 wReFN7GcEhDtccJGQ34mryuLep28UU+QynQwsLIpy/vVWhcDjw0IT1OywulrgIKn4R0gHUgvAQub DQz4lxMQrJr0a3LffTTVbnFL6PkXTRBr5lLtoQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 257072) `protect data_block b2jBweD9dYjp7XPwOd5WCxYvcSO4g6/wBcmQCGJN9PYw8LOqtDKa2sqOeeumJ2f9oL9CgPUhucvd 9SWX14QsFoBbjzwlTGDaAHs2NM9ZdnICO0/VrKaSGS2JoKlr6cb38keDP2ubT9J7aW6uzB5KI+nj bhoD2vd+mVaPIW43KliazM3lxM7amG1kWyODQqgelZOSareiYnwHAOGdUGG1CEJogvot5WnaJLfc 9aS7Z2lDszx+L/MqS5L4fpeD5En8+ZLK/0OINrKqSdK1JB7hdsGNE+N897xzPJ9yuBS/TSS1X+/p NaEhPtPCHgNwdrwHHD1jpw3U38lU2MnTVS9C3OhcVIhC87VE51FFqKxvQJ1NK6ifz43r/6SH/V97 NQXBrQWm+yaOs/VOMEaPc5l+e2FOrdbnLvfj8zgXJqPzBao0SWTEWltsrJg9t6oCPXBL4/XWD7E5 LpUPItmQOeChcmVGSKLMlEFpbSsFjeYNspPZSQNw+FEa/eoI+vWymyDFIlkTI/tjtMaHD4xA1G49 SS6UUqew1KnM7GCf1OSip3Ro2q4zPegF5lrVJ+b69dCIAtxIG/Ybs+qB1Xl5HdcGZx1mYzPIKDJJ Mq+MBOLe36fXSoDOLBldZDyL3h+zCZMW693341ekpXdKmI/30jqpDW9oP0Fw0azGUqEnkDRSHGUt DfQyGHXTJhVB9WS7zyRyZH17YRIV1+aAtTglZDgd2E733odPqs+MhVA/bjcsASK/Aj5hbAtIuSuy 0za1bKDoxWMi9lWZZ07ddylrraJRxpgFyD+2Sa+NX1pk6HB/v6Ld4ztDEt15eVHxs5Q3nBtvyV2+ p7+QyZt8R8TxqaPjYfb9hRDIYESjFFrZaVc54e4s6+vpidMRqxzwCAT4aAxLoI2uychnLEdS7MRL xFiHbO0ORU1ah3itDubUzR/00XrIybN5WLCYDYVBJlDrRwGrIe4PNAvB9Zhb9AlKRbttbeMCCJVa sb4DRJ7HKyoI2ZwuJbkyipSCmAMqiodMcQZ5KlZJ9bQcXODmDN2D10d7+oaGu9pNaEU0OhdlAK8j yxuBIxNhXc6/GEHR0ceVafWDK86tL1ymibW2PCTCtZkeGlcbDVzfpZd3QWnzUH4Z8ntn5Mq/mwAa v0yJp9h4VyPULE0+jtuPwu0YsXo1Q4SSgxukp5KKABysYTZ+jsWJAlWf4lBsb3ewkcH6vMnAbV9h kIJrGCbuu3D2BOuG8LqBH6OsxIzrgaJ4UKl4z1KsHSaqJZZCwOnGZltZT0nFC6JLFA/LKkx1NuSk u4aUosc4G8oPeB6T/MaLSGl7IxOQKtJ8c4gFWTJjcqAJvx+7ee0vCWiApGRine7ua1hzwnOAAz5z 5o5OjaaWhCIGHyMUlWwNeKkFTA9uOxljnGmiKHcZtljjgb1srgwX4cR9bhyaFn7oFZ0Yi/Cc84yh uSRoTP/xBd6zPvimH1c+9oHnRtUYGbYkjLDQwOwsfsIJqCVmwEW5qimAISqBa2zBQT13oxHtpU72 3exeIs2YpkW6g7bXhL+aJI4a8iUy6w2yN1kA5pOY6GNrxwXgh3sy1Tvtkcg7bi4jBrLCSaTs3UE2 eGjKqIUngsnpm/VQwPunM2DRpSNcbin/38zwcFjjzXOzivinhlzNRzUjw07nkDFojhBEcLFdq0j1 H7ssZ6ISct3hufDUzN4uykCWfcDLWNAjhfejwxsGgEJvTnGb13jCTAx6sYzvdgNvgVNMnvN6fnsy l7aSsNZsYlf8OTiVztL9k5r59fv5AzeYhDewMymHbyh0nFLfYhrqHcSZyTdy+6MV9Z8XSZKL9iSZ ks4LPfpjyNaIc3R/SfrUTH4LO+8BlMk/CtL9pY4QEqe3aXM/iZCb+NQcttZYXudOSV9r/lfzUlmr EsmJtZqLuJe/Xu8S3rpoScY/hVeMp7p3+IoSy1iWyMCjrltvMmwYCSo5jXjhpwoiNBue0gBmS4/P CdN1iyJG0aCm4kQVtEIzw0wLvurhNUjArM9KEvivLRLuzQ/0YBJWHyU/UuBQryHwqQlS5Lfli/os iCaz4SJBjcDCRbb9m1RDQ3JSmSpEFDg6FRdiv1p23UvS9MxjrYmow071b+rDndgU4G4fyh8ZKPX/ JHGoOlKbiT7dPBQNZxpq0tk/sTGRgJe95y416C5kJWo8Rhfkc3njJg321yH7cyZMNjLqWeW6ql3g tgcMFbIklr2V0rKTE0Q9pLSU0dKm6klHTgDAqkMsVteN37S6poH82Ehq0hTYjgDdyi2aWJSWHCJy w58JI8hYGiXTvYqwz+auSYsnQfoGo4mIoIdVGoFr2JcbqD6xdWgKhzbDnmsMptBKUJcO5Pr4fwrF hEd0DNWWey6G2SimMzMhg8VaRPAnweMh1Nqa5JKN/LvSfB40PfE4N9Qgfz+IrDgGJLoSxTfGv2kZ YYr9n//2TH1juMrycqE2PfvoePrnxzJdxUHOzfZ0o9KiSPXSvHBCWVTUt6xpa5khvgg+TFf1vIsQ w4wFk0M6V9l/z8VkZhWLqwxX9Rc/eLZJnMeWOFCfjL6WsuHK3IF/MzJqhpgUAyd4IuDXR9McyRa/ U8j5wWLH3REenJi1Mx7AFMkuKipDdTG+LnVdSszFUP7Z3y6mU/yeCLQt3EYSqrA1hUvA9bl38YrH JkCdpBq/ZgOWR4V6TrjGFkGpFjYM2rbt47xqXMUGE9qWcthz/SSDSYELQcdL2tDqpxfBIWb1OahQ R2AtJTxE2+J17kD9Qb7NNQaISJg25zVAj87VcFe82hJYYhpCdsy2vgYoO9VWnqx/Fn44sFsDo0rn Vln/Sh5ZojsUonFhDA09gySU8cQJwD+z0ySpBN609UZlGsJwSoM0pWFaVEVO243Lhn68k4GTcEF7 pJbETCgPUL9R6qWVSiG/TYTLTC2AM5r6zp0Qx1gGUuP2xX3gy880pLiE6jNfuWYhtAOD9yvAyy0F rnNpNfKkJ7H0MqRj5/29pRXEeoLf7lGlM1yPF8irmfCo+dQ6hxxFa8Nd2PevxbTN2fcJ8BHr6AEM 9OCgJKTpXP1gTleFa02GApHZRqbyzU8AEW1hF6I4SBXyonIjwBQz2HhPAuBE7Id/kCEBmuVKIiid pIR0m0R9hrd31KgAmZvnzIv603uuGbDLVFVz9Zyfb7RjaWZXnPwlKuKUz408KlegLaZUAUlZVMAx WZ+bFsjZFnn16ToPpj2gJc3cGIOlEt+ECD0P0G5sTDRzlnmVd76+pxFsNc5zqICY8tbAoXeWwJbV PlYCAsVniA8evArBZCCQFpOOrfcbuN71ue2nsQpFPEMEeIMfHGyVUcdAmhzLAyctfbF8+3/tU9zB uFoZgmIDSXMNXSSJ7cQbeIb8yHAXimO+qDNtOQByvRYVtS7L84T2HNR0F4aOL7dZG/m2KQ/oMhxv yUQU19mAi/gBxnhJQG4OtV4AhfI8fRZcPesTiUhSK1zShhUj+K34k5KVXYxlurMmjPJPBJazPEW+ yHuZjx8aUYK6fNSJ9lJqHs8t1KW/O6aeyaQCb49ndVWfNK4rPI+k1JBKedu0OPLJOPvyE15Ay4dZ nR1gbScAyH3M0k60EtKPuZ5LI5OatJ8DgWnrl0OeJYX7bJkol9rBM2/H/NYCzsHIR8PPFhW4V8Dk WkbdKgXy6f21fRUJCXtOYl7Rse5Fuft6iJdpCuj64hjZMYcDiMc1brGMhuxgWg3CcOF7FNNjb0HD /BAq26/oB43B9nr8T9VXLwurNttOiEeS+a/sTwfmkU4907LdkU1W22a+2C0alkh78foqxBC8orlh Vtmfa5DUaGc8Y4umn7sMddMSCdA024nzNWsXRx09nz/7yP5Cgm27jJkRSLuB6Y1tqM8URpp80Xjk AoQG/9emMq4pnRKwtdQE4Qe4sbzlUIPDu77PaWL3XmL+jzKp0TEoK4SugATrHcpwLVDGzJK++VTj ffXXDYkvR2jBUNTSrDehRr9KonOqaz7WQ/DkLFFt52lgwclwQeooz9zdxZtvmLaSOcuUkVnTOLft 3MyBdkk3mMEvmi2lu+3Qh2T9pVIHkUxG6PsQ/uKHG5cXrRX//3YadjE85hHT6qxMktLrWlIPRuJ5 zovjkJLxDtesNoA/wbOYsn4rp8It/SGVqz1uZU0zNidCVkUmiG4patp2e3lrX4qoq26sjoweYoFs Cx31fAAQ7ywjgUPcCI1WY0+o7q+DE0k4W6WjMV1XrZrmsgU4eeBq8NOrSdDljzwduagbyTstNbii 0HsW6KX7fkYXna1O6/VR2jB07PA5NR7hn2UPfrkkbAmw+Q4YXY6y6jmZ1Rh6y6q5s8Kinzt9nCvQ pCQM535ZflKcQ3J/JzYrrrr1gTY9s1/+fGi+oLThsAlfRQ4wRvAoh5TqPNqSKXEihKXBemAmT9b1 JzPP32L4XlDpWX3/rVsvnZPj8/tZCriqZXNtX9h0nXVD9Tm3ZXAwVw16pdz/fnm0U+bXSvEN/DeQ xEf1ujRN3UYt2eo62pc0vP7VHSO/kE3sdjS0yhudCaGCNITBjYC62pp2qksbVKy7OQeGeDSxj1Bt 5h6dm0x7vmtn+lwHo0OdWRPgSD3Y2tgIhiOWi5QIPGUIq/BhNFFLyt1qb64e9RWDqvmPU91+bPyg mcF5HaSzjGlhX+r8bPabvd1Ym87d+wk0xczG6X7OFP8oi7mZy97nfefYjfdoVnCgzCl4Ks9C2Bzz Sz/ccFWpz8NmiZ3UtP/I9AsPAyXR6mXdvucZnia+AXIWAmG6gz76UXI9Yw93wESeGB7aPZhK8HgM m/wplkAaNmAeNDScUB1pcb9Lz/Jm14iZa6e5MkvtCvLzWkpypat6snGizoXOHxMqxOJpRS6Yxj+2 viGHRvM4BSMV512AbbDEjxewzYj6vIe6meg0vetJFylLKIGW1+aWn4xbXXR4InpelHsjQYBYGSaM 07biIJMZZECIqtfFmqAlvwtewFs2ifxwaF7Hyo7R+XWVI57A9E5xsGm46Wow2AszlGv+i9vKpDGw PN8sEB0MUnFDC5Vy2Nfw7g9YYltf8TaJI15BRsu3nFG4G6JhqtBi3oMQD9Sc+0gbEN6Kg3bZX6ph qdlms20yy5W0rGw89/SKfnWCJmcEA6tu6wJmAuT0d5Ozfcb+dTKnUvCvSdhVdHOOztfxNd0bNt0w GqWnRGGlh+W+YseBDekxE51bz/Gp/s6NiuNXs4CyavCx9FHEp/cuel8PXTpOG1PNC2CE2SCKe0EE TB0SWqPsUd5DlVESTjqBekDx4tBIisEkrB/pEBOkZzLn3S0NiHLrw1fENtWjrPhCvKm49UEQvIoz wMfgB7guSTsPm0J6qsM9zFlRypZQ8C5rVcAI5ljlM9AaFR1vPr2Uu+jZC9KMN9k9JuoXn5yGZBhU HSgyuHINWwHMfuqhA9ajHpUdpX/xQwC3p/rHlvUlxNhItT/jk72OgWEf9PfjuQ/dRWFKarGcCtNt NmfPCDLYzeLFK2yHnE2TJ2g84uWaL4/blGwNXTgLUfj1eHcZPgQq8gqt49tmTUsz2cUOYtEy/u/k wX0B23bbVNHXmPT4eqNuGg+Gbk9835Z8qNvjFIxVaGdoNDOXmtkKHruqGL4nDZmtQOjv7LupDbJP CWlqaGxrWr/PtALTKMKwFupaZvhTQXlyfbeDhKYepLdMXwpEvHtye9wn7pXAR9EXMOe3sZJwuucq 0/Nj+VLYaumXecc3/Y8VvsHZoNakKj7GoSfYi155B4o/P8pZa+zCYFOiFXB45q7B9eEDsow7bPQc NT239d6JxRdDz8BOX1ksul9wM+0VlUyFXtOAJasjOT00SNMDSqOgWjIax6SSgq6ZWzWbCcrzqYzZ jzgQMmNyBLj0e0uaii9Mo2q3ERUErUnq9X62EJfDVd5snjx9EWzh9Vv0QLmmTCzfj+coLGjANhSn zqPV6GRCsptNlrA10GfQy6GRhwCriZBwU81ctfViUYaoX01d+fxNi7QTZZgGu0m+0mAzrHojAB3l JQ2rAv9jcgMIDWvnuJo/6eKX5Ri3oLsIsTjNJ/ULiNo9I0yGHAWAHyuIuelge2O+HMo/29c3SlNy +CoyR+jeJcR579RTQAW7mBOspNGqwX2ZRTzr8PdpfC1bn499K9BZakfWk+oY/0tkjheGF+Ii0F0m z4N2uSLVhseeFFzb+SRRr2Knjcz2Lpk+D1wTyQLlCLf9yiE3j7mpmVEzJWDv6TtcUCMD1dOaXqVU u5JvW9HOBHNODfGyi/BiFj3b3hyYAwinZZuq411dAS/t6U7geA1wpCQdcDfNqvKqUWZEDkI+tWfk K8rR0b1wC0tZGcrYvwMf9wvLJLwhTlmXgfGnNQ7/30Prldvn+0eSglj4HpvDNE1NNn/mv4ojbAiN 3SyMuOtfM8GixRG9svbMX2FCcCtylpiIpC8ou24CJzYxDnh1iFTqU0OEYb1BYwsauigx9oyKQApA 0vT5yNFe2uXq4a+CdHD4Kh2eZPZ+vjRZAgTgFiqGr8JQ4FWboG/6T1tYdcWLRYoEOlgLJye56bfm /WD8tkl4W+jM2nPlQJN3zDQbNATYbtEaHV+3TXHNFu3l6UXY+UmNtIJpcWlbNxZPFuocVJa91kbe VTwE1td1D/SJvMGm4Nh7l5ccfl/btf3+oghc/bNDuMMPCcuN8utre/mH5EnPo8jVUIDaYkBnCGoC KDHEf+dUKv1mhWv0/vrluXLZQyE8G1j2X0KI8MyH+igBVovR0EeFRhzOD5wouHMtDfbTAH/C+YV4 u6urHWPggrm3rkYN/zsi8MjUPinUgtNb4HMth2FU3y8k6ADZFQY/2zeVsk4xKp3Bcmibmpxp3mS1 YL0cAQM/157H8XUMCDBT9INmmEVonQpAVH8nQRvcTtLrYgl6i4oiN19/pSpJqIcrq96PyXbQz3YH vn7FWMLJ5iLetGXrHZ1xaym4EH0YPcloJLT7pEv6udr7wkayR33ZSl7ZTycDR91/FOj7xMErczd6 ajQO+CUoYMusgJ6QtesXgATxSw4gzuXuyM81ZqJaFvpabOvEdKQ+hqmCYz0SVZSeQu7XOW6qVXXq pSw2Tr9v1WZJpWv1woUYpXmYYmGvkPS1LAIz9T0/1G0Yeg4YgyDv7i+DvoFKjBZlAs1ed4jBf969 hXKDm8GK9/26+OjP11psqXiWt60Hl6zW0SDNJuZ3Ehw/YYlADqa39QrUz2CD6iVgo6taExd+nwtK D987qTFfWPXVEk3A8Tz7Gdr4njwEsel/vmXox+C5quNhMF2dJ48/ZXNIWH7G6giO1q+0hjZdddw2 BDTmeeedrebR2XH0lam/g8WYyPKZm+F8pg+l8TLY0+mDH77Cv0MetyycJCW80FtwGPgSC9fE5XbW 3gUMnxtluUOabjGP0AHHogqDiWY0gEwz2ix9Wk44OpjWwp0x5eSKdQmHO1tckvYNYkt4frlwugYM OeIpITrmCJnG80CQTu2hlqh/PkiFT+rKhuTHMoqRZD6yEbVnKbb/FJWNDFrVcaNLROyJEX9w2Fkv gxS2PFxqNayIdqzDgguIB0yy2A9v18c8aeIV2Y4yDKckLiNS8r5khk4w/fTiKBd1BMMYCsSSW+x9 iXeUc9YmsZrUu727MLkz7ElYSG1gVHsZdyUoOX6XsykMWdyfGOhpnAvAmvsoYH0t1NSYJQpt2aVq 1Y3T1j9RWO0p+JSu8iLQsK3Yjlu+xsPGbBatj/GFOk/OxRbuy10wRgd9vfuLzJs3FFCzBdUDAx78 vAHtPfaFn4Zj+7eB2ZfhG/Z6IvAu69uXQiThnHywKRbCD4fYmAiJMGgGdMr0vkDFhKK0fEdJVeW0 n6Eh0QZ21n7vDQpvbPBHmJlQNUFtNWhYzzIz7iW/cE71Idzq61QKqrGMreMEdP/6EkcWmGb/G6bj yZPzfRrZeKkhrv1VKrlUVzGWAvMsR0svoYyefqJfKdIFSajAYhSRh/L9+y/d40uyNT330hDX68KP q+shHRnNSCYCzHdNcJZc6XQuEnJLx0TF4Y+p7K+xBrJoCnMequsFLzGeUYHFx0MslEr5s76HLO+p CvSiMwzGEpuS58/Pr66sAVjkNP9K22v8wu+9NMSbi41FvVrqxav1ol36RSyNARvlVVvMLWc4k3XT R+nRCQB0NftDDkNifEvuSR4/OBeUPMtb6ic8R/2RvJM0aDHiZecNvdMmMxoWQxScDsz9LTZPQHbu Z1Gfm6IS9XhO+wNglnXuA5T6TUrZP0yheSW5SnISkXYIOA845D2iNJeeMBGgit3WF9ero0IucH9V uy6BsAu/0mRI3I1CZGDJDCvTO8Q06yrlpVeFarwUCQAGzjQ/Le7IqKKhDzDVCPQC0eW8g5REOZGL sCNCbug1Go0FFX6snrj2jiKpaub6unO7z21NSaMDIYmNezdau9nkQwPQ5d1l3EmVOWaQ1NwLBNHz FCF1pw8+m4tlmbagKsHUwaDcsau6owNX9XXjy/IBg8m3XlcmZP6eyY8pNOrhtFjDB8zAzUMkKTr+ v8D8XV+HqGi70z5c1zwFLccHWAPRDkl1+3MGnoB44EUQrbV1IeuSzhyEgD8Ut9Np1usa7AdGnKXR 2vYx20rqjwLg7sNA9SuHYXVaVfKQCp/qNEM2RRiYcsG5ca65INpdceNYNKMrbEUxHjTui0SnuZ1Q YTGtDqFKHC0ZfQiOc0Fxc0wZ44qerV5BairAGJTq7tBk2RlZgIaLd5rVMC7MbTvg6i7VSv86WiJP A+7EvGtDNAxbw12hryuS6otqKzN5xYPZGnklyz91SKR1rkdbwAna4XANjAhAZScW5e9Sdegaifom WfPi7mcmMftAgoROJON1uMxsF7z9q6Usi6BHFS17ggaHNVbw4bYownNKOqzxrc4OURTz8cp4mOQv pT43CNgr6pKdtUVrpkRQI/8TjwwBtJM/3G+5Ma6HstzxuypthsLPbiv+A2iK1DoDYv8daRlnSI8b 4do4gpvEUIfftD/xTrf0LeJ+c1DRlPLCJjy3TO2cydoCN9fvWO8UhDSljxMTC1iTkUAPD+nkN+XD mZekOy3nBSFufwdRRewuHkHYt7Dd4fJ8sydNTLLKCsW90/zbY5QQAmj1DL6a8ZHVqwPwWgr/DBnR gXYVest2Bcgf7ueQt/Cc3keu7CH6wz3GtHmfNTu6vJPPcfElK2J3gTV2hIn3+pdUULKmP+M4XwPy ruqRRC477qFTsmcjv1cXwoO7udMvofAZHvmCGU2C09ReUvW65fT7bmfV69REdHW36ZuH/JSyNcWE Vjt3SYC32IFz/4Xtk4TybHPq0itNdFHI7xMamiUR2+Yb+cB23j+RbGEWhXDqfmwNPV/aHVH7cLfr DChfsMQuTmlnwbykg+biTQaM9nzHET7TYznH5EOCvKG6mcx9DhB5E3lVXXZUL1I2knNOXx/UbSA4 5ogohl5IPkU9OS3YIdav/uupK9uUkrAGs8Npgyc5bsokL9RpHibNzzvxZHfPleNbZJVDj2RWlySk m5KH7EnFvtl43KMtztzmuqOwsFwtyDUwsfXDUG7dFvERe1RofSjd76CtxMLtbuqBCnrsyJWPLpnE oswGye2XqFdKAyIOSUFmWR+9BPi0mODQc2WNCY5E1cXUMaeyY8XUOEwanUXX9iTauqvqiFnT2bKt KhU1ZFoCYF4ZQgYE60hUT1GQMxqbZdUED0j2OS66VR42XWW37JCrRDQsutgd5fAtwoEA7RIk47h9 cuRrbB1xptoBE3WbQfgZYV3bCiD+ZZ7u7pDp9PU1C4QyXxJQwb4/uDPewB1TylZ+y8XfLeECOFs1 CRZFN4wHzHVmk/nJ/5ze4mqirxqBO/sPXHF3f8EdZTsLBnJKSfinjp0LgWicxx4Ca+bV4dW2fbj/ sN2cAQDmT73svitETNPs36akIg00zamIRP/KQDd6PbAOODQqiDGOK4q7rymuN1XRx+WdH0g/je+8 yhyzsGBjzgFY6G1TrQS9+/BgG1UdPj0C4kU1nkeHuD2EYhEnUb1G04maPX6f0ZThHmBKnIWN45T8 SUteoORo/DGMB0Ux3yX1VhoovXgpjE6COwvy52dwT+3gyhT5GdPpIVkjs4X3GDcMTASVjKzMzjqj Isd0KHjs1DY3QCNVRqa8pwxUAwDTa2utUWw8aGvsulXEt/TQJRavrRHdz+4u71RpLaIS8ah26YAh dVlcPKVzJocZaa0b+8XSqYnPM9rnOw2GM1HdU/YOVDV27q03PU548nMEGPK+FHtwyZ5lTTiiakJI Lvge6yLvNEdJ+NKSGnzuGDxvIsUhHie/Aw74g2Q0zZeL4zRB8bpguLyoO1pnH6ACnMEKgvEcwJ1D NJdR9iKAdjD55dyqwxk78k328TOf6p53RIgS7B7fAJTo/qIXo7ff3AhgIaL7aTnQd/B0OuqejVo3 1cUY1qcVL7kX43Mox46G17WeV8EsuuWDtdXViHig0CrnoUSXh4B6t6Fdimun7aPNZB3v5lzBFX0Q OhVnrQiCJjllFM1S+yVD56ZmXp/4y4iJ86jf9lF+AHwg0538pScli8ThKBO8tbShGrmQEmP+dmuv n7qchLFRBtluUyAgBki6tIttbQ5lAPqB3+UzMrmU96WmEkc5t8DP0dMCbPWPmvsvvB4W7DTV5LfM gQZz6NBBhHNvewIDWBojlH8ICeTd6O4X4uXblYbOwsuQCCaauFjykHZrZ0yRD4oE881K7p42JLgx BwK9bXbxbK4Ckg6o8c5wLqHH764NxadrDvszte2mE8xG88l9GL2tNPGY5nA1MCiL50lHPFkmE5Fj 5XfhtxZuxsh6Wnn8DhMJvZFjPuCQp8P/1zRVUTBC2GFq0O6I+/JdUzGf4BxKEzb8N5z/786LzXJM WPMA3iMMckUnO/idqTgBKmfLBTXV5EyeXrWb248H6Ub5a9zV8K72u2EyLaNQfa3gkQPuphZ2GB40 JCbPgakTVXL6lZRzwkZIL/kmSV66dAbTVH6sml9mP6HgGLIa1eloeo3KDk+mMwRP3A9+59CsW6t5 o821JGXvDrtOcAvCAHKtCt+ZGk+0gPD+fxeznVjiuukWB/Go//RIUUtc43PjpUOyB6yQMFn6d1/C AsHi0IsXuMs2Qu8gQN9IlNPoO56/E6L9lwtCMQO0P8snt3Jg2MWIoVov+BB0PfVvLmBTy5U89uuN pIyGv/kVLSOJcOt6awaKT+KqWthaMYoMnyM9x6bXwcQWEJ1wB0X3lChz9Zl/7FQStiY79tBx+c/c iO2BruHNfSVpSPmiT6uvYm5TN+jOxuebDovNu4ZVdAjwYzd0jXkm2KlO4lsiZIFSe+qoZe+wIUA2 E0dSxAgV2m+PmqwK7u5GQ1ew6AIKWdyREUkxIg0EeSD4NdaYc8iVRKvhTGsJFVBY4FgY9plNiOmt N8AAe001WL84ameZIs//5LJhxKop1aHzLsNj95/AJ8YSt/hhfjBa5s0tsUrm1mzpeiQ/gUJ1TbCQ 7cM9KvfvUCYWK3lw1MRXf9arXgqKLZzJ3HlZ2sFErehzi6GgS1KfPiI9mZ0bI1mQEznF2MvOafyL B1AAFUmYFS4MAtaHAGSu+5beQBcFWuSNifQjcA6J2Fvegz7ZlGkxnOI+m87GZoCbCt+lp3FlPbt5 6mYl3X4FyMPmQpZwY/EUxO468aSVk17LBLZxNYsv1hu7c+rJzMp8QKEDJ/vJvjbLcg3aNPMF3LKw v6/axuzSvBlpHkNq/BF07VO4incUk8BuxHIGeeJlUOHDWlTPPCfUjbC/1/pUKPdPGxueXQOxGHoT TAEhHDiFzgToQHWtmlr6nfjlyyX98eTHWR64rEyBcDPWiLuhVnOTR/xLZfgCAoPBBiPErUlezkzq ARRveVBRQWZGN91R+Ke7vei5eCJ/NwGk3eHlWHsKK1hE2nfP/iNmUgWsnlthKDhp6KIOaqNleiLk brc/u5HMkEhnP5jZ/KWtO561qNud58qFhztbGm0eTI4KrgBd+/k7W7wcbLJ8pDrhp1hmLXbPY/qY vB5lfJhMKJKoAAWZppzcs/cMHE0TwhBmTaLNoKxAqeHBYow2ditQavWd9H+p0MDWhvZXQ4iPQXFD C5kE/ct2sWIJ9bsWzMSN+frvdwUdiR97mZyh/8YoYCxKUIROVa/csYrPHbY+kYmkmKkMhpOFdUIA MM+LqXM+0Fjc/YMwv1M2+fNZ3yUXZ0P0dWrXevUkpi1nOBoZ0HIVBjUUsLJnobJsQGm5WV1ZJ/uq q+6Fvpxas4kqkXg0csjZjpZPaicBxMZ/EMBjMqwLBsYKz1RQAdV5FP6buDuc9c1incb+dR0dpcX8 qBqeBcWLoLFZFHGX3oSR9Ww4NIC510xyiMt7EdypwvZPk8MN0P7+aWtKFI3moR1/XIbsIduDRJmh gbsWvHx1UEU/8aSAH3/QX/5TAJWp8xozeRrcug33mUSwcACMOrKJMnQ0rhlqUadoXSJlW+2SB+wy qlBer8GlvksC7x1oxNdNMDEeTwQD39LgBKCl0uuVDSb2oWBJb8QStgcK/VnAReWhP9MZAVXXIuNE /30mEekMLvQ99QRdIOab5MF1dXHtM0yDaNYlcU852oy8AyLz2aKimZTWDpIMOBvZvCjcaX7wyDwB TFHKlYw1Iq0zTw0FoomKo4yARuFKlg/ZNqcMi7gS/FSpnE1ls5duTFseMHEF1reLMCpTjPzAEUxT HVZSvuJ09NmjX3S+OzBEEkE1brE4OpQJ60jcxBOy9FiAV200cAIF72iUyhBfdSMEEbNxz+rAZQIS mIWaSHEqieH2VtqDF0aUJEByqBKWyeRegzQ/7skqFazBQlrKilcq2cMPkDJZ+gIodsi/2Re/NLKG bHQ6pBcW/MOmLlasBxHK9eOPoTzR31XE0uhUD6fVJfCy84oawhy/aHsPJQyzP+c1vYJI8tfpa6Wh VF8iDwlqfIOAVhghGNdNK+wzHKWXhdnJV4N8h7+gjmFFHhk7ckibZpEELcFFqSPA4khBrcavaNzB 7J9SgrwkPwhPmYz2aTBmc3o2DrsFxRpootFVtx7UeKvyqcjWMqJuTgWlNYTQO3O7paHS0tKicNj+ HcCtXAU3k6oKnwKfYmwPSkvqGyvw1U6V+X+mrZsSA1DNWjYy0HdnNi8p1ClPjU12xlq4b94+HzAe aCakqdiHZ1uFzm+EX2hSntUZ9B1cdjLRBzKilEdMdoLAbYV90e+0c4Y056UGpchOkkwvyxecWoWE j6R8hHj+xurQOZXznJc4tWgqH7I8kusw0uD+Ks+1NbkK3UGtB+vqbMRMlRpZ0CN9C4eMpdAbjaGz c+CPvNS9I/HrxLxtBF6/9lk3l/zWV3Af7l5H5U5xTMRzklcsUkYjajtYiTSkIU2a1givjEvhpud1 Ak8Mg0Oo51VSaj57+U8tUxjAtcJ/a4DlrHAzrOz7XBfJ138o/eI0CXOJv/HogOktdVUWMkZZshaN Z6ShZDcXb+5IIvZ4AGeJ7lWGGEZCsqPGKghusXRBHhDNKvd7gVsEhVqialBgDOzUwZ1ESmpt/Hwq YNrRTDX3eFzWUnl5HQqzXeSIg2ZSTLUubfgsLGLmXV819kzw5Q/RQGZ97ztXQMVWV0izlbTiFpwy pgG785TqRvyQgdgyg8k5yO2jWWfMj+L1h0UGsigFPgHapggMdQHUpCZCy2WqAOZyXuUBirfzo7fi 4kAYvREP20l1Kfbylz2ZPwYIc+7otXaoy8HhUOYie34IgWBuaEXiD022Eb18r/O3Yb9mk38zYB6b 2XGTxuooAntgJn2j4lpewY4PaWPx06Of3BpeiBVvQqUC+5csSuMl3AWkn3gtR5Ybt3n+jXB88ZP/ DjnsFxIRoQTcWEuWxVXg/H5bRj8RX7GqZ+2fcTxDGWQrpfCDsZ4ZM00Dfk/attWBzgxXa1V7rSA6 PpBC84cbqARNfeNUyvrcA9PSE0WvjidE1/DAioD4rmbSKFUjpmbNaoAe/YIv74WDhoFbk9e7qHwC Y3DAhVPifYXpFFxqlfV3rDOuQXbcLOhXGRxi9nWfhukGFoCAqefzhqA/qMKfnt4z7xyDcAQUCmvn eN85guug8XZvYT6kMgtdW2Qj79ZZSFyvb74xvFRu2qjxn413B4KcVOnjq1HfhI4L29/FTtH3+aqR /E+qlmJ+BjQk1pVtlgKQIKvn37Yrmx9zM1hKTqWCog+VWA9rH4IoTtaL4BUcqFo7vqzNsc6j3Hnz Qs+HCdSe7UBddFq+1JaZM012TPil8G0gCiNLPh1w3YJAuD4f5nd1HPsOFApmgLI+qgbVmM9FnsFy 9Fj+vx2fZmo66lKIZOf6XfTCa/moP5q6ityZzBpLGCRWLUBKUHt5Z+Lbgyj4XC7LYz+0MMP3jmT3 0b12W/5La6lqdu5RR1qo/XmTf7EBNwqHMwtrvLFz3HIU/5xjUe/uxro5oirYZysbrqnfV/yOSoum V0ymsykNpbxF7e4XQ3MJKzcjcMy1rvN4wJLGz2Pvjk70HOl5g6F/wmjzL+qNF9xGixEXsa31Vlmw wb5FbQC8ohcxbaJFp7FjuH//NtsQeLug8e0R/BSOLBqM5mcqEDKtwZIAT1OllCDuja6/ibFxk9QV abVeL4Sx3nnH/FsikSUHWziKGc62J7w6g+NpOMdBZUfSsAxB7/8fZnb6Mx8D2AM9DvLIU45RtMiF ZpBwOTNmuJDUJGj6WBhxes/7eBruUYTK325lbPstx/vaBf9e0WuHJ1dPXd0ZELHOHTSmQwC1w/zi 2YDoNvCaYkFvg2+7fhWLu5M/S9u9CJCvhj2m2YeVH0+Xo6KKqd0Qmdec6x7VBg3p0BW+7ashLtiI OkxLxgadCdX16di6OSR/JfN4OMp12wwyPTmP5G7GtvGiOaPYEwrqZX23VDgzyKHRC0nRnfDp5uGb kAY8pXYeK4bIeuMbckjUElzTkkbe/XXbVsXtJAzDOoFqCNP8SiJJcEG07y1ypOwWP+cV22SHaXVi sU8CLC8lV2pH0p7L/J3N7YhHmO/ImK1vXk0FBPLXUIzs0xZBflQrhcr76D8k9HmN57mqe8YfWKrX haFXX6tiB2eeXh9b+P3VMrV9vdD6rA58zIRGkMdBAKoVmKD5tLUSHh6aVYwdHYTVqLf9kNcJjTzJ qLf8qhWHRKroCgBc95QSZrheeQ/IidHlEynEiO2HVuo104B6+JWiZoP4EtlVo8j9/2sG4bVqRTZY ho/a3HldqPQmteriYTqU4ALvrbEN8Y6AmygwZKK8lGqc0OfTgBRIQQgAT4L8gGynP9APjGuHlklv tjy2XvMCQXj4kEXC7fJrJ7ZXmmfDu3GBUnyXPS0Wt7MPDeWHk9t47Km1S1t/8NC8zPN8gftX+spj QGbC8gInzu7vP4jp37Tlw/2hBFdVAOuBuVi5X0NTS+V5AXg7vuBslxz0mpqttt++2Dzf/aDZ/K0h qar7rOZvIcKGLS8pkR19OYq6U5AsisYADPcxBunOVIeqk65hBszF7AeELoskMPhKkaCGxpclQ797 rs3R3xBO4m9gKJlsSVQJy5gvSdOQQrRMRCipWORg6crS9s1CP6F9VaImnG7zN20dbezIoz+KCfzE BkRgRV9bFNMjM1BlRyOtQOMZS55DdhTOrewB3WxSJK1KCkrVoYe+VTE1EqIC2oOuUCyifVsgK4pH y6Gl0+lXtE7RJpMqNoSyhT8kt7tw6o7CkdMRbklVSJeXpGRY/kEMeNbZkHgP6I+isWyQgyiCMrDC BivDjK69AhGwUU0Ecc68gbzMNHZ1VIR9visjokB7ef/GdI2vbNM+yrJ+/xCX94iVI+y1ZFfxYgCu BSYbiPN+hpPVjWbphuQSBhxCyO/EYSKLSnPc0sDmeh/jqCxE4rrrs2VKiF9vHIAwYOFSgX7CXeM1 dSkpiZyue7EiF4KfQimRBAwH5QC2Fg7Ojdv8oenNMn5L0GnKSoSlHJD56SQzYvE4dVhBHNRPORdC upDpzRUECQxe/CGpPqPZYWBNACgRN7YeDXRxlBWr5xuYaLps6WfeOSUTYFeb6kdC1PvNw0I0QQFl dXH77nVOdVLjD7xi/nYelneyA6NaZ8mlYetIx3a4LuOG+7KXPOzo4AA+6JDQiffn75h/0c9MmS8X o4K8nSkmE7MWesUo6r1t4bRu7HLwZI3jN8xYfzJDV7/i2vyfNsAXqUgkN1I6OnNQIOdMfYLVaNC0 CPrROfpa/zHgOg9kmmqElOsdTdD7bhr1JQ4ZxOZI3Y6kBhOvBzmLVOYi8FQGK6tdslf2lzQtvIV0 cWBolOOdp0/hQ+AUsyC9lDBUjTSZP9s1otfx0cFe9wMOY+htDcS1bqQ2L1Zzhku1NFl6YA29JQGl JpBF1MV9pEMtjsQEQF/JgKlR4Hp0vT4PDhfce3W9HoUQ7qV8eO4Uea29UZWYAO3ZH8P03WrnsctE Qsg4lpYPfOF3ZxhcUOB9/zii9XalZ/5WQ/LOa8k+DgDs/adEQBUVUBRQCCNgTy+IZtMe1ZdMQEVv 1fAREBausTlnFtCNqpadWWdAGWU3zV8racZuIyE/tnOnVBH02zi9JbmO5FgI/GWv4tDj/r2R9Cif SMba9SCOJqcF8hKfirbiiw8wPbIz2KWP3QHPapdfwvrhiVc8z2dnKyLDI8mwP6El6QWeeL4tCdsZ gXcEt8IPctd2zuMZlD78wSr4OVf10IhhUl0Rk8F6lssnsr4ZBWxnmMnsINFILcFIQBz2QK/MjSgU a8IE5LeEqLOGWZG6pdVvH32IFS0FNwTijWHio6MMZt5EdV9SqV9HpAhcGeW2HPjEyA7Jd49TuRWA jrzmwj7Wg4eeZDTnbdtJutFGVphxbbN4lC1TGPthIyIJTUxG3VlqjAE7JsUscsnNOR4ElJwQ09BX ENvs8fevwoTOiItWf1Qbr4Od7vwbm2rNPA5mUhTa57NjkH/7FTLRUzFd2LPm/KIkEYec1ETAsz7l HgbnQuxBc5SkWdzTiVKTKGpTlxjLLigTraqvJK4cQ2WsIzuaZt34ffdbOxbEJ7noJOvB69IOPQYd aAh7T/GLHzpjRU+FIRjRM/EU27bbmn/REFKZdkNH6SWr5h1ume6QL+wg6jOJ6NtswYUBavLlHzjh kR8z1GpUT4gPZ4Po21xJNq1ww25uZ35N/yHauJLIBrF3j4gymQNc+3MJ4ExBIwsGBoMxozMsh8u6 acYy/uKqKjrj33mfi1sPEERbZ6ARCZOkpq54XddYFzIdG3H/gXs07d4fAtIl6uoRu28P1j9G7SKg McDbrt7mOwITcLO1UX629w9bKG9W4FL1x8oOhRnfzt449Ick9XXuV5ERwrbQDoEIIGIogUz1LfQH t7MtKnN0LAruBju3oGM5fzKBmXFKLVNHxp8ky4jOnqfu98hc86p/bqmW/SYalxg9i5UVCs0NUa4e PUbQSbpdAgvMnkMxQmhvKCnb7Vj+/H5SpMa3LeSLmH0GpdabPKW44DFiezoTb7Mt5Rplt22wtPzB 6szWbEBsNAfauqxw56SoSjZRcPe653U3EkHjeK2skW+1kuS5X7Neqbf39Zja2QYhVELdh8+ZCDQD Wz1f8ZSP38nqDsZhTopXjyCtlUTLXOM7mUrBp0MlFiXt8i7aoXUHiAfgocz9pDEFEbwLSmU0fzDJ tuHi+5LENhD0/SSiforiqTTRT+GNmA5GUH7NnBj+JYLbHBUmwaBDtZp+7E/TqjstrKa5Ew8A4he+ jgF0jPJ5B6eVnSk8LacxZu3H5dScOJasVuqD48sJy2D+o0wlIOTzoR6mzXjRE0FfdOYR8KthLcDb AYi0ZvxZlfOiuqslJgEwfRxsRH5tWBqYmcCSf5Qk9ufKlnVQUkBpv/3bVWf10WC5+Rs1nOxqIFcw leWP2AG8nlO+2ZqlD42d7TZFHDcRxjIPbSJ6ng862jlV/eYKDC+qQdmByP1gvWZctHBGMv8NK/u/ xvCJdGZJElbMXVi6A5W2nzN2RVCECrP143/s7JRvneMvnI8V6uSv5nzfYwRWWUY0EiqC3JNrpMaM Vnk3ncChwfRVG6VNFetNSbOSUSscPICHMvu6EIH4RI8Wk2Iu4uNCR25FNdoT2m7DxF6wX3gW8e47 kGyW3PmLJTiqbspBJBBRirvvW1cPdte1CLtN21kPNkShXRE/w9l+90+WFhcq5Gw8eGrZteEWyYVq hqDMXMCH3eHv71WbKblFvvMxLMQVHIG/NcX7yqMkxWUKep457dowtq1+5XY6EwWASEnVw5HbNvDh LhMVjf8tSW07rG8TM5wyvwdccOLOzWly8T+HLRxJuNzm8dxHYgKILRrwnrFDbERJD4u/y9e1Mh+b rUYiF54aUsk3pV3yqTTs1ZbiHkB3Dp1qhYImQ+weNdB0BBZoYGX4fx3EXAnUfKgJR5a524UJh84K kbX/wMrO2C/r1NCmf+QWI26PTt7IA71boryJ6fb7YqdZjfXJtzF2tSXorrI2Ey0LOU6QJLBKxPUE JVIZlFTJ+z58kmLrpg2k2m1TZRL1u6sbTZJvOgR4sAsgMFSvbWzsXPd0csRW1Y1IGn8WlxoG1B0/ pludDbUpD4HOdbJfNV5/zqZd4HRrOkiouaxE+XnOCSS/TVPUxvji1PzvfGcf4jtcFhhns5iiHFBo B6jn0XqaEderkO6EbUkuqljM6nLLz+1gToNrxE6VVPHDxtkFXOaPVLP0c4b8XVwDWoeGBgljCRAN 63VA6fVRsOMnBphjFHBg3MAWkdvfoscGFZOjXW9DGDbnbe9RuUJjq8wC8KkgX1Xl1cX2dvpN5zDG wntkpWEdoG7T3UgSO95v/LZOkcAWAicsPX2WHaPT0Y2rwRxUpvEZRMpH51d8xspR6csAOnrUetPi ccaz8ypJhQWjbhENa2u+mZCezxbZwkJBxBOYKUvMR2wAsWy1pEUt5JvcSDVfQbBnF610i+6NO7PF rYfNoWw0sbgTTR5k/1vUBPU1qzR5HHpM8DsRxL72AKULRFwvR4cE8/QDu6lg62ApctTs+f/7KBMA s9EDUrLon9tg7rR5NAkQmX821SA4yNuXK6+5aq2HWox0GCbncD7WY6aErKJnP84KDTy0o/4T3gYJ q77KbwF47En8STcMj74Ks4eJfLVwYT0AIA0zs4fkxsjHA7L/535pFiy0J3tFsKf5nPbiVqtjyuzR 9VygbbY0c6uSEosUMZ0gx/DM+tDsH2HkD7fGsgXA8yYu6ycVFQSa5R2R4hZmO4EKxYR+/XE9ERPx cchm3rsR+tKDq1J9ZQxPlo0gUbuHnlWHBMkm0wEapS+6p6bHQosy/BP3uG388LYDzv4Cim86LHAu IDlD1EVmTGejlO0j4P1fN0iJnf0U+nRY1Cvu+zzmfW9/dqxzQaYstxQunzXcy472Os+mexOlpWmT k40gPIHkkoZjEzGeAH7RwN2k2pksZEypo4v4A1K8hfIIJFKDtqHZVS65D+HxUUF1t52axE2Ok3V0 gGxGpO2xXcttzXgh4q5p/Bg/8XAPY0Cq9YXaQ/qYBcERobEL1Zw+q7pjAnqTuCE8FM8Rn5xjubBL mZMsaHiGyRXqpffIAvOac9uS4DjWXgcdp4hZ1WC/uuxZRE5T5ZlgqWaujVN2dBdi6+ti18Nva+u4 Np7H7FHfS/xZwdf2X3RXMnGfEM6kC/Kj1+pjeZYoiEqHJU7ZM+673Rwr7/unB/3J7NrU7106uBvx 4kMjpxIEnlyJFRW9kIVya0sRcfXcNZj86XxxwaWBcDg1D8aRX8rc81TXJvhnXTGX3iYInKU5CWPl JFGUqwKj5ft5ZWrSTeiY5E9GyQF/9jzu/J6DMAC+X5jaq0LM86x24iCdMDYb6uoXu2TVxNhq8JBH ZMse4iVv/axJLWBqlzFtoPvt3RRkBF1/Mh6cyO09+uWKgJsARevf+NH1XVPdx33CGt96IFHPOFfV Fd6BD4+91d2/BCBMk2tK1hX1QlsFttgrELdYIB0L8wDU3Dm8ifBIoEig+5IWBtcOTmln/cvxJG5P I93pmhiRDSQrSWEbARJK/q9FNaQqFvrEZpn+DZqJGwtSBKkeVAm5rXkTReW5+4kpBQD0VlZd9h9u WyuvKb0xIABSc9pPavpdjvTYfRX0c7oOvbaCNwXN09iaV58KINgX4POWvtaJioryZ5djdUZT9OHZ BY62FL+VWv9m5Cn6N06Hs1L22Diu/KQ6iSQcEFcoQ6QP0QyB7bEDj/7K//WwuRQf3VCq1r7o0GHK 8TGtx1WxYnj2Fx4sCeR3t6TUYgHtjIjNBuVp7K/kRwogHzdCcWGVxZ19uLhExDiTCStClsVfTmPu xJ9o7erX3Ii0iAWtxMzkyEjk7KOth+wKstzjE8gWAPvuRXKOxzPGIkJj8ecOjopxrdzBovDwZvGg qGGQOI42ljI/WCjiq+KKsgeGzy8wnOT/7Q3o6kntIGtvgULg0gFWH/aRIsqmQq5jS+6hHKGjA6aZ pmZfpO1wq/203/e4RttiQP+HX9mT6V7EudIA7CUgNcsk6ENQJuUTRNHNzTzpashXc4bWy7OQkNJa f9Z5540Ob3fuSG2en/YutfnOt0AJhGYDg8TCoDw7LuRU8uG63Dss0MP/S2s/OtdYoXlj0naxCW/6 1pB4Zcaj5g2lizLNFFL9iiAXDX5dCTLUY3OKH46QbX2juc7sVQRjwUZKAytFDmvwc9mez7V9I/kG 8sDw0p8kzyT6JDNHD7P7AR9r1MSZRNa7+4qQOKQGJsjj1T9W/HfJFJ7dHMqwZaLD77QrWQk3phDQ Q9YzIv6ThaHvKTW57ejMQPvIHz3b6h6jMSGGaSxIJEB7CSwsid2bL2575imhrk47tV340DwJcyi0 XIJ/FpHBVL6MAbZpcCKQ/iKCzygCmg2uWZ7G6ZAlA8K68l8k8n0XnFwHVb+vrm+G1E9eX2FgL6ZG iK3Kgx95BC1q2iuiGC1FgxZL3AQL5XgcUio2ilQD1gWpyVrsWHiGD99PZE0i+CSnxlcN6m9Pn0ot W9NoSz4qhOeOeEvQNtCeF///SYQtd5ducD2MQAywmL/Qbv/qjgH0vEWCe5ZblAZLr5AqrCYYuaJy ORGH2eFVCiFX+rdi+rW1YmqbkzEE7WAUuN2rwcgkn0eiQTRhMJEprO6heHjOPpPEN7D6F5QvSA/a LXyoW3aeqmN8I/ml0Q0pZ+TwVsBvUyKlXwbZbRMNceUertPsJeMaqXh8rj3Xe3Pl9fjFaYzdTKdj UaChawnUex5K1PjPFcL8OncfVcZnsNf0C2JcQkOvmYmkCs5bvc9Xe2x6mNPGyD5u5ZWJOUBVmULI afinXAHqHaK75zO4hokxTBy1qRsrLbdi/rR9BrcdvGrTSmWKcTO1FOrugTJkyz3iUZzmXpOkvDYe Asn2aV/QFbRvnNjkRNjoNacP2CY6SrUBSVXHVP6duV0loZBDzZJqiorxDpBLJYskjpA+tr1mZOkc cF7j7hLbhoKjY5wLc8qFRG79mdgezxU/euzYisqbJRlv1///FWMPh2JeIgmOzRnIP2QV7gcXTDju TKIx7JTGgYPbj1Bk72F97xKUVnQ5DYJK7GeVvZx0ja6VdbnIGwQDCSV2yXK7bofzw1JSVwuvqg/r L0XQoFeo+C+/wdAqpqXUzRE3qW0FLW7jkHWaFjl8xFIOiuGiQ/VR2unn7cwjXg5I6QRrh9PIUzhc fTkS0Hi4fIeir4EFtj579lTbomYEk0NQ2n8POpH4ils5Th/TYtrDjO9aKAYeDjM+++biPX2Uli7h CR/3bANe8xhLQca99XwzOj/I9Um4qvJ+B0hhRaOC8NPEWcccx+pEJ11ke2PwIwX2qBoB5hevq/lb sbQizWA7YDlkJqcqh4xSve1QgU5QIk4kjT7xlVrBscqPRnPyH59+yjh06ZsF1zPQSenYazm3Hw5C fX/lNyfl5qtsJlRzUJIUs0bFn0ukvDr7aX/i+zzR6esND5kA1QqTkxlcmLc2Fxixvx5CavbjvKx5 1Vv4QWG6gQJW29oEA1CKJnQmvirbKvj5T/jqQe4leTnulfJHzAboldena1OBtLTaYi8aiYv8vHQG kDUa26jE36whgGKyEl8bCWEMA234u+oGkB114pkLPzLCNExXRsFfeGmPXzxM0SZ0jY+vN/wy8VGn NMV3hNZCYDWuTBSZHyhwUsPL5Vbzv594hsmtoMIBbXvlwLdlb/v8fINGvv86VrAJV8YQZbfuAFDi USwYXmvv0dO6zRcVcHz/WRtqQWpcrr3NcAeiQxpBRwj8zQ62SOfAJijPDjTjTawZgmy4t9qnyUqc AsCTkf6fG3OmvyMRlYcmmZto6V/F6BDl5AbuGzQ2PVMAxVkfCJ8TFocHk+kF9iOhqmhE7JbnKTwj GBfrfpRnJODMqDRXlK8AwIM48t/eSmw3dJ4fYN62lL8lQv3G75fYaGVbLmMcYT53Iyc2WmGT2Pcv Q6A2ygrKFRdbllKXR8U2chjIfD+9LChHEujLrMBzlYioT6UZH6vpex8CyQH7ksN4fnruvFuFsSTD cBqMrik2M/z2Rkd4lDN8vqxmgbHx/AvqDsjqdLbiQdQMbO8OJnKGukmxitZjteeSyYHADY7Fylmo LaK6Dco65Fb6k3ubfG93peqqQ0pCE5kRBmMYv+n0pMsNCpjIsd/xKRVSI7LjjscEZkx5zb6kbT6A CEXfwymvQEy58OMoucYNXuS4n4yD64QHMmzXmYeTvKzFTIZM5pKK6MLylorhN0RmZJLPuyGtI3vG t6H0yhk6TECP3pvvEZRQHHktRnViF2qBJmt5qfosDbK6zTCM+JVFviGniNJHCXTOharbl+VXcfMu fX/JIqSVTyfYPAvqRvcAzP6aAhyLzr3noWhzaBcu64z5M7l8vZ+qM8bUGAFAVcSlyVAMFWOpSz3C 7Sj+/5VrgXBRD+OOdCrjAK/NlNrnxr8okjrl4rA7DZMcLQTdJZk89QAmu7f56Oa4fZz88zHqeO0R mltYbgEmt1qVw77f6IDgjsMQlgq4figTVygLGJDfdiizEvJcpWjDCR0WZkBmu/mui+Mca9a3jRuq XWUH2RpgHlOhxeo8vSUy61wUd6wa7DZBt3R4sAfycBu3dXW6+6zM68KngiJTbJK+81e76JYcbkYh 78B6musd32SrZbCiT4IGdNu37zijhrmX1+qhyqgwq7h9Gv5nyEWgwoZkZygGw6BHPxfXS0AJO+Z2 ymQvKwFrlq/Ej8ASziNQuN2ECFb1PgMdz+q0v7SQmHGA18Y4Ld4DGL5QulBhFePRiCMW3Z22gE1c pNc4Hqf/s7bHgQQ4oFcbXtkKXtt+8bKaB4+comnxO9jUIlDoSaBNkpKKkOtcHgWCXLTSvPI0IaSk P6mRS40rJZ4wCE5TmL17XHNZSeFxDlkIWdkk1Cu1i8SSj2G6fw19lVMQvnpi+x9yj2a3o0dI+h0h jsoMJbBFTR9jWw7xJt7jbEjKGdyrI1ZgEYOmrJC8rYYo95mPvhpEhVYDP1vE1mRt/LzFFDxnyZF8 hPZR+aekUzyt81U6FdMy2f9uqb99wB4ImENqx40IWVx35WWk6H+rzHLIYPtZ8zK4nmei8LJSVGlg Zjfalv/5K7Sdc4BhZWUnH8hocHdpXz9SEtXXGECzzhbWtc/uE/0d72g6tu5hwScH/bKsE7EiZxc/ +XXY351Vl+mEiY4EJpB7slED4CfTnppMqQ38KlUdgG+qUZ3jlVBFoo/PTWEXXueKvJiUatbSaXmX usrrXhFaNszyuGW7rkG6l901KBeeuDfu/v7wEu4A5gadYOxG3tl/wicTkBA/m1OtCJscl9yChE8c 6XzfSvdyvkqt6Pc3bQr3HvuKaVzoLincLmuz1vrS6t1kkLT7QtsGOUuwp3OCcCM9TJ5BERqniYiA G3OP+e/BpXDuY6gs6rADCZVwaWclsWtv98Vj1HwG4aT16jlQkkV5SnEggrwBEB49BQGwgfBgwTDN OVym9RklzPm7iYWCIVgM0mzHju71R2Qvsoz0EbRofqag9ATDbIUrQBFV/4ddmElGkFLanBQtqYBx gak2XpowLYPpkvVwU3WBH05zDcVBI5cjnaFrvShDNqF+OTv6h3vaakN8f2xeXNcn8fMbuHswDnEa 8t3NROpnmDHbnH5jSDkQIPjjVny4lYTvYFzhicEMnEdTcfbBb7pnpJGQEMCtDFqhiwiJAZRKhGFT tLZ23Ndix36CRanTDo9+2hwcqLd4y5AHdrPohDJkInZgWTu+tIJglM3khp5suZq+1AG+ApUSHkH1 jWA31wKITmN1lzhNH/9QbeUtQXUf/aWyG1ouHBF7p1fZ5sgm1WoX9z9PaApRTAq19f5YD+uoIed6 eFOjnedQF8krgI434ZhjzwKaGbDK6meIUbslNWAGExVThji1qVhc8n4HWE2dMiFUGB7churLlLaQ fY7a5wyoEJ5N1ndgbkrObmW/mTDB42CgEdBfFjxMIo/jV128E+1TtNdrwMaisfeFVmzLRQqRv8e7 j7hvhBRnEVm9gmQcpBrLm/DVCwYp3wnbSBqa+hl8p0q1sAMPDMtU9SKt1UUno65LhJgX69IFc+M9 1uSj74o5Kk3/bYJ0jvGh6nsM+BNxRM765GlNo5ktZp7zjcjYJHcAgLZ7z8nF1MlorHahonqiftqC +xz1t3yO90erC5lqA82Emx1e2JsJBDiqNXQxvNDjKUW74jLxqYLe7JtS9/knoUo0NlgcyLEIcAg3 niyQ3eGJK28xVQXvNGWxryBM/dTpC3Yh3CGXX75eufnWlKdFkpBEikFGkQrEdOHELI0CvwjL0B9x Y9SGiClUy6xNnMEZnlbtclzXGY3aHw3x3yUuIwSi72ovj5lQVQAmTxmL7w46xZSj1pPT+aaJesEC 73fYrukKVyxWT/ihKFyQuGagHdvkB2h84EQAzR5ZreR3LZ3tRjx6qDRSXxotjavpypQJ2ZiVJPsG Mm+5OWGQSnDXTAHXs87xic214Vwf06GvU6c8gJFR8yXStsWECVW6bls5R2yZioDcXO3/6uzWRXhs gNgdQteW/kJOTriFhVmYOaNkxAGB4n4rn6ktokZQpFp7lTPP96DbXoVOWgCLKNbC3vglHHztb0dd BNyvtqon2hVPIYk3YuvjU//YAwHHu+dYdNRN0a7BH6DGFYiE9YQ+UT19sm9Up/8w+gW0nIcoUGKI ZtGzH/k5BIftt74lRcTXVNdblUjxJ0XO4g1++854FHOR/WB7GL+zOIOI89EP+BszU5PO2z14xGKD y69GdjxCa8zaGMTddQQ5Pt5Hw3a0LJyKknsHrI6iv3wV90cCpW80iVrr1ooUKMZIG9jq6Asoscxn 5EmolHXtMJaf4BVPykNIno7VxRBerrUrtqXFCMGfATkH75udp7Qo5ggEM98xCog6E66wC7wu1RB1 CxkcEh6le5LOZvkBI1MiFklI9LjbFexKXIkI7fRu/j7Q9IUvO/ECFSmsMfaq+AXLWQIYPLkYHlwt RuYpqGw9EYx7EADxYIlbxa+vL6pdACzBhBbjZKan2UPCXESLdp90Z8bJT/ePoxPRZqyLLAKP9Q6e /Oc3cZV/7kuR87+f31Z+C8GKQhVZGi37jBiI8fCLCnsxbISifxgpDFcIzvGxyT7iFiX+IfutvaUI urUfR7mkh7xSNVMCHhwKHkDFjjGN2fYcI8vBihfKIFL1lVS2Y+B0PKPyDTJqDLXJ88u399YkdsZK SiQBENsQKxxQcKihT4dJK3QGz3yKxHkgEiUVtCi7s57Cr1D/XS/o5YqHg+yk2ye+J8WAKg/61Y7e qGQhdVzZS33cadN+HebR5YimHxAEqxYmfgEGiU4bmMicr21LdRRCIMMlIv7ZSQAS6IRDJfh6MCpO y5jO8WjNUNBbCsogeNpOr3rTOeHR59E8ARRWv9sT+n6GKD1l0TFvNhw9RwnHxJiojIyRkgz73P4e IOFe4AK8ZTbQlnAylkMloY4xVaLMANSDPWVUg4HTyHLNnA1qKa9GodNwH2uqpFfh2xh28uqtMQrI aTlPTYiar9NwsB34O82HcIN7W/AF0KcWrreb9pjP76hzs4qYFrW/ObSo6X/zsTxfQtFjE7NUuu5T SEP/i5qW05+ihdzkKWr67QQAx7CKYGQ6DkwfqcrPCuxkLKabQgeNoBSlZ+crRrqXhh++dmN/pokw O2US266dsQ49f+ljRyJV4fPVIXQD/EywG6j6oZExqxSuOnFQOP4sEq7/3Y0//5TUS6tFA1gEQo62 hGFrmyeNCoM/Bmk1xKiFQ2+zUlzZrGnBtDRUsD3PYhTtaWyy+dZXUiIKBXtwRp5HszO5Nm+LD9lb cQvfDswEUHsySk9rE0FT5qaJuaO0dLs4ZNBG54OmVVc0/VqceZ54WrAInUddiY4fleEg0Mus+tT3 A04Hwl7499xlSu4p/1XUFDDbg+3bKfGNwuz21F7J1pVGDimWpGRZL+hOUUku4TO6yk3gi4HcGKge Oujfp0aub0ORKtyVDs93bE7ic0Qkb+a5thRuygLhoQG1tkKkvLI+/hhUAa7z4aPaokAYp7jVYn+h 13bB6lc/zoZBLcEuUKY6+hxZOkHyHm68kadscsKBjhgF2+q6ve1OBBg4pWar3ociuT5OTsEkasTP Mak/cjBHMKEZahmVh/uCGfFFiKSs1Pc7Bx60dXV/8RLrDS6jLBdG9+zzUUmcDNYg2CgJS1mqBfp0 QmoGShPtf7RbS04TQMoEQJBgSic8mNsLNdJmmVGdSDvUzU+igdXNDHHhRyZO8CkxLVA49/e7jVAi yKD7BtOHScz7yeZPlMCzoETm7gzrvOGfxjXeUO7wzxtJtmA5n9N/exSzi3LCl1twYt3XIlvfLjEv E4dkFKOh7KC/VA5aqhmnkMM+gMYhkvADAaMdPr2/nelal6AqB26PkPeYh5slo/EeBZ0gkByB+oAN wGjcunSRMMKB2/UOr1EcGoNlAL2NXn14Mc8heIWABY4g2ywe5rdk0kkmmvcX4vXHobJCDwo5adnk 7YzBEpIS1CNcEPcAtOaEbw/EIycA9YBY3GpNeHvhqyy+Eq0CE1f7qOX5/+jdodsOMTSYwMMbpvCK 4s7Zojsvycztuz+SU+9Eim3ZTe5B7C1BDn8P++NTN21zE85tIKLMP55zxDc64DnKNOg0t08Qm9u9 +3LwyTzw3Lgz6Su/AQ12WIz4uL2oTFxxeNv++9LHLtBKH0+aKFCn9/BI4oVqorZGP+HEPGzng5v2 fPENOQWqicWbL8WAVsFNaMDsNwUc51H4d+ZdN8I9NOoJ6tOXNT9kUUfByZGpdSPOsaPs8KUGeni9 5z0oLf/4Cr8hq68w9jiSDsFyEd+ygr/RrmO9QoKHfiUdlh8hsy1FALMvu6xjGynEIzLdBZEsVJzZ 3GaBLgZ2ZLTzFBxPmgvoPZOnUqq0VJkwrGITFfXylygQhM2C84EuO+JdRO5oL4TZp9ruH2i/CgMn 6yLfifxQsh5wi2HyCqpkMBtD4CrV/Kme5mtDweHKpj34RNEiYgTCXPtdvA8FD8gvpt1bjdfWwR8q WcE11r7MFUlChwAI3IM76F9xoeamuOohvmNkw/nRHasnlCQC3uvaTN4tydg8P5p/SnSPy4RTWNm+ 6OIg5CWQflH2B/0Hr1t6x/YWfmvnOgxYUinDIPiEvesuFUI/NK6p+72i/zCre66dMqxxmnB1sal1 tr/iq9GUOoGQneWJ0eoLAvwf1pupYVGtDMXuukrjHcc4cMCcgKO72FBPsTBpXQsEsIe+jaPXR7JF oELzYz+qN4cLTtk2qukkWYCkPCWtm+TZklalwzQJcHABH99Y7UOYpuT6/QBLNfffW7TV5l0Oz5zX U1/spRQpZtrlTAUlQrlE9gMhP0TGDA9OFjjwFlTeFhag0HMurvM2r+RwjPW01pecN3nkVKCMen11 9tQ8WOAm8tJwSf+8N2kbzs0bRdKDPPmqc0+0vyB+EldEjFw3tiZV6mFct6ExQAekuNB+VLbvNCrv T8Tu3i9JoYTvkdDEtgBeA32jn8GeVOhx4685rrW/ziPeNQBfhZhsV4coMNCtqqaaW1f1Xs1tbiYH BIiT7+U2NVgAAIx6OcL56P9wCCloqkpQga2gM8D4fQMLy69vWrNYecOUfLCgikZI64p96lgtjgci k+t3i9QbluTuaT6dV+k1cqYtLXLT06mDRbgbOWJVmAe9TcpLe2fpvV2qJaaZLa+s0vdohi42D/oo et0eQDsLarmCu20uMDG0IDjqW4qofq5hMp0ctdTcrRFz1uIx53PV5pty+KvcUcgMjzk9XGutxTJC 3Nd0k7IwYF3+eJ98+h9FQ9O0DIGtPjI5uHjBVqlBWQ6QvxVLMn/y2K6hOOAqilthlpRp7GnPkSbk iGX+WgI7QWiUqCHLUdbuy/WfRMYA3hA4RhOw0AcBpyPsARn3oTZVOJBUWXzGV7hbTx3wOSGWTpPk b0RIs9wV9sdnpAI74M6uFU2ugyXLjOFofnO0fd8/SeSMHbRH3A1S1BGPAmBM6cuhfrHZeo8PNDVQ +V6o5Rp8YnBpzwoKfZiSbQSz8Ugc3hrvSYWbSoFwVO/PyTN4AoQEUK7p+PIfcWRVepM8XlI1yB5N 1O/PmBdizWMvU59N6PramAhbq10cObUMA1UWd6RT0WAO9+yd3NdAhVJJUbaIvinIYQuWABhDl/Kx brZCoeHiCUybi7STQEI48rW+1kLPWS7TX1q8knDosbs/vuXV3kEPKK5TvjvKUNEPEjin+XdH8h6F rAuy+H9kbvY+XRWJvJe65uUwKPLslG2TqBImzkGOhXk10eZhpn9qFtUEv0Ly9I/jiC6jfIu9B4sG Mpm5AQTZuP6DeYE0BqjmQfXnpNJbgGKW5xYyDh3zuVS3B68sCq5poJJRRcm63mOrpprh6henP1nV Bs51GdLq90pM3u4GuVPkSUgkiltjRBiVDXzwy4DSniInqBqozMTnrvK/9Xsq/Gu47SVEeClBD80Y /0YS6zvCHaFfFqUecxMb65mnm/43hSHn3t/fiF8s6i6IlYc4h8iCed0fbGgD2llIA40ePlyOkzXM ImtZSyHmk/mhroQ7yd9zw7ZjniaYyqXVLk6m1uhSrLsyE0y5QU2DrVs5apPdk1ep6A/Z0uZcgMq8 a8Qls0UB2CCU+/aPgYyPC2E+fq0eysoKKJM5oVx6+QiebrIXHqRxUX/RJT8kdS6WhDF1AXMN/qIM VQnOAzlJOqBIqsjQwJKMVqLx/0JWMR51TKwcnwQk5Z86lpgRCXjtY6DCTkirQiEf6wa2OeQQvBS0 FeY9EOJzlyLTJe1Nz6CfA891LFdK/BPcGA7OMvsobSBZhAokotNOXmdTwYAkE2Dj8mQSfmJjUoEa StUoRIlqtTSqH37+Vb+WWk/w7RGULfNZYdF7FHfrFCFUjllzGB0bftpFatq/v2PY06N+Q3TMXfXU hyhD+HPAOkVn42wwcKn2IQr26VgJXZZETfit/h/L2rHF97v6QVKmHLaPxuNpmekn9Agr3DqDno82 ZRbzV3xSMxqCYuu+AfhEmLRdUIIcPsJW9u93JN44diyncS0wlJmynvuNvKVA5C8KzVEVCwA2IGWT i7l6fLGlSmChBVz0INfBtBGf8R0MHl6FbNz3lfyg6/c2I6Wi5l0Ar5PURM0QXVsFbpTzTrBByqfc BmQj7r8tDkjrZxdOx334vPuEIYa5rMu9dUDYrCmwFhwI/y8gXekagoyyYY/HEW0tPmfdShXBPMzd KIkiA8F8C7nCGlMlfm9S/kGdIrkJ6Uvrm2xIRvbba7FxTn1dt6GECwn9MpLbLiaDEnN1SW8FoInV X+0CqOTTASo5VuAfeqryj5FgAPXe1+OW52shT/SIZyXLGwlphNMA5gid0f1ilDrP11FA1nvP85rN o01DaYFFQ6qxhyS3vT+3myN7fOn9e5nZraKyx7FyFc7zuPKrlIQpzVEjgCdgAO5ygjppY/64VTYa BBih1unKlz+wlpjo+THyzUhusd64PuIfIYez5K2WQ8ynNWZdYL+cTuZpIE3zC0w10AKsxdeutlWP ozlwRqnSZb4G6D4lQ3O1MhmnaFS41wyhW9fT8zB69YpIDAOGmZo83T1DjOeoF9aLAQAjR1LpcnbF hwihk+uvIQ9C2dFlhy8bT4CMkGeAXaT4frKDqK2rUoKasKU2EZnxPpJkxK4HgIB1Fj9XUjmAxXBH 49tEFB4XViTEuschmJodPNZZqpvOiv0iOHi+dohdq6NEW/sAFT0mXNE2+QdMO4RSNErg6KPAHGj2 UnBkmat4+TvjHGpzGE4yeCEgjGp8wmQ42J9nQgamiRHEuUBG/D0HmctdFtrqZ8N1J+xnsK2w8NQ9 xR+wjkUqRqOpEKdfXLNhF/z0gJaY5sjplV6ersJn7DAEz7mcNK+WNIgML9dFJrCm8L5j/xPa87A6 Dxu2bRMrn49ZEJwmqX0zN/35ldi8h7ymdq1vSiZ3hbh3wK0JxjNOpZ/GxtolTsOyBHeEA8OxxiNp L5q47vKMPG5P7QFzEnwa8gzap5ucxD+6pa54l748jGt2VwKFJ1RRr2LsXFZeKdinVSz5SeEGcvFu Ydd0JoS+QefwSDzKg8dZqw8g9T1lO29U0js/o5T/2djtp/M5GTvwDJC0btgOlnAqIvhVfEcBilFK BzDY/QadNN1H1OGfLrrb7Pnebi3DB+PGas6LQTnQrJjqZxtXXjsjJPDpcpLxxzOSdKfyCAbQkvmB /wS3vXLzexcieCb8LOFgz4OlcG0hLSMmN74DopkabCCLRmKf4qfYtQpH0XdgvQOksgrijZKu2Hxe OzDQUjRduBihUXYvteYEdflOF1vu1CHSSgivi0v+wH/dABDe0DHzhYmL8BcK/vhryjgIIrB4+FGL vemMQSTtZO6BaRlySyERt5kfZcmFE00JXfPsXM7ayBkYvp77fR1fAh4xuJ4uwnrbSxVPp4SuvhkE 3n81+RntRZSA7ydDZnPD1WfPH7IpYhi/GImr9R/t9Kjz5bBmlTkC+PIcpA5+GSZZKHSRcJ/6stnx CDwBEaoP3ANtbNZyfAmggC8oplBguO5lZprOQyxqJmfP9z8vdy93C/TiONm2yeKdeKx+cIWFa30O bmfO1PxgMO3MBb+oubtAJR0/85lQuLWLENpL6mFD27ELKsFsqXzrWXD16HyzKXOQi0v7mRa4L5sH A8ooownmHngy6loSTH67/ZwGFyqYNpLEIlqP4/Es547sYgmkt2ibu29dVK4Y3Bgg+RZYL835WgTn irNgzDMQI/51Ut3M2yxwmccbWuKtQHI1LwdlO1ybG7vUfjx4r79UGEXqt7TfN1XBUamcJR+ekcVM i+nIuB4lK6sE2y23AamU/yOmK/iT0CmKmS/oJLVwtrMdF9qzKwrhP3qabmfF5A286GFDlzQrecPp dGZt3jqxo0g4a6jNeVRRHga/10ZqTTnzbf0CLBhe0iuxXOaQUpaThxrJYm5fGepkZ1gydAuoGkf6 cs2LaRSVfXncRMw90iPLZI+dZjwaK/RkO12/qqzeG7ALT84ypHlLPF0NXJZqr0FBELs1HdSdXFwd aeiD7z8z+ndfnHCkPKj5UwwLZILawVY/SZcPN/l6a3//z0JEKnn0FtEylffu2yTvJqyxsS/rYa0q eBofGiI3lU5+HnRJyd1YP0ynRaut5TJVF0AzK/JE80UFr1VodLLVImFYWIj842RE0Ht22HzTpr+X /VmqvveyVADCjb/RysN3Ik0OBJ3xSr+CMV5Q4MjwjQEFoLxc8W+MUKEksOgNBjwhGHimmil628fX 1gYPvcrvIeLg4SeE50P/Twj1ozhJ0ow02hJQt5cMq43o85IgtmSF/S5OclCZ9kmB+2LYQeyRVO7l XDr+ZOSAuRD5lvemZ+QVJu3OlD7CJNbqzXSn8uMSzva7klSSyKEWc+SMg3emTkv41gZxAbUkuZnw 770rroZMLSYbz11QWQlBSD8vmt8OkysgZ5GEGsI3TKqj1sef5DS9ud0qhuMhwJ1AQEtQ9pBbsYsL 0MOW9ZCV7gFAn6Ln9ASTZhWnwwwDGzU2KOnwOW6z59X27hw4enkuxHT0SxJFK79BD/N4G5e/KDTI jEkHbpyo5rJP7p2+MhWI8b7sGCQqbplb0tkqWRe3YB6h9j5YvE1eiMKSIrqeUcVY5OKJOkL0CNn6 c/kgmm8loz7qmcx8mi1v0zeiyXSmvNLca2ppsiyV5M6Qr1dFyFXDZz/vzYBPg5ryWKpucbp7Qno8 62uwf43VQn2vzslsO+zmEcY6nmGuHH1MGSmzSpuYm4HYMH34bVBNCMydAK2bWTcQynAvyvwa/Jfd GWUWCPOpIp6JP2PiaYK6BfRs8Wo0fOQ9NVW8khbu7f4gUwiSP7GZrVTv/hE0O5JSWMbQj/fLB/ur 2QTqvh3bhD8tm+cANG3VkmVxJHlEoJk00TDWziSj7i12uqvFzctooeaJhBfCPmph764Cf74quhhm 5p8hKrhMZUyeHjjSnNTDu95vIuJP6Fn6/ruQHjcEwFc1wfVuhsk6J9SwU2r+FJTAl3+yBkfiZQ2T qYeex+caCCtCzTSoRwgZ0PAgl7PTQSiDGBpY5ysAFY28ZruFOZKGg47BgFaZBRNte1QHW5tkMXAS OlvLkKUakmmlLADWY6wPp5R900zB3v0pY2LYS7cawoRJ1VVAQDrLcEkzrk0v2Y4ngWiM4NvXy0+h gf71mGnYfHKLy4DkVbfhHfUZaSoBpQP4+nlJg3MxWsqdUNb6UfxfTyM47bE4V4fHEmFyaT+fIAVa xG6QgRsvxYi9LNBij341m8mpn2fUx5Yh1EJ47VH59ssQeXGzMEJQA3alwbrrvXS3nrToMwFF9Tan erEXi998s/AZCNzQ4EWXI/tfNK7V9bxXf2+1bfj5lQQxvTPZ0i1wfzC4X9l8mSLAzeTHabY77ji8 wC5lL+OCqZIo96EWO0+6oGUA/0J8MxinZI4wG130ud90JPssf0886ZcUvDKupCLGUThUyk9xdHat TlL64CpBohzawbI0tADyMBU00exbmP9t0Eh/lZZ8wvTSlmCdlfzTCotPWYOfTIuVyLZyuPycq6s4 WQ+9wMyzBpktUmXHCv0NvrXd8gg/+4R4/y4xpiwsPdEzyP/Cm7TDUHSqTbH/+0ctDiu3Qy6VeLy9 BjTNYC4QX70oiqH+hKdJMqDijWEHA/ofhB0f8dvNc75mTz9GoLTr4x9GeL8uKJZTBF5WxTJgVBC5 ESdlbvHqUuDUqfqV0lr6NMElmT83gjj4um2IsgELnc+PMoBnODk73f4myulQhTpxa69qa4JmxpA9 cX8NPqlAU5btuw/xlVP3rGNmgJiOXmoF2ZElDGR6mbMYsEKmipd/ZNRkzAxZoSQV9o8SXk5cl352 4D7FmgCa0vgEWM0w7X4ZeP28eBdZHl+w0IEquhIViNmJssqYWjLCYylk9266ItPnJ+CKhd0Fxmel cFzXeA82MNEUdvpV/T8TzPERIEzlYNrxNX3Y1VS8FPY7okIV+qV3Zbg7eMTsGvhOvoWzuzOel3Ww 9i9/7+wgoLZB4pyGRjl0v50woAmYgbGs38knjFDoo3YtfUlD8+IXVBlRdokW66IpkT7vXrL8s6HQ VlNw78dREFA6cMKdUnE0Ebx1uYZPJensfhJRuWd7jro6BYykGkhGEUKBChkGKtcacZg+UoA8o4XQ Ir2D+l+mLVE4zhngRtGsboecOczZhcax4Y6CRAnigl1MZI56Kb8EVVrTnZLtJxoT0ZJlXWBX6PvZ wsI07TiM434BuHBThU8gfjK8pi1x/QMmpSiemIh2Xphun4R9M48uV/bTwbI6UZfmh4J1wqE0okTN nRQIuULhnIBd9DRs9RYy64n2gzCnoayHXSNjJ67D/KtYtN/dsOUG7/HKj2Sh6PghfyYOMH7nbDmM tAKm+4hKkNoYVJB9VlK5wUqFzkHPBYiqu9ay01fbL0tFs2VsUA2vdRBjfq77H0VNU6+MCQ0vEHFx 9cyNpVs+Y5NkYuWPG05svtOPtSkbiJzaP7v0P0pfc8/NsGH0XuOJmT7iE6zR4u1i10G2lJWpkhlt vn5oMk68GVncu2AZB7HTizUqQ7fk6Xd7l3d7Wtd04InMdeyzvgfkOtsWg5BUlpPdIuFCPn7N7XsJ SVUKTnI4jDKrHVR66Ugx4JmcFq6ApJIvsHHrUVaA4M5lF4/ZfOnb+kuXr3vcwuFcIDPHMxfCdr0j nrMxsmelIYnK7fzZa//yg8KefQM/3uGGG7HeG5lHmeiH6nAHiIa5mtzYv8QXa9B5AngysQXkpdUQ Lx5CIQe7gbgKtmTexhPoO1D6wK0gr8W+fcAtWThcKvat4ZZdnF54AGvkNoRkoS/hRN4YV8dUtxJa kJkfrhYqvJ4xE8fVQvD4d1MQDp+DydquhXiTWc8d1Rl22dzdaTzzf8tmg0vsxGVpJ59O8quNogE/ HsHnOz5yZN6xLVtIlGH13x4EzdyqSHTbYao7GZCU8nju63H7p8QAQCsGe74UJJV3GuNbLHKcGxw4 02UOKXl5f4tCLUhyU7ffqvszV4otTHVkzt0cO/MVPKczmu4ALOyVqn25bPuC7Iv5+a1qlhf2Uago S38wgJrB79Rjp89uX4imWv+zkACRbmepF5IJZL/Cgr0FH+U6JbeV0JrvwXJA3dctJC9ygjZAiK5A dHYBAkn0QeUAmO5HwcKhs8Jsiz0PADcGaLxek3qt9xbV0XeYsksrEDI+Gh0SL/89bluSutUytgj6 sATIN66tV/NZ8knGYs6FtINmiHhy5nfUTThX8ML7dqbxVsXX+Nc6t/06qqnM1xbuvxrkZyqdSDlh givv9XbiX7TO+luXv8dD+tG93agDvO7BmlW/byI2s9U1vQnOGHhu5MsKPf4WwwwTKkYP9Pyc2X5u 5AG0iiJgDzlaXDzvMhoP2o/rDR9Z4ztSnYvd3D0TslMK4Sg8eThuAvkTYKzpkSQcMYYv5Rlzlgd+ 7X7MD5kV9xO0LOm/lQCKvjDL1h0YZyCbbgYh6UCVxDU7BbfYSaIREYFD2ZqvlHFrpJcPFwm++M2A pk+81qzdGc+c6gFHlGggLcWoZXAVHAIilgoC6y9fQn7syYR8uW1tnJlFPG/DupNDDAZqwo560Iko JUnbQ83cDZHsfU/qVNV0SxP0hEtOuSf7LELHEH3BhsIZJRFZS1H0vb0jsr1T1SH7zFQgCyq+4TOe hk+NSHY1cHIxzqHdKjtoe/zuIaYf70arWSvySB2qG96Adcxh8xbtEgCu3ZL4crx3f1FtnD/dbP+I JT//wl4q4i7+ZLovNPaZGgnAyKXh+3vZeSeRjwK1hPdUl7YtVo9IseekYqbDPJGHQgzoSrbH3NIT 0W9HGPWa5rTJnG68HC99tq9pHsz7IL/MGDQTNtkGpo3/UVk4NOtkYCM6EzssOLftSCHzw2MVMiAn Icn0OomUPjL5gliA6XL/2bwuV4ZgUAktVe8mZGMl/VEEo4rlkN2bGl6njsFKBqCR7U5Hry8PPGeN oGBm4ufyviVL3rlq3pOoeuWWobmv8apLiX4cTM24tKmO6/bNTlWfPZi+WZtw3iKIYtQWF0EXWw8i kmZgQoJeek5d7kXrOY7z9tH/KhFDN0jwFeaHfTg8OXFKh06dTzI3TizTr4K5ahBxcM8HNgmws2Fp Jkgaa+qSLQpDLeXOtq5/IRVQH3ZT4BUSapjTbu55bTKva9gllZt984BlxwiX7rN1Y9oU6/b0Rzg2 t6kqTqtt8V8lGdToS2U+Itc30npwg3iR4AwjBkz0b6i4ndfc2CJiPF5JNk6H6FLTJjAkGIwSOf3d xJWbxU2OXyMu5PxE3ICbaF8M8sPGZCysgsef/hWEqKPOXosD/qahtogCTJS63y8x0fiEe7ARZ7gv UEbqmKkhI7t/ZnCqNfuI0+MNKnuSMEZ/1/CbW1oVJ7P7ZGq0Vd87cLbKuFYyOFAxLk3SZmncSXjL FnZejpUnFR6ncIuBne+H0gHu6aGFI5n/J48+Ch5TkwtkksB+Z2pYC/TOEMAmyKCDintZDVYpStyz 5zfeCC3aXdxk+7NOT2r5tL9nqj4LlRu5A1MPVc++1c0HVQvso3HE3Bia08JoSHzkMbv8SiMMlCKa gz2V9S8yFWzO7nUiYUFcZrvGfsf3q3WLDpd4HbF1nVT2T9OybNUp/pVOZRMpRiAYQJK4F1A/5bEm pUhp7Vqfvm0dAtyH6Z5P8QN/2bKRWLBYW7EelCdBvQ2hBlXAlcryDoye75RSs9ERSlII13Ko5OCT zCXeGgCrMQflyfa68llVk6kzxqrtZSyOxRufrWdbkkX/EX+AoaROCxapTINYI8o1Wm9R/vjiOuCx t13/DCE9lKdZweEG/aIizQMQIh2Ag3qBuiUEWSYf2UwqCnareB3WAZGZmt+lmnVFqueyWO50651N MN9TC9NDOmSdIMTF5A5rYU+PNw7oVD3pJY7f5mTVgGZdCGIyRwxlC10y1vK4/7pdtBgyVrg0xI99 pNrZPAm27ybx5JZupcVSIiGaNNRgcrsmPyYDWerS0tI1n8UX0HyLIvAxG/TI0ObqcH4OoYlHXSkb BupDmXHtueUnW7IBe0A5dTAq7wxS6uy1t7FOuPQHoQl6EUtEroFkJv7yFrxtnUwP9Pc0h+yzhULt qo4ROJusWai4Wi4W8p093/8QSK6CY2TkDij/nniwQTYaYPRBwOWFPzUj1l+7wtidzkssct9i3krf sAwV52PrTZ0el98OSeh7BFeS5Q/Djhdtk9nNaUN51PpiC0a/iIh7eDZC3XKS8H33LVtL3RD+gfZE OeHWKCANMrFkT7tkF4NHU0P85v9+pnxfuEODR29Abg35+SDxKYj1DUBXsr6wWChNCfK2GCM2Hfy2 LX85fJYTl2JKSrx267UhvP+pkskUH9d+9s7Ql1u2K+bveuQrjdOMLCiWqSpF/sIQvcy8vH3f6Zjm x+bfnUG8FiBsCMC+3q6o9/bJVkZsnRO5K/0AaC63o2+27R695SMJIOCWVeg/UFyvNJ3FylnQMoeo XAQ4vwqtqiAjDGNUrWgsSmINlK8qKNlSoCFQoDDRJurHoOlm99fvCHLDSupDqhRpA6Oi+BcT2wf6 aXBhhqoRbXzboX3GBsSyR+427EUK3Sg59L0B8JiO3+XbGqTbpTCWUomoSC28bTh8EJivD56WcZAa SewOGz8KETPhZfLcgoOs45/YLRykIQW8pgQrQO8l+DDV4B5LfDxYx8IaPEAptZaB7RvMDz49iT5D XdD4hV65lJh1cUskb9l8tkWJDYrJMd47Cr8e9F7jj6dzH9dyzFy3G94bIeMzjqFVpsiBTB6aBy/J OyXmhkx0UwlX44Je99Qn3BNHcBZNHzgXdp1xF9+V211CuwqoTr/wYwXng4T99Nil7fZg9L7GoPAW BJCVNSls3GweBdnfKPZPT1Dihhl1x8dhBnyRJKD0dgpX4bJO0DnNX/tzN3Wa4dR+gJ1T+CSkqd/7 KZ00vNkbjjYo4UZBD7zvrgATdmYHn2K6cOWd9XfSw/YHE64Z0oEkQV837kq3PwzaiWAsAW8Go70b F0oeYjVQdTqlm4g6gmUoGAOQJiJIWGL8Ni2wAJwS6CqkkJXdiHF3T/KUjy1yLkxZmb+thIX/GcUh zjlQ4yteonCWVa3OX2vQZcDeUBU0TI8xaOCnne++vJKVAt1t8/Aqg3mMlKMt5TR13QBdog8LUwOr uRqSTwg/AASMVAz6xFvS590IhSd6yIQi2G7AAHRAifA5BQO+uTRSJgyTQCUmuI3O4YcaW/TQ5upT lIeP5ywKYVvVx6Pca5LHDz06fogIp46zvXXpCMj82Jv/GWWvKNdZTBpQMt/qhLtNZ8ZFojGJpPQb 2iUxDoRCn238x6hyrhlzsNKnfhkg/dFij78bYqgBE76s29mAJDmAm0aozpmAqQnbqMZg/fFX7bzF XnX/wt9A2olvvZuL+m53eUU4qFDwNy4QZxilJYE0377/nZEyvRV9HuXh3yW6pAlzrIV/X5MkGgcv OqzG5UNVDr1VjVTbhETGNzrxV1cdLWaI2lwzCX1NJTzsKMFRDVpBcEnXtwwPm1ObgWkfHZLLP3Kj XCU6rEY4ZOfz3cH06jiuWmgmDBK74ueRNP5PlATGE3VvCezt4ph84idnbUW02xpbs61s+scEa/4U sLmkAlcj68pdaKw+58aeTCIbOV7zzraHPxynQx7bHMZUlvGrIUi8HeXjP3W/NHVrxMIKaCUdOPvU nkL2b2QTi/3ASHW8hbUrVqDgU9pmr3E+Xgd6YhbWwTCJuCznQves3sTozvo3K/DZBlo6mgIAC9mH QyVlyaBXcsx8NK1IcqOMmiCGq6B4Kng1M0kIiTig6nN/zH1roTQxEb3gZg/N0npzAY80HqznZnRY SlsY5Us8dfwXJnQt9tENEauQdTYpdfkqh2cadlcTucW+7zRDp97Yj0K2hDg4HGq9UxB/iYGWwvLI jo/XaPsaFxHVE3oTGuDUJaoz3W40+bHcmQwxet+5YPVM5NxO2WNNVafBXhDxyfPJ1pvrDv6t6j0o mbcenILGchxKUL9X8L8o8BpdP+/OXNdjFpwwiVDipn68faH+ch4vYsr0/JSIztulFYhV+sPEVY90 sYw+Ab6Op084FvzgU3BgnCRUlP6zhCaZXKo8Ug/6FBE8Od1tsboQil4YWYMcpQqtcX5FdjSkayzj TguPCXZsF+CzNRfjDonwvAowF+geVqz4619XPUMN+As7KiQfxaZX8niJGUVSgHU6tVX4J2apaUus 1AbqSSBvRQP6gf4Ky9Z9rmTFNACZLH70ZWKqHn/dxHik+tYB6P1KhcIYvYFZ1LPHedG4NtZdifp3 aovjwlhivXsXrolttqsi7yGJuzirtLnH8cFgBNEc3zbCQXCDCG7/Dr6Iw9JYZr/1vpITMHUKBIdD YkuAywkj145tE8xA6MkTRfOl0JrMUT/EgUq8+XdiHH+a0nUSlqLJjk6eBzxn6Rt9401hhoQU806Q r5aFT1KT287uEwyN4a61MxvH8Ohnn8g6TlLxeQNJxU7dIgG/g6u6ff3tIeNFkB/YBDhWfXef41Ct dwaMWIJ9nopDjWoYCoTa1Bs9n0B0HyKWd5Uxgcxo7oN/PsCkfvsotOOp481CaIPO/q8xEje4WRD7 oeF2nXiRKGIzFvoYahnTHaPmIVKoaOorRMNifFaGJ68+DOtVv0lRRFZpA5YiseTqoN5GjH6P8MNt Dp8zW4JRaRrPdEZONE55GuZdCU6M9Ae4TZsfp91zzcU+XkTqAT6C1TYN7wpjspTNak5JvgRJH176 0hk3EBVEiBnc64w4Ybd/lB9ubTBEYzwRqKy3q9NAumNP9ZcESGyFIuaksD4xpMm+7fJCI4lFgP8e e3BPu7/Gmc3G6YhqqE9B2Wfcyi+Q7HkITVK8oonnT8lnI2rhfr5pc6H0zuPwYYrZwsCuqW1jeNuF gOA9exA3zj/OaDEUztGHG+Dl4FcbsfoS3EEaRguxr3crUrb+2daDiPK2jq3+LNOriVhPBPRbA2Jv /MNc2pgtDesQclfvrPPmb/MFjDL6NGyQydfiPGjx9+zBLQ2uDBNBQwrNKXS6i1HhoM3LyNHQJYi3 U6T5kyUSc+blmdUvGk3Kh8NiWt33Kqj5+lWzXecjCswevNynmWm7A3XqWAhbsiFIqq/bE89Ko6Hm U00M/ud/laiZP9hOV1yDSCeo0T/Aha3OPT4WkQrxhjAXK2eZmAy2ca1ku+HbC3TvTKgBcG7vofTw 70VjDNBch28N+vyIKvRZgmK2uWwZ2uA30SpWPiBoIY0c7YiBF1JRZggK9c4AjzFa/1y6NFeW5tTO 55JoJR7GpyG2zFmQnEnYxdM5MXzezQThKZhFbtj3/Oq5R6J7FFa2sYPePWTlOMr8ebf+n2emIOzV blfoGPiIT6LBd8nrDQbFSd5mp/YUGamlj9jBT2ji7RplpHmzzSpCCRI4IaWqcBT42y4kDjeJOmjH X7YkRj/h6bvStuDDf/CVFfPRuslm7xV4jelH/Gj9y7A8QWc9UB3TrhvgLeWRcj9Xt/xb3MdZXPmP LUuwhrsq/dbEmFkt/UBqpC3bPY9/l1ENiDuKB+SaqwgZf8k7n0ZU0TdT3B263+B/i67c9rRGnrxN HzIheu5CEbKLvW/xu8NuqBIBjGR2NSvU+B8BulnYfarwn7eseHKgSt0pLIWZ9CCMdIfY4uLC9UbT P51iwihABfpLdD740HJtCO+TbsTW7UlJRHHeEiJNYErW/y6D9n/y3yv47r2sJyjbbkSvBPEXaUKt wJ6jKaHTLgkxnk2JjKM25BpVESixAJyxojoJzwKl8QirV7XK7b6Eg4X7AoMzqStFtS/qIVI2TkIX AX5wOwz0LHAt9Kj7Y+tgrNzkX/y8tS3wRoEknz53zbYGUfOU7AybT0KtE/GVXWppk3iAnUDkldv4 lg9ufp/nfoWxKYR8mic81h/eWWXgaL61BdTnLqXcofvTsvxYEY0WieMNjrSl+AGHVJOi99TeIkkb 3LyhZJf14AzytdDwhA4ViSFmqUv+SFGjvnxGzP0LOF6SKmnGACD68UnnRZy3taVZ+ceXAvTrsSkA /mh5Zw3wDkySJcBo3f4iqD28G7B721Q4SHP4iWvu0ob3rMFfUR/72tGyBsINCy3hQUaEf6yiTZIm Zk/ENGmOLIVupHEywv1J5DwrbL5K7geQz7JcqBHAlNH7vUxB9OlpO8u53qx9k1bG/0pS/tZIJjB/ U30RjaQcba3wSxaL9ly8KT1UcV0dZW++/uSUIdjwtZ2nxGilYbiGF8VTq8b2Ry7IS4IWF6SnDLVu qhoA3w1Gy9x5rmpEGNYkWl0aW2IJ6mNBPBd6t4zsWTC5WcS8+WVdjqcCMgCJ6k68SQYMO3kIEtln mIlJvOqAPLeTTiWNTVvYF5aGDUSQ/9iNRbiSVyogNv4Nxyrg7c/ouDFE8uuyW/0piF+JJOSRsppm X/D+iUlfnHfKRuG5GxW8xOI04esodxKBtmaBk6B3l9sAre3MHW5X9f/HmNUv+eunNosbhTMUK7OH /asnuRBcbXgQZUIYiXnli/UOyqi/yKXqKa+3w8JW/Fuy79UCdHRYBh8znnWSsmBCZevq1LpEiHhA WjwmJYRaKxrE553YaYWTAaLhpWGzTtUbEvV4H6NEcnB4hUivHu9FHnEhUn3MSoPKZQGdrYIoIQCe JdhAplgOVYHC6gJ6dLk7Z7sGoNGkzq5McoTDTnCz6w1YpjNML9u55j/WlgqweMc9aRQ3M1NyVRRU dbRIym7/eR0olSupHaedxSCKaN/VzFMEy4PaMscWOPo3KAxek5GdOzOhuSdDEJZGiR4krt0cQbFp KBNrkJzpMYd+KL7srlC191PGyEfHzmHsgZx8HuIMJXXWbi7Wv8R0DEQfbxLO+iU71l6WNiJXQsDw kQNotZOfdi3gACEk3evu62WoSd49J5A2gCUBn+bAl3cb906vnFUgAQ3Ka4ZuiDw802gSDDySpWll sylmaCO92ttOl8Zn3SwaiL60HRuUsPqRv3iNHjgf1hW7QAGb81KQp6rQkGUjlbEux6ixo2PFe/T2 6kSs+baBh7h+G9rKw27bt/Nmxa5Jd1sWVd9kYYgzQOmgzIzBe6bBGTtLS9d6A8iavSWcOyJlCaBY 120+f01jZz25nP7MmJJmSiaj/zGdTyanRdTS86g2S46vnNkYVNcLW4ilf0dDW8a21WSanvehD1xp S3p0r76V+XdgYbqJFlOZDDooCzCwtCXZ0y3h/gMNxxjpy24YHN7q3ZCkC7sn3jwlKQFPIy7+V4Tu K8trCRiGjv5LF9tAaVFGviLi55LrHlHmxTEnwmx5vK4kiVScR0PZY+AtfHOaYDjcDu5PqgDN5f5l 3xCWvZk+0D8Gtaywg17LydI3fk3RI1B6XaVcOuzU0op6NdgrG4MuPuHN+StdwMO8haQ8u8LCd7up HCXkAqfWHbXbpuiMIFzOLwZEDiLxkHpVqXpkZNaPMXMYrHfupalra1xO4nXTAZGOQ/CGQpyuZD6K QHPajKw7Sa+PJj9Gb7DPs3WSBQyAsmhNz7fEWIh/Wb6gtvO/R800YKUcHmTgTYq13cskz50qMpY3 j1nuqW7y9gnS5qvJAxzvrLuWIraI1BVsrEFI43orCwvy61snobRfBmlzGaJ5WyAbi7TvQXgsoTIo q0JMAPo+eSscig4o/wCtz7uheCUlmbyEpyp7Yc7vvePGqqRxSRndQI++K9t4Juz++ufrsnvJt+Vz u8mPLWifv4MoQ87vv8XYF3jCbGS6uS9ccERIXANO7SpXsJIQrN4NwvWRsEemU2OMDsjlKG/kpPUg TOe6ljgPVNGsfznaTWx7ruxX9s9tAVOOCHb+T1rW79RP6i54i+1jYu5X+KEjTa58Txn6c1FvCBIS hDFjUAzjEbzMt6nVyLNnabiYd/0I6HdWP/vXW9whEeohCCZvf03qDOa3x1AdAy4GGltmeTkm980f LYTz49UO8RVWxHqC/7IjpUlx53K8xkHQ3te7A6M8bBh1gMF3oag8MeKDCHtAULbSePQfM2a2jLA7 3MjExutuY9iqeM9jt6qru88tJeVu1+kN0moe2VC2lNDx7vlIDHqtadOavd5JxGki6uJrr+ltb3vG +bQ06hRHLsBu61q5ylO3auMOPFUhXSqp4X/5LEl+bvTuBerZRXprJt5+peeRY1RRZAqOPbE9HFR5 NaHqlR+n1MwuFe4PYbEtUqlI7Tq71JFYJFYUBpX0gVMxiCnH7jrWAP8WIG3CUL0mJdzIxG5GVbhy D8UwXeX6ovFRsEvV1ZyvQm7DSmyOB0gCdANo/sp/37hykuB1otedi9w6NYTKNguvMTNuMX3Ds+uI SngxX8fGUVxW4w+f0DgdoYR35TmADzKX7zcOArQjEgjTUjZ8p2+GPH8OGEfIutL66cNvM/Ry1kud H97f+fcHJpECdxS3+UYylLD+4gg7TFKVhDlSpaxvMF7ZtqJdcp8VnEvv+R+hlmL1K1XGpyid/g4K zfGSNyY8FEjzIYHwNCY0E4PnRSnOOOvP0MzI8hfiivswwGs7cK61wYVJuv+SspwafgTPXi0ZPCVo Okv7a2GjBkm/XGNlBglaBzhsfNOgkt/GSqpfLT3wgv+AkaWu/yD+6XCou+a0QhE1gBw+BbYUwjtA qMIH1hdXhYHxCbA+MiC9X4k2eg+fpgGUGeQkNLtiDpSne7Gc7ejpESdw2Q6Z1HGbS6LXWz3nwQ0l HMXwjZCrEuvar7Gx6YzNaZd6ZpD+fr2FjAR+odQp6noGip4ln+YkQpc/vtIjai4o1rCc8VifTTiq CuD561z6m9YngaRU9JJOsCczmL0h3bAqh+zcLJTqA5bRfwxUFXfcSJ/Zqo/PO/SEccwJFxPc/v51 Qa3MAEP2leRULMFWCewSO9/WWRLIqgPI+Pl2H20qBIrir0/8PDpvObmhFYtUwtKlYhHWLCifSo2+ JNWYEIFIwe+27CqbmKs4j6XxkjJOvQKr+CukM0+FD6Tf7km5/uRL/6O9GYyqrJ+wVqmcQHfO2ZK3 2EZZgtPdiXzlMn3UJUNA5h8hYc9o2z/W/Coir2ro8/mXz32KDa859JAIqyrcRIDHVJPGNfpifTMm kwwqv59MlZDW9HxlLfEFWS5I1x3YEFsOQmzj+1W6qytwUteZg5CIJKgVqcQB0Ow+jQ04gH/j9g0T +I4WHrqNZ63Vlq2J/2AGi+VTZb4l48pE1yGyBFU1Y7y93r/rebyXYj2RCpjvaZxcJQfcDgCwYfOC ixMIiloux5sHQuMKPzTPvfDGb+S9iw1+aXt9cHGPYfHA44wx/LDdkVvHJx3UOkvdO2PkZpuiQA+v WC3QBcUcI5XYgcwUYInBaURzZIBn5Oxser2Wbflj6vhwFdqSH9tsl8Yi2gm5inQ7P7/8IPJOjTAq 9CvgTYtKy5W4Psc7lTGWl8Kvo3TeNg0/Ku19UWIHsBXA+kRUoMe+nkcp1IeDk4B4DQHKJQkxLsla u1kKFuRAug4nx2V1pZ7tAGsSZxQf6Q0eYlU6eLUWEaap5GgWLDPNcrXZQCCvb3aht2D8tnpUEzpx g7qZlPTX4INhVw6KdxQ0CqIp6gwKHY2zmeVqUd14ZtarX6XTXy4TrPF2g145XRcSpgrEY1+PSd3Y j6C81H+zDDVVBHR5hBDWK4AhjajdhrRu/hvSYsOclZoaUO+YK1CUelTJl6SYkjJRGgbxep+j39V8 iKU80i7oV/KPJM9gbtIGklQA2lqItNc2Q+2zmC1hNtOwLXjaf92e0G9tUape3zOPPzX77GbDLeJs f6KfKoP5bpNm6YnFAhmwMa1jEmVpkB4DlBNziY+6jwhuXi3sRvUGGYKadaq2S1EO7PELuyKhtWXl X1CHUb/nS8kc6OS/WTZOA3e/XSzc3UE/d7golcKf7hgAIvfbPNSNUKXbWRLGJ79v93GuOleEASie T39wSfJ1fRUGKikZw9dEvkhgUBWvAbguZBzTAAUDJffgmAgQvQ5HE7jlR9wKTq96ozqYAaxMP9aB gqOusUpPvunviF+C89wEG024pnlZxhdkpXIyyqvyGQQWA6Sl5pCc7NzHx+ZDsJQIJfM9YkSa7H+O Q/oFfmhRtvYcZdzdFdjG8gKN0HR3zGhhkLWXzTifxAraZK+jhCgDdK/OJ77OfQF8zrdfiYf0VBu5 RpXOvanQ9xgYYNmvU8DjH4uN3A1eYv7mIjx9EYE6kpRlqJBVmCM+94yxpOpI5NwntO2yUDTKKXdb 3sUircOyWqH3Ay272SCpSlUzVXuis9H9LOwtHhqwqoGMX76HAYk/CVcMDIAUjVL+A9jASOrhjLwD 0uxX38ebx125bd9H5vP1jKJLj81ixaz1Y5jhSPy6lfDXQPvZY0J5W7rHWJqbryb2nz7Dl3fcIkPI OCak5FaxJMV47+mmG7PuGkizfZPbxPm3/byL2GBLf2J+AAOPsv2wW0EPYaikYMl34oV4d25a2u6l Ne4YHg3FYHX9cRGGnKlwudsYrKzH0vAl86v7NIKGeI0uxgY86NQ4735mDGAenKeYru5i1P3RZGyE Nqsm3pPOjFZsrYidJpnvbFV+SozxtqmKnwdUri+AAT9vbGATchkN9og0qbXNFUPXm6+a7iqJTUoK +Qy0E4S6sqFuLkuv5lr2Ifg4Fpu5zMjDVdm5ePzXLbKJdq4v+fbRLV1m5twU5igetantZaANg0Zy ynUouqyimrzh9T+WDbCAEwAoHVp5h7oZYij+HtIcz1pjuooWGIiGvJemco88yGe3BXOB6ZcC0YM/ 7OFXcHV5Lz3Tw7ZJNPlgQPf+jbaWj7b8lEoz/ZiOAPgRwLOhA3cPLWDuNxBj87+LeNbvgFVhGrrl dQZeYlelfdm0nXVD5GIwYMCAUUf+qMaPNOr5IL72PYWKF3QylYgK4pUMwl+AAWS/zmirusDR3kqi /F5aQ6wIqAu38kTVfQ59hbnJg5mzwvQoOy3UtMY8Ccl1Rkn0kpGK32nheIPkS/HbB2f48RZn5t+5 EIANcexqoLVUdP7vtAvhPSKryc4PHFiL/nOWPBz1Q9WyVcCJL1MXXVyRTjTcKueHYNncbIiaJyZQ 3PNrrm+Yz7RnXwxpcq9dpTlMRaRjNQeU9Q7ky2bDnuU29Rs0QpBMjhSJbksMOpzXdY88xPzkEli8 QfCA8vHcaS5JIg7iB2/M+G7FfPXKIkTfJ1GdtdEY7eenbfmOPFLVrjrnA1QEE19ZdnHb1jVRoV9v riwyFkSlsKAbjHlWMKaL3AXD71g0tUfMbHi547hRmv08P4tQbAZgZKoxV2JXEg8dvPevvSofWtdh 6uwXco/i0xouHKRwY7EQuXSg/KA8nfMA0gKluvjSK+G6wrbpImzsmxs8ZpAkhbiHgc70xOwi3Pb5 Mf6zQVxlScOiJ/NX/07VZdXiLg+cJLN6OSpF8mSP1/D5duvJXT1YpPYINC6qz7nMZde/W96mrHQZ Alagx3ZgHopSkJRyBHm3lXgT39Al05i4mApUiAO2UT84R2wkAlrCERxRTDbl6YEXY9dC+IlgcH8R +9mqHiFx7kO+/UIX9dwIwDJKWr5igHoR32fL2VZqR5LyMeHa2iLpfVSpBbQH14UPx8v4WdxwdnTs ZR7zycZxRxO221XHXkRz8PxvyA+uEtix9P8aS0MgVi4tS1apxqu++PFI/N4heD/O3Oo5HhDIkYSc FvRC3v60pioXZpcMnzKbKgEY49Sn5FEy3phpLmGD4eWEE3Ov1owmEgQ6yswWAXt8ilkYJUs/6Uq1 j6wRP+bs5adOizYmfqinwIgaf9kuwsp7Et6tVXHBx2U2FxHV6GdYSyutmROSyMXBY06ggJ/DmHRS 0D1gR0XWUQH8u8b4Hn58DpAV78I5UWfgL4Q4UZbzOCvCa06i1qoiY7ZBbLCcfMLFlnvE7XhBDvwQ WNCDgnr1ddXMsl1xsGJeDsZz5D5MT2BGG1p8IlhUZR8VhLED++ZV+vwQsVaaBPlTOBhQ+q/9NaRd r0sI9qn7TZH9JHPq9jxyaUL2vnhjd8ASOaEZUGYdt8nBQD3G4fDeTw13gIHWeW4YO6qPQxzuyRcv JgIS65lFJWEcJ501ZKO9AuMonMtlVIxVzq0/25TzDSFAqMG2bIx8VLbfIif2+3yaPvUpiAY4O4AE Ep9l1ahP6m1nkTvPLYPdYn+yTFz00KHFNcPGDH9f/NnpJ0QZCLEoiw+ZP0v+wIdYKInffa11xPpn DGd4bfDxhKhIuqycNcNCfBhlS973wtRv7FYbAZShniXBeJolpQB/vflq8QvAKUv9mynAAtEGbnbO n1qX9hepG9LH/zpaf66cZVQf9SvrS8U7FBXpm4ftiFMiDGq8VACa182PH4Jop+zgP1nRscYn0umy FRMWZuxMTYBUselFLaQF0xP8hcseM3QdBj8o3ufozTirF+khv2n8rXhR7mVnS+kF48up0nzHi24y K5pXire1k2x7/5Bm8SryTLHMXNs9OlpIUbOiigHXDrZqOHIml8tPRXEqkEWcdsPvEFzIZ45ikjSK Kl0HTG3r4wjK9n1cHynQNe+TjOf2sdmX0sO/BV3NiS1ql2K9CRuuxTtvOPtkrdEbgZ1NLaBpFAtQ HJny750Qr8b8QXnWg8vfpVyUTrO1Ow0Vuh7/7MCmRbh81fJNXc2c3NdrUNRUAaL7xJ+nYfuKMSQ9 +SpLI/QIZXu1N6ucNjOFuxvulfWhWwjT1wD9xkPzPweIMNKTMJMVt6XOk1TtYPBmSXXX/vPzet1v eg86vBMs6LycVj12VVtvaF13e3HfW/mL8RbJzw9ABx3T4ZNGjBt8kntDpYzZZOvVlzWn0w0UbWzA C3zo66q+bTR9e6FdJf1WJEgJzmsd72p4L56NwNriYpW4spPQNZMek9IlVIzSRdplW9tbFNvHKvTv s9Banc6z1xOP5AvXz5lX3MuNS2Dk4VWwhqQiKC1Do6Cxqlw3XnmN9DUA3+1WUqLQI6uY9UjKaCh7 j1F2p33AL9bzXBiltvOP9QHB7lfxvopJwfv2zqyHSIcz98lKXLzurG7YC147L9tz6eV6ULDQtq0q C4Bqigu/hCbE+CTHNxiQot0niJK4c7lzha1+DuR6OnQFJ+l7NH14KGHv6IX3f6iRY79ATzBIa9p0 ddcGviYnRKQYOC0pUbbSfz/MpruuQAGktG8/daJ5H6rV+p/C5ULuUgTjHpsyZq1xS0bBfRW/pUGz DwfKrf7djLIgUNadmxGBbqH9QbeN1lGP7cNaRZ8D7XNyQBAsHpwmD811xiOIIghgasH3WeJMm+rB pMuTCjqmfJHcA+4RKUS/PDbwZ+a530INteutXhGrZxvexlYw/1VqUiS+OM2x6zn65/cRu8OOlsiC op5iFFFXJf4xevTBvwIfK477yWaaH+DULeRSALHQkW5jNS+gRxvpiZu3l4O3pWSIl7dJ+agT8AFK oreOYgmT955N78pgIHtrnuVKycBQQkp/bBjbM9fbX9fCVyDT/W08XsuwyW6U/Jqh45tEZ1wQSCfX E+Ddp12bFep2RVV9Uf4ILMKn+j2cvRAQ9pTS051fHOeUaZSfBzt4plB8oaUY/3aK7deTL0Auu5lU a8N3wBYnOANs+jRJIThPFFBvYScw/qETnH0J1V73/cOCYHkZQFEI3vFZ0MzlVW0t6H4/gLdP4gTl 10keRSUXtAGYg8LUcVHSY6V6zcziIKnZsB8Qr5zOcQlibwaSaue/d23tnXQgDYv+GIqvzJEgzQq8 kdI5B2sk6IrpAlLRrpblu7UZ2PTv69/rIYf40anhUCQG5Tw8qiyyQBPWuCmZ2F5FxdmqFJAY8jKj Po1dUw8llJIENfncSvFRGkbcLkfbreZY9fp7v9wNmSOsqysjp1Y35ORbp8BPQ+c595eNY6fqJzTU t4RmAls8m2T39QC0LAGcLxJj64cJPkwDRVzotsniIk9Sr3vIRak6qXCyRnbn6f9c0iCdM3gtoZP5 IaGCCKurRSHAWmvI3eGydwn9uAusKPeoIeZuUE30Ywuj0wav4eI7Wdc9TyI9YRGzMGIOdgczrTQC 7TFjhSmeYRMDUlbYKpVr5aFg+bhym1eOXATxV+rMbEeaUR4VHxoFy4NtzgM1G3DFZSHLFADBbqbB 5ldyYIy/PhGeFv8c9TFIac2z8LgjnHLW2xEKs3kbxfacYnQ+LLt7eTNu+WorTUphWYkZONAa03t/ 7H857AAe4mxfQ0zOy2D5YTXjLXUqXxYhP6ZPAAtSigZ/njnFDA/MVV+f0PeehcORlVJB4KaPHGOq QJY1OVhETlVJBv+1+aYXw6D92BbVPulnPYCol3kNbrEV8r3Eq9B4pCSjG10soRvioaCMT9rphBAR lg26MMb+XrZMV42GNz8FjfYLwOiLZSLvoc6NlqUjfQEeiJ2uJwPQ5aScLVGgv1GzdVvNLjZI7M7l 1WsTXHXIsSLAuB+VaM98izscILm6CjAmLcN6mwZ04tzGCcgkAYFs22CIDzmAZHS1Lnb8UuCInrJ4 Nhht63imudwtgZojj6ioQJl0k7XmEiNwKHbRNCRD4DCpJjgcX+Get/p8NFNJxDP/nQpSg2Iezuk8 k/mfK8vHQKw03JqMHncr+93+QhzUovFqJmK1mqxehkqFlXAkPVZ8Bd12qw3HyXV1gZ8bBXS50Be3 f9dqlWIyNxELGWxXPhM+ZwT/gs9ukVlQ+LTOnojmf0i1uKFSRpTtSO3owVJYvdEzbYkQtO3JhtKA E5/0rBhpgThT/F/IEyyghCNMymf9Afpx9DD2Vy5+KrKPsXpee9GtXS80xt4R9jC/6fkGqBdR9fWe Ez12dPh3ZXyG//tZUPnsG9BekRzhYeOLwKRdFV+cQ5bsoP3ev3XdSx1bMFwUl4tzSlqKEpdvCg1t ZYEM1S+IqsHkwtlBWm7f29DiED+UnixEj5YA8nPLhZswuzHt/rIWyXBq7OLIpQ56w2aV32LmPn17 LfXpx1MGZnP7kVbChk2ESQVNzJq9xNLS2mizSaJLPFULgjrcUzwvXXGhzZHqYaNoxAJ1kpgbuI8c Q+ehVn1hTZwLtPWhaEvKL50iFa/QdPS/ZXQFCRPkz2NwIJFRvyW3dgMxF8WXapIlw8YfqGWLDnLV /Z36JTdKijwZGcI6yT4ucIl3vqs6eYYv2mRxpjMroevu5ZxyYsuzcQ2s99ErmmHUbZVMpqTn0ewh H5BY4WXsZRfCUBvzz4s4dPkyAkE+2XApaCmC4Y4Sjb85YvdSjV8Ux9V+m4uambbfK438rN7B6nWF qPipn4PngUaeeapjIO+W/yd6u0ZxH4LAuccXMEVInAP3+cnUEpKJaD1ONlnZY9zekt9mop+S/uxm xwofhshjPXOtOjp8ogKeZDmA/dvTeGDwW8O3FC7RIjFxs8/UVmP3ZXumaHnDsO5zOozrERNg8LZs LNluB32qoVte6G579UCzskJ89skyU0nUeYXZuRyndJw/RNTdJGMigBvJFbGeN0IYEG6RrDiwT1Ea WbqHy42AXW9hpdTKhC0tpNN+L7kBB0YNxbJrW9pdUlCXF+oE7SPpgqTdIzxBkssiMcrMOh61EZJK d99nHRgM05TC+LzPy8zmroE6TkY1u8yoI7vpZcQ3RAIamAl+WZP8BHhyzjIGuEEN3uJfuJrcNCyt TDOjixaD88E6OSlBeTZ13UM7As7GdsGUcLP1CZ+IZtb+3afj6NaxTrXm+0V6vRd909de3eKpMFKc V+uXmrn22H54SmC8Ks65BwW8vzeoRKZwEbyqs5S302yIFlGiYccsZ6DEcQir/Q6yHmYDFAQtfqF6 pEqe0iLnlEcif8bT5OdZ13lYG/3IbXHkl/B6HbC20WqCqeV1pRYavSuiKYYFpHLkjoGxZLMCVzwC vGXnUIgP0dUwgnp9flF1tZbsrq7MvasFrPdncXenTKkRaYbkQdf34Jfyk+96aw8HivImR/wLsfo2 OUNDucAPqne43CR5KA7IfaVTPCsT+RmCWJl49Bw02wJK6Ml3Xlr7GCq8BMPjmgLJItBeLF7T3IkY pRNuhigKGGL0Y2iJn3HZoVP5im2ZxjHsmBpokQrgq8VbjoIj9O3yGYUiIrVfMBh9D3OTqsxhrE0H dcT42R/u4ON12vBveOSt/+tBBQp6uHtmQg7mrEB+Y8aJv3DAS9s0BRQT5RjyEqgYw6rCVLkPBp16 qQWPMto/IrB24NHTY1253cj1NRHCJaMyRFWK/g76x0dDa9sFF5bsZ8WvhHpjpISsrf8CIjJrgwS1 /Q6CVX7HdUtL2449uRvxcZWbslBSgWYbsd15XYUZUL9rJVjTmW9uzO5fo8GZ9xMPqLL8TkHK0ZPC dUY1mBr65pgvKIXaGD1GnCYmPi54XOVu28I4OLNaeBHTFOEKJusNchIMyyXYft4JlgVDEtAXYFdi 8LVIgqWhA1KPIW5ovB5/oXzdgbVFt+Ymm3HtugapsBV5Tiyk1U/f4ZRb70MSFSHB0YD624ve0UP1 dUxwE/UFW0KBjdjv91ueWXdzSqbjp+mwxQoQpnvobyK+HRG7kPrxYJEFoSwoYdjvR0MQmleGGmez apsd7+EY3nFjhm1DbKlOIFjLQSTgjhrhfnIkq4TYf6BMMiqWH0/wfSX8T+nCSEsHrrT+ShBF6pwp v6/heNbZ/AMl+X6oqBA2u+fJjhK7a4+Aqqvima+BrQzwKn7+5+gVkqZtsSV4iKqEzt3BnNZ+XbEN oQmak63fuDV92r2ELpFtHC/Qkq1wHJrIUjs9RgYp0SLR5+czgAoOGNoDwAnntqnqweX3SpRZ4cUZ oqK5sijTUYGwYRLFXFAw8yryKktspqxkXH6ehJ6jJqDsP/iguVHQJ9LFfwrt3HmSRu6MIsU0G4uM FyClPUsOthiBLf5x/8SLIRvN9Tmce46RyZiDjFqaaVDMomvU3uDtXtaXzplPHOdMtX2lwcgTXhkp xWS6wi89aL493ps8ocYndrytlQCO6QYEGMOxGX0aT918Bde6XNhClisQUmZjtUQ15e/s9wH3UOhP 9EfsMkDZJ+u0BHg4XnZsat8SVAOWc0sz9c7+l1ZXIscd5Tb6k7ktwc5dfHi/0HJu9bQPbFIM4PAz QXzX5Q7bzWVvRmRsAlagS0ld9Ml+4Gxo1pjsiaWCz+Zi6Wqa7xFg9J5kseeAYgZoVbDtoUGkYjNE 6Wt+1QAjFEY8LbLAVDLsai+5cWqwt3bFC9D865lwzDofQDxPrI+dwoLeuDYtjCu/GzFLfK2iE24J 9nSGQVsSPIqHdNjIde8ehH8FROsh/xKaUOWKGFzpya/0YAeSCxIrAh67IzlyAfOiTv8/STFL6ljc ljuDED6PVxetqD7OKK1mdrUjf67Kan3mKCkjaRQJbObJH1q9G1lvQ4+Hmq977xpM9KhPMe3H6blx BMq4ldjAhN8RTJoNg9HbiRlIBcIfFfrZW1DHPgacd7TzDG0ACHuLGK+5YNrsGwRpWB+DbRk/foWs Y6sEoJSr2+025LXXoUiDSOHjqv1+FqV0BzBAwLDcW7k3a8kVkaJvfJIyAB2ZnR9lSou5FiWgmJ7j xNVFXyxmOtEwa2PYc2TNKEUA5Hzed/VcfWCggEMrWzpmPtgaDFg+TRNqQb31CAHs9B4ZED1tDKsu 6DY4AzCM5jBbFJdoaP/uTu48hblX8CWdkWZ+2KuOjpskgA7GEj2DQDQhYhl005nmie03I6kef/kQ vI2MmH4heda62c/UWnq4SX/hRJexNoznzPr2F3cfeziVfrSFD3MKMJXmC2FCrEXK7cUW0Y4YiACG cejzz7tPDHG44EIJ6D4Z2FlxJYS48kz8sVPETTZyDw1t9rVDjg5ctTSGaCHRnuo5QbmynFII3Odu dn2IrYOnVsk3gQRSLalKjh72Kdrr0QNFhbrbVB/vYn9I3DIoN/YShHiW93cbL8jvDMuslHTtJdvE hilRULQhyQX1lTJwpUw9Gtw1Cuti0EJ/zhICcxq5pbz+Tlj6uTmRdYExC30JsBUjSKS5MWqv12/U W41pQLoqAHZTSWQQnJezKvqt4tz//ku4R2IWhc9cBq2bOcezPpvRPDDTtBoKMHh0ayWiOMAyU1N+ wG235oyXmXTUWM0yU+s87hiR1dQ+tiSmr6wv2P+VEMpXmqjn7ppOIA9Yr4MCAfoZ6b4IpWGvnyo9 1b3/E9QZJguK8Fw2EVs2Exuc19eHVIKRsN+2Dt23v+bC/+kOGpoTVsspSC4EumsPijxqoRQxykhF /uWRsodgNvxL7JdI+DVf6u+95+r4JWgq0at32QhH2rn2ft0k6pE/Q/KDWtQCESIyYFE+PYMBJqfQ zrtISxm0gz80MRH4//G1T5ZVqZ9MO870a767eeUrA0Li+SMtlVWYqeTHx9b6CjAT3g1rXN9n5ucH yKNNi+zkTt+c30oPd510a632MuhTG6A9g8QNsGIe/C/7mvA/lGrW49DrT6orDEVgX9ZwA7trpnzs 2NLesC2envpGeQUzKlOTxTV7LeQTs4aMWm5rGqPponEi6Nw/ysxZb7nODw/2rnbIgX9GijCFsbzr DEQsnmumRYbO3UtB4PkX5yTFVxJAPs+I2212IYa49dwXx3cUVP7dqsuwHF7d0SuCoApFQLlNWtco PiFvR0M7wxTcZ6+j9H43JYY0vh7+pGAgOiVfrrW6TmztLGPeoK2PY6tO3lLETHpHvexeJ7RXHL9v Xy8i8WjmdQk5hUIDSbXE7SV3ODV7YO5AoiUAt2H7Dt/EfmWFhQ7760vw7VQE5lFRx5skWW8GBJ5S GRLEEC3T4Tis7phUxZHnIeao5HKmVff8JMSu4zpsbd1aD2SgAUQn6/cB7BPPFkEspB6XMwWn6D+J sAtV5batjdm20JAmZbh5Mkb6Q0SEn8LXgx86RRaayf8zBcpIt/6dJaaPuXsGObOcHUYu2V+p5dWh MgjonVvb0maQDt/gxI4Jtdbwb09juJuNhy9SMYT9qPCq+kOixTEHh28kZUmDDilhnRLzVCFIZ0j3 eqioZlSIAx14BAxSIHsDNcKrLSi2fFLCCX4m7i21dYT0dQwigOCz47HXf5q3wCmkiOtOfWJUPMdD TI9BYuI+lqivuVrtGhdjar9wOvpLcHCjGccupSs4+Ec2cO6OG981WBOOktor9wvobhe0l8d2ei4G z9e3eEh7hztyb2Op4o6pm0RfgS9is0Chuy0s1EY61vKOD0HoTf7Z21wKLS6+Um5NAmWWSb4lXLdB 1l2Fiw2QBDg72ZNGZDM1muPUDRh9js4yXd2gcDkPmO9RWmRNS8K9M4tQTaOj2zaJvQAmqOLdykki s+6npgEADIEiRC1uEIupnsdlStiftH1b24raC5SvPPaMTrzfOjRfonne08O4VPOynogTIwZZqfMi pVHz5/JMQPAZ63+SIIxg8ymkoJxZ6N8z5nDOIZJK8ZgdyKd+HZcz4MG3cVUMo9EWe2dhTOHgCvnn t148wz/3U24LvH3WgkGp1QSjQkmw8a7L9yoUIsf+Yc3BFtkc9s2xo6Dimbsz6f7eLBth3mvXAS3V 8Y30nH4oAIqT0Jz062Kmj9HMbKbfgeNIHgt75bPip/T1ve76Xa6VtZIi9SiiHp1WA9R0bucp+6yg njJqYrD+Uh2or/MmWkH7K4v7r0Zea1H3x29RE6NikYBe8Nk1z/3zZ2Mn7yCylQ4+sfkjb9epIMu9 uQRynHi6U4ToOvTPsaVkr5goItBCJ8Ygc5oD0cW1ICftTOz8d2Pool7/nR4mkVmz0BsrF4ELPGWL QWS77czQRCzmcNIyTHwgNGFZvvkzo/st/gLsqXuxWYYiPcFAtfUiVXhD8a3/0qgTFfuA9Gvr5UFR CEcqGEkEF2F46BoZAn8f0QbITs11fVEmmGIinZU8lODZLcAB22qWcxYNa96zN/pzC0TF/4OjyVgu cU4E8Q/hyrZhK8fMWo6i76h2L+DK3eCoGQpDj3Qi3a3PAkpS6blN6t/Ewdz84yuodV7DrcwWqS3O oehzjR+9k7VludXAASlprvUwqmnmQljvTgGFk1Zg4AuuhcSFDbreioY7cXQO6b9AUmd8upFcqR8c ztpfmRf60IGBUeq9wDZe/O8Is2XzYVh7iwqR9G4BtiyMVweJubA2b11vwbueo/eNiIgY1614oeJ2 1M6+3Oz2tl3zFQjmVL+TSTkNKGBC2mOCNNfZGBCzLYNfz3at1lxbvoBXn2kZrK8s9HIw2Z5qdymd oTl0wCdIJxZAZiqW06+Mwpa9GwZnLaS0s8U2VaYJtzk/+5izmge2+gzj7cLSCEQwdeqULnqzb9DR vV3mTp9L40nWbNwEHK21mVfmr8HQ4RjVkVbcp4ZJGBATVDSkpCLx3WbejAYrnMciWT+cInnhfsB9 FvmFjEljsGUXwSgHj1MCJ1PEELQMch4M/PRsIPhLuJ+iXLOHwX0zH7OUd5OnB/syctalCXb1az3U WqEs1uL2rtG3eN9azWjqxgX5BhAdkmKQAIhFN7doh35r65CydzH1FbLiujWs8tBXniLC4zzwd2bI 0F0B3qfSwlfxpYiAiRpkM5q56LgAIZP2gE0akGEQSniekjmjnDMSZQAA02mURq3OXz1tWrZQvoNk sQtnbMv+qZlu4E0XOjtX1Z2tResnC5s8c+BqAR6sTHw9RYnYzVciVcLBm3JrpA1BY8redb6nPbBM Huza5Nqp++FiBbPesfdfI4C2BdLJFxdQw5s5LZOf4FXiniGLzTk3796aC28b4hpIKOTCHiaSaU7U VvJKLRRWhpKT5t/G7PVvc9xbZPJcv8sF7SmBxE7uA7M+mIDEmiCniIjeQ2LkB5IDPYU2BCiVWbbR Lcduv9gyrlfShNOrRSBfxd8qpNgy7U1id3yamo76RAfmd3w0UOup5ZKTKOptAQvLsmrx7Sj1SX57 pXi2IKqaze2O4d6CXy2CSrMzUjZhdPRzjY/R1Yb55PkagliP55zb995MK/YaublHEmcp0OqAMB/E I1MVD1VABSrF8khPpJNQ86b8Y75R9/azetVpArQFdGPY1+M8RI8HrNNnEJUw8mTKW7KMsCaKp4W1 /v82j7tXY5hKX/LKXgIDY6SZsFOWhOJlDMuNfL4ifAq3PGql7hIksV08wsYHrZOfTc+WfNuZWnFO vhxeWfIqlZCeVllo727PhWL/rQsGeiDx/jBifsr1rT6bimQT8qibYpQqAhV/Cs0Qx3ZXE/riI2RL oyVnkUcDkadAeABz9fO5XitgfRFGASVb4pxQj3FIj/0mhm80ME33VO4ozO+MROGJxAhmqi7pMB12 poZsjMICwOU2mVya+xcN8Ism1TtFl2HxLciH52O3fX9jc1EBUvWQfmRrk88M6SdmdNpTi3oh07s9 A01x08LlYlj52BjlMpd5yNF0WtoBc5L9u2/KBhyhHcSW2lztKpbbjm2nQd1Nm2fla4nHy3kohgjf GACjx9j9qQvk1BfKuQJyYpTg+JkV5lRv7LknJYx5CaXmMn2MhV7vC7kV+xLJb75N3UTE1TO+gbks cstwpSnbE+yvjYoaV/pmPjB44esUkuDq53wu+q4KSorrgJaGtkmC6/pfSwjzcJHnE2VZuN86LLpF hjA12z/5V5FhqwFEsCfWQC2mROLkLpCUXlrMaj6/4Z86rE3RUlOE4eux0Rt3zX/mIee9qYzjSxhA yMmqMVje5hRhrO9HqXIuEr09I4zf4c11VvQdemF4DTsSiHuQ1fCKSlT/O5eQHOQbwIpSat2Gd9jD QejAfdqFEW2MnEA489oAgdvVhuRxdwM1k/7tkwDnPeobPQPSajfngrlsQura8TDwe0PeS993Imgp dfAb1q+1S/GCOEfEh0/vsSRhVHrtNxhB0mPLXkyp8DiHdfURsF8N5QCggUNth/B9Z3Gtmft/0Yn0 22KhHdHv4qjiUOvWqVrjiHjr//BNXfpRan5ZH2Epis2df16wYUHjX/iRuslG/Pyr2uL0ijVMtli6 ml6NljAmJTKRfEDVFWqmCyqGFVjK7osUkdkG5C3Jn1saIcR0haM2BF3BoV9UWh2wklxbZ6+rTSKO UPNYiqRGwjz7ElSZvyeju+bDG2QuN9aoTuBZzXjiDuN+lzP1KnjJxtdqh4Bs3aChkK6p68obxVAN hflcLJPdU1kGP8UAVDPVpurNIZXhgz1TWoTlV0VgVYj1sTjAz1JjNdSygW82cs7gZpMFT+ImRJyf EKemV2XvywEj1l0TDnUcO85cnXlPyBe09EIZ1K4kJOj1gxmOeHwrYtMB5vxQUtV4i6gmNpZQJWX+ vbV+2HsE2XarnAEegHGXvt7FrPvUuwxA6IS7D16t2cjkY7I8ZmfUHOoDL2nh0pvpDhzpWXanVlHl LYf86LbakJIOYxDXF/u4rN72hQAGdNRn/u9Qhnryh7FMHjNDVWqRrbo232owS6/RmpxsBYUJKqvK l82+ReG29z8vpsGx7LRXxfjmXB/MQJHFKpZYuqWM25cNj5YzwuJ2UzKoBAVW8aJhuIjlMyF94ex5 0B9wwp9I6BH1EGSozfDLjGkjNlgT4LZRozDmJHms5cK3QiO8/+r38dgnL69iZdizqBVgMUiMZz+l PSsPryrpbqWRH5mq+XTL4v5NBZR9MsM9msCGzWBhBaXbCIlOND0l+PklLrrUIw8FdC3KGms/xxDR U+1zf32gcY4qkNSdRWnmh5wkHlyShKPwwCDC+FTgsgaa2iigZa9OWl4kXDJCFdHn8v0Q8qFrtU5M nZNshDDUC61BP6mBJN9v32AVTzLWv4Qw47K8BZ7d5bUwVl+eBsQUo65GxMBLko11G65veR//JzmI 8VBavODbO+zeSg9AlRdJuCUVHk177PlzhlQC/qunx0nd/rucl7tB/IodLjAxye/2aa7MJ47tDneH G/GydpEAUSU+Ilu90UqvIuP7pB0TzyhCGX6gYOKbaoKDo1ebYM6/ytDKGQ60wnherwvPgG4iATap sr9uKCv9WI5tnAJtHie1oE+oeZ04M8CImjyq/e99sT7QWF7R6DMPEnJghDt/y3PQVsqG6J4wAoFo 1pnKUwYhujJLxz5ZuIAZxp2vra21L/YJl28MkV+d1DrY1waYBkh98sVrD1S9EYR7tEsxyUOU5BYu kq6onejYCUrsr2PiZztIoGdp9tHOJGMykUwz10GuI1qU9ArhLmRGKwPb2X96f5ZSpSSPtwl3rQkC Yrv3n9zkxlFRiHBAiIe4MEHVRzMYuWBkvVKIRLCxBxqyT+S1t7F5iddP/AQ6oFegAP1JgjpIbW/g EOsY15moblqpr64+YOSLuYyLj2K/wygsOXEOXYVecH42syjD5SWE24ecS6D5GqXdzGiZLpIsH4oN YqaIbdpIX9PBZa70+xdFxwllyR3lgeayxwqVq2qrwd6iCvQEqINOwuUieuNOvtv8Z81Dl/QWQhIN XJVglg6sFDtOzEZW0jUO4gJ1aEInn5HOYtWCfe+OzDv9PyOPbDkPvKu2PRL5olkReXnU9ZeWSL3X j0gclQRutpyAM2pG4ZFRPdwST+ujP9xOmxWUwMLY55asK3zSvQGtOTw4Ig5QvOf/iT8pXp7Fl8i/ rIyPsdNzIocfzejo5c5VZXzA8ORpEs81gd/XEyom4v2sp8R1v2ZWLCVq0CTSfyC3Jm89lqneBe3E 6KleKu+xYgZDpHIx20Y/2JWfGcnOAhuxbEjbC9NHzN1hneA+aKcQ1Qztl+xypgM3CjCsei2RhXSY gBxM5eFtpyBO5ptpRBnZB4CafkorQqiviMu3VqQXKxN6DUk/QSlN4OqVp+Qi8UbgWe32MYD4IgNG 2i54m2xG97+SfSntdwOaNE3O2NYMq1NJtsVEa4TmvZw6BUG428XJQYqmw3TUIq74blsYz0eGfMqo nbFIhFZRPwx+pTUiuRtxPmBHM7/8gwYwBMC6P6TORhoUZRlhKbR8EgQOBpbiA/mhzUPx+irbUda/ VO+UsaAo8v006oZl7t7A5qA3HkW+iMD6pck8EBqMKv7gxV6qrNcEUuYwJSZaZd1hXtitVRrn1hRY zEa0VyKpIhunN/RY6EW5fXKEuVTStMJazKYypA+QHXUHWrXj3Rj/M7Dn0VyCW3/5NlFxAkQMfxMF pZH/Tad4CLQp+MvyUCNv7s65ZbLWugiFBTPItW40kbpiCgInL6fuXxmN50kvEwNEIJ8kUw6Tb7yW Y6chsJNKAMXAQKC/Eva9ot5uHNHcdaUQD5dDRUvJlf0krAaH15CbzCdMEQ4fC0XB9AiEgJ3bxCmL OKLzEpcf0yqQjEEA1mddWuyn5DndlSXAhnnmi/NT9CnKfkB2TTOgUOXGnurd2WyszH6WugarYdPv bzoXuFrwmWD3SxRyKKTHB9dEmLFn5+lhGHmbFfIo3pjujoG8dn0bNfxPj77Lacq5LIiw3FC84Ibk SK+aJVt4NL4rhoPOH+WxVud1nd0sOIH9N4nFA2vqMA+TJ4AUzyDHmDNs7H0AJALZR7imvuA145mj 9RcBdoWiNb0aBTslAoPcnOp0AWc1fV0w0LOqxyNarHeWT4aC8j/dw1zi0BxFzMajUeg9J5c0GLyD mRYqjw1wQbO+CSKsg/z/Law99JWGXroeGhGGfmcMLLm1fkzyGhpZE++CWbqjLzytMKgshshAPjR+ ymr5PpNg7vfgSDg8pLd6GgvCoQMB8gvqBQth+4sJfqA8M0AMXHAIayqyFwVIVKLuESUrGjOtPxNN JZ4YagDZgmf2nil4ctttmgGTloWB2xhJ9YjngPddmCeaLIY+GnmNAape8xnvZWMTmDcF4HVzYg6B R+pgQUaZKdTzq1qqb8svX0OTl9sbHnv0g0sO8vzypmvgwB5ZZOXLoV3A8OxW3usfBfciCdg2OasP 20POu49wMq1QzGPloT/anO+yerLCrWSzQimavbMWG1XC4DrqwZ9kifM8+ztU9Pjah6arkXKeD/fl /6VPl4ZFDvRPJcAdVlQeJljMkGqeSvlxpqLmzBKOzqeopw61Vt/9Qlu/zA9myPBd+bPGbwJY/oGv FHFXvUCldodICVjRCkxlKevc8V+nx5jSb0E1L7/i6bpl68XAcL+ZuJwxJJIdQmlYCmMZSnacNfKf 7PH/rgulyYlP78b+WJ8gLb3ZnwketDYK9gOcuTB1sEq4z6XSDzBMDjFdvgy0THe+SQb1j4QjrGzl F1uBZWnGUe/2ZN5yJ1X6LZe1hRrG/8NyoYjBnNs9gdgwouVjeStl2V+dlVrdQWJdncdx4cIb6k2l VRqcKywYv48Q3QfdAJoUDT25xkwwHqwxfIhAne/mMckRDYezltnoRZckXsQvnODqpnBBIjYGZJ8n Z/gP5BJRDeOLu7xJjfzFy5JhxNukPI0uFV0QY5zV1T8cAdn8b4kiKZ3/Tie+aTbyzswWcIMOrNNE Zu4ypBHBG0fsJq2TzW77WcRQNUELzaEg/dE2HWhjeiSxnioD90xOfvJLvGjZXmAzgISGuAUCix5P DvOTJa0Z3w0SnzjYlJ8D13p4vasqrddxH/3P/sNmceJ0dDJSRJ7DHvAiTHAJC0ThdYof66vpsABD l4ybJ4Fy8ZBmhf6fgmJT82wEV/vA/vkieoF3VTxywJSFzijJwvb/2ojJKOhLsQwyjaziJByJojyj D7nfdh7uTP7IIpB8SQWyVavRkWk9WH2Lp2rwommQ65ayArDYsrku/PDdTSNP8+FXsE9+MmNg8T4y mUXfEFISSRqVgD0ZsaR9unNHXq4jYWZH5QauDiDaRhDKOwG3np5mYDyjwbeBQg61BbesWd6may0s y3bQZ5a3YNUdFbmDAVXoRKyH20orxFCvJ3Ox1HC0u+lfPrjsU8fQQ3J01FXeJRndtphEHZIyvdBL Gbnk0wKSdwcgJQ8d7KoV7TyjDtFQ9arCZPutvTf+0IKn+UiaNr8cL3RVybvjmlNAV/4kcgaN7yla G46+e1+RgMvnIAMyc/aoidqY6rrnklCp0+Sen9LIUW9DWkzd8pkcyyJ//YxLWE6AWk1nsrR/7dDF HglEvTyW3Svw4ZNeNrFn0sIdXkHuhfGbheaQWhAi2PQxRihQzkkq8bS89mygragmgvn4P7t7j2QW uHIMueP9SR2waTK32M4MauYsfJJ3asFE+m7CVBfJD2NN0mhfhBIH7DlM7wNIU4rmsS+DxY2r/JsD CPVmyqYxFUjkGibfQ4N9GXtf/7chFbduEr9t5+6GxSY+BP7V37g5Zm3Hr8VpSewQIRtAfzZNxwV3 D78mG2axltTUSN8vbDf3cRT2RLMpZMHG6vDyxA2XP5KQS7mAQaoCp0Qey+CvySGPXMWAHHW9oxKS 4UGUpSe2NWd+y+c1popLYU6dEmJ00ADl2RcHlWdKUhpSe0sLRNZSlqH4AGaDHLPUTEmY7+IoJ8uO Fc8ezwjW8r/6B7P+H2DrTyACQblzvD5uRMG6pDbD8QlKq60L4bA27rU0pg/UiQYuofRW1WdHsiYW AcfMopwkQeTo/IofPbiaYMTmnMInB2RZ5FcbkrFprxiFj+6frGYSYeKECG5hmZ/6YG/t9Gi98CJu Gc4RBLV1b5tI23bmMmgRlG0/8PtTW36AAMFr0jfJmXMn68MeGSAN3pp9l/FkD0VbkvzJDL+9xaNp OXzz41CjgTnWsWawKhvMEh2SuYoIpQyL20tkcOuZXuYbBCOnoYGkHYW7sBxQ/Cc5sIDY5exolq7k +IQWzoBuVafp7M9UPB8KTPECFsUJar1wmjfYzTz3ciTBKPvL+BxT9YXwvcrEIlZZGltLOeIKWSmS dd6kbFqxTLhsntfTnHiRq+Z0riWv2mCaFhfvOs0BwXK34n44ndOJPvpLR9JPwELgSskhEyW1L9dN GRXmlaHPGpyicN7MZzL4DffzvZWwzNPIwHXy65up2mAeEvB23tDoIhAt0ZnoT6uhwy+xABqn3R11 p35qBma0X5qPgtgauyaNsvcFPsAFOMqp8m0o2EvwOc7/Wo3hgNrYF4NjVxJQFCXnF4N3g1olSugw cYjTUv6rMET0nhRK5o3kaErNRgispxuagcr3Ehrro5z5p3xOX4O1IWPMTYy1FYcFpzKQHN9hIB+W 84mVUTxooegKY6NOTJbh4syxVIfQiKPGwhqNqdLuxrdMsfs+HpQ5kzRrEHdPT4eo5VOoSnoVjv6P v4+vqIUCeLO7ceyjd8dbUBCphxidhoYjyX2Are3bwYMhEeR4WhaBjy0NmZ+pxtoc8cIOsbkB6mEk 3f4i1XpAI+yQpVAdIeNhXcNzKxsps2KsODm68J7P/CoYF2ho5tNGTgWJtr6o+kIu1rW3am8gEmSM 9C0CdKlXzwyg3DUmaQAp758sNjcqW5nAVlfV/FMFNNVIJHn0g8R0vc4WD9HoKLUNKkCs+8Pt+n1t yk92h+VdrVq6RhVb9Q3wDdW3gM9iBUkKL4osujhAVmWiI3OdesVmZN0AKTVftH0H3tUNOGwzlOY2 FiWI+zuN1Nbn5GLXI69oDUlWx56PtVLYOcGfMJbTrDtDXllbxcGSEnZIVoxkrzpinZUL9DuLy5BI Jj+aJUQ77y7FL6Sg9bG1WEbI75ZiPFoDvOW4leWXjoBGVY/U3ZgoyYkY+mh7sA5a8Fk4GBjVSjhM aYT8Lir3Y+DqIF+Wt8qCRDyXHDEvmha8ZgRvZ1SSe6cnL+0Jleevndzc628Rt+YL8uU+/APiS9rY aaBF2xYLA2+7b26zWwfDbtumXaOiOAJmCSAMbFYtAYmd3hPcvJBLFpgLmms6zz8T/gWqFXkNfwxM GU7KhfQOtU/xV8NLcVWaX9oMqiDsjc4ZT4PtMt7vQMEkqbQsYwrrNCgmKcgGCbMZJJ5p3cKUdK7i G9XxiklpFBjUVKex3LnvA+zP9TI/4qKFYQ+Uy+9iQdYmTEXwwL4oQzdoFL3jmNm2rbo6dw4MFGP6 3XbbwZJSg1YpojvfTL1Zr1V6DyWjbv8Ddo7g9/WiWiFTjkeq07kNvOP7+QDHu463tINXsPGi+scC ew2UdXfbDICjQqIt0ycvxr398tJbvQW/SCgz+pXhFLpIi7R3JksoSH+0smhfK+gyxZ2Nrc/P9sIV ZeeTP3FkL7gqVz7VuNb7BUymD7O0Fv1Zlxdp+VC2LK1Pu+obMRxmkrPjLDH6V1CosjGi28+onNMa IrW6BfuSqqeFGoa6CHHYCbSfb4JUw1gCafhmNucaAFPbH6H7XcBjtzNnC0CKQ2DzlL92KvTltJY0 ZUrogNlK6P0rHBxW463aQyn8ApjazQfQFIRY4b5tH3LsQtW2u2hBPiuKEyTo8psI2a+JitpiNvQM rlwJ8prALQyKbBgzc2AZQZfgvZPmprPcgp+MG/g7xm6CoCCuGU9rQ6ecQ0rGWIm/G7HfsJT9j0k0 5zSv8OpFZD2/Tzi+O0RnHLLp6kEfgEU8nKvSm/YBDlXDQSSKZCEJ62LcJ2CkwNEEsj/DhKqzH/3Z GXQeSGfRgebGO09vP76RDYLN+PrzNgPEQitDt2/QL9Pap8kjoI2uCD+4DaVF0bIRjZjZ7RXKJTW/ rz+761KZBByAkKtGqL7z/MUGfyXIvLTbHtlLzgg3n31BEi6cTbSufCcIbH4hXEjXtkWFU7sbYK7u X/3DxwHbz7jwiZ/gq8Dqp7qVDHEeV19trZ/tNkTgsm4MzVRD/crAqEqt1EgqHYhDuxBQ+X1Dw0rB +AIhn1YjrnQg+t0ztilcIww6e+BO1aj6Xxd+Lui5DNXFeLHpqxo8OVm6gtUJ9yDD1FeBLaYXIFM0 La+6CRBKnm+4SlNkS43SKMMr/NkVFfwCQa0PUlzzN7cvMCMg8CDUzWre56B1wWt0Y/yrr7BupRKo ab4Xmfa9dEwIwPQnDGGlD27CoepHLOup6iKRns7Dr5P36iLqGwpn0fyNN4CEBq1TQv7kehRQi6ut UqUreZGZMoloJiKy754zGvCk5gFCZn1gWlYTDCuKeSybA6ZJVZn0QCmccq8LWHKiYWCEGMzS2nRp 5lkjSNilAgCKLuJj0Jjhq3uirx/Z5eBtK9x29mjUQHRSEAfcGgAOWevBPdFaxOP6/IKPMQ138dJ5 JlGwjjCN13BUPPlueK02/q1/3scfenctKsqCMpCoRLT36qZ6Xl7rz2iO4VZdc45HN+hx23DeAi+4 NjJkJ2wxkIWOTgbfbklqZl1lipaCkg1oFy0nsoGXzk8ujHasK22Yjr4Nz72vaHqVX4SeW39FRnbq F4CURu24GgYgjLRG6//44WZqqL6DSf2stAb6L4vIIr23FhoWsF4iDmCC+IHu7Ejuz7xQnTizH82k prdTEMA3g8gYQUBZh5nZW/M4MjjFRuJ7a/YSq5xLhvWIZo6Q5ApNd/u0YXZLO73ZC8JyZg8jK6yr dhbY3eCV1AQMQl3qKPzDtFvfhLpoV8SgIf8p2Mxw5F6uE39ghRZn6I0q5y13k0w1C32HOX6dgQsL 1TeEYpQgNcBt8rHD2ZsrbOqH2sU9e3CrlENFExrJznDMNDip39iR0rORQuw45v9SJvOla997jSVh CJTw3K92pcA4kbSF7jmmjJ58u5VuxlorkysOjfb/CK39nuKXgeFjPrIp4aNDmSl/Nl85Yq/tCqV2 1k0ZxtoKjiyZ+5H3KQ9voGosend3i4CNG3tsCHctkcEceEgPCFPg8Gbunh8Vxjpv0Xb9nixAWXcp D2t4BaOHsOyC/sGeVUzoCSXCLS+GLinTUCNZe1QQVK5TSnJF/uNKem6fWg0dTj4vUz9ZOLo1qda8 +QwpdZzj49QhiU/nlJWgAXl+cFnWFuhAVHqJb8CRJTxN9AvToKqwcStij6DWRMKtF4Zb0Np5hDMM 2bEiCIHc5AOBUJ3IKMxxRFhmv1BLc4Te3uV78uUckKo1D/JX1tlfFcVTgMK6NBVt/J00pJ1c/ctg E6VV2eA9waXrYK1ZpImMcmD/qIIRWtmUqbGbotdutuG1kYL8Pjt/vWj+rPH0z+uC1Be/UqeUFeZo woP8BmsfLpS/gvxmFrPdR69S5UHcO5dDknpi86gPIlh0QlZt/Z9vr2+G5UIsuRj21FA9oq4lye9s r4/FfDW/FIE1IZTASkeyCi5MryQiSG+Wc154k4ZECs9bSpHSif8GwtWUTsXPtXmwRj+dALIns99A ScGcM9KJLg8JBPMlpeuC5EKv9eg+UvHAeqOAyIgFbynrLj/SszpOrFA2BneQtu+VfcVfAj6pMmE8 32m4inFHPFu6ttrq/OlxO0WKN0WbOJmSNtW6/QRXXRrdv9m9fRCuuBu765YsaEkdZN0dm4K4921H jTegh3HSyaqHNVhL8nmMY2DhQWpnodz51NRkhvHYIsiUp035EKe2Kp7YUdGFMZEjMMbBORLk/R9S +XQT8/IbJLYZeBvrSjPo5rRl2uv9pXu8/LSAB+NlJBmUqP7iqciAuPJ0Jf5s0d/DEhL2nLEUGNop xaku4Tuxt+7M5fjqmiayPjT1gnM3uLfHlD22HswY6YBo/nSmLAt9ge+LZAzi7AoNdGrJHXijVmVz LUOfL/MM81X+W0e4A6X2B9JBhk+DK2DWkAk5kQ/2zEN8kJUSZJ/5EZdg7dpMJoomS/ASYiQ7TU51 cOFPEqC5KqU/fbm5+/4cYlZRT0HKJDqYUfkHDUl2GDvzniQYY0NMUQBBYgshHjW3WpTbhDaFq1ga dMPAbZefVUGtKBwyUrKt0DJyh/W27JadB+sFpUPNY7XmTWv+lhxegllHCDAxmp0p5CNqcEWyxn7H gZAYFkkShMveUw3hP/JgrId1cidfLNHcCueMDsyEEK3m0HItXdpdBhgKZnXknPA2bGVLautolcRH ZNPXOmRc9R2Grrg4PoZUMQ4BXpFs1HWTasE2QOTAYtBwKBH/D5D+qMksdyO6BtMHyFz5Pttijy4Y m/upz3GlxrlRd1XFBxebPiL7amCFIEzxDSdSF2zZSpd6ObLhbsHROK93jy557KDAavR0vXR9ZPmH KKQ9ouCM/x4iHkomU0k/D1hrPqJL6z584IiQ8aOt8oJDQkQkykIf2EvwQH17T8ct2/HEboPfFSzC dsLDWFc5RQYzzrMumV/OA7JtcV1ovpaGPG6Y+PZ0kH7WoVD9vpq9GidpnlvBXrZ6AvyeBC97PRc8 CF8d+64bbPz8qCUvxS+lmcy9T7Py+isZ7M+mML/MaoyKe8mgfiI5vsjVoSj8xlZko0ToU7AYvpVe HG/tVdnXpmLRmKeudMvi74SkzshKK5Va1UTbqAWqXZZfJH9KtJiOaeN75q9wDTFbYG7Hf19DqJtd YJhqH84PvkHH3/XcwFAjyNk94rQeXUYOtknHo7BnkkWlMg4Bs+nyEDewnq9NWOLs8pnJhSBd7MkL xzxiGQLPdToKIASFSHxvMvYoKFsrWZdRfjPmcv2jUB8aZLJ7eFntvZfuZgw7LXe6U/SianP+cz0Y JcqYxzue4CiUaE24pzkTL2tUPVBwGGLLagehBOOPXAaWBTbX1Iwn9gj0Z0Fyj4J5oEqtE/wFBn8O bUZ3WTp1i9MqikT3R9Er8CcDAvwSvs4VtC/XdSl//rdO+5yG+13u9bgsBH5Txx8sRsSKl/JGvs7x GEnP52ciDd1Is+35epGJW+1nDivqmnl0AGaQY3AOz5iEFrRkkTwWo6rODFe/Zf3qy7mRPObXwMvU 5+i3kHhqYWJCLx5Njw8ioTkdis5smd6+Bh52zeObR1M6kvcvwAfiRK4GbwKPSn3QXZT2JhvEjf5W zHte3xt6o9zktLZWdkuqiL0LQpdrgPLmijlvZjlyrajREOuR5mBv/bzZFesKPhsmYRmUPYf67tnU wLmouKyJiKHXBxKyMyvXpcRDrl8o59FyECGc2D2lZvY6xlI+Sjdj86WDPxjDk2SgL64ChfEZ9LEH EDQ/8ls9i+P4jtwXdkLZ/zLP/YgnaDoNNNUK6zHNUpe9ZRsFDw4wCivqqUCg7xHz1nCwHhSsssmu 0on3836JUBruqhufeNCob96m/rEtgi9u1C1kkfYfu5Smzvhq42vOhx9bI+3gw4zp/3y6QPHCbIPh nkf+dnklMFbDMzA3blYmEYIMThBJls9yVok2N+UXvQd1reFNrp9bG9VBGtFpquA2nI0O+JHFq087 YHGbW3JxcRSU0GJ3ZcUVUbBOxodzBKJyAhGsX54tbdlkuRtNSxCOhtUI0IlICQ1QApgDXzQJpDHM BkATRyHNYQpyRZh+XW5WYAO4HTCX3jGnPNANPwY8Nqr5hf68qjTvahfSYXnkkP1ZyfLladTJDJD2 8sew7X4ASHPO4QwWmJypsPZUSprMkqjZd6kJhKd+Big0wQp39yaG+QOPzjsE2TbAkBY2Xel7jyQN wzY8BZKusdhbIO2Qlpnv3nl/0BhJiR33xYLbpH2ziZdvL1dyxIdNqBi+jnyXx5lVASJ8rfpJ9yo4 xkaGplMZgR258Rrx1Ad9AQLrysHNeVCJ//GomWo+DEhtu+A5Mh4yYgw18z4N7KYH634b6dBmpfGO ktEdovtZuMbq1DszeWXROndgrQD5XYX/zD45UocX32MwwLmiZz+/oeOqym3dKFljsLsD4d6mV0Nm 5rO6xv4NVwvqLweanLqsjRrs/He44MPVwNsPlEfAGeKz8XMsibNWPEUfP1RSoOEgkNtff+4747JJ M/8etvJE35rf29fHAFhzppazqGHb3vu07RE9qYiD0ILzrklSG47I3a+CUgCDP3IDFMIiBB0yck2j ksa1Jo9JY5qL+1sUsuAhpxSiureYLIWJymLtaqmptNotRwYCmO2QBIT5ev8T8Y7soF+++45bv7Y0 dvQX14cO9J2aV6g0ZiWamlyqBv5lPzJfs+55LuMDSsg+IkkNYtWMhJQ/B0cYwglQk5Kjy1XOob7U vepMCQhnfhjgdvAkYhYMU2wnnQHJ0w8wbaiUB73iBAHLDo5/4fo1q2sSjOwOI8GmONYxWTD4e7BX 1pu7fcJZi4KWxer9cfA0iptWwtdwCOMnxFbR4eIsp4JMyOZkiEuAafQI69bV8AA0B6cNTL627wJi GuWgaN9Ts9143rusFpMqVR6S3n9WspYDJk5bmBAou5OUpBk8/RyRrTykKibKbW0SRCxeKfgANgNw 1AX3MDcgVnnhEwkRl4oVTUp4slPPNTO9ZqBy7/yrBAWpLv6TW3l5jEuUgc61F8aXLB8s2zSAmS12 2mB1p/Gr9XENqTLM1L+JDh3j4szbjf8KaDXg0cmdP22vLg5dEUQLoyRDkv6WDGK/uKgop04XQXcw 5BgvEtgYnsHhe8hQWvaBQn+dgoL9RQm8wJV/j9TBbeywTSNAO4hdDQr/NUNtplISTmR7rofwvqz9 AvSO/gosV02ikt+uTztl5c8I+Pk7Ft7I30O2oUQbfyU7/m6CumVIxE3z7ueXPoUpCnGlE0W13Mqq VmnbE7chvwtykyALGMN1xIXorAIC2e86ZZnEnunUFF5tTG6ZXb2BFwyL8DRiLPAcjdpwJjZllCsh zLXqDYKbu8NEB29dVg29XE/3sNTWLtcPMv+RJH5NsSPXX18PIfPvs+liGhb/e7pkVmhYDf8qC90w XQ0Vy2IqkfoGdGkN/xe6jNhkJ0CmC1OSGRhLTX+r7KJ3wlRkYKS18Sni2Vf4mqhKM6XsfsABtR9x RZzg/U0AN6dWBxBEnT6rq9i6xKsC85kqkd2C2/Oks0xKptONNspE2DT648YcTv4iMODq425G3qpk VLBUAy2MeO3kY4kkYGLZLAh5rqDzKy8O5zCzn9wsJHB4fy/eVrB3TjH8ugpTi0ojNfRiOnclTxww siqs+nQ4BZBsfzx2IgKdKSrBr1q11JR4FdC0V1mB7I18bamArkiNqDAoV7W8jS8Ly6x5eSLmUhkY sZUwg0tqwtDDgDb0Shr+0Nqt1fsOekjOlJjy884CD3j0uOTbIb9hu95PN4IxQmpLpsqre8Iuuklo XQ5GGVNXmOxpvMKb5yXuTh/09TRJpUcdBDPi1YH4FTKzBijceF9pxppbyWK22GD9nK3eybkomGYo 444gJlEaCo5kzmC6YX5f49jUZ8FFYUvtsJJ+wdv2gg0aVo8QHsOdoYuj7kAXmd81AEJzIX3SoQMg FXTzQrXrvoOZjkv6zMXKQjhuAOA7lxsUGmLb8YHKxy2pH3/7TO3nnXIzxNeux9dBj4HA2bMSzk44 dDvy1obFl8gycD9FW3Ll587mvCkglghAmzfwI1wwBhev0FkSSqbIlaU9Qp+TfR4LyCVSGJnFQwl8 rnGZb+fIeNvAWeWVHIcebcA75BKQQIXVcqXCu9AdJ0mvV4rT7GetUDrQZIkoGYIip0f7K9H/XXG/ OGl9RMqlijmx8l1jh6Bz8+v7KosGZPHg9b8KkRXrlhz6MkZQAoCePVblhisAJfSSmk9oi1x8kSKx fHcZ9z9YbDrCsJ8dbeZeNNKJBXmnCMzFRLQaUFcby+U/3kTvQZDPB2pp5yk1WkIA9FDRvb8tPtBp jKRGq4I+Z7UIDwtVZUCyOCfyVvrqvVNRLIiyffg9IwaLcxBL5A7qgesxSt0e8qjDxqudJ8D5H51Y 4+05IXYoEwzQrevs35PcEq+mrpNwBoh7dZzWfOhIhPuslwUFI5fVU6xEKDVXkMU80ad9HjiDPblc xOAUtcs+xC0GOfFcbv98x53LmSvq5F9Y4T8DrNWX8hzCKYHylM/WAg8tc7kHCX7b93ob3LKaRstd VnLLbEcLyDj25Cg6RovpmqyNUFWGKJs4PrdY+M6Bzktmh7Rvq0ld2EOdxzZKHHoDGSKdMlwT7mLW 1ik95TT5fRkxyF/kGMn6Umtvt9vhGgGoLOJkokRCADzcXdZYE7yNfTbgicTphFYcbz1XEeeWWmLf MPJIFPc5ybsC+9P22k9o/zpHBvSjf8zdx3p5DHE18UOC72XaNIsm97n8tYfiosvwrvggHNpbinig 7dcLbe3M5MmOfW8vbyO6/XTB7w6uhELEUoQtaml/w5wMJvOnsbVaNpVgz1vLfoCa4gzabQQHFf4f a8Bm9OgjI8MjPs1C6cR2OLPB4+BughRjWQgFbMXhOCw9gSz3H8lRJLDmHhbpQseK14wsysLSdYJs l7Do/aB00uDgjO0vteHFlmzkwORXuw4Ro2OLWBRVeKT/5pRlc1ca9R9SD/7P1SfW979VUk+fV5Dy B8kAdlqum/Nqd99/5j9mgtV8QXjuBARM5G9CNSdYML5zBx7sFtVzjt40kBoM+ZwJ+NzG0Q3ewJ9N GPx2BsGBiKKa4OgeA4t0S/C5HmyinChGLq0Hrc5On8f1B4NnS5ktKIbDu7pF1+hh4cvq2iD4lORb XGHdb6Z8qozoXAVXeE614ZtIpIjXskSneo4r88j7u+AloApPX30Bd3ABdrjYzRSSVIkkIBNdWJP8 Dvrfrr833x6ath1OGSD6AjOnLLCpzG6UaGoGmxbfIZkK65dZJ/aM49veWFcHc4KLd5GC6xXk09lJ RL0iaee1lCY8vQL47tYPMhdnum+P47vATHwvnR3WUb/DvmsPF3OMRvqGxgZUlZJ91ydf5uvXNQsN C1S3NJbV1mSEnlpnkbtuoV3UnBb1xqhW+B9KqYdNZOzhJXNhMqQ0lwK+uXC5jv1QIZQcuXWEX5mt 1Adh2d5f+No8NuxiKtLc3JmZUglNffQMriOAetjdLklVjKMwBpAcLDkJa+2OvNLHWAdnaS7Po0/C FzwEfTSAOPeE35zCaHUGO2Gq+o1bg8vmPvE0tydzqFmppufkoFVIqrXFIB893iSI2k5s9heu5eF3 vs4apBXjL4a2A/YbRzF9fjmTnYNQIIXVvOd+jEMasZI6u+zuGUsSQTXKwO7usWR/2s9yCLLZYbAv GH5PQif80ndGHwAhBQMcqSnX8dqivaJGTbeDjY3ZuyzEOldoUprmhrSXpQr7DLwOWYFWgj/zcPIJ rDp7ijegLTCNbhFnl0qCD7cIp2utwiXPmJ9GIVSUfVwF8U8/uF4x/+m3YqiLMj2l2XuMONvAKIK6 TsSzEIlvnkaIkl1fh1AGt8JbghRCv1veYppA5ZzApmdGQaj34hxHyt3RXZD44Sw7X6Mp2eO6bAtx FdjbirPJDXwVIDV3Tp23EMCMJNAyU5NasofEKBgdwv+j8Nzyp3j3s8ZDcnt0iYwnPZUs0yeDKuhX 169bR4PRDNG6d2hgsD76SHp5ow+cHkA3gnyqFJxikdjFn0U/Utdc6PcFbV1np91lkNzXS+YQ6AV5 v72RwXPnUbPwQCBIhzUwinl0h9oZJnCF3q4CbdXM6p+LKvHQnH7WWwmkiScHUcbPR+B9/16v7HV3 XZFkLeoloA7C5u0ZchdYbO1KN942pPgtyEKtxYqUl8WZwlZFTo7/b8DI/UCaHwXCz2SX7WYXV2IJ b94C131s2R38yrIMv2frWizXSs8Tm2kqu0zFaAvW1ebdpPz+wFwXEL/Vden5coS7e0fi783NMXE/ WkkOoGCegMwZbdPWDl1ytvLL9naNTrJSyXf1FRQZmd34640dwZAEyaFma6BpQQtFVKRBWCZcVkRA ogCzcb7TENxQ8Nu2u/7qIdcP5CC9UBWBqIIHbIrP3llkvWHVAgzLo10Ynwh+XJKo4SzqUwxfELxu gBT0Q3UBMddVchDFMKbHn79fHpWD+vvP21u/Gp+/h0YmZQ7VeGpY4tdEYTtZJ6V2UkyT8br0/N3x cE3GXNc24f2MM77IdXF1m1hOjOxuVm6Mz7yiWcWh07PyLE9aQL5/7BGJUiLenfs119rcV/Wke61C x/q1MeJuMptqCOsXmptAZk7I77kCsovCCRJEvz2X6TwHWVDIviH0nEK0UZFaLUXlsSKU3UdapgmT Qr1mwFqDk9grMifL1J6ON5tK8T1wiGm/zAZNv0eyNfjhvWRj65En6gmaZu3S7DGVFLTieZ2Ibw3x Hy/jQlpTSumgguwx9GFxpB9cuxKNmMeuqISb3LrpZqgwozQoCsv4UH978A/wt+kagbkiWxCU+KYA 9YrfSUg6D16NcIjAiTTsdY6koms2fUB735sE+DsptN9Cxlc5YFN5+zremN8PfQ43QZxekgqHzvgW juETP/u9FJEp10a1OUSUN6id3ygUnRWIdQ88jBlnSiwfZ9SVFdPQUtOhtjG//n/oK1elfG6A4ULD CoythoPAPjlNOkgfaW+O/LxcFQzSDpFGnFsNjhdsZLYLqQkWTNe8eTEownCdfE9SVE4O47LQV0I+ 5gKHgCA4+NkB54QEFAJ9y7bcHqrg/FNXEJw2fZfyJmGiqqtzDnEs0iPt04t5vhKYMBu3D/hvYGfZ jUOT79C1AySjAyg/mUXXJ7s0u3LhxQw/9gV44d39wOWTc7N2HUp52wI76W45K7JlEGQYQrGWghpE y9fkKvsPoKvhxzPpPfuHCwWIksSDL7aJlorbX+vOV6GlJBTEQjMXc039zAz8rLPp2W37s7cQ2llh /0lRj8LnRHlmVn3NBFGq6j17nfXqRj6lm3NDk640f/vPr6JDiBERkeHkoetWc2aYpHLRwL/OrbHP 0cTNCQiPQ10O1Z/cGPNfLzs3mOJnAPOMP7n+NpsqLN3HrXVhO6bBZ+rlmIOqgHUSMKkboFDn+nE8 9IfWbydjT/oyZH+PkwkZwJV81Tzi9ykEtnkpfWP31bVnvQJXgkJdiJ3i0bKjdJNnCz7bgvAO+mx4 vAJH3ilDnzzzWJA+th0JpTAb7sDf3rccfLxnNpSua9Q214RgY3LFvEcxw6Hvcnw0qL9NgO3cKPit aXacoRh/OruST4lxj9tMzY2+CS0NTCOtcLbmFVvGyMYjDzYHEIAG21BLrBE7yIE438Gs0mNgbyD2 klfL531UJAkvXAbfvMJUQaIg8gX+DPlkfAcVaEB9KjSaHlOO28peuLhtJ4TxQ0Lzo4+6eGrB44UB toetasFSHgxXl3D1xl7prnXd9vo5kFZgdG2Woi/dMTCfzCga8iZ7589pSk2GvRvQzPzjWSnxBqAl 4Kv6fZn1G2tyUyG9hjJUDYDx9A+00jRUh+kd85xU5NpyBQHggRRVdEq5ltVMlkwzUYsnvXAZCs9n BUN72GyCBkHPUQDx3u4MR9Ofm+FYP7rBsxfl3bBZix2R980dD0v1jPmYTx2fD5pxss6B6C/WZ39Q HyBl21fCnzneWZrMqPasJKlE99S9dSGDYhX0O+oVjj0gmU17byEzFZxR+C3pdiAtsqDAOY1gnqzi LkXW756aqEecMvKlVzZhRV4n6PGmJ4Qbo8gXcNEPhbrko5uYmfkGZEAM0ZajfS0HfpGQbO8UCWj0 rrRe+Bzp/VEs88eFYvQjWflLzc5kaxkp2DfrxLlL5jdSaPfxXwlenw5qm+Skb8UqU4quAhOd+cng zVJPcUKcaXxjekzVFczT2KCpTAJVp9OQJumoOfBRLW/y+IImeO40xv1WCZ6t/1qxDoOgi8d3otsH 3U0gHEf9iCMOQQYnVvsPclmkWUHt194yFLxgMbBPYOjAMTF3eRKCfn8gr9xykRTGbH9pxmj7zXFH GMQ5ZLOg9WexyEOJJm+DgKaR9t/ZL0MfFVtDemmk831RsQ2TGol7vXDC9pEjo0W1NiVIFIGoickg 3eiDfJD/k9wHImb/fTEGSUy2nrillB8XavSGXVlKaJCNGz2vYGYmd5uVzYGOoH/y2xM0AdrRY6p1 ZY5QEalIscwqBNOwJknLP3/kRv5gQCdvlMp3vpRkXwJfHNZZV9KQYZEn+JaBLbkLU3jxNK3W0mpw diVpTwglUGAVGdvrg05+bu2SNfALHiex6gIbU0SzGMAqXH7fQ1nZR/JUQ5Idl7KdFeWdmbon1B9D e053q9NosumLX6o2F0JlrADf/W7tVm2x5TShaw4Gz56j/CfTTofeQFbfTDkGf+640624W+3LDvp8 75IujVPzEuz9FPn/bRc2HXH6yWXHqj9hAMi3VsfOvrA1akdcy8XnjJoYYr60/h9VQhbHyE2alGlf ksNF1xzLEp01+xkuhn1uraYzE0L5duHXSPUzcg86KYxpwk4kf6WnDhY+JsZ5WzYXUUCNIogbyouA EHZwA9bEnaIWwF8TkP0Vh1MNf9JAsJASkTaN3H5J7VxRYv089grUZxX0qcgab/ULySU8B7ii3OcZ ztTAZqJ8UHT5a8NCNsaqpFli6sBMhDYRj3v0KclKP9p4eRVdEdZ/6Jac/shd6owePYmiQfzbkbqB k8keuRZoN2eglydZVwBYklY++jxIa24xibRf44GEueSAx4vYHROv7dS0eSLURUSUDRMoVWsDY0Yl bBcXa6xZ590Z/Xz5Gbk7XDy7ZyYJSMzWox0vUmw5Y35VA0DMcLBcPWrSjVqxlDOUkLicCuhESvms FTjH08FJnKm8F9c3/pGQ+tJcq7QKMcctEPV+q26oE1bRdSZ1X20uoyo5g+4m7+JRpU2LwH0DTlYm AESSXXejsdE4Ra/yJr8qZ2OtjEW6YVqmgUm/NnGgYiHUay/lwAvBhoBpmikgf3C1qL9/U24Rcnxe HD56UsyICjeJ5dkuq2DjsVysXrVbdLEcH/vycigyRYGx+Vgg4EFoWeN/tiFZw+ROJ47aTR5ABAFw +vpi7XYR05/g7u2CQK8Lld5UgMOW8kjr3BsF9k/rS5WlvZ6Pa/8DU4qNwZBBFH+NOTIrY1CMRp5b h/sn0mEWWF7kbmmb1yGKnHcON+FGUZmNL44Txd6DZMWgV35jSZQPTCUzEUjTzvXcfthRwV9gv1B+ tIR4j+N5dhQGU0gOH71DZ+xDDjV7ZGYVcjeZB1FoMcPAhtl7PTcr2oKH/7Oi1ZZMzh/ciKSz/J8n Tr1MSzAGDwrZUorscnLrMhqUzqB/rTcqsl47qbrePYM5SxYiNFCgT2LWqVFXJS0vgmkCKVxlllRJ wfIBn+oGKe2ifzmzFhvw4kiX2dMcvQYPjAFwbgXQJXTZYM5llYf1EUdi/YlK9kU14yu3dtjRXxOh pvQ/XAaBLeQQbMfnhekw0d9wsnpk+2jm1WNwJ3sD/87VywVFvT0ixvvDAgBsmwEWM81+U08irOdW Ha/Iek6xymlVa7Nrm3OYtFAxQi7n/hV9k27il0PTDc64YHEHVvhO+OtE30HAME76tPK55AO0w2ky +uQHAsCYxu5r+H0WlmnmDHHqSVaubIEntkAW/hsNOz06dgy9F14i1cgw0/y4abAaZA7rxQOet0+Q 4VA/j7UHegZAIRqAF3WcxLVrSX7mQLAWkjJ0gbQk4Hwo5PkMCP1kjbvNDPPtYmgX1WUUSQx9hQWU xaO8c6D05c3xWUo9Thc/ZP00HhctdlpQqDAApYtK0iDf9v/VEnp3HYHvBHnZK+RLjWg0ueSRBeIu R9vTtWGpO/JmslKhD8CytxJVQAWq2d73p1MMYsHmPlYOF7cujyyplH/Io1HlvOmfVdvof+VbRzIc EtNw9zCFxyh49Lt4gO3iqWtbiQ6DVpbEGWzeNAyDUjoLlAg6mf4tnIwwEIc+uROKBWneqR3gRQSF jRwdE7e22x64wF+eDfD1bIi8iquydLNMnm8Y/hs1K+4yFDOiysSFyho8DDcCIiuE6H1kblsSC2d8 mL7z2hhjYdndmTK4MdME/7xocDPuhoDfU7IAekooR1WISO82dU6xKTSRYu1MJDdUDov8y3wD3rLJ MWL9pcSZ1I61APvxRhYJiWApVM+3WFweO6msikTCd3uFd2QN+hlTGV6NmevCJLFlSlxQ9ZW7zDZo oRjDHSycmQ8d7y6uiNUjyd9U7+VAGktbrmi2g5hn+HbsreshP2wIKE6UtpLRVYVJFuRDKSR4QYTy nY4xohgZdB9AzPA/HB5GIfA2goPv43lsNczOWgcN/KQ9wzTgXOFxBAPYi8oImcASK5Uf0LNg74g4 AJi+vxRgSC+ZFFek8si6NOK7l/vH3E0t1ykn9MEdbRr+MYDkoiR3wBbA/ILfBXA+5NPFGEBFQCZF 4FY0mePE4twf4GsFUE3uCbJrqV3rEThK4hpmRaibO4tj6OzI85djOqk05NREVlPC42qIV/9oDT8V SXW70xqu9CPCXNzOx0ZTXZBDO/GyrBAvb8Md13TmPzCX5mro+F5TlvZeCUwR34mIlP19gLFAJmHf ++uHC5QLGl+em1kUJFz6T9zabH/4ryvjxjPqRz4ziNFr8YsmIb5Bf6Lki6Hvz9bOxS7MLxETm4Pe zxM190rd36etaNwIjeq/oAdehYnhWZ5K6/pjUJECesKvoMEs5v+Ih6VEIxFldV4kkwUn+kMtEH8R 5Boc32usLBesHv6jNkULrITIVYf6F763ud0tmXFqOdszqtX18rzI+uA7lulLZVZbTKRsaM+BHfl1 5kPp+eMFfGoQKZuWLw1RULrkIah0SLHzlO/wfqBltcEolmN8/7TmGcyVfn6GkDr002lLF7AQkhOx 3XWwymYWONymK/34YIK5wgGR6A6CRFXtKpkLH2vsLyQ8Quw3mHwth9vuHEbWr2q7Z9EPUn8M4CTM KZXasNqV3LyHb1QHAJX44vgUe25/r8vcrbUCEsaGIPUojbpYM8+f/m7HF7JqGgyvWoJLk/ahfDGG /OoY5Xr5DcczQoINpPkLEvSmT5onAb6s4F4fmbwrMc7AUG+wpGuKXftkR5hdoEtNMTi/fpMUdGeD D9dc47Nsa0qFcpEsRpK8AbWyv/pbknp3iBNvBpEnzbuU33fKj5Ix3a7AjBo7mDia0n7KJ+OZ5QuI gUFy9X8kH7Dgm8QIwAW9+LTR+CcXQ0I1SdGnbdsi3nR5xjzuPHwijNPgVR563wcD5jRNXpMdnszI Z4CLkYasSZapDhrEUnWBzmrhWwqsBPMWJ/VSAhi7ngCJSYNTxAzStYPNS6UGXzR2pW4XtaYtFRso zgbtUt/H8VqWEI9/vjkev/3hcQy+D3tM5rMe/tzA8mfUGsjwRVzrCnhdriaPwZ7upZHr2m/aBK3/ WV4UpiDlJwcn6LNl6Og7mEE7VaVyial3GoKy0xdP2JOJg1tOjlosBNISFGmWewq1GkBxdKsrBlAM SPvamMUHCsQTg1iDBy5Fg4WujOPtXl+PkP7E3B2+8QLK9d844KyTw226DQXv9e6jjvZK0YN2nGAq 44Gp4xeMorLO5UyFeICKnqybGgEMVog062eImoEENiy0GN0Zz02TqkJzcVk4uXbImvmmRyXPxgCC xebYD6NLQ8gO713iLlqvpR12O/UY2p0DrQ0xHGvYNyV8qerRG0xxbs91deqYJLKT+soTtkWghD/n CCvh51w7L5LzN68GUml4Ty55bik41K5pvOGID4TkTASSRQDvXVKuzyilzleVgOlMHyGNQFstRUFI LASRCpf3BP233Ol9RbnyO43CteXzUXtsNUfKP2W0TWQC4xGVkr3+ApHmqWNcPVKRxxjeIzlnZNAE uHsnXp2YvgWcQF3EKZ9wUmxqHXoppy0DiqIyCrFU/edPk3k525i2AtyHOnhpHNQUrE6u3IezSbkR FDDtPfJSNUt4RdnvKilU/o7jjeITFKkjkdxJ/V+l1Ui1eFoXi1xAy8MZI9DB4uGMT4QDmCwe1zxx fxAIynjZnlZ2152QnV1Ecwp/UF6M446eVB3s5NE2lX9MiUbVAm7gzJI8AeiMyhD7amw59f+m4e98 G2FoYU57LAjG0R6iUa0LFSQRXu+A3TmJitDRhSLupr/fXFGxynkQ/6YodGgpO/DWX1fFJyqI55ys Kk7lAjypxUy8sExkODpJVXsCZEOG5tAAL4+Q+4pPV0721NAUVJL4eWFcR1D+fVWgV1tzrWnoDGT1 DexyJkzNpEWN8Gmw9OkGO3GHWzUGvCtwxQeAoQeWcn7MK0q359EJEGNlyZVVyFGbSwLGvI7Bsvl1 5ZXlAKPKnKNITRuNL3UNBCmop+tOHZydch5ant7NsMq0omAKwGz4ZjLRDbwSxX9FFFMRqdJ83SLX eCszFro4XJ585p0sfaEYk654lrDF4/AlkTA0WI8y30zhWNM8aeG14yJUKDV8dliEDu3YLeluvQo3 XIy2Bi93Jg5PS+jCdQwV+3DPjp4bDVPIIA/GoGfI9fvgF0p8QZ/CZA1D6Dhcxg+NED9YkBJSujF1 6i3iWwunEIWLGoMXS7Z5lNHCuQba5DrV8S7vWzDvAISK4aatsDSFVkYEPHUTMkO85GX2oNzx+LCL VKeV21bUXHLLm8ypTPmPzvFGzJ66KRz8p2+1OQuDMUnjf4khXw9F4MUri2pcwZ26kRZmL7n2GcN2 en+ZEM9jT48oywx1jZ3v2lRXLDBaFJqtdeKwEwOwQYRS/TfW7DI63npqMCy5IVrN/GFCHow5wCTa HKXQRRNXRlQ/yXfRD2jfH7txXJl00skXNarGc3deNp+4p+CCkfVmrD/d3ZX/I7XaDr6Np96qO1gM 9G+eyUeO5ByLivTLlJu0pLVW02nwjBErV935SU4sbL/dGeUyU5mtWcISWJsiOytz74F26G8prktu Zl1pOm3J5xR1/aURGVSDCjqIJxYA4a+XgF3wLM1P151Lbvo3nI2ARQc4RJhP88r8mrPp3T2YEXAV /ptCah4jD/znz69natRa6CaxybunFheOAKXk0UUuE7sqDkEPUSlEaJBq7/osQqrpg87sXuideNA+ 7wagllkBS/5QJSz7exocLAW2XwcDzBQZDcG2c0AKMx8vTaqR1/pEuipH+M9Tugez4FmuXrozeVdN GlmvEFLg/eBw1bjnRw4pZyoLrqqbqrgH7K3tJ/ZicSlj18o8XpfrsrIVnDFgTSoFLo927zGGRLoO 4xEXC0ecLEHOrwhoGtl26czLg2XmuOiTmxWC7b9VJSrSR1B8lpeo4LWLVdR+qQgExeHRHaGpspT8 dYFRWdOB86RJVQO76e8Dnuw20gsDyC4WzD9YK49/mkgCH+4TZerEyO2Byb16WBzFEo669atS+LTK aVKpyhy4ETcxTndrNi+svocM37LEveh5wNKqrI5V8IL2jwwaTLaguwTtX/KI6687b0IDenmg18FT jpQFWSDGFUFh5tFuLon/DTVJ3czEtl1LUue2KLodKRkCTXhV38MDAeQSVycHuaTZb5/ZB+bnid55 3ajp12xU2U02DLKGns8LVjx9HsPmdCUStnWKR07TT4VlXgpIQrNsGQ+/toHxDCZRcnlNpZbdSMUX JuIt9CLQw2SjdoA1uHnMGHFj5wfxhzKTMKGaBg0QkHljmThbAIY6ZF511IP/uDzMTKqfLq716njq WCucfU5wEDziUdSpzkKWmEEOV8hfVDxIeaNJECyUgvLU0hFWs3blSjh+BNeJ+kJUXu9ocbvjFtL7 VBRk+dfMp8BvHIsFxWfa0VDUfUnXwdAJ83y6e7eTT9hHtb1JzXzsWaT/LSzxPne3ipeuDO/00JKp DuewS7RRWA9MbSWV9uDapQN14biOQ0F0Y3NwGKuHP1OfL+u9qf0OMVQRg8ZODiIxwFVVTucUGDGC mTGCDGJV5jbz311GZ+qQY2KcYm/2l5coB5V/VLG/SJUbhbR2tUCrD75nJ7rpt4q+bArBw95C2kVk BsWsjznK2ujg2QmTKRmsxTeRNjechgcZbzq5fT/mQC7MShUWsEfK89hF/Ma4XQWYgvJNwl/Bx2Ri 3h+tnnMFQZ11GWKNKLosrfk7ayHBpAoUvkmn12y98W8ld/NQjyellPOoakLb3B12oSNU747W4yO+ W9hwLRnGE93lqNJ5kVynGMTMra08MbJAvHV7kTWmfn8kVviDIMXL8nsvBFTswDJaIOvcYhlRExrB M6n5bsyf1z+E1D34J6sh9zjaWJbXPH8yRcXdo3c0jJlmKv98GrDc63JYXZhp2oQnBjWEVKhy0zBR LGPalQfZPA/d2ypi3VhvFvkaxrTgNKj5SSreAMImE8QqjbzWf9SR9pTs4onfD+ljssTksDkaTyNI RY9QR8yjD75wPeixt9R1Zr7R3SI/tf+IFBu/aKppdoj75Zf9GJo+pwfMeD6ynqwd1RzGmay5dAH4 LS/61EFIzPDl2HkPOLGFXOnY+jH5Jb7zLwnJIP4yV4ch8I4uuzklAuME8iRHYG1P0vmo8NTwVOo9 reNGT8VYXKpCpKAgIXDDPEpN+E7JXS5w3RBeDvDEkYU3KuUN/6EjSnaB+fkPYT4NkifJikiznRex TmQBPzueebFwCW/ag6p8CRAvYAAONXS/3uU8IWdA9OmLpwZtpsu3N923RHvJRVspiF2WRTKA9GBP /ZT3M92X0mxhw9L0eNsC87SYrMhfiqgcxP9Kl6TiukdhI4sCekYsbG0rKd9rznEOyZESPCRmAaKg 23ih67Yx+1HCv8Dk/BMpdPCKDDw3pLLW1tTIvTsq20erh2P8/8Zq+Wi0X3Kmul2/NCCrhFngTUXJ MXHdsaNcWBVsqncDcEyFy6Bw3U9cxMR9YkKkA4U1wRMOlI4ixefxkC15cY5U3QcU2XD28d0AS/Vz kEbNO51AByJzFgsAIOCLTFo+/FVZRKYu0d0PzwcWVD9gtNY/0kYCgwqERqUgGRXOk9/cOWTMQo4c 4Ze9InhCHoXb02ObylDnVWijcqcibfeKDj2vYcFx9AZpNkJWt4vvlCO0yNAOIAuYLGSF2kyE5tfB PF5be+MvG7k2uM9HnQN+f1+2ksRJl/bD8OTIm6+3/dxERc/T+bF1AfjZ0YwNY1g7xmvIwmVXuMDI qqJw7xCONRnPWAmrrFsfxSATMmGeJyF09gGolznkwwv+9BuxsmVz/nAiBe9QcO7Ynh806Xg4WOy3 wqOKoSdc1lX7ytKM8OwmG9CiPTpHl6Wjgpmv4Ygzx7srCWI7F/Cwesyr8zI1oV361kHfn35vjxyH g/wOeXaSfXRU9dS//AzwfK420t3ZhT17rKqmEn0OwCUasUX3vzkhlBLY5Mq6z2chSurT4CPAF22b /B786QmUYIih+pP1BvinZ49dTvrNHvKTeb9dx8OEqKSSb2Z7hrU0mnCbljAQ7K/SWNhcYIoOc0zO ZAor5XQbudRuuRDAeWYszj86xpf5sUoSyW7mFYT8sJOOuB8o5ySyhwZNup6CXtXjqvE6A4H2MGu/ afgEQ+kiJuZ5Mawk8xGIEBsyqKUVkNTQ3mpJc8rM425yR67r2FX4JorJYQvp7V17Z6jyMiDqIl4g 4RPuf4iZzIeUjuuxbjo64UwHSpWb1ExPe4PQd/99CKC4E7lOuegSVEEw5RJfC1WC9/EO5rPKnzVB wLNxgM3cmCfQYiXw7DwKxsERJzuWhx4p/UaYsx8JIOuoeuX+gLI42vPesxgQTdZ6qCohj4u8Nj6F 06igkPUTrPgeYo0uPRtVBxS7pe2SqOMDvlVQI7Ti7dw6CjS4EUJMOlaocmiikmiaokxgqipqP2l3 A0ay3LHF/deRD/IocmPOIKixXQM7Qow7KCCgZpukO2DgbtJi989gCoch/CBbVBmQvz6um81aElKS j5LdT5mYXUtbRZiQLn7mb7IAiXkcuHTVX565+Y5v5iQl/UuQAKzZ5fnSoPDYJhNkp3VMJbbAQ9Ue g2JwiFs8AF94HSm6p7iaSKE5rIEYBwTBcqzMIYrfnEzVzqjPG6Nd+E0B1vyx+atbFaU58U0JPUX0 ATMdgRyO+yXX5G+WgbNmGS7Yd5bmV4rvuSP5SDREYQotiZpGkmr8XgieZTMKO8nwmUPsuha+7S2H leMVMKsClV9+VP4v9+BWVeqaea4WReAiSTctUMB6c3Q27RpztVYDhAY9N7uX6ANTXlYVGaKa8h4t uW+1o83OWgoAWV5R64qzcfbiL+y24bWLkVcMtTRymMF0Kbdul40fhLZmw0sKJezG4djsojzSf1Vw PxQhS2heoH7zijY2FEKyW66+/xxajvq32Q6cUVPuwSyWl2vLSdI6FE1EbyArD31IkS3L4MqwCrOY /a8ziwbCEYr4WbT3u7jH12/NqPVGwf75hEzpygMPJk717FStgSJRIDsBdU7yWYWHnDBOvRMYljIj ZvBAmmi/ULu6X/baKL/WAUbP+b09n8nGxny4744BKMoLYL5R3aJIdd9mk8XDh/Isr0vcFThFYIJY 5LnUeuou7Uk2PyFlSaxzLTSAskVs+P/m/S1LeI//dTLSbem/muVEPVbR23Ztch+4+qBNg7iSgkv0 b3EhdpEcXts15VVg5uKBXeMlYRhryPW7KEZ9TQn8H8l+fdor6mjNwBN1BUWsjxJtZc1CK1RsxqIx RCdjW7jkdUqEnYU57LYgsBcjMgmbmhJMRi4RISmucwJ/Clma9KQoFoV0rysdi5/puSxSFW+htOqn Z0DCHxcs3ahTlJu3wrrLHsd6Z0Wg4kUSKselTVAxG9bx/oWU16Z3UBE2YmUJ1rjzhIVTMEJATjgH 77Qw1KAYGBQWT/Zn8n4jFEIWrcXzSxpW5AcKjDSnTioaSUtq6PxzYBTnPlItZW6tEs9+w8CBp6o3 4EwWJKiK75taJgnrC0+946WjU8PivsmKyT0T0MIzksbgv8lhrJjjwQnM296u0sMgVD1ZymQb1MUe NUZYSjCguwPTRBBoGtNApLpR9tSMLg3qeg7DwxYCIRkUvGwSXttpgt5Vhq2ycEbCkMRvZhFuKToS CRI027gSby5DjFL7vEKVFLRqpLFPtsV6IZnVWg72gr/FYLNlYeztmmzTclvWu8RizFIOhRGETtWX Kcht+/Ox9sEA1C9X7kHzjNSzkEiHgPjwf95waCAo/5bV/DoXDCfhxezYlRVamA6fKV+WiWW8jTXO sGvqKilhb61r9wwgXit1pGkzk/2ZxFZeIgNFLrpR9lhRF1i0Kug9FErI2CZeKAaHBXxNp30t/npk RIk9BeTEZezZzkho/WOkVpsiSOxtA8TP0UIMaNYeFknpVOJ2wqqnLOZvl15PdWTM1ySGs9lqgtbo QVtB/Zbz3F/j9z6CT4hAg9r7enWHMZHiWEiCc7Xtk9jcSyyXm5ya3la2rsGuXP5mSM/ppU0uh1sT 9L+tJDO11RFtloIc52EhW4mBVZRGTl7Pyd6IWFyh+h/4OduZfAestsDRfQHpNVHH4WuQSazKNuOz XG4Vl8oCN/oFB5tVHYVNHgf6CH90uaSiM1rGgLRSO4xN/1gvpfFSJj3iDCOjmSHlCPXcbbQYIuJw tGHNNZTZrY/RG0fg/SfD0bnInusL1wmrQgBLvKtVvfEJ0Krqax89wkmzVlU6JfSH+rSuzgLzJYE2 VGKuexfKZwQXpWUtB4VJhqLEb9P8T41kIPyRP/n+xFsRA7XRWy78NL7ThPFO58AfI1XPqdOrLEim J9lzRdsA70D/sSVG1QxdNVsrG3A+SiC7ewro4+Mmbite0vb6bVAn8c7mupO/luIFtkj1zqFHJtcc fye4Q/UFRaninNGYq9FGe+8SyRwsIan1vqYH/FmH/S3TQzth/WTid40UjSTNd2yyLwE53yjMOBry 7N8OSyUeeBbNvSIHZtIfarqFs2mHlGzkGp3dFxmS4bQJsy5ONM0qijYZSp1GYmfs26Fjo5676OKv QKRnMMLQnr5OGQwT3BXAzLBMun0Fqwvricm29eXRkRq+N0EjLb/bcsDjMcQ4/mn38H2axp8cvGNs irBNvaG0R+HHhcps9MkL/+i8i6oIoEiR0ponpZL/c5rxevQqNhUe/7tsuYf52+o9V5A1OBCBlBGL lCiWmlI2CjIF+ZgV/KvP3cKCVsgrW2pKcPfaQWURme/fsluZXEkbjPEuOSpbpa0iv8j0Dcmq/g+M WjkgxwtYUdbtsfn/M3xSLcHjEWSFT8XGR2HVHvqp0Y0PJ5V6OaSHNHSFg1GaZ7sNMh9TI56WpjHZ +lXbl7roKF9jnnC7cJwR25kY2bZboP5Yewoul1yvVJEEB4OkbOItdopot87AQqccU+9BljDFSCVr gyEx7IJFJAhXiTEwskzMo7qpnKg0PBS0Eni4+wH8UO2XGU4tYN2HDVclp/AHB4IqmImAnSP6diik uR5s9FxWQM1diZXu10v7Xd8AAI8ONVJicq64eXFPSJ8Cd0wsretrCqTOEPHAIXPa3a3ipObVA/91 A0o+ybYVwnDF7AC68pvetSrafFOq42GGEdm/TID7r0kk2s6dpYdAof8rAZRYuLtoHgxRHl3OhcTi GUBtdd6uFV6PAc9ACM2OcK0P+TkH7zt0Gw/uHZnAb0yJ+KyVPpv80DHTnkvJrn68fA7MVBF8il+Y cKMCwDxQ49lyH/GHeg3JHq6lHYt2aj/BC2SD2QLkDRdqEl49oC7085tdvuwq647fAiT5Vn6og3nv MShjEC4EbwRCh8DlxTgLwkrnkCJc73rcVBvSD1zWHPpDIKdOj6td8YWKUOIj5yeM4aX5rDBZOPuU nCTvTfhnu9kK5COYRCl+sUawOdApTfZ5OKtLPejT775VK8d5nXTPaNL743Jy7cKjeKSU/xLk3Rcr l4TrdBWMn7XZFoPs+3k023smV+Lpo/YiLWBsPZRrauQu+C/JxX90s5SdM8WXplgOHJPFmunh0kpL ytxPZ9h53tGi2QKZUEAsqaxXV+GxL0h1BbJgdtWEjJBF87BQw25R4ILofLCCuyw5s46jnH7+lwhp z+g2ewiRTznkKNd28u2VqShCTznAXymJ4sYP55ZI4VsClKXSZ/Fr5CaDFByr7806l6ZLvCO0ZK2O 9sp8YVJMwVMN2o8tceqSkOjdLuZyf/o3Qi5ijWmLBzf49q3/ngG63mnAzVJG2zBQsP+dmqj/L4Vl MbKEWGqAY0jAr6dH644VF5InUbVJ57dErblGGG6MvaDpRL1O1DJWUwJcuzJqXgR6hLmP5C484PzF 0S8g27hfFlrkaUr3vwcTHlag1NNTJqZyVuIXi2qGlz2BUomcZEQBewsetffJNMsHM+oEudXie256 iRoZY027+vI9bAS8QRZcKixposyXG3ytLhvCE1f476eDKNNYSgWCSuK/OOYOqSu+rM0cWeOVdViO tok/UL3wozdk4uF3Ik+lklc7Z1kAONpzNhi4/vu+6CzaLogB7M4H+YFELfPg7z01+Lx9LKncbA8k BOVALXtp82IHAoa2mopOQu5tZg+7ZE+8w3zHfpfciBeLcSFTVGdtHf+/eSlGJb4kp3r/ZZuQUxmw cHCvglrJHLibENwsCNhvsr/QB14pR0XV0C/pXnsVTforOQjSztGKydrDLDeh1WCT0ALaY3NM368e DIXdETyIPeC01NwWmJK9vlJYNo23/BONpZViQP0uoZxY6KZgygJfB2Or/HadYbPgVf6NKTNY7JXm uKvNLMcBINrGvRB1dzv1gy8kHYHAj1yq8dszY1i7YAMJEWHjvQQXg41BL4jKbVfQw2TFipKLAo0U brd6Q7LySDMpfKRcqlQdmtY6EAqcijGeoyps8Q9sH0NHjsIW24A9OR72RjQp/68BK7LL5yUQyLZ2 VYBtswJgnpbpYcfOk0mTpABYypkNK8SpDOM2Qcq87tsr7x4HvPPYLDhd9u362sUi4ECqlX6mlhzb 04zXeRGZS9oyAVGyCcV2AkeqYsQBv94DztE3UmxRHiw8G+/l2VMZR4Xk2LCLzDyzqwCTt/Czr3CQ hHAPgVWwZKRM0h8ga4Se/MSOIZ1NIsc1QdQSLBV4RJospTEYG+KtF1cyZmu035kGRv5ykqy6u7W3 eAHG8FpzqPFD5cKBW0W8nL7J+StDNA3YO4z9J7o5o4j0nP53XHsRjADJ8SOprveQF5mzUHMW92Zo v04DzgXbH3Gyjm6BuWTu3NLFuaskhdEKuItNHqbRfAAvTm/cJWku19E5M/GE+H+/bXM5XC3lt8Cp 5B7G82NixO3zHAf3aQKkrXRBVu1trEJawQlM+Pc7MDEVOWtb9H6KpTKlGVEvpnoeofEaXlbaqHN6 DvmTo7BJbPPN73DjD/aNWNaqlg4mkSAZzjf3BOKBwBqOCHM2JHtzVY5CboABzWKdCA0xy2bySRGO l49IFGl19pDcvs1NK3E2kF3s7WfG5BM2pwY3NXIXWQLxLa1EYVFXQXcuzHzPNcUAkSRFUMFkhMB+ AIW0bK0ydVMrGdpHEC9S0O2SOxRa1caMo5bltxAjjTjLj0UGiKdVCIjVIb6mmgykWKXDBQcV97Q2 Rm8M/g8sc38OEcho/2hgsBTP6/EHVvtsb6r9K+Xpm2EULsr2OMRDCwJq8gEZpOsxdLjxMtkeBodH wDhupmwtDVzUUSMS0BeinnOIFcxK+81tj6kVectgNdP4+APYsdJuYA1wwap3f7Di07PwQrmoGPBM 4MJHXIUXD3wHObJhRaXIyGSZy1JwXNN4pXJF5jLWZfmifG3hZvaFVjkhaKEQsrQzvzhI1xlH9zjO +27BYhQugCN5pK1pTGH9uF9T9ifgWrsDAOIqS1usH7MnaZBjjgtsS+H1/bYiWZlvzRM4AH0f4+rq PBFDXvDyPjRJyaYVrqcCcbRuU9AVagvqYss/I7wxPiGUMS/3Kb2UXJ6t/f0SNtGwVHXi3MZW+9gA UgD6w9dm0GyN7CVUSMMqXvugZt/mc60FlJF8Ro+TOfEoeSfUDwAR4i025E5jTBkZtIKkiNgPulhJ dovDw5zVOHmBLwSjBNPRl1HW/s02ntyRpO5xMaJsJNY5TzN9RT3gpkxN6124EWUSTZ3Yiy5QS1F6 i2azM5G/cGUreqjvz3CH7YJ0VeQPhAa6IokMjA+PFg17QJPm+rQ0Zi+ilSi4RCwMel5NmpRPYBoa AjDBHq5BZ9qL1+wKXSb8+eHRKP956sPi4mk2p1u8Tk5ix3Pj+o03Q+wsPNTEaiKeBRVwNzc0jwcy R8zWBhtr6esrEfBUd+7TfhAjWi3gGGyf99XPLn4NUI5xJBcw3mnZGVr0mSIUvBB2O1rz0V6Bcqmu Ao8IAe9HfIlSOA/TZk9DTUzxQSGMZjoIwYZiJq7P0jDmg1wwATHEnEzbfFeul3NWj4ITvUM3F3Bg 3GdA3LBNsLEcg0po7FN9ptwW9elCXPJB2Wz/7iiXEbc+YecMHnxzA/uvshhBedzZSBgNnlaBZM1Z Fq0vv+1Uxq/8gt4BnfRv5JJLEPHWWRZ4u7PHt54HvSGbR/+TSPCI5e0qEDsw0GI2op9wt5Rs9iGt 7PXJibbpPiUroGL0RFa0yDfwYdluwgxQNeuuDkVDmMVfbmJx7E2zg5KJKndQ/McrYhLOYle39C6w +t3Atax+uF08lyWFmqrF5hA0K67Qb6m5AZyjaeN72P9Poh/DA6YC300x30JrZ4o0rqAC1y+tTI6N f69EKUG6mWFwAiVxGVAiAj5ZJYC+2j7deDN8S8DJvisKDjIOs50kBUXcO8ziyOqTTqYW6vrszfEh LK9k4atHMIhO7mDperh4jzfHlNMMQIzWpEBN0fVOmz6/B8GJUbboieiFeh31AMS6mn5WdAEsgcHL f5nvUMl8uAgf3/LgqIBRtuyn+eYI/JD/YQqDoHcoJjANAkTnHZfPeynG5G6OSptGr91gMeKh1mzE p3MAFuhfl6O8q+YxlGhO6bIErExLWTIhTerselgK4JRuv0oScV3nQSYRDQ/xxNCUu5ia7fOrmksZ HTTnHiyrdPmZpHnLOUcAUq0dgLFUaVy5hKR+TpkmbWVvlB4VVyefD2uKFrkJf5wcwgf1QRIdb2+R Nz/id0gxvWcU0HxuBuS0KWvjMfZ7pc1zZ8zhUduTLPLQRK6vGHqWNwS16q8e+buVDA/fzvq130k6 z3KIVov0uRPYqfSpMRnHuK+3e6JzIgdnEDxoqxVPk5vUSZd6TAd330tuTiB21dOZazg4Cp4MEmzL hynAh4U9zNZe+B5gHOjI6BFm91jgnbyz8FIksf7mpN8lgZv3QDCD/8sWwTlGS+aU+UkloJyc5C/3 lSWk2SwyJWCkEfv8A0MTDdx9qR9nFQUA6RCPgz79UIu9j8xGxtSuCZ9UcQm4t0rAdI6JvEdWmEjI umNJIj2nIvdPCsk5FesQUY3KztSsaOUgk1lE52UmNZCMDeuuB4S2nuv9fdwKfisdVyGfJ0gDViUp yoN9i5mPbNhwUeCveDlRMh1nMxUOJprAHqWNQp3zrlu7GleXn/YDnrlXXLwNU4ur1SL2mRfkWtQ2 TGRy9UsQzmDzw92boobvLzyv64pBrwVaML5NU2+Jwoydf6nEg6G1TiZL6PrE+pIh/3GxHvPu7JbU iV9gco2j0m/sbXX5wuiwbZnt4NbO9Hhbw/V97WkG3hjJj9NftI9ozgrNLGJfyRqCCf2yygjjDa57 WiRAZYj8iHXOSwXm+gyXwN82G5iU2Kl8wPuZPle0wIdit9+gOFbU+HqOM/9fENRQrX/QHJIM5mNz Az6N6gdpdAvy7EoQkUy7FsnlblnO5y1M40KnWbQkjaOwLJx37H5YOmU7moGBJI5B9qrGcQSgWrwq MOi+tl/gUIk+9HiyzTChxjf4G+Ufx7b1GG6EnCC3bBjVn/1CP+MIQs/M/qG7VGsTapYn2fv+0GFp 4NVf6VWaR/xr7ubRV+goN9+qMcJdwtH5jfuatR/xya0CywD4mQtcQOxYb3Txy6Khyei52QOi21Vi QUfwq8gA8rjXgznA4Vq0z7SO3vfTuUwQFhVrjSmfx/4kCXekr3DbIyiag7W+m+eiECc6lHDxZjGS rTCFAd8G7eUOP3I9LLembN9LmsjX47Ck5LQuiEWsITsdIvUFKN2sAdqk0cY0Od4YdjD8TWIDzwdp Ip82zIP8nYEzWwhqZC4USuRyBw9q+4yr6HUzbkp2kPzohNwwubpMecZRtzXDd98Pj0oc37sehPgk a7rSjqN17CyhbYWTRa2WApvXv3+Sba1CMgzA/loSRlX1JFwU78UHJciTW+WyVLyjjGYjZvWT24Ek LDmcswOswZDlnfL1GveVOAJ4dr2B/rGa0yp4HXhUZR2L8bJuRoJxyp+F3oi5V9k6N/QAOuFRfnRv k/vBd/Qiirxv+LLpiIg9ZQohLy+MOQN7lrWsM9cZLDcW49NjSsgYfnBIdMfbCFxzAjB3HKW2Iu6b lwjfVIRRKO1GP29QOBeNTktp5a/W9TEUg2SWPh3zg5tu79XUvyPvua4RInhdm5XV1eKkbGrnhUTR Oh/KylIE5lHUrBgEnDGBiaTWnPyP7zSdS2cQ3LQuHlcSzgGQ0iTrAp0wnFmbA8+8VasUlKQDw5f3 THEQ9iyFNhGln0XZQaWJzTzBmNK7pLOvtTveRQ76/WmfN4YUNdzkca7essstCFQrmIETRykoYukM /BcXkXEB+MEshNXChk7zkyI80EcQAJgMmxxb4PTqUeQxLtMyOJubLsC6Gf45ay3/q+mGlGdsiVEu a0K3ovCLg0lcXH1ZYcrPYLC1dzuXptEE9om1Q8gzdMhIjm6dMh82HQQECK+EujDWQHP/WtP7a4md LFJh7v5pXYISMxzRLlS/dC9P0SV52dzFC6jWSTbIcX3Ix8VszAxlIRhO6gFX5Z1FKSZEkPmQgBNG uIicEP8KfRLVCF451HWgbKF00KqaCNOwsmWYqcTOhn/ZytPmySQV28S/SQcctj4i2hwYiQIHrC6j nXLvYgJkj28Vy1U1OZKpD9OOGKfNfkoJc02KEe8L5lc5Zim8D/6BQMtHmPweEUIo7UUSokBy4EEB oPyQM58GPLPDz4Ia6zgpDHyt1/moezcstkIZnpQn/MOFzh/hYbFGEOeSG5dxdJQQBM23hxZ06SjG 1id7tiWu9xeOD7WwMU0LyvDLB4BZtesYHqdsvHwX8O9NExnnVSwZJRlmfNKN/vdKkq7ks7J+ghIF KIeSOpZb3yKZMszAJOvKsizFRMp6wHm9++c3sdvrqNwuVP3FbI2g8Ssg0xWPwUkxjMUODl3n4Wnj ERRkLriGxzNuDZjbuURz8ow2MsZlAUMnUiMihX1Zz78GIXjMBhtOsCojUkpoveWvIqnDOPfCQ2gR oEgj6oHXN5MDc2rBjAfXTmHlJ8kVNlg3a7MoGfbffjxgfBNKm75PqLISg23h0epfMPkw2nbv9lD5 tv1otbbYFbqC0LAXwzivhMJ9KUj4u53x92+D7wvhHyl7BGtgqNa037xaS+MGjEXMOjPSWbwD7Er4 GKRwUWm6reebBz6eOqRBJdSg4zaIf9Wvl8mOB8+Gr/S2osYxXnFsqiRiTjihsKSNax+hIRH66TPG cmMJWURgrZIBkGZY4LR/1KFfsk2ch3xYu1RkV8i1tREKT3Oh4nX/c8QqUNPIzmskF7SOeaSRevxw MI37jankKzw2RcO4fbftXN5BKa2RPyjUeDP3pYV1Q8keldFRBIz/9gDhD3CjX0cqmOXGvx6F1nsg bX+gk5wH0oBVg8RwSukWSAnSTgzFKtSLRPKCsUIjgavpQIu07sxnZst5phQuu+E6Mwu8yXbAOtYG LD/N+lkg3hbC7ceCmeWuyanPkJ0dNmggskztT9qEMq8zFE50wbH+uMI1QQm+aIljJTCJA2kOOdAL FaBBcfKhQm8JshGU6XuPRyF0J77awk7CH604hw6iWyr2cSHJ6iVVzQdUo2lqr/HXxWmuU/wppCqh oPbTZowb/mRaO86VVq5dGjAFctFHqHKxlAjlf2Cjcd0u1Ld/VRJ82PvCxRdZnr7irDv3R4XYHOsd OdKmipZxugmQVksMHuf0ipvNCOOTDS0OAdaByDvhacxRKyLfCLPYOhU/GUVolYo7UDanPu79lREr 9r2/dy8AorKzpXI6V7j4EZ56QoeVcTc8SDHBPO41kRb1CXJRW3NTVy6b5ZDzg8pkWsA3ZHvwicIU Z9c8O/ON/0hp7B+egCvt+M4nAjRPhfl49nZCIONnzRvLfMZXfDoRZNKsjlQE3cj+5eRgI6gUG41N mEykJ4EDJEGEpElMGfPoreBTGDlmH7gtNOAYzApQDOJ14TAyDgX6lia/z7Ngf6xw64Qcy2Gl/7PE Fb/6N4+stqZ5ilOh5KeyGfvIH9qKjEbStIqciJriGOGGPYmmhSPyHhAiBNk0nMPUryuDdKnqodeE fprzfnbOj7HQJreZF/TMRFfJ6aVfapd6QoKnvUUHDqJMUGxYHsIt44INJSosKTBcGF1osNc399Jf 5ZvEPPBnt71nWwJ8cDRV8d3BQru1uEZH7OHLDztCdSRZPUZMvK/DhKw9QRTbrh1iwBQUkv8yRhcN dIQghjHxq76mBUS1HvvRIJ8bGxh8c6PvJJehWNPHd8Blb/1ZEmnqHMGCSDA+P4Zu4tnk0MaHIwfH 2tsDqxwVRZiiMpy3EhaafAg3nCwEbDhDc9fGWNVHsM1kKb7v/6HBQcvmKcJdyjjuZj4q80wHeJFD MNkof7Z/CFufKoYyiBCbdv8aduQgyJoctmSoGzs863TR3srTF/iR7tJF305DroFFzVtZ4s5WcB3p e399KqT34Q70cL3gh6nIX5ocf+SRW6MR8f2X0iPI6taHSsgdrMd9NfZYr9ctrxH+Xi3/JBZlFsw5 0hg6J70dVxeIEQhzMD0UpGuFVS4kxpfZs+WLXbeFFJtj3pCgLNz6PsLy/1aZmekoMb9KJAYyIq5/ x920qt+f1Iqj0Uus9XlQvQ+BRKt1Ft5phg6h7acoToGvPzZ1JP7/iKGVvMdNAYK72z3VDo3lZ0Bl yiaHJzkQ9ICcDeh3YQecJ5PwuGFdlaNH6TcNSotgcQta/ESNgW4WDVuAdc+6pWjJ3Pq/sWfNsAlj c9Ai+eZY67JQoRB6c1Y/uDIryqo2NvVv+4rvcNOvKkZboEGc9P1N3yyXewV0DLUnb8Evwami8A6x ve/ML1WRI95W/toF76HIm7e54qMRrdpRx5GKRKX5M8COMCG7aRoMR/ss5wxQvsgMjrs+tXAL/xPR fh8t+Q/3FrVvfki4Zj3pMjCQMvok1DoyCSYCBZU+8h5Ow37YWBEQZIlHi4Uf8tqWI516KglvUODW w8cnSJ9B8j7wdpBwOpBmTbpTI/de8wFgrKqRt3aI4bzmd/z9OA2TUumGVIO/9Mcy5CDTYghU8Dne Ngn3vhyYHjZty/4VX6oqD3MYTSGo6QmoXo5chRWS5LLkwPiaj/aC0khfIlWscKlPlLPn+jGhkY3w zc9VzndA2jB0BwCqV3gByq6MxoQJjBZt/A3sknF8VwexBu9xTPoyIgLuQUeBTfZSTkmfTLL98CSM fzGlyJmSo35uaCLZdFZHm9t7rlXEqBwH3CIY9GiJ55NVvtwE5avzKKMk4rpNRZ28fgxFasi0W2Tq aF1ZNDNAUy+0RmBNxbEQHIY6oPyvneeTZ0Vrvb3G1E5/qMV3M/YMB3sv7uDj+gbaS25uAkHPaUPC xUGU49MaBhHm2hFuKsqewFnM4ttt3kYKmeaxmVfrfc5FEnqIRPGVXVaO4qMgyBnfT/yaL1ZnvWD8 yQlv2MQ/2WB2iNqPeazNTF55Kz+2ai+Bvp5Xi/krz2N61r1imDzT9xRjLeuwi0YBTrcjUwhz5F6C FgK64uLuxJm+8s9vZHv/GOaZA1acSzHH7W51DNp4Z4B7A9VqTVrhxVMhwVB8FHxX7VrLL+4RNWqr RtlsPyou4IEUaVdSNVruSl68Mgwl1z0LsDpTaNvXe6PWjGyptQSi+xUzNUIRxhEQiDdvKGJSZFrB L61wyvUwW3fILiKwWdXXn3QCFBJ9OS76hpueEDBrEgcC2tw5Cnd15WtJRyY/aMTN7I7bJufTe6Io asetZUSCeZR1dBSkuWXUSCdm5SvZAXUGSDYWkmGXhwr+pyuw27DkkCiox1eN33oo/j9o950lo5ib 1hzXZx8NpY5RB883Xsg/T/v6k+vw6o4CxhhuXoM2HdHeVJlmuvVpEAfpnc8ekGuBPa0Lgwp/KwsW iJhzma224k0UGCWLwTkJvSV7pwwJUwQb75n7fS/1BcOUuNztZSJWZ9CByJkJCmA04nbg8JZLdI6e SeMqpe1f0iBt2EEuBJsaufHb1/61N01rKa2//TXWDmm9LbvqWoBACHZuKXwBhpELA3t2I3onwYOz UbyePsQQZYJxp9lDSHAQR5NRpEyM6nflo8Z9A8IFaWNv7mMC30+Tfyx6M7sFurEc5+0fK/dKa7he vrnNy+yB/Zmmgm/r1x+FvdrbVgumaIfUTE7NVgItPd9ExUnNOEfHb84n7Bftmtg2b9KV9rFJaPGS ofmaPVmsKxaYeW1QbMUFnVxMkSflUxv4klP25d2Oqb/raDvP8JZhoRqvk4Ea5T2L20tNUDGHk7FU XNFZkfXvF8rD7m0Y71dQdflMRfm9qn3JuVe/QwJxNMIPI/AB6CRoB77z8BIJdijmf2kU7WcRDHH/ Ca0i1sULnQh/5G4CiRvmxaFbB9yTNplInH4p9aVkffHZh+Zo7xBA0XMy5HhTL+LJOUqLxWJV8Cbu ZA0KF3K3RC0OKhKOrugIz5N0hn4o3Mh137m1MnT9BXqU/rbmJmmYlhy59MM24LnOmXVb0O85w5wZ QDgGx33b8VUJLvE4Ykuw7luKtzRQZxhSk/jLoZrkIDXS0Xxbbi6VnIiSsF/fcSqjF3Lu+4hl9BhW uKQlca/u7I7z16bX/cX0p5DcJdAsBgA4qvA0P+mIS7EoePhx+96aPxH700z8qqnyqfBmwVHmAE8X krt3DvQHL8WTAH91j1C9ojvRkpc3/icoZ1VP6qPjMZu3lPWUxra0p9yxsDpMJXNdgtWquSj09An/ 6MxLeOL5Q3VaV+VmAHeFYq3PugHopgtoRlyiN2sqrMjouU0nwhLOdj9ditp8aJBTiPe6u3/vqFvR cYf6GM+SwF5yVumpcUaPpdzXVu+yAt8I3fmhUZfvUmWswxUgl0zo+EnuNmqYKkYfpUyV59Qr25E4 P4Dlsv+yk39qv7Y1frj7wqsuxSHTZwqHAfPxpC6CrRFjJVUCZzDJgckfooiOnIgXwT5dKlX9MJ7Y HdBcJbbThLYBC35HMpn1KagX0s9lFvkJK6EpQsLb0bDTCv8nQx0zjrZejrhya9aj51DdWCzEGwU1 slwDgNIw28nUeABE33A727oZl7gIGjLaCmcTkmavU7GzRTFlI0Is96LyP+jCmkZ1wri8FnaZEA/s 6MMshNtjBW+fAOP77pV/wrONlfjnI/j4TF/s1CYD/G4Fgf9hI2CM3L10/ol/54M8pz4YMII5bTVx 2+zoBRFIUOEpwoULdvC459D7RWYeGBmLq0Cttw1NMrplfw1auNB6rB8td8yMzurJ+5Gq96bE85pB 0V5mPLoLzpU2yW5VKOkQGvRP3SpJhEuit1NpDeS31lAqcwnfm8qPfIfRDZzQbvsKfb+vxnoe7wMU apn4nDsw5FslnY3lTZpT3nAatx9dK8MOcRFnSvn1NP4gzySqPF050n0VeHlh3NQPPTN3Cr+QOFcZ WFlVL6MJlmJAzJKlb2Ih263f5DwXVjCyQh3RAV1uCnVFRp5IUPqWkLBeaw6k+cMYBxKw8wE4Y+NJ JmhMtBPDqdn38tz6ABMA+maE65sfwOsRlJdGHiQT/JmsXbVbokf+4P9IfYQlIzg0kL5Qcanzk3u3 UDEhRWnWj+zIw49K8ie/ubgI+/W3Q6oEhtMrf67u7PkF3HQlwaFUQANUQJ6nXyhGfrYpep4xTBY6 +9KsBd7v4Jb2y+qV6t9y7PfaqV1G0z2XKTSvFct6M9RS0VsNYPdW8jE1PeHFBIUojEbpARfyLsXC G43qMgF0B/3ns6VTLaI7kXqL/Wi+OqG+Kf8i8YQM1HreLLYNWh8+KKDJpDuD6/7zjPeSZ2qnk48z q2qXMZoQSXLOYQ/ZqeNJ67Tj+mBvgpgfGbgff4k2jGJqFAT8jrhSLHGSOFLc9Rn80qvzG53IjMyF etNszYyeT1uHQJ0oNIO7HCGM6DsTJM9SLnQr+dbUvsViELRmrAKLQnQegVgsgnavNSUjnVrsnteI NGZP0V3Wki1yvA5H3HiS7aHqJH6e82NjcinQWe9RDoJY2SmpbcQDsaAKFhdQSgLWa99cNZUDk7Kx K03F0KJ137yGAf1O5hFK1EU8TtoHe75dbCWkLgNQ7p2xdO+6PGPueIZXyLJO0NKHI2dpBo/YSbig lZKzv+39vc3TCv9v1tVgPFmzlt/FL+InU6x9ykbnvM1uvuJIKRLpm6uU4BHfcojIKRDfl5PF/2Vh AJzgN222s1BNrx+MnoEGy2xpmiggnDLptkCnTVNdvpy1T7niXZ+T1+CTXauLtlS3hQxjDMzoc3/u I/MtDyka6hXFxx9ayuK+nu+Zjkollm4H/y5Eiw2ElBRaRC4GQEUbmmWdcWJydPot40G59rc/Y0dW rvhE5ULsFGEAiyJKrpf3jn4vUwo7ervMzaXvY5KsczZrmrilVKml/yO/HT7C4qHSH9OLAS1Su8SG 8uxgcVIqt95pyW9l8t5puEpqfKBGnO0Pbj4+at1BZvZLjIYHY89ug8t3FAU/TBDbwZr/kY6UGTem CiLe0HtbVI2RMJ9pQZl7jqUj+2HgRFKurhrQE36ex2xtWx6IFALiaSrupER/x51Cvw0oBPNjrHF+ Xxk1oWO5J2Jmhazo/fmTzf/AqLjuUSPbG+bXt50GEVNagf/WzqKilSgMhtqKj18W2JttWXFOf1gL T/oCDnwsCoXnpaRhnq9YKHD96YKt4KucTOJktiDVQMJAe0YCYqZv8E4AjVK9bhWVZ8DA6/aMIWw1 2b9wP6dw+piaf1vYCFgFrpSW6ZrtE3nwmwN/kT3B4CcTbvb54y+qZLLt9Rt6qxI19NiugzEsnqQy Ut/W3UqKJqm8hCszCBWDmfFsxfLDk+Q9BlFofaDLkpQLpD3VXesJZokmWe3GzbdAUhQwRP2PqVf0 qTSmfQ6KTzlq7S5CMYkCTgs+SAXvtofuY9MgUo8tVzTanuD5Z6XqfzUxrDxwxR/2e67DD+joRgS9 SCuWD2mp/LBQ2Z3k3JIaGYR1A6M7rhSsWefSAlILmfTrsg/lmdOkeVC0Q/Uhx7J8ZynvAoaaDxum I4laWv7LcqovyjT2tg2QE4ip4lkQox/N34OZqzb7hlxIlYLgSECtzPVJnHzmY/cocMgKuhou9LRk T7j6MP05xBFnfaVG3I30yIEbyP4zFF2utBnEjgN+OCAfsESMYgNOOkeqh0bnoX1R8GCTcpXcTdN1 7IxImQKoR6tK5EZ7m6pK/kbqqtvG0frD3lz5d5IKpE2IwvxQtiz6gS8rC0+kSrefx/ywx4TtaNZA jMUBQHf3D5ZaLtMvKT/iYXZ+UAY0bwk20XpwuAbOo/9+4VJqqw+9yRmHoE1y25lghyG4IMkcsZZp NjdKteitVWw9rdBt5DVJAritIRnS0a1g9q7rWeQNdy/Ijz0HknjhwIOATQyV26hnGiq0CYhm/cQK RxWOpwup9YzF4HoHZuUhcrW84YcOTP1Za+4E7PE183IumZKp3M1+iiBbxMYtN9vL4hDcSyO48b/N h6g7bOZ0Zx7VpPpliMJzG03vUFchdkAAawIVfTOJeoWuPbCorkRL65ZypBYvCFIJdJ91wkvfNfKO i8cjKRSOSdCu5UzO1yKdS5aU62AKjqMJIKCjAR4rPsTh1WsXH/T6EychAzccS9Ad1lGBNkN0lUjt UAQt9sZbFdXFWj2Oi96KH0qeseBQI8SdqyZ1PrWPwctIUq26KsnmhDx2VfAvPYUi3mt0DstL+Uux h3aLCSbcRQX+wX9wLjHzn/9jtq9RBJgKsgEGG+CF6HeH4/SdjMM4LVb4ES5hxliZDWKfxHSxpEJV ll85otM+p7Gk7zxRtkjopxyz8uZ13shgEMi6ehcqCX9461UTb7wGYV46zaUWJ0smijxnC3Y8Yphl qE4/7GC1bJyL+seTewa21DYiEHa7YDH0zweu97V5oxyD9s7n7+orDUDebpXRFxdWeoZtfUL7sVgU 9n//pFTeYdeVjU4C03klyRDh6ZWUgc8BofvyygBK4HrEmDK1ID0/7lCGwpBVlBNTL5er/Hteyecm hyzdxQzaGvD3+Hz4I8ekJflBxhqBHDpm4deLjTm8sW+e12kP24/zu9jur2+V9tPxwHQzpFhwzyN1 AvmwemSEbjpGIPd20OBW6sLFHvklWGAmAhIFNLw41CK1K6YVnTtzhYoTftDcIaBrG0Jc+0nbJZ8E AE8ZluwKsnW2YY9tFtcfHV3ALgU3q8uecmoIwXYs/7zmkpWJBgUkqrjgRTJ4vJLuZA8UdYPe4R6s /z9v6SmqvenbQjc083kEJFMu4DRzMh7lLuS3TuVPQnNER3HTr+GwTaLIyZrknkgO5fnyQ0w4Bb+W aPFQETTKsEOCo4AHI67Cqr+MxdTHs2QEGhGzaNc4bI3yKLWUt1pMSq/lRtF31w6beIJ9dl+JK49J CrBdwZ3y6dODJr7sGVTgT41IS3pgEaS0bJY47deFCkazWB2bwUTKsqp+e3BaJ8ClsjDmQI7UyuJC vIsL4czAui/jGi58D0OqtN9zwKHFt+nF+ggzQE8mkKE8ThDBviQQFaq2YIOkR2R0QRrWubh9Qurk EpVvQg6mt+LCkF+xvTniAakcmmiRq2PSBcOuot/rrZmOGQvH+dclHyau2rHGowzVbwvNLUAavKNM Sjn3u6VJ3lRYheVhFy+sKC2l8Mhz2inH6Yd/UXsgHRmrGCQgc2WLNH0aba2L372Tc5rjDzsjT1Pv h9C7Zu51gnHXK2CaoFfUHt4MZJVCRjwQ9duLf2tgwfOzGgaC2KktO9pRyT4/OX5VjbShL+nVEFQa R0a4fSHE1L3/iVqLCzNRo3UQMBZaxBLFv84yBWGmPPhyFiEGFRgtMKm75as5ohlmOjFsHe07ccqt c6xz3QdzS1+hbekJH+HB5FwjcBrSkq6KbbB93FffpyR2idIKwlXmjCTLCNqTrS8+Mt8Xl8+ocP8T rsHxkgFNJLw3WNVggUlHgS0HathzoanyC7KuRES2oTIR5UZYx7rRPEIRt/MNR0dg40JOha7tnLIc pq50V6CBHCvJ67wy0SgdBmdWtt/GjdlfOZjR0brZHZL/ybF7OKy8S5758e2pzRYZyDI0/WVRq7FX LBRYvEvSO6bpSR2RgAoTV5FHiti93r+OPJuJVH6plOZbqGh2fz811Pkwp1JkGK1PeYnL15aAlNLS OzIy9UB/FAUi0/077y4GPx2V6rILYj3G3txgoWfNfdYIzOmUdtOlc/sKgR6CB4K1a9OhEl0lTWQP OQ2vE1+VUuB10VvHJKEiSfiOY1dTibJL/fd7bLOf0P35rRWuxg+kgGDM9udppzoPzBqa/037ocEe DWpMx9TGcLGakFNuFq3TqKuNNHiMWDFn3fPfxVvjWCs9slNDPjzhWmQrm3VSbnKJ5HN5t5U8pBcp DLQDv1ox0/jpNav7OrW+jUvT+07IpcC3WnJ/XqXvUhiPm+M9tlrdFerRsLwIvAoRyOthHqepjpPJ O/HyyYT51NGx6GJWriKJJNOTpv3SF2/Oke4DFhHRaBihTEaaF1yg+FJAPwXlXb8RE4O+E6Ny6B/9 AmxvyQYZ52K2IioN4NnLikKT4WWHuLBgSsx4joiYfbylZ3VFOaeNqw4aECo0/9+4MOH5ilurXOMi SltNyvj5sioQjTe36Zs35uLlurf7KBMFqb8oQQYbCa/tPObbMfKQiq1nkGMR0Vgd9mt+ILenW3Kt ocSqGULwgUVq2pK1pl9+2G0QLOes/hI4CjbPpV7xjdOqyIiS5lXCkiMxE/LJGE7w0xKJwDjKSeDi l9OL5fPZM9RowhN69SoFvegghTrfWvxQdcLNya2N0o80tJLak3uhKWymjkQIqi6/81mN4GyZavun 4RE+9RIpvoud9K9TBi7cBZsgwHwcCO52ZCalxUzu+lpB4larYiYoz+0gupNZOc5MRSkHbBOp2jno E8H8FIGD8+gHvyuabZsRyFV+0ZjNcwJZToLPI3Yssze88zwLE01aUvojhUNfNl+rjSRZLQvNKHO1 COJE2lsaEjjiHlTI1i7TKi95FMmASgrb3a06JTMzk1ogz4aDfYwuHKVFXsbniKApNueT43R/OINd 4RgCV0vHaAgXYbVILk8rrluaNr8Q+v14BoRJnXOcXiO7hDfXxvnRdsCvzTV/VFT7vuONMIsjv4tT FH4HAQad0DM4undejqPB1hK7xoFcLZp1fRETO7I2aW67SJiEFgyyeX7WBtWxDw94YG4j9xinvPp0 bzFqKTQ3JOhBfkKRIYGwLsU0a3sDsJkAgb9MUzQFTUu+3HYCCeP4ZavT+pGGXrhRR7e52/z0H9xa EwiRAeCWnLnWIvbi9L/3O8rjOAyzEbEKDw2+w+LToMPWBVD5grqmeZtaHsFHBb1SXBubMV+HWGGE mZSr/Ti6bm8ENlt8UbPm8BIs6+be+WCfdrrLKFefRBXihl4uZ1CfvVXJFkLQpFHVFONgtSflE4nE dVCRWivLk+C/1UW2m4OTyGEvawS/Yvu84ZHA/VqYsrqMbFcXIIb44/6ss+3kwtL0MUJ4lINlFXq2 39vy8kjx571C8Mk9zLrg2RCKbb3IxKYDqiWXmO2YINtP8Ru5VZAYleUPID3RiGrfOg5s+FmhcT8M nP58w3+pNhYHuPwu3iUZqLdsdMf1i7l979gU0ikSzg7rTWP7K+udYu4PaFO1koYTiYD6MdzAeSD8 yjY0C4KjpKKDbTjtJpeMuaortvv1UTGjcb3IC6XZ2Z2JCF/zojlgzUc6Uk08n6OVNOimpeUb8VxW IMGwZnCqxb73M5E0Fjf91ORGQstA51is6B5wg/NlAYp1viu5ZaDCEpjWdCV8DH1ils+myKrOwvP2 ihM8RraFACYKOLeFZVKM9C4LfS9ayTsvEEAsTMni+/UlXTuOis+Jv+NxuyxckMJWikpaG0vyH0e9 Qv0CgNB7QO8JnPmH1cz5WEhPUywG80nPkGESw0rkpuEgcayyc0WuAUa3R9Mf9PUo19kMv960r+Qi lXPTzn4ME4ajlyYVOMA3uqcvM3a54TnxtDrVDAcAa7VbePxjwYZH/X7tv2L8lC5bs8MGghtszuoZ 1r6KCfFyurvvd+unIO34zKzP8Ot69zSAMEIaas/dsv/XXV5ptzCQ2ZmHZYPWiwZXoLLarSvD37+I 0+kMkX5fTpRFqLg8Q9fum1PVdNf7h/7ZVJ+nJD2JYrH+C3ynDzfjHNZRmTXVXObzLYXqV20R1V0F 9PqyL9ZXPz9lrSZHqznNnDdutkLV87hmoGqsI6QAXtjM7ZVmJhd/epCVFSjq4DrpO+Qtcd/wwY5c /BiYVr/eRgHGOvr665qRcUYIUVvW5Hm2imibPL+IV0azp+qmSxukb39oX0Uh/hLxtW3pjcYIWO0C ETMyjpD0SwfaHlONOZZXbcTCmFfKYLMab3lkGOCuM7yCAjQ3wrEp5vPzmsHZf+5WtOdaAcWTnS/r cWuaL2Xe+DPWUroLjNn+qjrYMl05CD7wuYlntv2qARBxxZisrUdzfOHnYv5Mw9ZJjfEBbXpWkFta ntP7bmxss3xa3xfmsFqfBcb/78iqlLHPVRydWkyRJ52T0uyH0OAddsSQVhTo3loSZY+toBWqhrAS +PSLjZmQMBCVdfr7NrD7OK6w5KoBjQQbci7F/0uxt6pLTHYQajx20Lz+H+LY8itBBp0wUVWMQoIl XngC7npEVwuPseTbhdlg0Zf6BzqqyiWU3YGVTgLIUcCGJouevb6rUkJuswFIqWEr07dbu4mdDxj0 rST3bijnsNdfGHJK2Q9lRluVZOTrVxIya54W2oSKdJnn4fMTHw+29KB+KzaIw/KTfKa5mznxZPdI OMYNa2XBsZFSr0uO0kQD83/qR1JyyodA58ZpWAFNyqmLbbJBsMRH6bHZ82l1joECLdziieSgaSH2 Wd0DGeW5N3bx1mvpI2bqM/MBQZrLyxcqaU+i0i1pw4DdXvkV0MlF8qtLnQdVwddmvQzNxH3pvZR1 +Vb8V6KiWzjZZUJ2J3P5QPJZodnXoK0j+uCVcDBvMYqd31nh1SXtboSxfRSxnJa8LiYw0egfNNor xC2QgJgJ6UbcTXa1/asQozC1cui08RKjHWA7PSkMPWSCBX739by6WAnjBVCexneQBACeJOhIKPhn vCZKXTs7mTwbhC4WyUsNnxWcHImHRYsIsxsSe2rV47PBA1W+JWD6/87h9LKTi60Jo7dtptNNZ97h Gq0E+khRLCJ52Ucc9zRHETbV9VHk6z4j+GOXV96hHZ9Oar27ZTU4QNgDNlZWh2RoBK93spmsKi57 iD3osPJgKypXlAq429QXyoV2LMm52HZKnd8YId3XlYk/A3jvTIP4JML1q4tAfhB7/h8tsbjV3sjV HCyBRFnn2ZxmOsdUldzPKiGdFPdYWE6FX+pTal49g6YE1v4hQx0RLi6EPfsgH44T2p+TLUZgGtbh vo9Ais5iPOoDKOto2yTW110OHMLPZlm1wKl9M0+v2VlpNuEyCBeVduL8jyDka/olSARP5+59lOxn kVwGx2t9LKtFDB7HNkHkAEea5tVUu5bAI7uHQHSV3uvkh7gIXAD/5pF//khcAjybILOEdUSxk16D 8GlcuA9btxdGhpzXhq/wxvMQi45tXFUymmDG/Apm3ov6N77WPrzn9JKfkDeFl8/LUAZIKj7msBse NrYVMhhgdiTrLx1Sgj15PEIbnZHMlFyLqY41K7NiMhN1O8t9JMyT8hGDdaR1W9A0MugKnOiGaoV/ AgGiMuc0Kql/BvpMM7EY4RFttm9MBAR6bkkk5soV1tMIvPMLhmUwHj1W42i+e5DHbkRePu98NqRM pLktqC3NZaixmLWZFlcH/C2H/ckirndelc8M0A8raoVC4113+zQ3mu8XSO/6xS/W5xhTgI2y9btF zarz7p0qjs7MlUWA9Evwjjyo0wEYXYmnJa4WkV0a6si4R8xQq3WgJMqKMIH/tBk8t2tZeaqU8HQD L5MPpuuKbrAxxFxAlHa4wLVM5VsRkmQfC+tfnK7hUBmunhnRN8R5jL4ffMegoQuLGpw0vhxor7Ve G2ng6yr+1R2SerKy3myXmG9Q2B5v5MOHVlcLg5fXMnZDPP5VdtYKmlWBZYoNBsWthR2REHZts3pJ 2kxB5j5QXFEuue76K0Lz26cPAq6vnkQQpTB1jqJ0g/WqfUsP2xDB3Im2Dnjs3Qp8Pcswz/ckzfzP zRiBDzGXS/mQTzeWfgr9cx/bZvUa0T5fUCi15QVtM9u2ccdeXLPr7B7YQI6p8+QwkJH5Ygjt5PEo wpdsXuYyiGyl9e+2Y+W5uZI8EldH3rNhaj/90TfZ76JxdKbjCjIDbPNuJ3GwM/sjRHgzIIIXQM2g mdIqlQvxcOltvnuAyPOVwNt0p8yHiRGnKFB1HYz190J/F6GaaQ0j7OwOOVx8jWZH3Hq2WTkIDFcM ncNGS9oJp4ZZJvWNK3QORGaa56L4x4T6dJwmBGNLBEv0UcJ0Ihy1txPi2YINBXOqzE/izJklMe6d X9rum0KE6FNZadWeUZRxkbhrHEWm7gIN6wry4yRQ/t5h9zuEnvLIIGPjV8pE4T8b4MKRWABhY6QY q3zhGpcgDuCHMQIEu1spTEenwHM/A1wqN0U8MdYcxYgDxEHLwrX6ITeBSaYsjHgYDEc3BnTYJPbg 3XxKMCe9D4M1bdNCv/FthKpOCD2TOKw2w8swgyIcsxWhTeEsSGKLDWf/xk7Gn6YZCF9N8tcGA4c6 2DgGoE4UgdHc0BwOBRgT/vxKBFAw26GBSYQf/1Ygx/xo6dV3aAjV+5epOtq3MN7NTjgFqnQLXil2 p50fJ9fLDTw3X4LYp+VRQiupBz6qwqDRuFZyTye9SZdmdeFdmC7FipJJKTIUo5KSJ3N6ICozk673 AVqDOAbNZa9p2UtZX/gPulfhaqnDzYKvGp856XinHiMf9KOAVrbiwXde3P9p1hdOl6GFMIVmV0yn HFZJC0BFXBZhAFPH21R4nnwT76Nu9OV5dGGXIsLrMT+eQWoirF7wzHwVRClmwYgGzOm5gKSgQMrr rE1jmVSFhDUi6n2UFSk8h01jmepluHaScjB3H4TrCqS1vqxbofIWk/4z9I7cFugcdbtxzy2qyHQE /siqQsZBD/RHlUf1rRcM1z+ANAWfFD9W9Ltkly9eH/CZtQ3tC4ekA/uK913O+C8Wf4hwyIU2utNT gjh9XqGEOug2aITG9OXqpuLcc2EIYxSyUIhEQdPkboYgmipOh+NAlDq4qcHMzMSJCAcmVCcH3PbC ji+jAj5JQu6usf6J6wg3iq7uJSszBqfQQUYmicLhWdnVzhNApHUvQj1AhP3SJ+gKDB5oKJPa46pt mLFENUhjOB5njFdVknpX/SyJ9F75NOTIOuAFDBCz0aVv8SXPMRWsMCH662fjDlu9zxgSI2LDAop8 WWUo9xfzjgvSVNjZ8J8TuHQudSDX2tNcTBubKCKBxii5v22OEWeZFa2ryUtxngW2Sz5xbGiQBssR voDeJ3hiPP6VzsNMY3VZaHzx9Feq+RmWfK12iFDS6I+j7CYSy5wtLMGxKRqVXRW06bBBSobRNynk FpeSVCsv/GXwTBVXwJEwADvPKJM5TwzoUpQOdJ22e3dpjONVCrk4IwgkzZa6REpXsuHr0YnC57pu fjfWYfpOloBe7ZRU968CU1FGFthHlDpjJsU2n7j/eR0OllNUxwDYo6cIKlqNTSNd7riu8lEXTwsD xgi+mAQOg4zPdGGXdP4RN5SgS2d4XaXtnlmnV5sPwYNPWpaTqQaoPpj4Y8pcy8c+zh0WBRXdiFGx i21EYYjfRAxcxK7c/HaNGlWkPPjLtenaSxJXENhEytqs9qMnBB/h3/yNyKDhj8Nfp74vZzvSIRNO wwluORuqaOLV8aEIfgbSEUKqVyfWgTQivSrbsUlsnpVzhCc/8OAu8PeFsX+geJvhYX0zwohSeI0W aR+AI1AmisrUTEzr7EzAXvilLwtLWBaTqZOfAmVC716VTTvDYD+n/Ng5bOD924klqtJSBqBwrKZx 1Qsb7UgSXU11ckv1USOsqVl2YE5ohOW/cCQ3DSmoBgc+GTMrMexznGNoFzfahaKShuAGqU/qWVTA +KQkdNxwvDn62ouj1uVX0mKCDk3oLo1DVj9fLkyUrkyNiRimXGgP+puuHetYHgXug5tvDzviHP6Y vdwMtVaU438Tq4vnLgC4DK30ZL5vt9XWEIBTK261SH2CrFqNXBJaxHTXjMoRpil1yx7MhjLPNEYs wGeRfeLQLyqguKxktmJ/eYYeq3zfBmjTcXU7pZ2gE54jj3wXGCF3nUpQqpBnxf+qk5AizuN36f3M At5N1O3j7ChPwsiVCWLSybh14BlvLnBgJgdfcIpG8VfgEWhCdit/hs0cYyv9PqePVe9piEe39Rkn +hN7Q4TgXitX2JN0q5d1cQtrsIqiqTzsG8gecKiLfV0zViIvvRJiY7in/tTMwwmvit8+Xlj3DL2r XJI9lHYGo4vky5W7fLmHiLYlCmrWroLf6gYyUVTDvVMNkPkkaQ0pht5p0vbrnKF0nXxYdIUPs6Us yzKrl17meYHVIyYBbSGFd5SQj660fR/biuwD63ODGwAp8ZW2MFpQiJysZWq1X6x0N730IXRyQcSW gDRBw8kO1aCqgMO5fNn3l8Z4ONMTON5H3ApiObWN9jyP0xUI2T3Ae5ve4mIgDNfaN2REQkYcWLLm VKaf+H6fAs+79kQzlm+yu2+Fe1vZCBMwhzHwMwyRAB0aieaXUg+C9P5WAAzfoKw+dL/7tyF9U+nD LIiC4JEj4Gd/H0KaBF3VF8/phFuswNZ11VvnoK9N7G6yqTMH4TNgvJ8gytgobU/7NFJK/5z34o1W v5PANqpodfBoDoBZo5wxYMzrAXQ1hcHMs8iO6oxW6KEO3mbp81W7oibjgtXlo9apAVQfj75Ri7oU Zfl2EU+zc0FvZQY/qLV2CwfFYu9CvFDw5Z/xacgOWwQH+nf0K+fLxSCoZagEoYloHy96td2NP9pq wRO42ukLgclNDZpsqGDG7sqKxwdE1VP9VzrxphkvrgRUIbFHVj+oA27AcLb9Q281vT5dVGgeegFA ywtU4jTs1ZWwxfT6IFdRSMArrrgOApQtQpb9biX0tQiCLp3LmkeGtpjN8nh9usPNL+hqCTu/WjRE rWr/pOndAi+iXyYTjck01K0s2U4NMiiJ3MZRHRONVy2FbsmRu62zUbzba1fr/6C6CA2CuxkP+KHz I4QGtheB3YBRNCyDVrJsAVIdKoGIx+YE4vED++rcXGT1WIdzwmBzYslFI4DbjAywsX/mZzjn84Q7 z4qWfzwcQMmpTzJip49L2VCsEmamwpT3igXkdwH5kINP4mBVN3/5SHRxiRenqKVPLfJBCKL+TI7d yiGU+WZrpWj9GDY8QGXJ4nVDzO3djqMvVsNPpRKPsjMlxLj2aiLSdnKQr9tYwi2JOQYF8Glownob suXIIbLi6ubMgNO4mdcRr3xg32YVYjMpv8TZ7cTSXRlpkwie5xgc9v4xYq4asYZiNim20Ekb569j N5ZPeDTQI9HNF6kvvLN3rMPRe/p/Y6JWS0n7bKehktsVJBoxuESS3mgZBbk24h1ghiILncIgPLMb kp0Sf/whhorEPmCiVdNrIsiBNi9iHrNsDU3DSVHHY9tQMiKBQFHmOv9IrQHIzmRLrGbd4tZnsjFL 8vDsidyP4s1AjKBrDiL9QC302Z5AmTCa11rVYLq/NP5fRQvUZ4zE5GvIpBdm2+tOdfsj585TKd0s cuQDvsmaZA2EjfD26pvA6SIOwv2irlEHuEZpqg9bnSW37SL7UqZYZDfpku4wH5omgWOqnPxkUXGZ bIr9kpbSPlosHZBiItMl4taLsMo42stzNN+L7hYcyevdc0/TxMSHSDoZ6ByWxa3sgzNn654kiFoo uokWQjmKAxDbEHj5HKfEv10+CSXmnbaViVOYaiku06QWc3rdaD+OkAxXrZcjlcYIrMnoowLqUJq/ M4MqZ38uglV2OBsWomElOH7qID0DMDGq+aB75LsaMZytxRRKlvlpbp1+xeAbWcVBQtMTxQzJv+S+ yeiorcjrhEo4Z/YVFK4Pjh8oEoEo37GraSTe9P3TkBxqvDKfSUW6vVzh2MSBXmFxunghrNikCti/ bRqgHMle9Tnsf1dixQDavDF2CjpJ9yxdeDAwk6i1ISfScQR/biHQMe5NuEqIU13Oj8cCrvfS6S4m jg9Yesngy1f1N3gEmMnsrXpL7HLGJmL0po0oe7v7S8O4cLojeNQFsms5IyDpsCm9NosEAnGX7GWS RKfu+7UG6hTZBWq1xxWhTD6ZDz/B/sZzo70ESh4gXLNEsYQSyvv0HzvlNfkcVcFYBlOwMhdBLeG+ TPO7VuNDFrTRPDTMEeGLChG6bafqLUn23tNg5mQzUvzPzEiirvPSx7RE3BvZsl05r/2H8lYgXzD0 jywx1Y3NxKB1RzMF0vYbSUdYpMIBIlwO4eCWy5WyIhU+7naOyX1kR70m0CaQwzRtTnHKdav9CJtT DxyhH3DI0UNS53AaEvGAfIU193yHWDE4MrIs0USt+WNu5DqEUaABDsBZEZAb+p3arOKfUP9yteCs sH8U9yb5nm34ji51zh1+Z2q3tcL065YuYcocI0N96sYOn+IvZhUJU/baxQxcrXyaltmmf8a2w1pS 3ZG0UOKZn3EPKBU+L+UKwnt5DFODdOScdwz2hzsBX1aNnQmAMjTIhFw3TIlyWisY3c4Rf5uWssuI hUczLCYK2ANhJ0CmT5tCBHu2B3G6FlAScEKBdYp+vM5cIZZMvH16UP5vYD1hYth/6mIj2uHOvJdT 9Q41R6DkDqmcF1g+TIqJV3hMKblFbrvF8oHQnEX87iQULNi/LgLVRQZptIrvHDIP2ELSfLRgF7Ku lVu+FVIk2kFlU53j3XsPnSzd9a/eIIb8vjxUJIltQrBeZFKigNHy0uGseG7E84Q09FlSz796ST4n XUgnCN84b2NRxVnrOF5nOOXe2TKEmpAFVcsDgEXMMVLH9zuCFzhzb7xePnIP2zrJ3ydrLcOJUYvm cowODlyzx/LcxDiJoUslp97k2FtoywFFtS183vYWymZMTI5WM0AW01O+s2kCUYMYPRYTPFzewjiZ 5kX1quNVtfyWjH19oplYUmPMkBRPmgikElgsChOpCNAe8MpkpEfvAh8zeMFUX+PFMGvkaYwyHHFm ACxMxOMkKwcuLcn1cL2b/dQ0+Fec17WUOTiWTHRg5muBhBzmWh7KWZm29RYnObKkaN/ruaax6Szc 8+TF/AtPnz+2KAzTFs/6WntKAFYtfdv5bDEYD6irPbHOmOi+EDejjnDZaa7ZacmAo8LVEL/rxQoZ ZFBUjh59HJoFZIbJv/Jfpl8LxeTPS+5NZRZZRSFy3W4lO2PFoFt+zFtuIEvASWFM79aqtIreFvkO WZr45HciyEvxqy8IFo5YD5Mwg14PKJpB2c2b9Q2A2k6bR/tFLJ52xnA5FK40rXiSpqWg/gGLsvgU KXeXwalXeTOZX08ESqx35xaM8DCwAyv5YmKa1nP7pCGMw5iDA24PK+qXPOUAt1SRpbxDeM5i3uuP qcFM2w9ESvq8htCZhRG3sbm0B0wfAgDCZaogPFlr7dxLV5dOJPAEeVmTRBiGKg1t21g4m+zfwGLC M/tvzaYR8XOvIvYaywC+YwtNSlEbYTOuTSJ/hiL0BwR4trWXXNAngUS+RewlzVpwutYoWs3y+PAr RS7A1Slo48bN2jX88cRVv5pPf0fuJZwauG/sHhT4QF749GOiJbYtsVo4saRlo9wcw6vhChEpBoQF vJd/5taZ/FCXFgA7pfCgLGx9fG4p7OE55Hlr+hxDU76+7Xnulm+hv/UsNsD714wAaWqMOa1LTZIs nfXDS92uy4DbDDIsyCnaYqF6nSXZNJmpan0KJw0ngfS+q1Yw1GO0VHtopk6c2V+zRodhKImHlFpr j1Qf1vNp5PPLpxu8Qs9JGLgyVDXGGFe3OzcW3p/86U71J+NxpH6fYRsUaeI/bycAG7aCdZEQpTaV 6fH8h0kSowZj5UxssxaYAnr91REMFqULchTBtUZH8V2Wg8IO4ne4Bn5KYXPHqFDzgfcYQHYxvUmY TlerGDC0KOdeq7E1vFiri8hDVBBjFoxl2DN1Q12Ptk7INNWawgohoTug1UqQelom80PU+guknLZK kjt4Tp9hTUki1rpO9rQKni00v7wNWUrov9Fdenv2rkRnRreh/WzMQwJYpECfSl6ubz0C0el838v7 nEWYCQD/EG4lnJeAHHRYk6M80ldyq6hvkhFr7V9zt+3/qnL/vMoojgpsTwWDpvjGaFOkXS2x4l6+ 5sz+hkvwr+9bG4tnHiRtUdBk2rcNWeFr0zWWVwptm3IXDxKxrN5uuHIJRjWGMmkH/z6zmDgT0l3D sNza3FwF3EhGO95s5F1BMv208lk4oZIBawVMzHvFPy5kN1kyGiIr2Dx2pPHDvEJ/q/6H7J3003Bh 48UM2BZEwEoPRYuec9xxevizGEHEAKm+AtHWGhVcu3CLa09E+9llE3n/H60Z1ZZ3tcW4EJcjgANI h5n0w/dm3+sKAQl6sKgaJEvsGnSzbnHX1EED8dUME8A5nu18VW5kqmF4rUFXFTlXkvViPPnzPIum biHqv9TuwcjJHZttaK3QreYUirGNugPa8V2N5UTny6Z2ixg4l5IRc5wu4pnEGce0PBmFthA119ly UOWW/ZBik45mvCcIK6NtgUzF0OJ2M90LXTGPsI+ogEy0dVpp4ytqAW6wLtyZ9iX33h+mk7pYVxg5 BC6E7a3FC/DAguSP6DJtFJyIWLbwyue4TnyHCPBJZiUO2Fe4yM4upgQZIgrZGHNcUH95R7F5UTdf 5psZVUZD8KEbHBrWdtK/0y4X8divtV0GkYrtS4xKZDUnSG5/sTDHurAO1e/TcERQlUi1kGJg/rUI hVSPV/8NpV4bTQ62iCYfaWqhSD+PzbCWcjOkWIb4O/TmsTmv1VHBUcFHVwc69CRy2XCdEqoKBCkC q6gH4KAfnFcffac3VKyAG/lUVnRv681qjHHJQRBC6dLIQ0VIv7KN0k039CsLzYC85cD3CunVzM1l zYkUxRhQLD5RLJiGk4bnoqrw1tF/E5duVrNe7dyJXXwxmpLc1GjQZzeT2D33vb5iCikC8lILVTEY 5orTDAaHGyuIUoh8/FirFE7NPsPSHeEBRBuLFpNkm/ddEHpnhlCHnxevzFWDPBUunVE7+MNmye+r MicOMHcpJs/nUEaqkiEh7N5F77kzsnkR4LxKUHXiouQj6FStk/VY0K0hVcareMg43YfjLSL2XIqY g8fVOYQZ3YjaE4AfMzbI9Mkm2A+Sz6/qiz0AeeCA7Driougo5TWm70T2OwW6hv5XMXtrG4rFWQRx 3NXJHB1DZQrsZ27XxStaCGTZTcNc49d36LwSeOe0LCmXSpGfg5K8PE/dKkoGeMQPrfBHq+RXCjZO 22R2d4EmnH1Wp7BF3v/ZTE3Y/3aR+DnYiArqNaWQjHhZj0spfGEak8CpDQo+AmhxUZR093tOpIO3 nvvL1BuwYjYVV1tOF88ccmhrJ9NrIPmrx0YojC0VRhSFDtPJwB4HEW9Zxn0qdBuvRWKBXuS7CXnL 09nc3JwhFtCCBvJ5QYvDjCFE2SYgtxOKDsnZoM/T8dRKXuIlt+7WQBPpOELgGVY3AY+3MYYYYZDG mpYT5X7YcDjcU9lh46xSaE4Y7jwb3FuYF/Kp/3czgTCFBOENsIKtyCLCnwcYzdxOrf79Cjn2gn3U BGS/tJ9ta6063ABh50BB5tflIN3JYdt/pxurzI1AeFTwy3fTw1JqKQ2jlqCh/H8kZZFPlTvqDwZ5 NNDMO78BySwY5gL1ZfrFGIpmNz/tfs0VvE68jKN2V82RzshgIE3D2OoEndse/MxC4UErbm2OP84E IYqT39eUXxWuyZn0g/C9cijnsHxk9YfjsuAzchh6OwvVy7VG3Gqimo3mkfp7SJpkgbhQzlD4aulZ obsH+GW2fh7zyeg3IEdfNn6Lo3oS+DGpIv9d94+iq7oPW/vly6JaCogenZOPpDxnhbiQMPSaH3OC AxvAlWnEqHBae5a8mQVPXkfsPuJPwhX8a62AtKFcon4TEkLRPDLNcuOKYvd/BnhhDr1A2N0co3WT vrhqHRiAomO28ZcfeLuvJhkzD11xv/cbal6B8XsDP9ixg3AiuZcXzn6ftUzL242gMI+L5L+YMbt2 wIwsgzaFUlIwJdGKvLZODaeXf1q2uuzhhgMV8KYWi5GAsmEyd2cYZR4hbuPFnqAexXeDQ6bQgmbd ljNF8qDw2EBONmlx12IWrWjOGD1X8MS4u/neSDd9mnTBCQIZgidSL9ox8G5S9vGfPtMr4e7ZfFnM BHHaI4Jyd/LNjoTc3bD0T20uAAa0U2zLxS9xdPpWDa9Zkcq/MfmT73WYi5m/YtcGP/7wXQThTamz pwcichTPX2ozw+f1G30xTTyxp1tydDN8kvfRpxEPUtNdylY/Lie3h++my+dI+QeOCRmC/i2v7f8O xObvFKrm8PT3bnO93vLsWwMUeQpAp6zxrrKYut8L8mTpu1/8cilee5S/Eh3VKTJzCJgcqOwEw/Br EcFcKA6L/hn0pW0zwFuA1SXPa8DVa+UJZqKXYq0YLsfdY5Kb6Sb7yf98ntGlR+OGaQmnCtFjzRA5 CekSSnyEYmgCyt8yycxTdaGOZXe6uBvpkaDAlZ+oIipfrrTzWQv2h9wz4J/gFcfcF82V7+wNF3tk wtl0D/IKmWskxwQCms6GNf9h/lkNWKnUwRYA1HO7lyOzit73fTG71WeZoFzaZi5RRUMob5+USBsq oH2JtRW0QZ2XUBgVBGsDzBEOcQat2qkiGrQawdTGfzZOIrqPcejTSKb0NuRoAlFTBiuc9jzl7cdp RYutbDcJDgLQzHoP5LyCvZtznQ3nDNZsSErIwOEYvNQo17ZuN0j+Miph7kPLsEWSY3ZOzYTFZJEH qsIpDu13ooFg2wpXAnt8vfXiY0EDlMsteG+9WPXslGlUnDyWh2+9Sx/vuLeMNA+yxPCnckbU3q/X zCjrJ2NiNlFQQahZbl+CJFz7TOZ+Fn2SJzPKK6fjglx7FMQ7dHnSMF4QCjOYJEB8HjEDyuFEluQt jK3f4UvKERXt7lXMXZB7qFm7h0GT7HKqjUtDhnmPlHOW0EF9B+MJcAiRjtuqs3JRs/YDM6UM7hp2 1s3R27Jx0c84AQG/8tRTUBdNivpGVYHk5CzhPdBDowBZOxz3bIZGc7r0BBwsZ1+seJkJt4GDwX2f DZk+rEQGVwlopYt+boPWpU9tTy2twgyVl2JR43OrGCfuQnD55EoVwGXhCv3TLyuDS4tF0qBGuF/o LP6CVkKcIRwJn0ypsNgXCcadpAM0qAmCno19knQ58N3sVWEfdEcavwNa3tDrnQdklnwC9+Hse/8u VAvdDokdg9zbGmQtrnnwmMALtQaaEvylOxxydbuhP+9Neq+127VW+faS4JFhtPACaQyBM3Ew3BuU qSNsIDLFBxEfFDVUfGZoG1jG59qXwHFVSYvkTpMbi6a78uTtveDc534icZ1FlHS7+3opyJ8dOV4L iyZBOttI6FI/KL/MK2fuEuhkDe0W9ksRbCqtL/qSoco3YzL9MO3o+zzubwiKdW9NTYyF/7jN5lOB IPwbQ38IudbWck+9a5c3pLwasynGmDYUEwjMHEaw3bvCqFSbAIP1ucNuhjcmYnW3pFXAyeh4+RJx TAMxJgin778tpj0Ebpykz8MrXx2OO1F5ITUBqHWp1+dY9WNElWjWMme5skKkP2Tj9iYdyDVlXgZV QY/B6Oup4VX9lt8qoW5+HUNJY0wRDBwp6Xx1tYsdmsVEK2tcnl57+dQc4+8ng/cOY2pVSed9i2E+ 8y9GZwx8fpgizJ/66keLje7DYygdp4OJAKz7PdPjE5/CNl0Ax2+4+9JfgKVpO0DUj0Ufa8PuJg7m Nftq6I4wwg3Ed8jU05v3OEgyiqVX9HpfFZE0t6cmDprmmUG9r9L6orWdARP9D42RiOL/w9nkt43m lRcwX5c2ntax7IYqaZnJckati+45QuC+/7WMBbFRgY7gQoA06cAKIjlVvUx/CrAlTcqChdmhtgmA QA7GkSZYmFT64OG2L5iRx+yLIY93wRPZPEUMT1lLtFEFJQCIrHjf8ryVVj2R1jKHHC9ooMGED+Cu IzZ7IqlRpVHjoFR23nQLc/uOn1u/7DQNpagX9bwW7O2U2CAPsMFUUuDQZKSPf29u4ZX0UQVWh8HZ 1tOxCgxv1u8fv5sZfdUBlaTZYvkqYF1v1D9DdHT9d3kOKk62dN8uBRXgKigkZrDFjjYTxcQWan4U 8/2K/i1PCiW8YEHPC3NZFX1nBizHfwUI64dcvqKjGQ5BaXGqbnHVK69fZfqDgDIWn+4aBOx35xgW JBiX4WOXDQJuoH0C4oqnUdZ3eUWvcC3cGx27WhvOOTUloypdDT1urZMpejZCTa1XM4LvG0RqerhJ rVtfbPeuXkH6O/YIhVpsdVqbyajNHhDCeRgnTVhrXMWOp8kVKgSHmQhGmPNVROvYe9lcMdIgHMFO BG5AqYrwQ2hfMRRFzfiHlrBOWyceYBYqW+A6piLuL52ByotKXtWZ4to6uGcT3MG4/N8Pp4J6Iuml +6Zs3Mv7yMQ/wDUJpe4Fay91ZRGggR8d0sx4bNUAQcaGw8zESUS2qEB2ruUsLc4aawL7Amkh8Nu4 J5ZzdNGfc1aaSZGksOWVi6QocC8rO7J9lX4p5MoiKz6cZoIOa5LF+TcAXAxk5057W5+D5ABUK004 0HXKbDghUz0Wlj/4xcN/1CN0koOwU5pJ6uaZvQM+ckLjHFWjbVT0ZMaYD87cbdRkQcD6jOZb5dkf mHKHiAA86It+FVnntE6V6dabdSeVcIWiOKdJ6qUKrX/Ozc31w++l3v6UMFuPyq19gi3U8YohCpnd fGZHfLlt9bq8IsqMwSAL7Qzd+oU092Q38xhG7y3jLsIMfTd1G2FIsh4lKc9YG0NdK802wPMg+EVD eC0tuJaDJrKW0zUvVJKOmzDWLwN3agf7sozyc8AqXOaz++VVGzUZy9qOzIQHY//fTkWXwasGwWdi nKOhGCdDexVtZtKMABf4gpuaSKfy3KloVqiT7GTGC60KR8XXzlh1pZCtqtJQ26cXIjmTOSyQgYei b8gT17/WtLmXxmHcgxyK22EuK+xTC/rofa3oGix/Sj4uPWyfBSWryQUZvl5tr5+QOHApitMkKKk9 Klb2L0FDkE1gn/GvqQSRdhuOvAkOX7kkF9Ds8GZ5lK9jte/oKhWv1MQcstBTYPhdEftpoVSDxP+3 DX8dzOhvEtmVjYTESpQV6xaYkI3miPHdmeqZZCgJQYBI1atcV2Jiy8A9MOiBabp/F9AEN0Eyvji8 vyYptOmceW2dj7xAlLYVIEhIoj77xJp3uNOg2Kn9gJSi/Zo2uhz4dGvTm+KYT+hIqbMthFRqhOl8 og/9exlcaXCWDGyqgbpOuY9o5Owf6GQE7kgJNEknguOaGiwzGdMT19a/ick/ilmvQ7airmm3xh9i 9ch6Un2LJCoSSHuYC0Ma/d96h0FQUQ8NKCKtec0/Kv2bab3uiMrA0eLKFmTaiz5zczW/c+rgcMcV omV7SwyS6YnC6wXXHNkdQ8VSmmWRWPqeWMWs0Fkc9CU0i4FsNh0JOPKXoq0RczuIf6xYJUYMt2Pp 3n94nfff7AdzP6yHpSGHuwkApgB5cZdfRuJoyGvFhLUyx9vEl5M2yx23R8nS2YLEvRbIB9uHA25Z ACisv1kni4/roaBSaCupM+AhmMUmy+cuUSS68209nTEriZ79QT+5ySxBS9ck1VsQlCj/+VUj/SP+ EousLLp0IV5YtIJZgJFfrDapVZX/u9B6nlJ3T54a8RP4URu5iQcSyqZsJbtzXbIxKX/5D3aL0RBc wRff6rV4GpLDqygMgjBpWthj+MNnvYBXZz5R+qxDy/gzA+/9mO6ZhsLo+0GugqMO/ptKewmWuyO5 2kFW9Hb9hD83KDUN3RW6nggR1EJEoRmpGlwtfBfHaRBYlWdrkFA4Et0MarNCNpOWRzu1lsvhFlVz CmUu33/7V22Vjb5yv1c3U3iLjdtbb5JDIAeb6ExKU/WpfsMl9lRKBTECOyxFIOzjfvvdKO5Y2pq7 JXMVxH0NK/FDILgtAQROO8KmQZ3K2nreAQNIlJKScmR6ZZML/ZxKAAFoRp4n0YSDvzxPqKY8+498 ZAzQL0m5AO5t3rlZltkbyxldo3N77ZD3pb89yi4Y3RFZLcWWdLVvBkFZrP/23fTsuQi4VPwE3toU bA1uKnrKFSOOdRDrFtXv8KpPvySrGPvOzYeGVxVqaT4V6ZweyO+vmIA9E2aG8c+1Qo/mcZUutDNe Kjyo8o01dBG5x9GbT1ROlgec7PwakJc5B41inWDwprlZX82Yq0plZnSaJJsXPIo/eUEkKID+dkTo ZKYoVW7zhp/fV49xQf7kfYjQ7noeO8YtM6KkAY+RUynCwAaEHALxX4B/3ngOvt8ezUi3OZC3aNGu KDVarBjkNgyeii4gYrIX7YvoSBeYEvISzdy03oQt5+9jtvv0nQL6xVplq+wBAl4OFa4xu9z0qLpP sPFwOTv0ZLlhlQJW7GvACRExMsSd9mniSqPpjTBE0JXWPw2PRXRjx3UXIXwmY9lSBUYdEOk3C1Bx rD5gp9Db3hlQVPGLzGou/sUqde5o+ecACiyKgIwqhFgt9RkkMouKclH5xS/DCL/xKvzKvFXRVarZ JfFOWlh6FLvWqrOMJfewtXoLIyr2ZxWP6WdVs4ilCOWjUkhPYWtoqaoQu0x5rGf6KwcrF8jRuNQb OUuqHcnZ+o/3YzaIdHkcq/FswCIuMGSk4B1Elo07mayjeaierexxWjkZY/xuHV6NSMLzUerQz3Zf GDNg5apxp31eFVIuJfa8iwTX7Thyup65vOmLKT0BiXeWOJ6WizVa48f65qSEPeIvU8WvRchheeSf 8gSHfdqCIkpr7muZSsfu/5/T2N6HNBcrjr0F+kbQKCSDwys/G2+P+qw/FXm5HogK1Rg4ueCXoLhj HshJ4yVrnBzuZQG+SNGnuGm5dPmc36kN1CXcTdCD/j5zm41WX6ozk+4ke+D4yPRyq6rghb4/myFQ F7xmCfnPrKIUwk3gdI0XS3E2XHjBXhGaaRRoZup0pdzHp1/kIctKj590SjdjvOd5ajKFxfDTnbEr IvzGojC4IPkBDohZ6SKILQNS0m/wIzGG2KRTYPkqgETZnBEETxYRc3+QhpKmh7fLUAQ1q24NH/2y 9OzjVUNCe38cuvl/0gcaA+c2rFsReRGu3NImXnK5jRzvSRwpbwIUqcQujmxLLElvUGbHS9lkRO34 OYms0iqBsf99cqNS3j0aa+FQad9FZUJsvkHcgNtTZSU+aezAwcwfincCUNkmEwpmBGyViBHGcSIK aDde0pw1enBSsPkg6qRYjy8Wa6AJv2n8rUIS60o1uiorqfc4hzs1hsrte+xWJVrN5tLpklxV2Zme pyyP79yw0EeYhT7Cys62hlOkKOfMcYdKmXytjIQYwOgS43Dwb1YPt/FLVMihJQwJ8hD9Eu+/agbA gnKpw6NjrFZGY6kGBnwHXHBQOtt64HEtXuIU9NbiOTW6AMsNr77P+C79nTD4ZAHPxCW+Wd+P9MGb xf9T5TbKIrhb1KMts489MReiltPLRkC1s+N3/Cjo2X9dV6sLf/vEqa3UyVwoVF4u6aVcCQ4h+zcp +WSXQFBlZUj/HxqWVnDtNexryXQ8wVcJVDSu3gjPpP/pMTsAU+dV+Ozx2HgqIyWNqH8KVGguNnK8 mDQF7o2j20FzhIzsrseykNwuw3ApnJ5aGEOr0aryN8iIEfpLerY7GsCu34WUVOR4KkqrbXvfbhHW GTrqhhcKwmtrQiH77hU3LJjDnyw+MPLxNAHEw8xangBrdKvKRNOaXX+jsmgAH2cx5y9p6GZkUD4F m877EAQ/6SYT1hCn4oR+nrX3xF5FwTKwRcxqmoPvhmcPIbxIUdiw74KbORv1yS3GXsdQXfuu2G8+ m5oNKqYZg55H1w4NhS1E40BgUW4xPOQx6pydILgc/5DKzAvo1tddpgOkB/ESI0BUT6Yd7E5X2eT8 EtVCo57XaikB6T0hk6Pd2/6eQRht89ITiD1gsJgyN9nwIxNU5Ulm4juzFYnoIyWc5jI9nSS9RD6a UxUjUGIWGgSiFGmNncIvSSpKkX0jkmfEa5n1xdn3iU6qJbz5u+Wk9dDKJgotavWDE5Fy37FNCuEZ iDFM+FhlfRFPvYDV2nUts414ZmOMkibJeHTJ937PlT8DgTr2MkrLTsi53juGkfZ7VQUa0Vi2ty/F nRBzYSLFJHdGTWgM2MC6BFaRrAC+0BZIHWtgEPCoVQQLsz3LZxHxdDX+r0r7VshpKJvzIrMHRYJq Rpl/ywJdxDWeBb10/eznCTRr+YvM952CNF34brCIkRI6keQfMawP18I2gR9sbDsWcTCR0/Jyx6ec r3KdO+WUlBbMgBY8HJW/jUxsTkhkV7f1/+072ehHXNrH/VTFN77FGzc3kHWylO53YGzO+OeB4iWP e6z1sNPuiLgcyOECB4KDEX2ZQQ9Gb++eFvKqswk1UHYthsgo/dA3TahCfEmUykZLEFA0ro8/cOqg ZKGwdSluRYIRGcT/AzfBxiYKBPG/DEI2JjQwuREVdm4QfAdqkFsVwRdg6iIUw3Ln+P3BVl+Twg+0 sPkV0CoGkOFMg2ZWbprtcD4bagaZlOFB79LosK9n7ivtZ4aglUaUCxDOz2l+jC2i2FW+6fnilPbb znMSd0ROwRoAPgPZLzHoUD79O+aTjaDDu2uFkPxoDCy68L4Xf8rkMfJxQuI80lqIorxJp3R98K5q hPgljogXqF1kF6I4VMWAZTJARecmeVfCNfzl/7qokD/bCFfIBfsZhVTrKVnPUmsjifIOyZSNdHZp NoQj+Zwm1JE1ucQQjnhU5qyRB8Hx/dYmSfKVeFSCMnAlN1hArDQknLlYVF1e7S0ewv75BNCA27JF JHGwhJjXWZcfvV0RWttREWqIsueYUMjhtpSQU0rDurhm5s4n7Mlzl+mApXEWKTMHxm1362G0+bt0 aJOpb5eWrxCU5w4A7gINXVrAeXahplVeCfkengOmlLwt32A7v38vXY1SRGG1s8fb8Hkny+gIKHpp w7nQNpuujjbaLhrSaJ9xAB144kVl8Nc5nzkUXAlE/0ceillhSI2QNlCI0TwtmvzEogGx5rIwXLuw IZF/IAzMplcnHsn6c3Ot95MaCNf1gy1Iaxe1LmPpcejBvIHPDPVZ1NQGS9sEK3WjvI1WKTtWn+ef 3DG0PwSXulew9zGQf44wH7Qydic5V/wyakgEUAq7z22JA1uAwdLy5yfgSWLh0VRATZW06xrkfg2R qMKE+cnHq88dpo7RBVLb2guPhB1pce28Y4pBiWfRrUlySwVMHhVFjDTPGRrACm4bi1SyxGtuH2Q+ zxFjVbFfWopCEaeNXm3xjAy1o/vVMlGme76ueRMAplzeU13ljME0JIlfXJQwjmK1BsaBqmCJqWr9 qp+vIHIjaPYOL1kR39jvicmDkrwu4hAcv2a8btSx58dnbzd86Q3Oc4mYLBZfvTNgxvYr2rDQ26KP lzTgCF8ZsN66lbw4RBI86+B8qI+m8/KhpZ1BWhqkyQOzwS49GSBciRsxkKa48+vRQuDmeZwaXdMI QsZmVhh7g5dSh67iisZiUF10IP4ZdnmfqyYC+lXDlVz0kML0mWATivvhfAo/7BzaR5JwCJRQmBk/ PdntqZOM6lSBhmkdQAzP01/RqoXJBGbx/FIdxh5+vj5S3d9HtmjBGh4rCrr8p8Pg2q0yxqkPBSkO 29/ZKOB+9MIU0sCqpB2Noibn2aC4K03oxxZHS76Cj03H80T5yrB0c4d3BWJFwRAduRgQqdh37i6z O4uJxmZ80HuzU2DBi1Vz2Dm8+iR+3SFbWTcZHkIoAK2s0+aqYxb9A/zFPg9Uk+1GwP4WWCrQ2QYf /+yQNcI2mde8c2K3MtCZpKjNn0daO6/JhxAjAZqXDHAqNyRC90M2h5FKRvF/t3m7RYqunuTclzAL JdEe+IvGEY+d4BUx7Evc29K9IQrI6/OFZVu/YAZ6lJKv6nHOUlYqPXLc6zGP/xszc0TjvlScbzEf yvARSbALDIP6b22o4HRaSQfOpQtgt+pHqOaxBblo/DmCVXZTUMYv3BqRjl0vgqKkPc8CyVETj2kQ yaLbo/lDJslrQk2dRv5zKjnYOF9Y1VqdVfHp2pFpQqQP6kfijrqwhM9UgYdheP8Sz14GuwTCPyr4 ggmtIlAHj57BSJ+lXhitu5dmXcko6/XEWxydHYeRg0ECK33p19mMPnucIWiIdE4FqtPqL+gHlwjT MktuWDtnUZMIELLxdtr7B+Rsa9x2tXmKcwvI/tbH6kKMXb3jlt9hB0AXILl0s9ZFpy8vTzbUUgSO TDVoNeoEg1JW4BRkmdWDxNUSOLKcvH5sx/vmwQ4SEl9zV+JTaPLxJrPegvcBIUDNKvszRa3Brw43 MbwQVNCzq5iWiDMtAGxJBhZHu98RJrW2xednblCyRECj/mOP+b08HCb+Mr1jYpTT3WeHU/ZCUZMU xrpkhgcFn8C+iR39XEyWocMimu0YMaTjEL+h3FvQj+ehjvSdkIVKWJoMqMIz7ZMCny84xy6YdxbU cHkaTP6x4LekXmNTqpEDVHJo3HCCTExPb3u9KlgYCUTmMFUl75Jrkq6zVQ6OjiLQHMn8m6zQVYvn g+NiKmmxslIeYargbutRzE5QhurJoozezUSljUJHAJHnCfWbjilyOBAlhX8fr2uo/+XYlKMH1ZxC 3ufzRN8Zyyz5P0cY5hhS5QpV+PMB/WoExNRw1DhZ0k4TKEkswTvj24UXF2byLUjK39o6IKCUgpzT Ly8I/qcTSQK0x3yjR/N85pC8FaPeblLb8JKF6aoombPBxVAU6btTLYMwGGkSAFqR7w87tX70a1iz 5sG5RbSxDiGp3igMyiBWrhOweBD2ppkdH9SkD8EvsZEOvBu+lKokLHMPUOaV9V4PV/IE+Mu76uPH hA5llZSjEwinvJubrfjt68nAE2sMxg3TcvP7cU0+WbcuMfUUgAzqyZixoJ4EWQpNL6Gevj7LqXZX V1R9RP/WKRSaFzbALgb1bWoEBz3HfmmMWHcXbmfGOLw+Xg5GfIFdqRgvPhdnSqfQ28+1boNeB5+z iiyTw1VhVnpeHmYeUCPaHaoo41d35o/flgmprTYDF23VRT9bMYh7E19DSUtTBKHUwSuTPDRn/gNA cnIA4e9LgYM630kpYKSmwmSr7yqlx9HMYFIizRbUEwbuL214LA3gxTKIVDJCFXRWiXMKixm2SFVP TJo6/BL29RzEg7d7rkXkAOXQ/HbOhAtpRvlyf1pdyJIFM+5GlNddELPfJa4blRVIAswat0VpzfE5 DC+NMGXRtKC2WnmbHZl/Y4SPFcQDVJXkOQPRCTIqEOsSlVtW7j17tNyYtIQTlyuQQOlpUktxXJ6B dC23QgS7f20ClOfRWb2CnWnFULvosLt+uQwDx/0vU1PPmPbd4tAYzKcOflup+byh8FGe+KU6n7RD L/fIVvshD1ggiJwHEQhdL1n3vUeNG8gZiB4I8GX7+Sz0ecDb0UlSbLKvim2liKLhyOrOZlYRtXKq ftYtFDajocDqU14ueecjh9qszmV16vdEac8iN0efPVJh2X8wh9qSQd+omYdXaGrzlz00XAzfY/Md AETntQ40c+1KOGXkB/tLuaoX101/CJMm6XvLU8FKA4Fdpim1w1Ks/yfPbteETk6fXjeGkKw052GE Yiy5uXp7N3Kdi+wS8YEwzkzGIYKmNjufZO5cAGWZ9NP1p9d0d8o23AE9JdfIYFAc3TQFqnKpdkaS mOKcK3YGPoXT15d+9+DYfbyMl8MZlX6gwyiDPrgwXCioNHNwC6j/JE2DDqLcLT7eBu7lku2lnaL3 yHV6ya5rSTDKGFmupZNnHTHSb9iY/fSAhDFNXR/PRcVd4BXdZBS0WbQjfpXPFH0Ts1ND0BJuUem7 WBG7xixxpwuEcEolztM4f+kOFCgI5RqRaV+4boA73DGpxJ1C/EXJNCaNO0Ta5vEpBATcCdMTbH7B vwzRCh8iw4I23kb+nFxrQt954lp2SThVnuibcMopxPtMeu3NDfPOWRw1x79poobl5ChzyejUx9do IN6kRT36r7CpC/9vgfwevnuiJC9cHhbMqYerovFhe/cN/geQbdYIWNFryTtyHWnnbLSP9zQD4aCH AHp1sKnW6AtrjHo2dVvB6z/3qbpxLEOfc7DkMb3BRSUfaLeGadgJBpeYAMgAaOh13RyxOjBbtA/K 4wPG3PnlY7Q8KqFAO0iT5y0RLz+Vm7NzfCnvr8UK1Mjs4XwiGGr6EkelahsE4eBM9OW23Gdto+aS 32HyWl3SO0aLjhgCZVl1qrj5vKpqM898w+ityIGQzOE4F1rtoipo92MTx9/SR0OfO/5+tra+7wER /rtTFphjQLDyMWyO8o2RVnu0VtASAl8KZfkPAhm9joHcfzb/UpZCBKC0rUsFYKOvRFe7uS2td1Nk 9InFJ0kXMN7z6WeX6qZ5ueWt9HyMiALqXu0g5PfTIUt9Jk3CaFzbaD7z4JzHrYZmwPWEktE96Qgl K/QzFhlGwpw5s29vG/cSNTJY/ITMcRKCuAhZyPQIWuBff+AnMK7yq+/MGD5Q7hrrV9P3a7M0WGvG 0L9Qouj7RwhaEt2r8NxRyAKFuTDrExD8mBuHfUcb/LPhB3DCv1C6o/McQODIpmIkdPeQpaaIn4Ju lsdNOkQl+bUBMuyRq9d47PaUgRZaB0T3rcMweKj6/hrrS42wHic9RqLUPp/ge+VBc1h965bZY8Lz gafpxjw1xShwQizN5DJtVPrcDj776qf204B7JzOLXCAOpEa0khP9XAO7yglEqtZR2CYKJTQnDZLn hqMlUhpoWb9GWKPHFFPQCPXkS5qqD5Bj80UhNWuBdYHcRUS1QRPAbNF5p01Mm94CMI+p83y0ezd6 q4Q9LhaDSq3Pldf8n+eQcpL/Es295i7VUaVo28B0M2JnEvZIzHGb5xV5aE4prdNjqGL92D/Nx64l 1MUITKQT9AbJK+ckl+ZwpLX+mTrD/t/N1LsznGImdbIZ8+U3lhLtYCBYWe7b21uBbGb7dlgkpJRu For0KsSsEWIONQAUFealKitJ45qelYEegqBRjiyKOlQzhoe8dvnRtlVHf/E20nEsN0v0mibH9uRK RUuAGHUn6eYYhmufKINBXjMxuF1yq8c6KQCc0kT+kNYyXNdl/Mjju+dDO2Iagw0+PkhDkyeFto3k N2R2v6rmABriP07kkILPHxnXRDRjcgrDcYRUi+YRNEJY/2yqbRNLKSJpvhO29UWfCV6l8SnxJckP RqUbbFBK+vdon4u5cSqzSgu9reYAwBHpf46eyyo2wr7GkB1NlTysiMOgvmy3GCBqd8sn9rek0X12 twGIIdGaetJoEHtfmqni94ppL5pqhyuBK9aOAo34GO/ltZoyQblZur4d9ETyky736wVqU/qMVItC znHUUb7M97QhEKf753iAxZ1djfALJyscHHW6hbi4arrSchmq2RyGQpxQNDh5Rjq4C4rqIDLKxDDv UlVIt9s5GjzlBklN+VOCZjJZvIMu5Hx3v+okqzTh0PUP+aqCk2liTqrffhFpGT4dFzSafq2tMwlY HP7v1nJiDa2a1PxsiLQk9TuefUyLRvrWt2IpdSA60JytEmX41LQ5f1w7ooHFsRpER31gGWZCTFaF AbpgNZETch0xF5NBgIqKyNTTlW3uwbyMURWlR686JoMhbaMyPnCfZEjI448n8WP0JpEcF1Z8gJhz Lyv+1dbUXMdwuP7jIUe0hj8HWbOu5+S8HnC1mUCzaKGOVMUrcSyGSaBg8T9kh3s6uhfQweqMLNvx 68G/v+lWlxUOdEyTjgl5WVMnOz3oy0V4HXBbuO70/EEuAPBpde0V2lj3royMp1XjS6LAI634SP3J 8ciYmCtW7rHmR47lV5f8nOyE0LFBMknVXtetxjlgycf75EP7bdPhrVwDmlBpzssHqvYRSo3QCiMN d2k0sRBhJYnONhvEZiyV8zhzM2vTK9XfvfBWpUsW4ETeWJyBUuq7UeFDdlqlojTNu/VbZUFUs0uc 9ij2Jgl7r+cQ6zmKMtgEDz3ihKz08DDxWTmBEdS+ish6b5sbxU+8gKHp648YZ3CfLRCIfunWcwWU 5cGI6+NKt0Z2meMZO9qULPAUS7JRuQyxe+cdyfMFMGstgDI0EDS6gPbUb2/3PCyVw1vg9BL0hrLS yayTqjaUw1+V8f2b5MWjeHFRORshyVMrSobEuSqkhvtoL4lxlMzsP7mX2s3XV71CzzTGW/pQr7rx 7fx79shROBoEgDrRUp8U62C98cX0Wz48vmoEI6mKCqs3TMuPn4nmU123ZjSVe6ijR5PC4VhWlNU5 ofv8EoX3jX0lGvs/ea9WVEE1hCehofGyrQi1D/z197bH92wthZNOr+kcYbl3bDcsHnNje1CNxkGp FMYAdd4okb7rr86WSGyCUNH2Znx+lXo/hxF/ne81i2FZtNYbG5hiWUNJHiGP5gtFiE7gdrX3Mzx6 /ZRUToqLvTTywGXXdXSowSNS0yRZAIjBWvnFUoR4bcqMPQQZ4e93pZQpm0QrIdHKDDJqpYIJxhcs G8llqRg+TabJdzpe2ArTn30E10ieBlKtz6boGUpCD7rIx3sIpHxHih89SLwbdRCSWbNaQPvU7W5z 3h8PHHGpZEqxjZ9cFzfC7SyH8ajl3vKi5tUPwb5TYEBhL0HZDR783YBt6SxhchDcsR4ZeummrDvd F7hHIvoLrcBiZcJr0ftDmMcrnPDEJjCeeU4sK3QuXHpG6pLCy0ADvHJpwGY3yvrTbxlI/3PcYB/+ dJvopI29TrGzc8eEW+K53zkbW9KIkVk2h5PdeM8W0N5A6Lxau4PXJhIgdns7mqahQ7EP5SJv7TCP 61NqT0j+Zv1wd6Px/uO3kYc2JKnOfuTD8g9vJFD1I2c4yr+vbfCHR29C0N0Xr1LWlhQ1U0ZghoI3 wVlMG067rqhCh8piWj0fYihZCKZHUvPAwkU3M/khjX0Jw9gPLJRyOF+QtQCZgHFLzzmWhWdaZdt0 uU1i7bMDe6Obp6QaZZgHaQpQ2S5iGQdpOYMAFO8OAGwh3qk60ryfsMmm4sk3idauJntY4iOLH899 8y3RUWrQhYpqBp22Xc3g8xepMwfPn7snKVMPpXwGr6PdUj3qmTjbMZckMB2db4n7sP7Zn3u/HksD B+4zOWqXzywPD8zVkH2w6rCFHdI7jLS3p6YdL8qPRqLdHx/AqxNLi7u6emZSIkkjuBAriIcBF9qI X2KUb8zJmlo7gFTcp1Y/YBssERSNx8l+zgtDKPCML3vwSpbNwsTkW9NafbGqfzd4+JJtWW8wY6dg 9ED/pR+ZCAg7bPUvH8G63tGpYGK72XYDuupO8DLUYZve3e7+1b4vVhi5I81vAVQuFwN7acWhapN2 5Xa9kVOVBVzA98K3hvpOOUjsX4tNtYRDW4nw+GoD4tiYgVMybCyho3F3grIFtkE6YOzWIQwMNHam QIXv7EmArnqLlYPCLTujA4m1Kkx9TrE72yrODVOmI82evbP3JjeCKlLKUjw5fdlzfGzlvM5YdmOD AtESeF3Lcv2dwZl5Jbfni2JkXgCf4BBhk/uSw9pvT6S/VfEU9Gdz9ze+mkAt2NMO8WjsAWimZ5Fg 9G6MIcFZn4bHJ1qD8aqMmxyrBrjLXPTeSwpb92YN76BcV0vED34T3WqhMr5p7/MMZg2kIS3fDQnz ic6LtuNJaWv7Ey9ZVV7hF37eVSIp7m0HLDXxQjylSQ4LuH8KUt/pKJZ2ghmR0j4RykNEjipDCEhI rx7tznSJj4Kh3QSzOWYtuZsCSlLUCReIEvro6qATyCFKsZlNZGb8zLpMkHnUxW2vHe3CAW2PWj6p AOd9F6oPupEpB8D+0VyDv54SF02ZfcOOFWqQQUbpIujJR4a6jKILyTDf7r2jcTUgBmrCZnlFb2Cl kpX0Vu3EQsJPYO/GEuRszMwNch2A8pR9KFVpPSKo66htIULGNUn5+rNqEIw4rjzcvN4OMorq8Oex /lo7DnTellvAoSYt1ZjSW3gJopXYyNjl1icKnb6D+rFhW7CZJvBmnHUxEB+gxSEUAssRviQkP15d QNNt6ve3ROJLumjFHp6LkDBHrbHha/XCzjPJjPDCHz1lD3qmsYQ7Y25/N4uvC9HnwmlW5bcmwfkh W5/MRH/1EZmXvFzlBN5mgnoRU4se2SoERCJDfzftevN8dy2fzcKTGoU33w6hXyqQaw7JA23zsZMh D4JDrZT2KTPocCWG2Z/Pk0CxmgtoSXPQPOBIhqA34xiVhzxksWTQnl6OhOaO8wqNPDCX8TrGeh2v GksaTSlejW/uh2Us0SPAUMzzHQrsHF51SUt1vn6ccJfOuHA4Xrh6kCCC7WeRL+hpIwpMdsYSDznc t3sMqfWpfauAfBSVUkUW0eCvs2Zs25QlatK5YlE9Vb1DOclxJdGgd68FbYxwTvmWAIP0FlZihVI6 FYYTd3lk2j68p8RF1pPO58mUQbTQcO1/YO0iPjdhAcQheDwt3hRUBuI1tn5UJ+DzKKQ6hcPRI74Y 2zlqWFG0oeuA9+88LkgV2DCTFAn2AWAs4btTfjVVYZwCWWEmcx4Np1xi4+lneLBqG5VI1pxcaArE 52u5TAFJazq4I6mR3K3yzi+QUc94Ta/d4sXxXizcVkZJczVmfp7101TmDPEVtZfgVHo3ZeEMVmp6 gg1h1iaZe+hc+/oS9bdRo5fHTLesw2C8p78Iqwow3XrssTfyPPcQzJV+/5Ow0EGGztMW8znwk4Zo la9OJwpmTgxGaF0WqnQXU+53+PulvcZLl3optnjQRqmtV6RlAZKSEHXMoaWXbFkCtPa2Wl7Ko8dL Z+uvrBVb3LWqe3F5ntTOA6HWKds9iA1Okc6YeA6D1ZIzANFS/7eVtda49zGh+pIFoJ6GdBVO/g8V F9kyA+9fHm5XPA7WO8dAcAsdRn18k8xtj3Ml9cvVEhr/gYh7CGzA0XRiEtUXGbS/3QGi2wj37SBN TrMAs2Pwc5EyvjYAhsqEZMqyZJy3T/w/jArQs4Chdgar7IMJEHMGyjrBlIvW6evZLwqMmWX9AmXN ve3zZI/b76L/vRJgELMzno48qr4WOGgRYAX7gG/EoDHM9oT0Gc27UzqQ8gdDg1NQhNMV7cyhdiTL kRjRp2G1wsXtj9Jr2kmCuL+BU4BL1uBOr9MwvhErucw5tbGV6E7ykNOFj+s2PciBcDBbAuHsTlX4 mZ1oYn3017mgBxbuZwypBJhz1FdB8rz9PWx2NfI2+qO51l34e14vHAYKgetnYHqeYTsxoAgNZHAn rTeHK+/lFllaK9tlWjs7aCPwlaBzkMZjPor7yLMWOwb/nz6Jks/QSi6HP968HDLNPropB3/GvtRW kAwV4y3/QhmPT4OL9XjEgUw8kaxh1rWRDhoEXPYprQEpCVuoOIA10Gpk9zNmfLY7dPeC+9M6cEIh mFzpEnKsgBeHBTbI47vdnf2RMY2SIpqXsoiqoE4cV6UBoZ4nIl9SdclHog01vnvvhVbXO+x0Mdo4 5bgjJorW0L+kF5J1HdYJzBpaqphNLySyDZOm1mMOi3mUCbbVzBMz8A/hCIo3aj2NdRMlNm9Bjnml Vi8Qsh70uCy3Q0ODwNzfBR06yToYCgNhOaVxlYnUyfiVhjAdLVHBpWIRWgFE3uZVidfsbTXGixsu A3JwOHniKISIVuy+2FwesEqvj+1UKKjx6GsG3QlK7g7ZxW/AnyOJ6jBsSkp98PAImjuzI4ZILIHQ WxBNWOi5z34WXvZi/3fG7Ddzm8903uVC4nCBwgZGZoe2qKXnkigfSmxsc0N7pviUheXnfQ2WXEAU vj0avkfIZ1dO5F4pnMCWROVddKpFJaqRnsxRZjBgbAFkSwT96ylvbiCn/CafrxlMR3fpZJqsMmxU 2VsPHUAuONI6LT4zFM2SyDqWNs5DpYYjFtpubpjP6v5SuvKaJGOwboMsRw8OdGBwX6W7rTxTomF7 JXkpJQBiJQ0y8OywDR3A/rjJMAxG5s14he4yKCy80cpkiMVthXphnFIhCxqqV+Qj1HI3EW5Somd5 bQVYs63Q9Rdp2+EKoJHtH4okSLN9RuCVwSb6sr0wHPZiU0RDaahWzq/YlaPhVBbsdySaR/H1SGkN nLLgfSS8BvkkAVkywFzXGRQDqTUb1/gvAbZLWnqTovs2vLLTBbRAylYspDO10KW8lmYEC3ks86iz OFoGAMGLfQy9PpM+mM+RWdIhl0W7zLTGVRP7GdeGrBNq1kMatEFdAqaG10FyFfxPz71jJ8y6+Ptz aX02g0LulBtKQOpqd3MRnS7KYvk+OtIlAAOWf7V8YLRPqxOAAvleUyEMre5Z84qH8l8JtkzH7/px NSj1beLr4lCIfxLlL+PE8tVQU2sj/Y2lX1Dj9igD12Q3lFC/6pu5K0F2VPT3kJ+sIVb2wG6pUhv8 Rs8KH/c0OVa2zOH4oAmWAW8GljkQVSMomcKfB6nNxFTgK2gBM2HFDEQ6NBRsKDe2Wz50ph9+UJtG Jd35JgfyNsGF+lu3H5nMFVfvpwr915knYkjqkgMOV4dzB0iJNMS91nZNyYuId3Tx7HvSqtxty4qm wYtSU+IVRxZceGMA/5Xwd6ThwjEmOT/oSdqxgZj7CYnnIHCBQ+YwdQjG6G6SbCyIAeBYaV3oBupy UoW/GKbEC9BmCZ1eqqXOPh+DSM0IeGg+3B3UxttzJbwfuuXl+mBocIzbkFB1opuPhuzlL5/xK5Bq FUKC4vsJy+umuEwMqALMhhUWPa9h5NbiqWFkcF5zV3XFAEkiePCvd4IRycr2yDU1NEGADzJdoQ0Z kjOMQm1MmzDDGuZ7LX/WUz7FhlF8vU04Fz5doYhFE3NNIILVWveiDFQhz3PzA2Dh/c4YulGMSBPQ f8as4EI/jMSwwNwBdxmdDrq2T5e93jY4KMCP2gZIvfW73qBzFpdFlA41HpORaBRIsECeEcVB03zr JxRuu0as8+A8VkAoZuwhDselG2K5Ohl6kcR/hmnla0LwdXqavTnP6rSMNs1/k96CoSAe+G5YVx3d xG630ivadlwyvAJ6Aoxq/E1O8VzhYWt80KrNRD1kzXSgmNuagLZfcc1Qu9ot5D/iGAJNU+h9gCL9 nGIFGkHTvRB8+6hVI48IIWUNrngmFwTF529oak6UzPk47Lhy4uMcvuncgA+kKrZmOBl6G6SkYxUR keDgDdo48C5S028ii8s4JPtjLWkbxJgAg137xdmyPhEGIuNSJSRt56pDlLv3vm5cz+PSM6ZG0/4H U4w2SCXfLqcuVb1/OPgtQB3N3uAci0Uy0XBtsT8ruSZSzpcTUVJqhTTQX8baD2akceCY32XdSg6O 52RBlfUEYJy/i57iChsTLBrIo6V6HKjddJyCyq2ydLdka4ZGdlZm6Pva8tXWnL5Jwr6rNlar/Jd0 hrWcW90+pwKiuwmmuwKIjR/1+rYllPiiu6xl+qhVhaYGD1dRRIHxaiFyJQ8mLu4qw4E1Zrn1PGyV Ked/3i28RAdHWR10l62aK+I2i+lwqqoPQg0eabFsEB7PXDyoWhohNjK6ziEbmWf1kVaTkzhq2PCY imX8qFc08xUdhK8Sxgx9p6taQrPZZHNgp1m/OAfNy/KVfznOLOPGxAnhFIK/WT6DqJf6OQcziXwK MPe76E4fwSnV6zll/PF2juOzTxerzhhjhOdbq0jd67qnadFpJRnsg2VhOR7VQQ29deIUvxGUqh9J PHwSjxfEKSEvxxyyW1eUHB/VRQSEjqGBjF6sLFY8y9oCdHwK+eO9PQlJlCTCM7SJ3Hwjpkk3snbJ mpZidyxarI8dgVRyt7CywXaMM9+fUZbskdfk2UIAzTCOXIQFlYliUI3UzD2ZeAeYqasEyw57l+r4 LxXtx7BkN6GKIGXZZDglPJzga9kDL931vrLCG+a5LAg1midVwy4qSPWl/15WJRUZwSIj1qlqjVPn PulaGW1mOQXjmX2YJPYaVWePLGQTYfRY3xvCTBgt2cwdmP0hnswh9Sq5fY3HgARTJxTP7XYZ+Og/ WDJiofKYczWYrDWbO9iqn4Qxn5FTcddjznMS/84EjW8IFMU00TDJoJElh2oSNba9S89bB3yzONTc WFWVEz7suDCZwz4MGhhRWfm91JyMjj2Bc+5xcc5nQFMsSS/RAWghrebQVPUpUjpkNacBVzHwnB8s IgGcofchQUBy/nuVPQXS9Ldvgs16Zs1k34IEmoNHDwgensFih2RUBZgQ0ttTZSaCvihC010IRNMN tGf3Qiu4jji0e8NWgzAF5cWwwJOMe1Gi2EqeDaTAz/hZ43LQGf9CW2D/x5Ajkw6/Z66PbguQKU2Z YIVrjfkgH4xrP6IfeVW3se/S/YE9gn6QMhuWItAUgWPCByKy6xgxosp/ECp+Vz3Z20Uz9c+EvPUe 4Z4izqf2hjcMTT3RxoGT+sV9WKQuJUQn8y2F6YV35MB48iP9y7ac5Y6MsS0HNw8vvq2hD3Hl5UiL 9aD1Qa9aDYhhuAqV7sxjOlBhxzSELjdmT9nrpIIoBQpp2Ueew0Qc18sOr/l+EBdAi+9QzdaxHf/S eHIfsBk4wIodNcMQzqLW1+CC3dk1O9hKLzsY2Y5tRO+uzypl9DxooTSg6rAZcIXWTJCCg28cCRAI lfJgUklm10vIoIWgx4wkCaeJjRxxsEi0uMFoCH5EOXVdatUf1EaGOXfD3C3WTRvT0Lrkv1z8ogus T+/ol7MMz5hPzW1ztR2qGxhTBFnYlMWc0G8JC/as9lxOWOdT/y/4UCbnyPnjaJ1vb0ZUu+AZm9u/ y7c8ikesbPP3STQf65OPOu+3tRVDjkeLIhkSM91SONqF2f8Vj9yybBZTOCJbpD1G4AI/87EOsTLV wdE4O/9s9Z5596SbSZyeCdQWkEQt2B3aaWDGhDFOPSykFEhmMaotRFYgPY46P2e97ChmMbzaCNYJ 7rzSK1ReOw9Y4yd33riuErcGu524JodkADmfPII+D+DLxfmA5z62zP0lIcOVUklKBqStBdicVHAH eho3IasB42iAj39wd2CwMUYNiQlcvMYFVzMjDCVWw4jiv2vaBEePVMHyISx442200OrfW2J5KpvQ u5cAkh848+r+t24gexpGTgO6VjVvSM+2+sJ2yhCqUj6W8cVCVhoL0eZfY+ABqdVaN38NQ196P8ic /Xnpujijmb9lnZBB5KVZCbqfNDWOrAckl7PDjKZTiKd8MHb/PqJzU29gTG4d90Ix8qTRj+o4yYXZ ppXymYCoUlPhyZWVLZB5XWjGcXJB8D+TDhzm/pccjiF/SnudCImXjLexDNnD8zADMXTNb/vTvwKW CARIxdgdAMPOKb6rj24DMHUJeat4Xfm3/lkkTrt2BUNGYTgeR2PsPK78D5H01BfKacQSfZnl41nB 6FoRHMYFjQr/e/SZ0t6t6epJvANmwwhPckDhrlaaLs9sxmDwTnS1LIEXFOjuKXILv7RDcvf2XSrp KdD9EJDyZoveTu7KfC0Tw3aHD1NGqjVzOVW+Oq99rQS4vb1LfldY90zdhP+jxIxNn6AWehb16C0o pgzef/o6lDij14Z4NZyJ8zuhhCB/EHJ/4BsujCxCKGxDE4DsiiumD6tKvNpUaoO3rfogoBxgDnxl jv3FWflE9PF+oSpGB4Gr5mRxWCNZ8K2DQ12NtvQs++R1rz+yRWlGGEk2f2FVdt4WVZQgZ05hzGmM 3ohSuDJoZJnwi51NqvGlkPR152xC1HnxCD7P0/7WrDoL51U96Vl9ITzrAE102txh25iTwUx2AH+d 5CXNvyrgZQg6xkJUulo1+l0qFJeHAXbhQjtU17QV3qzkIRH00SS92n1AdYLYyLiXmXP+yYYyVVy7 F+TE1qLpRtzNftSq+P96mGs6UJamr319kvxkD4b6eOFQwTIdOJghkmNgHLs3DRAXOKZjs8LMi1Qj 4MmEVr0OeSR/AdTnaIk4GsNLdkM6NeBvE6LUZ8VMfu/o7p7BTKglttJExhu0d6nnxSCDBt34LPbx oI//CJXn56nPqjQZLLkrFbpbie+YYe9XmnvdTaabW6d5it5GIqPi89mMfKL8a3QBpz+ENXJc79ha lLDDmqHkwEEbJQePFmf3te5IBs/ifU3oUUR1DC871U7rIHnE+gKG6Rhbva6anabZ521cboICkItV Svw91ZEBHchP73jw25pnd9xLpAqSUpb/r60lTm95IRm7aW/dZNL3ql0YWymnFebmtV8CJoB5gUSp ZpiD+xlB0kCKTnaPbuee0pgmB3q9YXRJpdfcRnS5UWsshqcFUCQFOaf0U+rU4MY9eD0eLG6CjtKY 7DCpVXhEhxit77Rb3eUjpoSZFBNP+Cg5Dih6+MIosr0TkDLD+02pimcOQLNioouq269k0jzHHYOW bpN9Pa6qXLpUJHcP7SqeupJNT6CvRyBPeB9WSm8Nt94JCbxmCwYOESFX0+Wd4hPdDuZeL4CC+zdF tBCfwpVGqxD8xF/uZsaDXTMooP/qd2T9JfOmwgc/ySZSgRBvTWbHshP7DHBFtSxro7KpESYFudpp WGqU1ohRVMTCdN+D4soqAILFqURFxr99JDAoxAdm6U+RTOM32+TXR/1jQCm1BvkJ6Z9gJRWk+G8C 7dXKEx0rFafvoRj1C3HyVbLMN+3KpjLmd/n6w695i0TmJ/504Lg9xslC+zBO7ZLRvP0P29dlczQT ni1zlvzqdBfNGRQiP9XgUjsNFYR2ltDCoCnLDrpGDd3Dl34IXvPSf/jKExQLqyad2DpPkmlQ11fL pYjbmnWflapDsrbC4JKZmkh+i4K98jPSbLnn7bblJkvba5rtqWWewb7MXL3NHeyTFcu2EW5fLuhk Tw73h71aP0Q4+7VOzrmZxbjw6vQHjBuN0b6kQs8PM5IX7ZnXX937nC220weasFIvtSyBrjnPHHKW YusBXrm8Dr+7gdjcEH7bAmD7DX97ZWV0phlLVwrhmeRbjDsougLeybnBhLpXR1pWxU/9jqzyMmVO a3hSMlzWvS2E4WyJMwJZ55sby0I6airPMad46w1VG+vvEcZlysniCxixN0N7DJZAdQiY1olCGnCf Fkxfz21AETGs32Iim0LdCU6AybxX/9sYbPB8wrhY4HKcX86mBUgg+hs7GYECt3idyhMLMvjCAuNT 7FSurwrchvrFNmfjGyFj3fWUSgnk/cVxnA4RevfguTlwfXRvQs59Y4fttIFDdAMJ9eCZE1mM663m oePNcBorsGifzYq/1bWWC4wnL2pwg10f88wXWhHzg7KRLdJJ7a0e9cLi3nC0d1RGf4X9RlzQOtkR JXxjVzKBKRwjua96se31OTpXrRcOA7VrwpC6FKOKHCLKlH0+9VHK4/Z4Xjmx+FSiIGjSazTjXGBQ CQsbiVEWbg9Rk6Fpsr0CsTLOV1i4DtC2V2fLP2kSmJdf56zjf9buoTULy+mT+Ge4NDNcywKfbjDz Ho1BvkJ3UCbjns5LQuZBP/ZHBWVziSSFxWDbKwFhj1jrRROme1TPaeh99FPy9AEXKMASpQYkLgpe 6D4KE5K+Nsk7W44kbaEqp+gneDv1KXoxbnBkBznkTqJEV48o3vq30CtI9oB1CQISRBRbApRvEOIv FRaTQdhIsgHydki28dIb/uz/YmbViPtembiEt+bUeI/6YdzXOUUjRcav2Z4w2O8pmyVCZOOLNwlc ++XgNRr421+aoxgpWQQ5nyhUtqYg9VhSB5hC14kk4YnOWsvFHGBBtg22K45S256oDo4jTIOcwOhP 5lFBPcn2buKBJMjt3Pncv0+mFPsixkfKZGpqFGR0jmYdiFXh6qLr82xPQiz20PSuMpwX2giz1F5c K0sYyConA3BgmOFtEFYkygB8hxb7qY1pF2RWn0SpN25vJoxQANt5YYtlmQ+k+yR2amWUDxRqOhWE +vXHkP4hQiOse361w5zttcwbdT4PxvwSyvMQoEcWacwgT41Yb8Sh4U/7QNSrI+qLIVQzSMwBPndS NTFArgEDmh8E5NiX+aUVstne5yTfRXTVVQcG9cXDPFWWzbfyFjSxvNi5OiUwJtYmaW5VfSbdx5yd K+MLIqR63rBmuow8ar0sJAzEjoiEOahabxlfXBCEgdMxP5GGlPVT7cge+c5UYD0kokXq7JKe/uPM kWxDhFpRaXocsYUko4imGxl1bYbduNQAkTV1NkvEjGGGITmNa4n2z/F6T03KlyhrDGlfE6+6W8ce /uK+npIS99qtyK62Kq4D6T13wC8XACWKeJax4WEWGLe1XLc9qOJRew8SHuxJ/LO6NKW44zSIXxNp 3eHDA5178vJw4Qrr7OiHwJuBRNv756isOF9ajgT3jpoBm1W51EvoxjokZpKAKz7BTdNEmFV8LDO1 DbzZYYWObTZAsVJQFFp7w2o8e/stL/rLNDGzTv6HFaVMD0LZjoJ+FfT/ixuoFDvC9ncL4gS+37wR hwazW9I2da6wEluIp7GvdhBLfS3fykVsTZ3DjMyryu8+qutc8HndW9CESVeZzgK6dTvy5Fmtf81j Rm2uro2KKUc+CTcBVNc3pz+FCJy55/aiVGYWSMjy9gOgE/mBGFFDSnE+wyfTSDOCqKDmcRCkngfD hden8/oFK3tYcSjsAMqAZJt+fA5gatz2yACQnls6pQAzIvHkc00hb6IUVIr0wENcAxYw+5dN+rpU uNugdxjfpK94xb4Os4r7DRAq342+ZXq2oezWX9i5tCJJDxauWP+UMABh9S+z0goe7UbWkvUkJLaZ n3dS5UI3BmNp6XSpDfdc6kU+A2NRKM1r+OKv7ln9njhiINCKcwkIDzoiGuXFHKZrFQniOws13Zmw 7T1oIw2970gY8MvcPpiVaeya4AVhfkQ9xbxsXXm6fz830Mn5vy7I91eYodyuA2IovOcDbRTUOQ+Y jypjs/WDqQhqUlUvnjU17SOUijEAW/ZJPd47sJ5A5SnwN4UiKvOduQsxP2KvtOq9IYtYyNekGYs6 Coxp8ob3K45Notdjcrn0MAUWQ/dfokrTmPrj7VNmx9vOdStABau48OYid3zrNlqOvG98RKtzeJb7 aK6jCcqT/tb4+EjGCbo5mLydhwhinNOjFPd6CouEe6D4ZMiFftb7xuza6+NkeAdLyIzE33PaqyPA FqHcmE9hGLlCV4A5LRNZ7HtqLlNNfD7BRjkR+CP4CHhF9cuP+Fk26mC76wVTohmxcfB10Bl2RLVG ziHVRfgnsCd/uogKJCue0mjk3yXncKr0vXbKdKaPKZJf4MzacfN5pCrea7a0dkQqVfEjtOF+rxmN ZC9GV7Ry+qbQ0qluK3yJhdomXpRAXpS76pi1LZfC8PmXsWDVxM+vm4c9GCJ2iIqYGFKkd/CVzQ7g oASZD/ACo6Ae6f+TmErLG/cNwT26qGZR6dr+w5bZCm8MzfOMcJYfW+/FFPczwsaTIsKG4f9JI1Ea fYb1QUDISAId/Wwq/plnaq2LwpXWnI4KzgofVi3MyaF6OVwZI45G5kYpGy7CiNyn+oeJcwsmMYAW Wlki7AZD3E1Dzxl4w2/ES07xaWLOfPG4OBVQRiU5LG6esg56FwCDMI0Rm6QjDQk80KMD3IQrQKtV 4RYluFIEg50ppIWvAIFPJDl90O96/P9RvmB4XortiVSJgwu25FUBCE+vNuBAl50s8hySbADUE44E 1WXfAwsYGeZMtCBblx0SC0EtdcUGf/WtZnQHSOBJuo7Dz4zl1J99xm9/VH2VCAVET9Fq7lRNTPPZ 9/HJVer5JeulZn8U3UWr23Vnat1gIDHhw4tR/9x5d8ydW8SJaac/PbumgjS1/0/pHvT12FEkPL59 S8U1rlENH3KiiDfwDo+SgyxAc4rsOQparluhkj6jE6ed5iuwEPfgf6eZpk3PCa8NawYcDpnr8hmQ iSFMBBCjn+4splK75B5R96Tg3ICa/tBfx6zphr6Vch3MBgRbmS9OYuJ2A5C52TJw9IXWleEkBIiu JtzN8st4uznaDo2IZQU4JWb62S0TLCK3DINrxJilWxltV7GdU/0JbeRRERhJLSqvZIoMCkeXWX2R I+NYPp6+7SU/6xSMmNC2fAsmZPkJ3lTVXtrkq0tfuP7JVaOZQuk9gI43Trh4S6D2FAHK+Zko4dkk 3m8mR3GkBx6V6OoIWOqBLTbgldqrAjMaUxr2dMcbKLw0iD7TJhw70HdGkTHu8fIg2MLsMORBSa2i DP0fiGvgG+CY3dRfBZju1DYBwghIdtlRGmwQoTgXq65GYnW2YTozaPpwPTaNvtpM7oc26zFwYdEQ deDixZ7f710eBG6fHHJ4yU79MkazYOTOKQBbBNlgprkIIyK7SeVmlUu8MS93rMxDvkNJFT7RvM28 o1bsDGd6ANdAm/zPGm5sFgEwqjSMt8RBZO8IER1zuMd6s0jo+b+HZVeruakqn/jcs5444ZZxtEGH o/uDVsyxnc7juU24XAhqPXRigC4g7k0O/2VRPk6StrM6/ivNN9Q0E2JkGxptrA9cs52ZpZaPiVpA J1B0j7Ag2sRVkfiU6qI71YGfGFxBrw1285xBhVI9oMFVaEQT5wWfV8HiM4PxEus1+PmVHQKqyGhF wpdt9Ge+AObnsrV9MhSaPg2n+tVSWW/BZ6mP7ZB6p9IF3VrvWY07dOcjOiBeIZfAor3h3jWNuLHN sJqD6D33AL8Kqg+VL1bPNh8IQwtZZZhE408HYZO9pmI+6JXCd/PLT8G3ydnyKX7AA9DOoHhhP2d5 9U2MeczKB8Vy8aiIpZ3fHbRXslWfnE/HJ+jEfHZocjOhizkVvGnclvPS4zyFFQ8IGEM+UyhERM7i OF5M2bv3XSAHRg/fI/fnmDMw+Ts5eWew8lOQzQ7TsQ21w+2GD0QXgMpb+sOH4Dv/Bj9axzWdTlC5 L7ffex0JG4pKMTQ3fVlaF31bm1kXCvTqV7ydP1w9jvWp5MZ/Rh8XauBkrtsDG1WMLdwOaxZmpxU4 ewf6uAZX6HKWGePQpS3F1rTHhB05u94RFJCSRnW/BtceIp4P/hoAt76OXXFBw6vhz05r4T6xSW0K I9pZhAbTCgUqaGpmuLECHP+stszdfcAUDKheRdZ7yfehlUVJbtNY5SZID6e08k4JlrMMsFc9PYRf NIAfKG5LsJDzxdwp51jkQBjE/Z9pIIxNa7Q3Sl4LVrh0lc2zX6jaifdRlZAISDrFt2DU+Oy1APhF aZI4SGyMoDfBC39pAWV1B+OaL5eGnJwzFbdqE9HAIP/r9uVC0LOh6ZtWdZYncJSe+wsUP/jT9q2Q nQxKAHL0wDikstJx652DlAd92Cq7kM3jq4d1eO1L4REm1ycBmjlxWFIwiOK2UU8tISBLHVoLtatY iepnK2BBgG9KFidtTAT/B641iwMtuZi22QqNoYPNOpUilsVuQXmRjBU/8lcLShQuAe355ITxyUcO +CuOSsWUKbrsa8VjXONQ0LsocdEkcjnDN5MJemWEaJ3V0SNjxDOEexnpz/eX40Pg4aD4LQ5btkBp 92ICpGpWhob96Wyi52og0YyS0hj1cStTMmlxC1L+WBHKQ/zLJwyInr2vEaEhMt4hj9DEnPelkSZ2 vag+YG/RGik1CxPs/o4z6bRtLKg51wte1pcMML6rcRvfTk7roa6gDi/Ht0bVPdgGQNeVlT7OeZEC dVnTWfuQKiFqWokP//7FOOHW6tpRhyUuTGu6o/CdAc3tl0Rw5X6JLCg37zAWkvXOqo1np+7vAaI/ e6jJ1YwKodBzm66iHLEJsvkdaIgrsXUCNPyfoIfZ0+ze0i03qbVAzAgj2dKwVyKYCMNnMIsv0Y3U 6F9RPGqjPqfX2fHdaxfpRTNDSB+tGGNhDpyOq5tCT/g9VGbM05NCWyb7Z9KXLvM3y72SihikTXsr tjRJMEWLJxRWqQIj4BBdi6CdyThJOI6M/NaOGNNtY1N7P0Qtkq1VotEerVfRt8A8d/RBcLRgJ9Cz 1jOzs0HToOBEeK+iTBCq+yEeblcK0A1/tuRbLzcyDcMVsWghqGOmqqE0/UohsN15x6WRKmOPzlc4 zRR6R6+tpFEIG7Y0bqOekecE4/BUgozf8OYpooJ23oF30PVY4eQoObSwKqZBLZsVGTz7fo5j83/Y lIjb+A3WSMS0nJ3quXUwOvVkLu13LApehnyQEquVr4z8r7s6ZUx5YFvxBa8FszaPYfYVmLNoaYHO sGqRcTLNhMNEoYyuNLBDcYjSlgcutcP57qRRdnpw7/fWYRGWIgYNXrvklJBtpZpKidhT7FR4QgZE +HdJq1O2d7Y0ZJrozR5F8eEwg0HiRTpwJUmu89ePMjGx6QM78eQjAH4C8ZkpB4Mza97sAV+Wc3KT crHiBfXJMt81KM+QLDh1f3VqvmHHK0bhwB40ZyhHShS0V42+f9NgYLmXlnkQ8vlXuetFgMKo1tQZ fLYlX2SWlsukRsbbaXr1IsKZY1clXxJO1VFT3rEmOpOed0Yaj2mNreB3jK7ziv4Wo5GPF/f0OMHR m1RM86Rhi6Ps23QFIJREyNeEomxyc/8JpQ/3bxbakbzfw9rwLJ2I5mFbuyi19BdYslRM6LomeCuX U0rBBEvMARr1/6RzWFlQfGkh8imoD9CJvxaizMZvZCuqwH27FVCHbRQgWPs54jxTNI6MJwbRQWaa 3D8dPx90bidHiZbSg7GOHBktpNKX+FcxCx4PufmznGl+y68zC4p2kTW9lvdpSzQocs1bJt2nWLDd bookgawL2lFbvG2OpvCqZ5CERc0H8o0BTLpVhxgofgU28B97vYGzwptBs0XwZJz7v9TnvYi4u8h2 hMteAT4SMhnZbBbC0II076Zci1bvMiDQ1IdYKz/SL1UnAK4EG0zr8k+9ZuqoOQioM1DxKKjZbOY1 jrUyine9rb7lLr2zO7axrj8zP+kF4IePdbdeEpcxETn3OmA7M/+gvllQUu3maBMw3CC4ejAUZAAr e8EAGD3OiFo6Nx39AqUfhMkpMzgFcOc28vvTsekuz1FlnN2xRY2/4D1oa+VnLzPf08Ya70ORQtoe C5wADEZNANzlyXZP74vdNujWPFhwclw8gkMQclAHzJUM7/MqaXBoGFdig3dNab2RxNvciy1xnG9N 98qj3yqJBJULI9/azhGJH/jydQxafdXZm11iscawvBt2mULmllU1UELpig00B7CxOhyPBSZFnzE0 YNXBJstVLZjqus0eYIFzNrF9mYZ8qjRp6ZekTiagk0ift/hZ3wpSRtul1TNORztsxJA60HnKabv+ QWJNN3d8ID+fsHRb3fqSdzjqNWJ81BFPR8B777EHxJcuiagKHhgX3yuVNBX7Cpv57JGArAP/Rp1J DWVkRc4wMgbKWgje+qP+kAw4lfhG65iD4dvj3bD5RiSRUnf/TW+MNE9pajIB9WMtVr16RCspa99J 0LS7xu+oWc/w5F15uOxgG56+fl1F7VKHsOwhJQvF1iuSrjbEsh2of/t6wCUGYAkGQvfAuZkeWJNi 1Qi5olOqlc/kX/ZHE88ZfnCA99yHJ/1pW8s/JUxPQ4LP9pG+MjYS3yZD0MowuiSjnND3EW8QRVLJ X1ZG3W1Ya2fGPU8J5fJAJCmdhlrsVnRxHEyZfjh+Oe0C5lvQpAfTBEtty7k0d3OchhizZ0x/N5KA UGPc50mzlsuYFe96/QvnNzqOy6s5wTgju5aRfzxenxxgd9tEJ4VBhF5kES3o/EmW6xsxgHEKMOQn mgtLCyY+NB5eZaZa3h6qhVInBKXmkaO8lcEmwmirF2YLy/NXYNh+xV4rIvMFepW8k2ajGxWsysxj 4fHEQNY1LiuOU22qfR//RST4nogjDq0aTGdMiKLbMYPMkazdnCL5WVqQhlkwfv6T33MvaUNefcQP AugzpxFOp+3jdbHOQYokNvqlYULDy+bqJodqAvMMRrF5afw6fWXSOvkvKg2O5I373hC2n0eLOHgx Zm9TqJIvORievTDU2TpQq2V3aSQDMBK5+ljoWMJnpsOshEdUXT4uTo45ZZcxKxV/ZQVhq02qb3F7 w2RPhp+08dQQpT+/aJ/XCHs2yV9C1Klk1i6aKHeBrhQKyhFmpAeZunt1h3lAJawqkdM+ccoDL9TD 0s7xKH+JZH+bkHHhTqToWDAwipoXXb5HsTYEP/0hTRqHJTibBThiU9fhVa9tCy3bCefwyaXlY5nG gKZPkxOtMME8b2SnLrJdDSbPsb/PqAYEqs/S+6iHbGqwSE1O/4HFqoGe1S8iNmQA6dTrTrNALxY7 ZCTPA5z9E/V/IY0T3a65qd3yMgKKeHTLOlImA4GL27jT7JBRHbbk3mK26KGpXuHzu0rKS4PydT8e gFmaH907PTrENPU/qwnch+nu+IIgGg3tewDnLNazSROJiBlTmkFwkPYKfOVwcfZ5cGmp25kF8DEc lU1Ana/XVdNx4Md7fgTFQdNRdSW1KRx14fAhuFEgdEEPqc8tr3EBft5xgp7n+YNv/jAbEjERwG/8 at2R9LQo4cwcfV50+NlcvmlsBVku1sIhuArQ5BYkutPrJMvFGcuh9rj0Vckql/kl8TqKF+i3L5sn RTxtmj5MMHt1vKhXHKpaiJVpZ2n1jC7fvyiCbo0GsVsMKMrs0OxxKCFMEdvLnqlG3zmcWEr6iVsg mLQCdVaoREaTFAzYXruXzDPARKFXlcLc0RHKF7RFLWTjttzu5rvWrf2gjVDfCe+zu1eCK2Gm5FVF fs9U1/rt6XTmOSvy8cOdKjqHm7nyamkB15cnFOqF2vwiia+GDTErfrjUefVXK/jW1mtVMcjyMtEt b5G3ey5dlZSSu+o9OKyCiRzA6Zus0GOrwQpFdhQupELUYPox+oD9ohHDdyxq+tpudtFKjE0Z+jeX Fvu/qAR4MUhuz5+hhg7V/4haH8aFpEjkzlVDrjKrVneaTullVJYqxVAlvDYoYpUF3CFKBFUKGzwZ gc0KlT3kz4WMTC7eOHLT+GKcKCfQbElN2UZbKDn3yddB5jQttIc9YToM+oi1SWqXpzTp7WavBBQi /62qQgMkFGRnzR81juy/+P0xywNycNMfnm8cc/3nYmpXp823UJO6RBjML/5OXDn8SScIfWsVS8Ti vp13wLKSugn/voi3GH/bVY20SdmtFkRGW6MV4mtfJXnOzdPTmvTRoRTofSQ0GoZuf45428z6Tr6s Zca2T2AzQE2uBA+kZcTPd9XKCDGqq8+gdkR0AZW/E3SFIGB0i2F/h58lUxsg3nUHEk2j11eTnrFg xtY+wD3jQcep63b2w9kr1DNFxF79wO7csLH9rDFaQuRhEaUoEqFVs0UozChBglI3A9/gFcRcL0NS 57QUyjfUIyPa4Fyhmsjwhi/hH1kGv5Plsuza4chGn/+Wz1uRIbtdFR/QnB7P6C17bHRaQMsxSAML YXnAimucI4AjOL7KnqTToU9PdfjBGsRJZbY2CFWm5yApROtgceTrZ02HcoLBReZO89gg+fm3XWlb rnU/7oIFo5SZHvwoxcV4vDtkkJV7bAy3sPLdAJG+VM5/TEpVrz0hryVX21TDADce9DVuH4ygcwLa MiiVs6IMsWHO1/WkKwJOnsF5fNdOKbfRtOcWxhkSxNsY9bZ1gUlmAJQJf61poCvYzeCzVSaxsOye R3CGmgE7Rjra8TDA9I04PytzHrapoxc9Yt9eYgVrJcI+L8R/yIwk/4/Kc9nq3aheaZFJ0gBJCLSg TwbH5Dwvm6l49cLkbFSZNOj+vES1LRrC4+I/jZxygFEW1RmH312aleuAOZQRCxwNTwF5copv/+Ha +SnD586pDohXilOGW9R08/HWKt4HLjPggTpYcmmQKXD46k5PvCfeK4KeDYkev2mlV4EJOIV7/Ddg Ukfr1UsUuS6RYaRNCMQM6RuL2duA+yssanncylS3ZieQHQY9CWq9WkgjRiOK1SFn8DbodM90WgUq xiH86xuhTRuSFG3Ky8dm6QDso8k7nZuVvcJBzT1u/zKLL2i7iuG1DpseRvaHsIVHpKOKBugtOg0U GBUBA7ewpNlq+miOHbhlj806mVUoRmIHWK21HTbWhZT/HwRil1n8ytv1NLIBh4hoRlgct9BkKZol Oqc4oG94C/TvK1T8mUCA2qmjvOshPfu8AhiIe2nu57cHXZNwrkr2+7zkZ2KNlh8xLC5t/WiZUbNr P+Q9mm36uSXvYyRmLD+zoX351NDXM1opwK4MbbQLV7mxzwz16JXCPBHq9qmqR9K7qMydfyd3QMKz s1fwnMkaWS5v+rjE6y4TlBymUpHcvl12jgXhGwpjpewyBNyLs65B9W76Rd51RfO83VN4K/HjRK21 nU3/o5kdU1YKZuvQVCc2HWQ8RR59N/JE88qdwsUpKfxmuT4Nxad7UB5YuKrnknzXAK/lGWzg9WP5 m4DX9NEziEUX/NOCWPZv0AP+GwN6RTnSGzDRZsmGWxOcQjzV2Dt4Vvtt5MrY8z1VG6RfSFeR18pI BAY1frf8391Dhr8IJoZ9fSoYpspT6srwGRoMpLIRcZG4C1VIln+Q2YCJfuCiEO4N4Ba7eJ6ysOTm TpPY8K32nSEg0pg3U0CZhi2tLBG0sP68C8nFTWYMKLxowzEI5eWHgRqmwJozsWg29JTi28z3zFxD xJ7qwQ5G8txubUJiJ5WmM5xXIQflhxljTRRG03M7y13ubfkYFUq9PRNjm7Q7P3Gr3FtpHQxlAkUN f6yy/ijNVFYgLljmEVwjMKK0yIdog1Cg99Rehb5xM5AXGJusJ8BexD6zblwAs1Lp8IQ6gdkiobiY 7872QOctKGZ09LLOumdwXXkegZwKZOk9omsZu5ojIqWo1RItRahsPqXDYOP+iQ1WIOTkWBkmIY0Y 4fiaFw/o/r7jhxCicX//Kz9fgA6XNo96bI6z8X56mLqJQ2mCeIsnOcbkoTCr+fLzew7tV6MYi0X+ AK9yhSrwGoljtNXxtBnBPYQYD5QSC5KIiEaSTyrSFcc5LgkA8ZEu9ljSW+VmGf536JWiG7bDw3ms KYyyT1Kr9kC3zySSUC3I6CoTvce3oqfZA6Ue+CxAZyaYvCbo+/eApNT6prJCHD+VoSkInYXPVS9a Mrd2/CaarWgaXZSPuDEXQGez4Md9xuq3//oZWhVNnI+1r4M3f77wjScYnM0xdcZH4zk95r65mNIe 5QahXVDm+GLHhxP+Um1ZaAWFaSc3BNUZOZ3Gl1h/X7me2QDoYdX19GE07jzeN1sydJHLWcve0AsD jbEQZR/23UFy3Ef5yjOJNw8CDirk/XP9wsfvIc/UGTz2oAYA+Er5YDRFx/2CoMRXJy3/AM/jnAqb KUcpTe6f1zC9ZtTvTSAJRqC/0KnwsDRjOe83fGZ+9UTejTahG6TaBNVkwgP6URyHID+y0hZymGTX WHBE7vmc6kEWUm+ZIWuT3QpV4FC3zRklm+EHIgKVg3+CQ8FHf9K1VQM+GZ4f2tv3ISK4aPo1iSGR JbySshvvVeArBO3p9Wwocw8gUrTy5FMm0T/MxhrGlRR1CjCFEhwoTUBtvRUvazfR9ZuQ+DRjuhVb yQRKMLLfMlh+PR16lLS8XNWE/8QXa+WylGl4ZdQZ78n9oSwKb6og1eCoC0d/nEz/2VIus3WwpP6I rZk5huctBN9imREliI3CsVciJldT4ZQY52F6meqJ8kUWrptup4jJdtKrpM4jdt6qqEgvW+XA0bSP 5pSUU0CoupXUMtuIKrv6xhLS6B6s3Y8eoJebYFxkVYvKjm51o5snS5RF14ylFFH1itq4FGsPwQaK xew4G08vHlOIZWPVFVZUffdAE7ubo/aGNxQRC2z36oqKWEriqUHZp4ySQk7R0Og+YEafoV/wdOJn ZXaaP3H50X3LsqWKn1n6N6T1E87NXqRbIhKmmJGGkVCNnE4Jz79NR9gC2+ikvYxY+SOvF1ms00Se mrNrv4Mle2mx1P3h4w4FxCi4srns1zMqlBzNRupEJNGGv+tFHsEyiczA5INuFaJkNMCuZir0pn2b P+0DH4saZGw1FQyJ4FOH5z49jjsTkS09XDQ1gul1t58EAbDY4wnUikELgHA2ZkLVo29VOgfkKtE8 fhN5PucZm8SmNDCfodS7+6Mw7iRZgYHGHL4IrYTCposeodryCN9ZQSji2D1UAHB82jDxQanoZdGd ZQQCojPcKDVwYNT2Wy+Ey8QDC9d2cTffGFN9jsTTq07Xf801chFJpLGD7e5vGhP4N83o1EECjsto 1YGUWEpWNpjy+5kvPhI6XCLVQ+jSUJVsMmcqDSIEIz7Ovs8r2130eDbqqrRjDzdCtuCUIYnO0qck R/Jr5Vqj6S/0f9g0CNIpQmpfqd3TYDrm5SNWAazN12JAWr9pgu3wWxLrPeQAI/DY8hMClJ2euWCZ nOQVznNluBsWFAHO3+hC3zkqT+Xmc3VxBscgRXR0YkzLldPDj0CDlwcnq6xCJtPd6tJ6KQgp+BeB NFTh24zlz3gLddlqpCW5OMcqNN7uLESQdTAi109CPKoxg+NBLU8fZxjSwjpd3A9AngXGCDvDNhmt fzFQWhihej8RmnmpJtVYi0Wf7JH/UF1Zu/mm/LM7AAdOl1+GT+9VKQpy/6RktFFaTl7nH2+AFYtN 2xFI++rXzq3qIQ0z/pMzUSqUymCDKhtBOfA/L8LIcEoeGVSzGDJee3IdcgNUXnNTPRRyVDzTZxeq SNVaP2/t59BRW9UvVq7gNX7ROoje+ZKwXq+4p+Py9wIpAZOKlE84WjY0JDiSbevep6RxjAkc2Mu9 BR5T9aU9dpeMDbSwOu0T9QrEAdUBkyxkcXqo9kv9FiK6QkXRfNrpuUVdemqMUpbpN3z3JaVMSZH3 NKR2CLIWdCX7y2IENAgKiFcUVz92t4cxxHiXB7UjzObY/jpEMOgRptmfofcHdppHC53XmnUT9/oS JsCXn4iAJ5Une0qK9go79X8uF6lsqOnQCQdNSFAbT1Sy1DO1kesWIpAH0jfhLv8BdfBwo2FbH2bz 3e4ERO6iPTbOR1zEXXfbkUfQA+loCjsDLdDzfVRE6dEBn3WA9WoiQp9ltS6UFp81JiO9njKWIh5/ fhYNV2JTtbNcU3UlLhtqBf4m80MfLqODvSfYekO2wF+Iqy46Wgynfgq1XqFd9nn5Rb0Czc2+9ret BqcEtxZtApGLwTUzOFx6CSZBF38xHp8HzAczsmK8T2RFIy7WHodU5pPar1YwB0bH1Vp4XFb0KW6K 0pYArHM+dgyIzb3vhrz6M4AN/q2wguES24/l7kc2Cke4q4rY3s6rIrbxyvjXXICHg0y5GAHSn2LN s4QcLkvPVhTN7DpK3M4Q6Z/awYfGrG7f45/Qz4N+oYwXhr7nP9ffTreH3WyRG2ZF/Fr0RzRMIUVd pD7vJN4iq+7gTHthyiLjYK4nhFoT+Dhwa6JWK1WFsms3/ZBilzVs8g3ix86ZSNEChIIhTC0tmpQF vHqmcQMMa+OePhPZg4v6ejCd6YMh19hZLg4aTdo5CBcH0A38G55WokYDdiTFgVWubE9w2Uc8fFU/ L2ViEg8AacehAqCm/66OREnZtT46TnKuLmJLklyaTPpFWBxrrm0TTFUbGBe6H2MCkPgrRQAVaROo QUya9FMzigRnZr7hLwAFmKyAEhvPGDYdrPAbGFvSJyQK9zDcSlZGgr2B9d1Vr4DokVFQjerqUXiZ vEobgNj4kg19Zd+HgomlV2+xbT+l7oMur+z+GtBs0lHGkrqp0Fmo+5WB+M45CqnS9gE/rrmDYjki TGg5scgfHVTnzNFlw5T0nUJFKfkEFwgwfYEDXhXhb2eb98FmamRqC8wqCgwBMzc+fLcmOhULq3H/ Tfd9f3PwfaBD8+f2ktZIDvoMwysXvyW7mMUW+FlxItQRxRsiJ7o9SYbM4RPZuVLXXozXESTFkXTh hRNaL/VsnjdYKWRxYHykNYlRXQtbswlrgSfRBASCYAhugfXe2WPDidjfxhd0uYLKNPIy3rzRtZW4 Dzwkq6oygvQ7OBZt2iIq9cKPT9qC/3cfEtu625Fj7feSGpRfESm6EwWaNlZJwMxv68Bj7UbR1T2h ED59hkSQ83fjUL7hx8XhOCvsGwyOH47YG+AhP802Cn1MYfzWsOs9UqSfaCj+AAo7Hg6EvyZ99gxN FxZPF+/YuHxIuCWDRPO9qzMLCdwdLK7+PAUr83ZBAmEwikpgl96nez0E1IYFVH+GXK+vQvxJOiuW 6MWbBP9+uGuJ7DwmVYGdA69vLRHrFrLHkZi2UBQQdhp99tp2i7RtyCamY6+pqhnPb3JUK24w2jcV I+lqAYL7okLU82YgvhAlNo980tcauLmlVZ9Mz7lZlI6iQvD/eKuALDQDEehVJI3vyDqTD98Y1Rdi BQHjJMS3D1ahrm+rM8qQsMnZKBxkyGz4mL3WJG715wz/G07te8GpeOpM6BTdhg56PB5AVQCNNQYs 7LsFseSLuREY4b1rtiRm2tB4KSQrLk7+wqf1JHk/Dm8b9+B8EocnGWaCzeN078TWZm8fZfiWe0he KxKlIzm4c67YUohyiDV7SpOCRoNtZKYDydVmimoLEJjY3QbMX9Ey5dz+AYjdFyTBjxIjcms34CwN S3XxtRBqNrN7WyvprNOF5OznnTQsywW9vRmmgzqY4VeapCbE7TVZrCTNWuTPCGPnfHLs8Syo/sZr Ip4qnrVoL+sW+NMdoY7zL3CNniaHAtdV6IjkHirKOGgobHsNYran7CJVWus9exyoooAGTrjOLWe+ J4XM/zc0PbYQnt2ovd9VdUynsT9ItHn38dUWa8LFe0TwFSyLjtjWe65kwAwd6fPITgIs1rYtlKiz Y/t0JWr3EYPfMw4hCW+MpAgjIas7tEaW2t6kSbUeD0CdSJoXvlRcOZpEi/YzIDhB8Jpt5/jd/LKa Sa6UX4pGM2p45FXUeGJMqL52nuJ3d7/91ElLEdiwIkYr4Y0QPY1niR8PNoJZ+U21PUwTSNHOFL5f TGluIija/ySUCH4yTfAOYPgPCk/61RQEKbY5AKqX1IhbCwkU8/fyMbEXr3LDR2tOw7y9FPQNJ0Qn Q0+fKRP4qBf4rAWmnV7cJcKav8U7HABQX8t/YhsHnLrFzQbPmMzcNGyER0lYRjQw4H2l3V+nDGUV XtbSXU7Yf8+gDm571dORdw77qnVGHfvcyN3/Hw0pdGBqj6kbRRyTlaLgpT7kGjwiUJ2fU6RUslt0 0EbGkV3mnV/rRiNhwmR3+hbzlSbjF1GIf11SBot5f5Tisnn5ki/tQSILKoyt52oGzmN3AeLN2KOD X9xtWpC/DigfIvQUQVHUA5jepU36yzZ26YFq8cUcsgDSelez/o1E5qGSpHxCswerkS/5U1KwmxTR 5hrinaMx72kdddK7/EPK2cFVfdUi9eSRrYz4Ifkg0ekbg9OSbvRWgNvCcDYkXOShSvDsmldK4R7+ iUDwhFOzs8TSUWUXOk5xZnFi+k+zauibGNWruUqycdOR1iMv2YcmebI2eZxOHBUehZaZYE9RqJGZ kn96xWvDPmb0EU3fPSrkyVAVakp2BxE2htEgCAwp/ItIO+G5ZNorPuTyzM6JxTaYj9K7BkcS2Vjt E1v1WgIJP4bNk8W5KjAitz64vmICw4YLCLSqN2eRqHTkswZ/5tBlR1b2MqJgEIDbfJJ/geDO2yel Skm/ES6UVYmiuIlWHf89kfRTcYki9cHtWqeksU8uvO3SyaaqePKj8//PTYyyQUdVgyKXtK2QhMvG 8boTDryrADNJnKXAP0Z3NOXBzhlT8T3Kbgfo1xuCLdgioFx4fMUlJAUyObvnqo4UZZXWouEwp/x4 C2C5V5U+gMG+JR3zpn+aKFVpji3FKhq2YBS+uo/ljLZ/s1KBTGJF197YfTNk4aXiv+tgLFtYCpOb NK1+NfNMZbf0vxFcKTIxA17+/Z9JcXV8yL9AXvPKLZsRlva8r9PDlVpGEXGcfuUZ68Pv5L0crwpc NCccSirbNapbwzLQPpMvjI99W2v6VELW35LIeMde5gBuFDY1/hFnoHfl12uv5z8BflFSEIfxwdYQ lUWQU4ulcSVIA4peE8LTKe4B8pAbFPfP349UjmAtTDB9JyJHZbfEeDZy6hsPMMD6fCXrbcsZWfOq FZfZ5fSPo0MlZDCo0Z797kfybrws+lN9LfNTi+duJ/X4Ru0y5I4fS9QQb7j5Q8j7XrKldNgNYgKR JHnAdjkL9hckHcg2iX9cWVp1nTgsjk+Sv72s4K9fmzVoWtA9bx39ABhQwp63oXoTRNMdrmf3BMIe PPSgNIY4KYMJcJOoO+QZRiQRmW+vGm2ppO9WT73udUMPPKbAtYA+spC123GzqtT1yRH4WbRL+qRy bdVo7Xu72UMYWSAxN7gx6s06bproCk++GgVwTNxvlF8iIt6hnncl++nRwrKD4eIq10dPz96ltefP Wt8DIYQ1qXeEndxOlWsNdbSfqBcYYzquP2YGJfANcHb6s/zUUSoOJ+BklZ0dpcKEv18Lct5Ou68H hk5yujqZ6hiUW8fnFXwa1FfQYhW8kZSdqeJsxOkSe2xgd5RXEvUr05+BqCTcf3/WOyIlBZ6Psixl HBahrKHmOd4gdqr44xdlnm7tpGrZZxlLN1u7D8EsGTSR5WeDNpbQB3mSDPl8NF82IJHFGkNvrgQm dzzGgtDJFQDKSvU8dB6gnT97HzwvDNXXoZeR6VIcvkFSmkDZ87monY1AGtXBtL0XjWR3MdUxE7hI v/x83/Ro/OKvXoN2bu+QaXotI+l9ttT+KmbAQBLiV+MZ93dyr44x67uyQrk3wUyHbKAt1psxLijw 9Ut70ocTlUAvSiz3yoajMyv8iflQJQhl1ZkglGy1sECRyLMNKqJtNeaZctVZQzACJDFb5oJLeVuH OKxViiBSYiHswzuCU8Fz23Cu4PnBUC+RSELHBgJ6bi6pSJtMBlqS66mRx5E3v6tt1rBmlvwdg3UG pW8cQgxLnyaxWzvYOm01BLsYrBi4gO8AL3Dsy4N2Xr9xglkWK0ivRmaG4/jq9SutdAm8hCO0tHQ6 l44zMjgKCcy0i418W/2Hp+p6NXI7dFgyApfBI28a/zlm5TcMrJjLxn24vd5+nHN5nPIaympcSJgG 1JCqFkul3XZ7x5Hdr6kiu4d9jwVW1BnZjnR8kKUAzJVilRyxfXug8uyV75cIlm/XvhyN1dANWgRy iaaZ5C/ne7T+R24HQyBWpXNoDoIA91SZqyqnoeo0Cq8IAMRzTUfkt9VhhrgNs4S/ZW73F8XC4Tgi IUcmx3vhYWQ6uIVoUNv37kfzqC9m5Zn//8jDte9sFSVh/drI6HGQIE80G1Gxd9JrZ2MYeRr6+XfF oU/9plry/mdyaEp6UZlsOQ8q7C6tyODrXAcNZWKaKzBMaehN26AdQe5nOBSXmRdmQI/iRSNbZPx7 rhG6lNtFqYemhSa0BB3X5dap+sleLqtEBHpgXLgfdkgeIqJE4vib2yat8zmabnGlk9GIvSSzO9ot 5x0Yt+cdPGUjTy50fr0OWdVtapNfFFm2qmoMzNQMXbjj+ADDmzjh4231Livz2bJkESkDkaZfNKup 7YGfpEzx7sPMPDg8/DSBQSnBtBdfzDTOarOm1kPjfOBmWdmWeSkIT5eQBW3WADBlasAMBfRcdI2h Acz99/eFuSycPlGhfCmJKoiIujLjlzEO5+lrB1YMbGxUa7v8uSGalzIvbR9dVMJ3JdYMeYu4qrvj gBPamfprN0vEey59QoJrT5QTU5w05dnVDY1Hfv25aK8BL3amGq6f0dSAeKLcVwrqAA6pQIPu+cpB /H+yLtnK5zYOlWOYd7QTbExE+7wqCSLqT6GlfWkJdO/AO56apDb+OgBJnVdCN+/dSp4GJjN+791l IsvQ6b3yD4winOG2D/McbwbLepSYMsQkIaDCMk3Bly/ZMy0EHNEWb+O63TepcZl075wHGnKu7Cub jrWSLfvzvN30YT8bU8Lp2bu2MeXy10kMPrpHElKOd6zdOQwFrnELoL85VA08VstBfGJkTowdi1UY UZIyqw6Qi0u3tePm4NXW5wCk+0oG5e2uPt55ow3ZuWbZf75+qSbOOqmSnS6uX4yS2IUL+XJzvatO kwLniXuWGSzW3RI1aNRDtQjtB66A5e4x6Afd9rq5aJ0QTdWEc1bmiw2Cw7R9agTsgqrTwbppM+pa b3x4UxBOPCE+/w+lGNoiSpvJdNASMzHRQ82w1QuDm0TYbVAuB4B2XKG850h7PaXWaHxrY5xVFyoI D+aukn2SB0xL9c7uIgNEfagIeR85jqYYio+jv0iALmLwRmpy/X0SeXmg7W5UFTQgYDjtyBa6RsR3 FhXmT5/sr9yZZkCUqGiKBjNTQv8fBkHMRb66SnWlbGd+1E3TeNuOPRxVOKdZFiHeSo6y8lvgcby1 +7Uv3I8lDWNrhdlkNGUd9fXmjU9VQfT373izx9Ny8EBpvWumeFh5PiljuBbhfX+KJ8qEuVlo2q/+ oZeO3LKNjlOPnfL7yYAKwEkgoM/yBI/5dotax/nvuHUavvEyVyaQz6hyPmyjPgAd0JB5n/5SyR4T kXWrbQFQaO2psa5C25cJ+ihpAFQpbtQ683tQ6h1TGIDbsObMOkYAMbD2q7gIkHdQ7iEB4TNA8Ygv PJu7X2FWClUY03gjt0hsPYyYSaI3TXW8Qk8sk97W3pBrJknd6g/N8vyQSdFu/9Kv6L8k+sxxHlwe XSukOmeYSRL6joMvPjmhecj8+xd3CBjeV/9/K0lZuiHZZ7pX/zGXG0xWWmiItNxAuClOj0KSDXG2 yVf6WFirzAjwzl/NWVttfzt5KY2Uyat7Ie486Ksd2Lm1GBf79hdJB5rv5Bf68zBFW7Gei1KTuqq5 e2aYhSiCwwoeWKnxpE96a7TBlTdlYQNkjZpC0odQxmyBcV6UTt8IbeutieZ/4zCCvzpxL2u6jkRi tVN4LqUoS2XcsLDsyZw2agxCIMXyF0cSFVxPUTZ9OHzu1jQuD2qRBFPYs/EQqP+QRBIqNYbVG4yX tMji61maE+yuRWSUVbaHT36FExCDqHuAtkNvfHocBV6aMLBWgSUrMAwSD3TaXJEhrkXysuSSU50M 7ut1gNN0hMB9ZwUCJPY7UzPZqqOyky4aDUjMEaDgcam5/VSQCR+f/hlBHiCXrgue2QVzUz3hN729 3UcyUJ7IiMCoa6fl6T73JDWg4zVZvrdr8EaEkT/w6tg4XAGMSY88K+i7GRO/TCLipgwev6PsklYr Yy7YBVmk8tPMyNsJucgIZ1Wpnl1z/J21oU+qUCRMTJWfawuf7ObkwPmgZ/jECAKbJysF8xl96GpD xZmWxZZtYM6OH3G8KB0KXJNm/19MAHSYjvyH8zoFHTDVG6MYwm5xC09RcWjrbTKHdFsZB9tGzDWG 1A+Iz8AI4X3d7ZYse7Yug2MvuCnUnmdW2hdDFg2z+i6MZC9jZ2HzI8ZeyJMfZJ9ztOJFsWuJVb/t A0PgS7MU1E+PwnPrKZzACmrncWNuopUQs/plpwSFKYgSKaDBgzbH0TK/zHBTBNgkPgPdgaTAbINC zhRhtv75QvWhiqJdlG5WsecJMb+q0UX7UqVffYIHHIEn/tK1N/+F5vCvgv7iz2cWiuRsJkpSazWr aG1jJHc382/SHpNvXErboIKYKIkjRf8GQbotUFkFh2XZved93IX+62l8WM7MU8XLE86Xo59pMunn vWYvCRLQsKAV5u5aPtN4Bgo+LUqMr8zADl1y7LjxaJAenavb5bIhKy4mk76pOMmnq5XBRvUN9Z7S TFxXgUN4BR8LQM/jFEjofQgS0ZltRnYSxDZjuI9D75XvrvBJps3OFzbffohNJsiM6ROJMzLroUuQ LFwegvCh2lBubh5WeeiZaA07p/an2tI0WVNY6NJawisjqKkXtvnngwZaT280JDmj56bYXGXKgggv ULnjRJk6HFIw4Yu1jLdy7PkRqmUgz7IIThvYCqVfBR04NOR0w+umc5HjMyOWte2KCFcGSIYcg3Wh dl/5tkbC2T+SQvBxXv1zZ4yhcDwMdkiG8XuXFo4vvKdInsAe0Z+VdD6MayjzeM49URr7S8THA937 2iTY0KSz8TUPPyCQzuHAXjge5EWOtz77MuOynT11k1kmUoFVIgnaZma2piuJV82EYHO0JJijHx5E ufAicE7e3KR1J52Qs0QlZc6yTv6D1U4V9TAelSkcgNkOPzmC8Ke10nkgGIdrtbw1OwNoocuEcscg 2HD+QDgmqU/53ZK41p1h1UGOlAOvCap2SsMPor2A1xQCfgNKLO9xGK0HOiTvH/T+IsX8/4dlLEeN ghZwsgCF46WNP0yXowHl8G3NxxPCTUR1brotz/fGM1eY7C6EkfziU9gM75hi02U/K/H/z3zcWOa/ kfwjriWTtqF4bBlRDQJxhkx71ZsRQDUKZKPWEVgiUrY6JuHMX6OL593aellL9q+0eyH8+UKQbpeb 9v4/aExdK3N3BNngMgpGuwPqUzmgbYZENrhxqcTIj193cM39bffN3AgmV9MNmMJDHSRHWmt+OTeU 7f+/U/PuAh4fJnk4f9t5ripZTMAhL/iyugJXjdCFFwEpRbOZB7S1m1GM1ecqpAMZfDJ5xvwCnpjc WFEAF3tXSb9ClfuibdX1YY/+wOYsLw3XbR/zYaGz4NYsnVwBNE0BBn+OylVsTewEAZ6tbAKaN0BU XUQ1M3cBCzo4rJ795Mx3UEfse5vM2w4hL7+FYjR0vPNmND0mOofxYraQTbCxMBiUEKIEil6dvcEn sglFYLN25/mzQLKg/Mbm1VXFxNVXoqZ176Us3IgKc8RNMFSRDS6YrHWhMdt1RUGZVXj6OAaAmtJJ XvbRagPYy1iBQrDFjdgXSEvRkIoC3Pp3IT7CrW4ZTsKUY8M/7uFDr7E7X/C0e/0aG3KGcDVh75qY Qy9A0RRSpgOmzNT/PfkVQsiE7Fn4KKeU+pZqG4cepr0G4JPIayLVW94OrXxdCNdZLLcw46qHSy+L azlFk+MX2g14En+dtUtXS9+qdVAW71k68Ez/9BAyZnzXxFFF1949xq25qJsON0ya6FgESZPTivaq MHbh241YThD1sIv/609D2uo/R/GvV19ryCm5AMq5Sy92ZV9CRLM4rXcSV7LWmPXpExH+btQYyfgg LKvEA8/nihj1kBR6YW7eCx3dKk9BOVZBmx4Xdb/xOoVrYD9nnImdDfivs+ZFtzLaAQoSCAWMTYqI yJf5m2/ardxcJe/eJyzvWt4QGOv+gnw/bQ3YYDMb6tJChyvua5LPAra/9ZsZHqKtdDGc6bq8RYW4 gNsjfpzqdM5CdSo51vDNDTnm/29oG1eqb93qJrVu/bTEhnqvlWem4tDhr+OUbCFK00HTGZ7LrYqP SaPH/dbQ3vFaB3+Jn3XK9HGumDNKUD5C8oGkKTln9UcADglBbQb3DtydiBl9TkPWwTMiK4ErcpBd /SmRgfFIhEpxF0M+UKHd0xXtmgeeXjvoy3dFxKsS4gy4Z55ArWnEkK5H1T2qG691bpEzFGWiKEs/ ZJqzETsnO6h+/L+bBDVoKw9sfvz9U2doGZjk5O2ZKxGt4aoEvEXOyWprs003WSG9p70QnjJsmSel YNZ7y53+q3+KZlaYvUsOr1F33/NxNrymrsrqWmUoVIigZd0lgYOnU1Ss99W4XzLAFC98tAgqc/WE uZodjbOKuBYc5nvnmGC9ab5IpIcB0Lpp7QPTyu+Q3b3Sn2kWMaECG5JkeU7G7ftXL2rm0thH1I9W GifqLMNJJpSF+fb0BbdVDEUCT55Pvo6xcch2WK9CebyrkU1Aw5O0VrB84BrHU3uyL/Rs9XCsZj7k OR7054YgEICZJI1L26eDSl2EXWQ4GfY/QVvt24I68pT6HDS29ox846jG7hE1bTBXGIwD9kp9OLpC knxhdxhckW0nFYTrSghrbyKRGoa7BtoWHPvdjfCO/IoIjhTQYwo291p4/fG6lQjkgDHt7oIdc/WR +qCawxpmy8y5kU5AzqeU/hiwzYCdH5mRZX1yksKnWeg3x0k+pyfTrAf10rXNqt9LBdBeP7/279+i xLrwsirfedDGjp/HPi0SJL/Fqvg7xuhOhH2K0NMarG2IIZc6Sh2nUP4jVyOfrp/bSXN/XH2oZJYF lp65KcEhfb+upwpvDj0ntdBrOFynOPrmmela7kA0MRM6lJs4sm5wNAucc7hBRMIpNP/xJipRXykO iO8xxZDrBhKPrWd9qAUmSF3q0X8QX970EVS8nWLwJPjjXJEzAzvM/FQPHevuPVDIHPLf9lwZx6Lu T9Aia/SRB7nOiiGG4YakWeFyoG9JwzvKfflSEej3wCsIVamj/UB62+Bh38hxFg8bilZnfgyuFVef qnOb12BMMNUYPZVEI7HDYkqMo8UPrnbPgt5PgC0sLvkbscFis5zgOHIsNEivvZejzXR6Cd+xjr9G FTR3E7ulaf4MNHBCuvrXh4qcZnT+oieutnymeYB8El8Fe7cdVBAVjwpH1hVVAFwZ2hIaVADOGJrU fNaTIcriZR+0ZCMkSDZ+ttJKYIS1DiRI14Q7y9EX9NgVyJ7x6RlmVnLZCeBE7BRzNcWgdvrqj9SV OmWCFZ03hqedSpPatjdrGHs6ExbWIsL4Ahgvt6a50iSBNv5IEIhIZZxE3U5Vwk7Odw/NQhR7UOHb RknPwRomrz3vmupa6Mapv3mprzKYyT30+SGXR4WzPU5d2+w9dt1SsmolcDhyDYTPgO0Nq0/h+RuR P1nwcRhvobVFJ2lqPDxOtXJFDiYRyoMHMUmBxl7yBNo8LVxiNVQFfKkIA+A468o/351xSnJL9jTj Q0iqk0T6hkfCctAlJhmhayHoTcyXQld9lgwEx6BDXA4r7XqqUVe38Df4ZbygKR4g5SFbMVzsib1g dGJLQhnsKH3hJa8u6fQ0nUDc1c8aKcPqTSQDwvOzCU9MTcLmthMZMPZ0Gko+Q0btElDMK8MXHBrN 2HDMLG9CyjSpRoW5r5cX44qJ9voXvKdVX1qGZwXoic4wlpukiRmkdgoIBU/S0LPHdx3tJD5biC5a TcpyeM27BbeyrD1lkcXE2AmatGPkL8jt6QpCr44GQFkuDr5OcEkEb+wyG2Qe2GwefyHsaGgG+MQW jMn3022AO3TG5tzNDR2BqFCgW8QKfcQxMrtnKtuyPtQWFQOuYSu3d/irCdeyNV4EpaIc+CQBcznR xjkiNWjL/P0Bn9YnQMis8z8EMnOfmn5iCllnE8bXgJtMGmkCVK057tj2jmIE+tHeajITp4mpN0XC tia5nm4uS+VS/fzvUYGeQXgD3mh2l8cbX5rh4RZM+KRQ97cP8ol+HsyzyKmCA3a8QuLLLi90xn8v O+CSUX+FIVrRKrj3toO7ZAiBJLTVBjCzHqt+1buhWWiOawjlWRSrul5mlAnpkvBn6NwqZyBzV1TD AZ6Lg9j5Hog2wDInWM7n1PacaC35rTw3jSGuUOrbuHPqbuD+TJc7kqf1tMTqP39BeZzk2YkCXWH3 eHDYZ2CmfhwYvzU3hm7zMoSggqCikbKqSIxebjSHhS9zoT+HwAXAK5QJVfiSelnBMOPD7rc3TZ/m oA8mXPDIhBIlxxiPAAClGfqJSPhlW80m082hPtJrsGjjsA9FSI24MoPvVZp0ajrVnuwTKvQkiciH nNOtl0s6Zkh57+oQ4qIlXNyeR+mwgogHTtU2xSPHGuviM2J5RbvBSOvsrA+V0wyQcMaCdJReIhf8 FrNBxHfE210w7LT3itxD6qpYbTmz1t+TeWvfvH/tyU5NoxS7Cs+aMaxFzHmGtE/X6JQgNzZ6jPgA kuvC2v46ixVp8kMxcyccjErjkvDBq2A/DW4zDhRq9IAFv47DxmIa69qc+so3jofjaQkR6yPqjr3n FD7UtG7dUSgK2ViKnq7rDAk3AdVtAVJHXpkY4bgSQEkvq/TTrxirWTXHZ4e/vUcrwXsycDQEyWXF jnnKF9WknT1a1/fa1bzTy2Dr0288COK5OVnLengI+HD4oQp0WsXpRDOeBESeKyR5bRQuEfakjpPO uhQr1v0B9nM65i5y84oSqpz6HHzb7TsuV1dSH4+02385vY75RrW5EPugBRHkhxy9YquLeqdfX2aM zBFehLshf/tveZ2BwbHSf4u88AzlsI6a1fT4u5s4QKexk22YooheS+LA22eYNZPI5XjrK69TC2xx FZWPGK7PPAm/eitpi5oQxBGhSbugh9NJoeB+uvzFxNoo45S5r7B48AmMzDWYVr3YZ0rN8rDsgyYs QcZ7i8XNLs3FC/nKrXbP6wj0q5Z8FLQbQU9pSVGRyH/UXZEEBboLFE55nd2Hf8pp8FeuaK7CAQmH cXO/oSWWG5bFWwPuG8NlnlGIURZ4Gp9EZXZi4oQI7wVH1jBIe9wblrfkpNAi7BBgzEGfFH/wnw1j KTkCjq+l4c8Flq2bLH/QFWTJGe71srTzzuvmPxROfz/mU1iIGCrvs7NejW2bttuEe2fHR6Pt7LYz sQlsvx+uBhXHFLSQdB1FiHJhg6XP50pipt3yYGW2bp5rcXhmeP74z7r9AyqBw5Jb4Ui3zZgK9kjr Eh9VVdg5b1QxbiIoFRPT9DWWoPXgHYc+nre+JyZ10Gc5IrCIvD/FL4DSa3cgKRR1GKVvgvTgPTeW 0hqmY+j1ohrQ29xDPKMYBIde0AQd2b0diCSkQVGmS9cyO6XGJY7T2xIUHwmu38O67RdQfhuPjbvD AkERwjUBWUkQyJjaWqk1EMc9lliBMYFcwDr4pla78SsRQYlGEn7EWOfiNgnAHTaL71XF6vcuNmqR ubsHv9hDriw+UeuCb1xje/Z+khrng2veQE6WkX7DAsK0eDVjix3I7iUwaJ55/HuXDlQb+6xHqCqA OCqQTRA6/EWUmLgcWhziH6uwt+g3EAS1clWilhplsRBQuGUA7jAFmxZHFUUtfkWAkJzi2w9W2Iv6 uf/QTWwFYs+INTLUsPiebwD4Fo4rtwoSd7W2zpQsOef68RtJ6X0ulUbTlJLG7wb7I4bP8AKTpWqE ngzHpctWLFXza9T94LlfJnVRPScvdYnezq6QUyR/kIsWNs/fsCIMO5TWU9fhJTWPQYGtDJ41cyrQ BzkU3FFb/tD5iT2DecMS7iu3Y1JWbE+uvbvPx73gCzI+Cg6YNgoAH0LvLfHgEV0wj9YX+v/+RgT+ Ff8YMv4lZ2o5rWR/hp1lkAoiTXfJmiZS24tUlvYNAaYYK777iU5654QJyv67NKeo7CJ4Oogjazjn 3xfLXz+2IexZPqkJ9tijOcicCoA0MSNjiC2Fo+h7gdbrX1BtA4V5ktC4xcNtum0al1GWoixvRNUQ qhEfijEK2UNMc7uX9UqJInFwzrFyLGRc6C0nhRTdARxKdQrPubDuJWnseQBCD071s80N9vgTBFtp y4uaclOgD9qbw665HP96EWVrZ9Gm5ZUqhBaSmDlt0fnhcD/6emCy4G/gorFPPIaC9/mYH6qKob5x laFdc5spSywMq2MynuKY7g/dhVxoNYKV/570sFu9odvc/Y4pO1tgTJUDJuKv2GQKH4ayQCs6UL8B xIsXgKu6Saj0U0C77YjnMD31Ef0tLwfBoi5uhAgK79h0Rk0OTIv7bqTR1Vjtcfdv/bf8SFZho6fq AQ1cG7r27wFDO2zv952ulRPvgXAPo1tuSnG7fn9YFzJqRJ0GC3QzxUuK6LqFHHgfMkfkcQSJfTf6 +LCtuB5p3ePJSqVOLnCaBvesw3Ee2JhXumhGUJ3o24gKS1xgNQlsBKxyQaSpl4BHM07czNq5xqoP 0Ieb2w6Z9CoZ5hVAZhGw13IarXgpf8zLz7xrDzpoCHVXYddfRSqRm2V8ExUFo6o7vb2rd5QRysF8 OExhI8LqpwAO/eG8jXSfq4RbPJ7WqS2vcX5sTysmY6rFpmmYehWV9EcTAEyd7DBTcv17En1vgqEP 9boJ4bEEVKm8xkv+q08voZoy9eRIzF+71tfCh/CYJO0esF10WSbgN+ynqd2iZpiVqa+D19TvaU3t OGoUrbZm2mqsDPaOz0rh4E9sBEdmVHQlMQkhxyzDmOmK2e4fCWbMlYFupErQE/SeKIhwyqfA11Vi ajOU3R9uT/MQfPNy4iJ/STzkBccs66s916ZYuL8Fw9X5HHq2Da3hdIi+9RJtXg8WGmJrkj/hhlR+ yQV+8D3+OxRgIVXAoM1KpDTMg8pEeNEaIFmIXYNfCJg90HxYlVshS314/f76O1T9WZ/2Gqi6oXmv oSyXw9WGt2vmUDA4AeKpWFobIbZq8dof2dMaCmp/pCxcuRN5PIWA/CGjTbroX9ho0W9THwvHM/Bl VDruXrZlVRgXmHwQPrIS4d4Rb/jLplZs+P/o1V9/99Gfu0w9EQXNtj2vzbGORYC4MU0W3ZQp5yJY HNtPlSzsMx8NYS/dLslLkxwpkRjTgHusy4RQw8J1Xj7sgDiCIQg9xXc6Pxqhf8itGDfPPTwJm2p2 +jfEjx3hCncsM7tK0W9pZkkvlhBeDSZzgFoF1+R0F2Pdk1EarIksunpym+GODsqf1UnICbgqt8Pl FAy8Ywqa9AGh99ddMmPEjQJAYgo0WyIhrbeVg5lTojNk1iaSeATbfXE2gcEmLJjuPZQXzZvCT5z3 zd+Fbcn20S6wP9RDavQeb6dW1G2/NChDhE4qH8bVwMwI8xDZL+7nL6xxrR4VxldQiS1/0yN9nkvE 064Un5/atHLHY/26GCOadQ1EXg2lEZmWJ7In3PB9bV0JCONsJpZDN6MHsqZVzHv1V7/cSSaCpQ0O 9PpYRzu0oi5mDbywhhMoG+fCLbj9ky/yORO9M+OlP3tkST1UgGTvnSu79rKZTZh3GqFoGfsDbegY VceVLxH6UFnKfOjY+JeW3TC4VfG78rowuho8z+hYvVGAoxfUeTaS19m2j4r1CqJE9Mpc7O7O8e4g aUezQKloRnhyGQorpZlxcS9PTmKSRk+HKLUGH9W9Gtb416sXy4MIljoLQYXLi+ZiREpmgjPuQKy7 Y+fODkKHo2GdEslS6s7USf6RsSZlyOCkwGeI3fmKdA4sXwUKWR+Koyk4zEh6gyPgFYh/CXas1y4E VRt8hh837SfP7JyYE/EsxptZGQRbP0aDTNdFkPGGVdLRMbY7L7G6v9OLIOsP5I+m8U+OTVMTSfJP 1voSvc5tqmhjgRRmemgHkyNv5wINyLbWn4A0yhUrDAWiN+K7JxGOpgnBDVod+rdixmlPB/NH2pON LS3IEK9gc+6iYjsCcBFxXqK+Yns/ypZYK5B77Ew6B+z/UzceGYRU7jdhtzQj3TsukcKV9TWopBUA wY/uERLQDX1THJt4fAtsbNvJEnKck2hJ/Erq/ocivOLNuysZmU8EphQJB9iWgmMRgIVz8IyWNsan 4n68yj8kddS6KBTo1Q769jN63jZlpigp8Z/4bnRxxl96OHuBzow4r9VK0WhjFZVZvOTaMlwuimg6 6yUVP5esru2sO9TYiH/J3zIIDQUZfWo5mS7UKOpnzYwBt9iyNkfS75QD3Y2MF4qp43QCDi25BVyU Z/4Exbc9iZnyvn2mwn8tlPZWVwdEghflWpSGB5LFyS3IpwJoFYW7vW8dr3qDOE8F/BCPdAUhYFWF 4Qgr9IPnbZ45/JZA+nRKF9lgTq+H9gkAzE/E3uzNm7ox9E0RwyQ1eYSpExXHXWQzcWjmzFvQq+GY GkFN98qjOhj2NpQee/cMY2Xf+RsLS13AjI+vsXuPzgNmoWRLoYequdMYXyWgv5jBt5UtXNtL/2DX ZEgUKuKnvII0i4vUVMBGICf6RlyST0njDTUUIt33Tdq2ob8kxdtD+C75v7h+ccg0gyTuV2MNlAJw +VkhpEwSXlc2JaS49Dzn7eRBESRo/flzthRHiRIc0Q9lfvR56hfwo1COgtt9ms1/Fb0cxdie6Ibe ZM1/n9wAW3tBzir32JQ+MMtMFqAdKC4qfvs/kdb4Uk5vCrtjoWr4re/dIJ0mn9M/1EdwdsBWQTxM oz/g6Hi68XFWXSYT0B5RD/BucgQson10BMhdkwWOp6loHks0dTpOFxdAs66nkeraoyZf+mCQFjkW g69c6cCmhr9EXjkRb/fYaAV+/tpWat2lxp0UOJhp4ZDrn1QYXIqrrSzWjs2vTe6wmQvquTWz5DLw DsGS6CdZLFSAjGf9kmXcqmHrbnfszjambyf/jwh/gTyuGnIizdxDoW/uazFL3dQfL+f5r3/z0iXN pUrDpIWQbvbdWguwZBUB7r6bQVmOnU1GYDBSJ06fYHn0KLSYJAM36Gp8xXnbK+9GQ5oyp0uNyVRS dqFZeGgKO1dZyxxONVg7p6xThsRRrFTm0ozbQXtCtA3+PvHvMI0tqg/4lJ5U9Aj51g14YT2AzANd zr6xf+hledvqew8XP7wKrC4qbCAc6E6Cc6n3L1Y/6XLY62zaWlP8jZGgrlSRBoSYAuX9YgdtAUNK grI9N/AYEFzVdnj3Eux5FTEEkp66aUT3q5dT3qohcUQXL0+hHmET42+R4aiIxisFzZZVXJvlgo9a b0iTc36hMo0tI5g0CdOx2rpkJzBnjr7mlm0u9NYRcBjxNd9P7c5DZCOtLMEQpyTV5OouNQN6RlQ+ gs5WKcjaRXXgFQbmopqDXMRlk1BTK4lipFhRDvFXk3lA8nJKD/062sX3DsVaTgpzmkySzzmF77L5 YbysFDNaRunBfXvn7v0J2chsjQdOP8mSHKD7EGSkJ5HrTojqaQXTZjTzcjMMRZWdaGxGK72B9K3t 2lekOpV1RDapVa/4RReQCEPrC36a0U/++TStYZ5kGHpGG0LWcPi7ZecUND3AKfDvP0cgihn/ZPpE jkbHp4LiIzQ6gCAzpdhmGLGh1pJ08AT8SQ+3jMkV6gZuETz3NwJcYO1JGu/ayTOl5GHB4PG0JqfJ D6cD0aeN/x/AxDew4rmKxpZWcslxrfA4y8rp4iMOVEorsZF4fM+BFZf92MZ4Se8oVKp63LZbZnmv Vh/Yt3uCVXudnJruh/BAtAqdPWzxRpB2H8JN8U4UVdeknNpPNdWjDd1Gs8b/u6cVAqk0J4/7c85i DLe/fq7KbzayVdU+fAOfNfPZeFRKXxNiqLT0hkGELYxbAAdO7p5YO1NtP8diMY5R2J6bVpYWVZE2 eclWRIwPlUEfzFPnbIiaOVltzCw3KQ8ddkk4sBbnZVJlxQbqrdJ5Zg5G7D3iWnxLhmua2nR0Z7jV c+sxz+jbXvLxASI4IURig6mvfZ+BHn5Y1xe5zXeEGkVfGv5PODrKVha3R42eRh3072hapDQX8rFk HJP85Dpdv48T+W/XuYstAUfKf2rw13fekTsksjC5S5xsqK4oP/+BzSIQPXNlS0y0C1rlL08vqHJl v7EhAzsKApDRSkzkW4Ei4OZYY+S1aCuRP507xb7a9m89FrvrEFcbZB9Wwvp8NteFhuWFiM/nFrGa yMCPcVKfjcDJF1RIiTquHvtJgCeMTfap4Q0L4vXaUaU7URnb9zfStFghg1khY7NYCidkqUZYEB9o P2Y7UEFKhY197Pi7Nme9co2rV/EzNfRuYKoPxdO5n8wjPZ5sR1LRtRIu6MA0TudEM9Sa9b7JvZYK h2Kc4u6BYr7K8LcSi2blSfS9OZY++bzLVq0q6+MTizg2skgZdPsOrUmPEmgQ+csz0XFsDjnsqXZU UZ2saqxB/3MxRXa0TWNkIYbHrSL05eUd8JyCgyR/zdGF5m4oQ2jkcVzdu8Qw/tDQZtHvz6921yFy 8A2ME9rYqkiYKbAxJb1s0M4BtimgOly/0cV0rIVOlYMcy4htMLj/UDPn2NPkK0tz5BZUcYrjUe0q UxcYsNQeEvz3/IH6qyHq8h7n9mrMRt4h5An3NAJLaZ/lsdmo66iBHI/wrqmezw0f+FuP3tGyO4is XAfDZehPh/7wFRZKQApgxXRnpsIOI/mG17Kb2jcNe88N2n7sdG9qaPpTx0+MdznMq8+a4NYn9FQh Fj9d1Q3UekDVybb37vtqSb9Dozh5PZJxo1gTxAhYTCXm4iZnziqon/dM8LpeGbaodq0D4jy1CKkA +iguLysePG4aWTkuxlcdhdWWjH7wGVDTAUYTfGv5j5h/OQIKw+rwnwjE+m/GCA2WIcC7Q3aD+T1s Pzkd2Xs2glVfM7GV0eKCaP8ToHG/3THIzCq2r7WVP6CMbIYiNXWmAgxoTaSmu5cuzbtwpGknrtkM WKPlvBZheauCZXXqqihkNd5xhNpOmQX7Jngjp3Na1F0beNCCWahn8xfVb0ngZWw0Z2Eb0d/dCB2c nNXSTRMahXXpfJ5LdwQhkE4XDXv6b7a8cYHy93HxlmV/2jk57dyDG3x6eP8lnrH7wl+zm3KVG/Sg QwDag6iHjasO1T/8EUI9FxU9eJv/uJ0OqflWzenMaqJD2up3tHVYsHdtwrw1PHbsN6MdnG/mFUsv fzBKv0nf+K+59L5poWRLF+BvYt1RiIYfJrFdklIFb9mppoxzfcF0w4D7/xc9v7GZAFuM7/X8RhfH QN39hutR4gp8N9ChPFC8foobhD0C5bkw5+ntJdvwl4CBIcS0qGIT39j7NZZj9iZHygYrjfp/p8T0 KPKBJe8AN9SQIcoZoWEQWWRzc7xrnwTEbub7UgrLbkrVQr56NCgKHuPoXz5hn/nTW6pWpZcKQkFo 35+vptYwKvOhusplmHTHloJ3xQfTaDaVA5GrqSfXH+m8eJW/UJDKgJbZGvWaOlE7hCgBB4L3dXf9 CE0wTJOSzItOI3BZSGypiIjQ+raA3QnWIDStKWAjZLdX7zU5dMEM3rlRpxJDhgQoQK0AU7wDasPj fb8bG6RG5D+56ju6mTiVGdft9zR7AodR0oWylmzAUNFf8eCshqAYrcxI1Ab2/bQpdAEySzQncqYG oC1frFYwerM5OeIvCI3MXpdW3SbubuzzOU+YotCmqq0TYRxoxWHNeOZt/QqiD+077Vj4QfD/8w4f QtRRovBivF6vobg5q6kodj9dQXwwmbCYolO1T975niRonaPn2IisuDw8pTk3OoF5DqET6tUQxyBk 9ztmYb5ZUWDrUs5it3YkPfDvrfr0T3dEIVrJRxQ4o1PzRhcKbAAcgSqIYxe9MNvpqUjucIZCak7L LrXJVogBBM1z2tBVoZvdQoIC1j4TVLNBbsIguftQWEzC035QIaAL1yq2he7y6e4YxIvziziVhrkl 8ymHuDAgRSLYGLaq6SDeEzpKRsrlaEk9O0m89BTW0ZaAvHY8Bi1y1ZCAVp5ZIGxJEqzlIZYZZmqs Cl1nUESdiG2EL3+yExEMHkUreLWrrVfRG3IMAteLX4F7veas7Q9DtNEYYkR658x9mGSgUU+fbQ82 FpWQ5qr5lYmuPgbhSqQOuL/6MPogdk3DUEKOZU/9Y3grCoRaEkly6ipVzzF1+m8vg5HhOngAsmm8 ptTEu1/LKcoygtJ+GSnQZOIsRyLe0qIVPjsgoiPAkRrF6EQjVGmwPq0NjbL7vXVbU/jPIon07whm N9M/6EoVgjuEp5mMgeMdPgcU4/QI/ksveeyI1m22VNM+6vV+aA/segRCreC7PZzssLusdyHIjYnt Zma0i/g+aPW4W4y3zAgoLrmuGn9Ptd4gWIS05zUd3dUQ9KLFYLjnJmRQtRmWd0kRFB5rsXl+CLCa tqAZ4ibBMkds0C6QskyiFlJvxeo478L1vZvlepkBdriyQZThGr23P4WGNVFdG5F9N0Kl/eKL0x2H KQ6LytLKzXUbjPvQ6/49P/TSSI9ItwLaUXP11uInt/Dl1iqlkIGVY37Tb9XxldMROWp0ua8nnpR8 MHTBxWtjrDhFy1ZofWV56p0i1NY6Qnkn50VtmE6QhB6joI88/tA4ch8yBb/m7pKDc7sMTmSCl41w 3KbwgTBZVRZA4zlQYYkXaali8C4nMgBcn/ZwVkLMS5+c94dDeLkuH/OQF9v7D1FlpVR/3/qAGd45 48kBtX1MqUJm4VyDy9LPKFb2e0Ob5eTKS1fbPyP4IermjHFZXIb/WQmjprzyBds49g5TjEi05Uah DEUhne2qlMNSLK7zMb1wrJt5sZ9w02VgJDUwfKpL0bdXc8igd9pXQaK6TiGmc5INTVB94B/+FPj8 4aI2d6ed/3p+3WjW3q4yWRRwnMMK4vtNmRO91XqCeNy7M3Z7vSMUsdbTf5mhvWVmufaFLxp6XcUE 6rh93wDkzy+sP57qETM1d/+m8UALpdWf312MA+vdvkq1Dsox8KGqnGqafCbprLHBkWWUrWx21+av MJR6m3lPxS24hg15axv6FEZTFFnwEYr7arrBxWxseKFd02/haOyZMjjgs84w69ggqoMmpvMD77xh Av2qU2E5RUTCrDFNoxoF7N7ZbXliDT6YOl5UdpbH3QCxjzkEAyl7nxGXDF63tQOYbuLCCWcL9dIt CD7gkHNuxB2+jHqhCH/tBpeUCa3dYvXjXDf+WL4bs6DP9pJbLTdqe+mjSvmmMBm8NL/8NbpoJbwa GsGqkbcLps/p4GMAKRRPKYvLj7sT/VyVwgBVOawjQmn63Vep7FgM9vH7N7oUyZXsD/QlmtY9LCkx cxcI5pyw13yW1+G9FcLwJ729avnet8/PSPr7Aj/qkKIYfVRTiEwVEFGxK62MRXOiU6i1x1EhKdbE yzA4ctzborS2mLCSDdeSXZ5IBFh5tHzuPbdAlx1NEvrruZRetqXGbsEMpD7kINABa8KjFDV7/q8O mKQ7V9BmpIc7pCgar6DPCbn7ffuVxSxCw+hYtYbLRrCAZSw7KEXWB02RLA1L6vuG1rDH9V2WhM5f L/yyA8RNU2cMiH8QUioaBc9rs4keCg8OYYUuWvJr7CnKnc4LK5iYe3veb6d5evLBehT8gcKD8j8o XzUiWnItY6QhtobXNUl+drlms0MHbuHaNkawGa4La7EilpqrXY01RUYkWDGnXVxNho4UUu1gWmVA suwOwN16Q2AGSdBW/kaEGiRK76cilxYxRrz9oPJeBrBtvS/gCVCME/UF/gtU6J9RtLM5QHUeLSbj N7iOFw1DV3QV/0ZIZrnD+9AfERhv74QixFMReJEhKWXfK9KnZKU/s4OIR43rgPjaN4gFkS53qJYU +ksxCoVFy6+ieafghqOXwGTtdLgt5Eaq7xPtZwS/kAIesvge/6oW2FyMDSFLz5wbWObFbOxFnUPH FMF2hW3Hf3ROqD8xdysF2cCvv0bF7MuWtdMZKmhr4p1h2GjDSI93FWa57MDH4wjgrCYgs2BZNggo UL8eWjtIhsMcyrA0hOCwCgDjVcmjOlePDWJVEz1Rq0d/GA2Nbj7wFQ72XQW4I4H0rhOspSkroOsk DWlttVVJZ6FhXsh+Zebjg9e52D41JRZ3umQ6Fk/lxmcOnr3TTWghQnD0a041qQBWZz370h7WatHx m2yEf8w/pljUJ2v8kDqvATRisAQGO5aYtwTWxdv/HAuOyylzYYzNoejhTu2K2ZY0r3/qa3recU+L GOLZUAY73o99/M1scBX4R0vCYx+gYU8tdflKxIGCySz0UINtLRhnVahx9E/Plmdwh9aj6YkG4qM1 LMhIuYGjLIIFY2LSc1+J8z2NW+Cj+JPUbHAMQcbQICPGA1xgrMhNb55lmw58pZpIED9DmPYMP5yW JBaTjd4OaCNi12k4scSqvlIWcn3aSKkHOgavI9Mxo0Hz+EJ50ihyrsY5XH65xNMS+kKlFl44PT9D X4XtyRU1JEUaudQvgc8nVMbuFQkD+iNS7XxQ4Evk9QTWjjxSWo0p+RT4vHchPkGZBWwBw6n12zfv 4nrz89+SFNoZ+6qG2M7zo1fqBabSWGKSTKYtRffz/6699z6S5296jZ9jDp2b9cGx7jesxFXLVD+I 52ux+vgydUKFiYN3n+Acoz1FVaxthrxjFSlTTNcdZVBV90l16EcfOJF+/9zHXIB5Hw3NieC9Q9sQ aqVwi54Q7V3ktGwdGKcWNFOAcWlPOyp8vokn1m2QDAY7wdEWwqF98j9/7hFtrmOP23j+sjNtcoZY vETnxTSAZ7JS54kZTdoMUNwAOqN8o7p1cEW5qULso2YaOzJGg43GGohkOYqrb1kn1bkmFfQVPzPr RMnKLaS92KxgBPWRH3Jd35+Q7a2GrkEQLWX2Nsz7jzQp5dSVKUvlW6DRqCyzpaa9jK1BobpcJ5MN bo5tCCqYeWj2Shzo6fTGm7q8lKS+pw1EehSYvqSKnc9WCbyBoCQ6prjqtd9E2mEu9UgxUmkfzCLe 6q0DbWnSFayBJCtUZDtE2KY++DFoRg83h2HvuECUsIDE33MzDs/05P6N+VQlAWNU1P/aGNpumEds R0+Kkj3urF0j+tOUeTNK/NwaCUAVafgsqFTLYWUo+srmq0qJHV65GXDERjfY6Rgs8w+pu8nn3FH2 +dkWNMFDCqHqfNa00b8qQ9h6QL4zNRr3y9lc7LOBJiBP2ZmK8PT7tsqpXVCgdeFdV/dAiguNlPFK 5CTIJ2EEiTJ9HRZPnlPFMJmPXr03fz9ZWBbBuOcGkZ0W544KRKMC9LarkU/CM0Zo60ExKJ+Nubrw h/85nNlUAYDk9AB2Uy5CWApDRrDXe2xTF32+cqdy34VrLV4Sps2Ic/XFsMHodt79Cvx+pu4PgRG+ YRo0wTdd2WX+IYnuYwJHY2UlhbX0JcsCjDuNKPa2ttPrH4vSJ6i3SJyWhllx6JE8Kpi/M4IpuT4F 5nFZZOTeqfqSCv5pgMHZUlN1waiERuNTLEqPt+E7zUsvSE+NZkfMjQ/XttlV68JFKUMsshOBkj+V zd4G2L0H6WcqTesGvog7073ztXltiLt+gfTJd0fOI9jjiCgl2JoUxEtb1z66c9oUBOaMGA4r30nD kREUdOMPttDUf9627HfI1p/QTd46rjRPNLd0XrBsg/r+kZp0HYGmPRn5IDe1Wu7xLqBX5HFNje5Z pFPtUK6xrCT2oSWEPmCyLJtTUAPXiGFZkJrbvwtZEEmli+15xdKEW1LYAGPwhddGaiWTql2mZRNq tnNLxiEYB9HWtiNw1C0wnwbOvFGKg0FVTVrY/kQDOydpxK0BYUVIGmze5XDGHTHt63IOjxgr60sY OYNcmVvTjnSvFdSw+quqTiKgSxqpWuAZ2IPrBZc8u9e0W6xe4V5psd/o+9uF1vJVf51NxYvOqfZB 8vT4QB3eun42Z8dDLUSDsCGj+Y7FQGMbLIkN16lvrcTAe2lL0nBfzJZZSO/DaV34YRsXb1G9XtfX +iK1jcevCXT0fBAXHLuK69q93JTBIA8go9SNKgv4r9ZT9w034hGjVVNrGqrpFI77oWymBAWV8Tt9 NZA6wdHKkAu0mEShkvGDY6WtMYqd/EWETCjmEHdDVxErDQ8MLuF9HMQpdRK8xtGCsERHTtDN1JSS RmLuMS4d2S4YhxYQajTLrJ5ZKfJkSlCzxqo3+ODRDO+c2QjSSqkfazZC0obnmUhBQcIeKdHyt4xR tV9rwzTBleB83UQQ076XkFikK2KtZc0Egm8FL3DFSTz4A6WT9oNMJn+J4Zm37m6N6QBNrY5/4xwo SuiqWU1JIqtnt7MtDeRaPvtyKk4ORrxOvh8JSV0nDXflN6Ug9s4Y2EzNgajroHuP6LmFVlzTgija UBjlpaijv8W7a4MTeaS2iuVr5FpPHt908hgRJKn+5l+oPk54VhDhpEaLY9KJei0ErT6t14B/iNhY Lk8qpE90zIPHTeV2AosdB3GIAK/8OHqp2pHsYzVaUxr1m27pVelVlvWuX5GmXLVAmLiBN8idXjS6 ESW7j28hU/bKrsM7lVUOOU0ThA+lX9nKQpyrI1jeKEWdec2OuR+iaINm+Emn/eFWX37Qut68kFZJ TXgXLMLQUaYfCYKuXuiFYGt4Pbk4XNzV4bKvuROtVFmgjBa6WYxadkbkiKq1qPPrEvAw4s1wMlgb ZGvzEtIGYzgOVGNxsjeYzB7OaO6rfn6i+f2daxJesjj/+WmGyvdCnyejDydS2z9/lyXfQhumUWQ6 +PaNkGMUFI6KEA+E8vlzbxcNmex+96DOcVIQyCpsKtia9K7Kg8sgJ9MDIUmNxN34mkP3MWPEAmhb n20OCR4sDlF5vuwAkftmgin/WXELYs7xXMNue/miAfBRR+vYGBrIcQ8j4atCzq9aWZh0RlFmoeLE R/RebiDzu/WFFnMgILg8BQ0tIKMeLFU41wJ56HEqTyCggePY5+Pl2cSUWWsGH9RIKmX6L1lAuOCH CRaEdKa5YHKeSp1lXbRt1FKBTMfQH+WjwLbOS4A63MkVtTU5xHwX/Y0O8lXXjDKXop/byQG8MrQv 0FxItM+293kt+ojacSEEA8fP+avAsAUVeQLWLCTSuqu3OXxtc7CvOUXNICsRZazvxRTYeqR50Fi/ ddNIzDUtQiYM+HiIzIGKThL3zfFQk8QOsVfXTTjWNJh8UvEeurvx8NVpyzVd1i5soKsQKABiigrP Kx11EqBiF8QsXQ9YtQYgs6X1VBXzuHaWuxW3B0+WjIVpDZ/9Ejs7rtV5CKOdcLf502fKFMUEgOH9 oQtkHnHNYtkJNCuUFqekz4g5UvsNyJpUvFHSmlp0ABjgG4okz+DRxocR+IShKGiUXMvw42CjidAK ttSsc0cH+KKh+awQeTrNwuvv+2FLd5YePROW8VZdgOnO7XE/EHlGnPGz1Su9C9f76NqJQT2R4wlU uh8wSiddUMQ5DeRGvSQ7BvJ0BAz6NAkDUsXGHnaU4ocvg9oH4gs4mKuWt+HvELydyFl/y8LC1/W7 /mYnqOvKNL8ce/fWVs1NtihmY9v2oXyzsPgVxfuK2x2tRHAZRfHvofJ2ewWcVGAJ/Bme4uXez9cv s1Jh/drwRyX9vem+OHQMWsXzXdakhV+YQ+bOjqKOdvB+3PXf3P5ITt3o5APbE+x7sa6OblNl6s0g i2zRin59YZ5vQVtt/p8Q6CpoAFtXCNkB5wHlmR/MD4F+avAg/YH3c/WquCuUjFirIsPAvP3fHCBs s/Q6ljYTwejjSchj2hIcpqQLfNJ6j5opuxnqDch6se2VkeZ5db1h4YtLOiJfadCRnJ4tESmqLDPA qIEIVz/E8gZm8IH4IE6Xu8IcK7sYRGdAqDNa3BP6su+qLOlw7g+Mqh8WvqciZmgoufK2nQT67QaR QarPcZC/8Xugd9UGW7kOi4lFanFgyj5lAxX8CSZNj7z0E+hHkU+AF4zKoPh9wduJjdiGypJ8zIbL 9CaiRoDCmgBqtRqlfG3cU60yb3Xl1FRc7FkZShEoZ7ih+o6OXjOq0jUv94CHv2awtsndgqXJqACk iareMO4WUko63PwMVgUoYrFKgkRZV7ohXAFwYw0EfqkQ1jCifZtwD75HvO0PgPxAVZ82USa0ZKSc 719E3J/prKg0ax1i8pULg8ASew2yefvlABfIJm+Nwf4zr4Xk9RjR0vGL4WxBjf9HmYF6dl0b3bCU XcsNlspXdqHoKWF2BU6ZaQ06qOCjW9y1QaPYMCGW/iUZqlwkGUPgC3d63Gk0lY+5UqYrRwtDB+Pg sA+nZOvrrD9K1yb2Ox3jcCatL3IUEWhYZfR4JgzGZWlkHEI7KhHeYmjPO5nwhWR8jRkdxF74I2Nq 2BQGBVdVCk7EKEq3iWfMEYiC0twDpnSFnGcJGsT9ttXePquXPG3mDQsdleN3qz/Q/dGSw5CmSez0 zVCe+YbgqbmwCeoYAbzMbMGSL8Ikzm3o5E53toB2jzAM4SHbLUOLoX/qHXwq3QN6/sk8wPWxykn2 o8u9zQF4EI/W3AGlZOO01NpYSAAX2xsGI5JdtnbPPX77U/TvTsjLcyaG9RvvEu5o68vKClojDNMA FzSYQuprLwfo+BNS9l/csecgoLMeYHeTGmD2AGluhds3EHpZac5cj0GsJfzzeB9f4EvGUKldbRoF jMlz1DK+xko8BVVuE2M0C2kvOSXqaRaQnFEWwfTbCvPhvBmDj3+ZT5MuhcCIBCSZacrON7sAkjB5 a9aNP2bQN8po4K1VNX4Lv++Mb1YnRT8q4A/5+BSLTBMfaOF4wK0LCvXO4k0mZlSQRrfZUveqStn5 RO13447aB5n+XuVnrIZDEC7HziizbCjpUYhpTleNf0ieu4hb4w3eGbQu6MpdcXb8Td6vU1mLtfys 2EK72OIsd/Szszurv7dF+2hVvz6QJ/4rvjUovQPvuKFza7Hv2epBLOnjCHlWFA4nTaNtbUC6UbLW pl9cVd92b9AjsN+d0gEas/CK1YN/D3gYg9fzMH6Bq2sezwWINGfpsQY3yMMuCLMDcX779ByGRUfN N61HlaFjkcE35brvx27os2+9HYy7Mt7IKLPt4byPLw8W4jdXfBT3xn1jFG3EQAVSdyoqV7fbsZMU ZoxLMZ9Outdmk8UZ0EbYIxVPliyJnw2CmkUl14iMTGGqtj3o+bo7VrRjhCg66nMBVU7L/7hdWMcC 08KM3fqh2lNSqYwR2ghrj1MAtpq3ZXZgdvNEYObAr5MNgRzF/Q8mR6G60RH7J7FBhLS++nF2eklb IGFf0LUwz27spVszi2ZhxS9i6U6ABU7ThpOq9Q9a9ubFpOGqicRr+AIy8R+ClijDuTn88/RAzhLM +cI3k/vBFpAmNZN5Df8pwJkmcY+M2nLE4AyoPLLpr02xpaIPWuG09RQwZasedezocwAgCsM0IdSq 2pzOe3Z1e1mDAFG1SYLJR73/SKwFn6gTyVM0aMdWemthUiMc2VniBFLV+nlMA6QAw8jahVl9PnyT IS3hM4gtH9zvjYAYjROlK28NHMc9VyukiFLXLvgE14iIJcBAx5Irr9ozUPo9CzjfupmNAwm3L9Cd XolYgj+GSzJjZ6eIqcOV0XWoF1VynpCJDNWHddR175lmVyI6+FpzKLXjOc3DrfeKxqt5vNYfzlMA SgLX0wiXusJe4aPDT5ZN15AHhrE1FU+HCAPChpFb/RceGr72vdqQzdTPYtUXByWnYemKLnMv7Dsy 8KuCQAjq04FFEcc0A3Q2ZLfwfquVjLve1WSAgFwhwNxo9FEQTC8groqFfJZunnbVwwUUQ/MzFgbh T80evjTaEFaNXQddNxq+DU1YtLp3Q6RowHn+HvqKa8qZ4exaHHfp/zqejzpU0OfzoXuyB41SNfLn xiwlXx6XCpn09nLbPii5M7uox4utKbIc/tH5Am0CyD0CeCFkQq/3QPFaVNa8Oe4xSFdKvnhtfARk mQJTqaIJlIgNefjpurYehs7hvy/8EzEED4aTfQyoBuglNIa4Odh4rvMwSSJ6UEkk1yE4bWTVIoHz aLiNw0uDdKsSUsPl4rYY92qW8zQXSBUKFDA6k9cLbVF2ctMMUSDncQRfG8Brshm5dUv6VWziPu1a UzUX6hOIooK+ZYNQrR/LDH8TfsTZAKlM7BSvhtX4cf6bYRTG5JTv/Hg/CD4swSPaCHw3VRltSeCF KhJG/+i+wVEMH3qB0DYEFnFEChgE+UizUxee5j/REOlM49waXq0jdz5foxTbFWamK4WeCJvwd32Y bFCvjT2d5iuu96JU8NLV/NPC+ohl3Q+8d9YJVO6eHDQw5DKHoVB4YQp1o6KWuhQPIDqnOxr75ZGf f8bg3fwP+sUr5e4YMr08WZYbY0KT6jqpkjvEs6YrjD/ruT8hyQNWikud42qwOKffBgqkWimWja4Y hejv9K7DDezE4Zu8stlwyiSGJ8wIh479RVPiH0Rd8ZGalJ0L9c6gwZ5vky6GjzLQLlvihvrcmhpL I9qwhzTLoPPUG4AsbZFAPYL8vX1hJERwraPlZX6Mgm6s7ETr82bTDUxIzzT05a1bnjs4QSNbOuFw RCLjJhvBMR4pvtEvWWiZAWCjvTC7h3PSZxdbIqgcML3nI3TZZtIpFu8vaBA+gcFfwDIUtfXW09QA l63TrInu1vOSm962jgHDobpP5cTmMv7qVN9tEtbZWCsPVev7lDtPeMBQXCxnJ+LcWu91yi1aMjKw 0vkCQS6O4oViDMcZ9S5r8OOY6HMr6gFXSmAaDhFlBDQnomHvt3u9dIhxVwCyhLJL6GcevleOJjqy PW6AXWgNmPjwXSx8CqMklGjMEDvZ793gTBx9iGR8emUDEAb0kFM084pf5AtyCMH1OqsRaWHokzl8 rg7zKwilF13WnLUb+HAsxZoyel4TN9urLMkv6hsj4jyAlXrjSIRBZ7N0BQ53grZ0HxuB2X8f13Hw X6WacKGtxvMe6q4WBvR8eRqehK5ByLxpRgrSIMOWg1XILppjEQ1Qh7XgJeLOL6Bw6pThQSmSGlJD VlewtpCZsRrvsqL8uz3Hw853jATCxe0h+jt4DciAWLzuA/C33crvW8r2GyJyY0v68LPSSipMb2SB jFge5aMztW7wFlqPbFr5joDvG3v8pskWT/VBkhOoy/ubRFcWrIZdu6onoLC++yQHUaaBoba2iJUi NdNIE/CAQDJrdeR3jwhcVvIwQbUr9sPFL7Opk87LAmmNAhilTCGVXohXXOI53eb4Iz7eCjtRwBCS 36RyleRTLoYSZDAnKJ7jgcMipyoU/kiIRwiB1EpctMjG6LFqwbZoxMOgtZp5K85IlMG4zDTyk2x1 PxyYdT46206PlEWWd3cvsh2ll1aJCQkFfOVWK0Rie0gwva6847ff8X4Nf5QKqLMNTeDGv3Rw7m/w EetU//xzP51WcJHgnEi25CQi2tbDNfhTKekmOajABdwS6M+wAbGXK0iecoWuRFd1u5QIUUMS1eF4 jj5WnM7hlclDDOmqT2pq8IHCHnwPSRuwB/yRHhuVDE20ybRLFO/VwKw8BtdoAfkYBosfrO2dXaoj HI3vUXnyn3Jn9KVLOfSpISGJiHB3Me30KHEavmP7+Ul7DzLP62z2h2Kykly1QdK+RusKVP7ETDIT bZFw3Ta6eYq3NmWQPJ0S0jE06txu8Bf5WI599GoXHZLHHZex3uOLVPlXKTGVHP9n2YqXOi0gmxAQ i+9Qx+RFzIrm6W5RHqCYAUetsRv49QVSuLz3YDrhZE4WcJK/WdR/RNi6IRG0iqZfXdo2LOzykMb1 aemm9Q98YGMjIFqiLH8iYrfdQP68BUQxoywKMLFg7ociyJ1Uf+MwI1qxbnKcdguFCBVdtKDLCC/2 9+ktkvO8EzHJVF0vrW/VVVt5ysJ2ALeLi1a7LFyzS7/xFXG+UslS/5DTXqyFgKnj01diq7PQVx7y hwAdI22CPtvaRrqMGwJruN+OTovtVfla5UMiABxk3pAZ2LdFyMkRqwW1iL0X3oV0FmBfgQ+MT1uL UCG8O2jhc2pzwBdcqTUCVZmvFweFgXUxZbY97tkmtjweM7xFT5IE+BgFyAkbjiLQhlzLLk4toPkD 4gJM8MESYT3kiIpqGQ7YtkXS9FwoOIPzsgY2JjBe5EWqDpFIJHtQL0rhJ9ZCPQjT07LGy149OClP 8q52l7E6Zd9N0zN0mF37zmxgiLjMtjgphZBBG9W+C9q1uhD+AJK966Pir5Fsfdb6LHgPXOVUCB9U DvBSJxd/5J9Hs6hrGEV7/NlpQtNANI/Ooz2NjXfwfwnP0Kj6NGpCQ5E6PDNyBbHvuxG43xc5fnyy imoBihf5tBunAj56n8udlmnepIas5FyG3Mt1Q1egZbg4N5mmIZrdAOMplYL+w0gC3wP5DYhuZ0eM KPMUrbgOKSoBnyAOMEmiRIDZd5jzm3Fe6iCmbXYgTRxMdq7HHUncOH+E3giEex1gVOyEFxnmXbbu EdtCOATcD8cUocvZiq4sEsuW3s5d9xhBodI00JU3edFPhebwVvw/bTMgEz+4ASKi+fjSH9Fe5cHc AKnyxToiGXRyOAqyzXPmuw/7PPn49QU1t2N7tkt3HrE+YnNQNNPIeQtbPQ6K4gpZK3Q2qspuMs7X 8NPynU8pbK3cbHqZ4g40evhvJjlwTXuwAzOr7LjAUSPXHDjlGpbE+Qt+pJoHh3xtgjk9H7kR0M5k BDMMfOSGY98nFyu7EFbFrj4/Xi/6MS9Gw7SI5Us6FpKzJht57z5WMIMkvwp/vScoFwN572m2qDqX KZ7e/OoE8m9mnaMDqKU8OyEt60vaFcPdaEw9+ekUzl/VFwb6bvGiYFuIztT+0Yu1eNEqZV/526y1 gDkL0i0q1RqEn+B0U8RtIHrQwgRWU94VC6/T9cuJi1Kaj7A9f9uLQL9JSKmCNko4QgTaVEw+lxjQ Cz+FKo2BhqcSLjrd2N72SchhQ05QAIg51X4mHAlxnYQI9+aXoTZmcxJD/gkBmOjCFtK5mBSl9rtW uijNUmVoLLdIDiECYVatZIqhYxKq0ic3KJ6BU5qXKcOvyHjy7wlvb5WDtlHuDloJxnJmSEuNyh0j 8Pcz6REOWieklvytOK+vDz/w8p7lkZfq0lrp1CrofSMc6UIiPE6JE8GMSCoHba6YuozkcVMoudBZ RK31pWas5JSKDKpwUvwut4DzyZA0rVwPMgDWhA8/qpA+rW8pLpHVfylFSBvIf7dfWyKsr5DN+pBF TEhM1ZIckNEGWr+Sy31eejhe5QGJJF1dd1TXMeBbXZ8mYgcLZBbUWCqd951bjHRm1dmsQLM8dVOQ tBiA/4ZIx0YvZpv8Rudl7Uny+9UrLR7spQfgNDo7D0ZaCoeey6SwQfE7UXo2OcLfXLcDCWokMl98 BFtXurP4sG7IoKtRnbRb829YTZZlWtzkDAMCC41CWybaBn30k07vZ25R4IJmT1d7Bvm1f7Y92Pgg HgB1DUMNtZrLGiRLtnwnbJ+oWg7qBsEVNYRQMxeNMsHGYyWJJXBYZ0EuyInByg4sIxRidaNQ7ygs vzneVqO07sMu9QFs0AWjMxczvNEmk5aXqw88KkJeI3PjMbWN1apixu+NuYvMjnQl9aJ+22h14Iyc y4JXpYZWBR71EPIuijxMBNnazLKk7GuTgGQX2KcazNZ708sw5IhJI5u7/V8dz0hW5AkjIMcIVQMa P6cehZNjnUX4ezTBvWC0e3kvGPDFC3BUBezpKL2d06gx7G75dHqYr2wVUjQX2fWQ6p3eQw4TRjce TumnyFeHucT1aQq6TUdoEfSCO6qijOlpPTrN1I9entbmuYNTBbtZa+y5mjPgdjbO8NAb1wxdNE7G I2wQyqz9djbx+2YkbdUgXeX+mRqXp/RhbNqMMOxZ28NFJD8KUNqZneclh71R2GmcDaZP8X6pPl0T Z8kVDqCgEEPDhe0zks8Tjg5iR+Xs9HjpRJ9oyPKf/w0HDE4bk8kC+iKSheFQTIgepaPZFbpQM9fK KTI1KeeJZWYzqZX7jhsbmo5QtoFbEYxX+8x8bnowJso7RqqtKrfQQaDXfyp9XE8XsWzaMWqR6qu+ 143BlASpeO9/t4JSX9UTNdTKi2cm8XlFFc06NDJEVbWQETcESWTn4YY4i6BnbipWro8XV947gmsS Tr+vC53sCVZjnH45wAy0eFtgkq5dSe5AAU2vFkNsE7fIMpCis+QsaSVkSH37h63x8gCbKhoP2Y8B lZvJ2uch1xJ/bUfg1fUo/68GemrLvBhHOTVbWFF9dhjp5fYnYUyuOWIKZjECWakTP7SFzyYp1r0r /k5cOS7g3FH1LYmodxEY3Sci1wPLCCEhxeAeuhFbVoybJT+rJ73w8zkSI7E119nMAE9R5PLPgu49 VxUz5RFPScUcAT55D32uTWM5Hi9QHFMIntnaqw/XmtMspkx5o9Dn8a2af9yq8zJyNPW/jPonEh+K QX6v0RrQgJJ2Y5C5XqN/3Y2g8CHYDbRNfy4f65ckKuSArFzO++lh/sprUB94/9peOpNt/ev1z/oF ZVfaxEpNP3rxsGGDWUIvqqtJCFEe7MXCsdiRtoIEuCPpVRpnc5i1pMPRcnkx/ZBHNYJmn7Owj7MC RBWRgRjhMh1APASSSuCPM0XIqAxGiZXmmkWNa6V4FtXi9gpqS8dSe3Rg13q6VSYB1JpQn8VK2hQZ lIYHnECKjiIT0dRkVwjZeK2RAnqjIFd/YE9dXH2xVnjKhBQaL8UJuYL/xJal17AtG6RQBtju6p3b kuFOx+TcTzrIcLdm8hxZ9VLHh30xiJBZJBGStCRoUDR6PDxDXLmaiq2Tpon9wSOcADSUMHc57bon GZN5lQ4oH2ochWXOBlph/rmAxyS+QEMbh/OS0YFnIzGENY1v6QaSnD2K+W3JkJQS2nuVwFfGbTIU 8rNXdkL05q91I3H/x4tubHjPDTYFEWq/41MTKtRc1S2uh9CmCI+uSvrmQVdAkCOrXbWxUPvL8O9p EdJgJ+uU+O7r40EA0JfByJ9Hzu+KpCGZD4jrAx1PjFaYN3ySHOYwPAJpuZLQlOQm7/3RLJ7jdisc nXglU2WWnXTp5U+eEpuZ9aFLfiEyvVDXdB8ge3K2nX2VqeUiwX00vAAGiZ4jxR4npkmSA3aSgexb LuNBUnzexjmMcgCAsadTsG3EwixYmwkDeZoo7P6vKqAmxDLGZYhks2Ks5QclQkGCwlqH7hiZz0jp ENcRwALd8eUCQD1WL2UhZCwTYbz2x+xjm3fa3kAYrYcr63heeRsJnFlT42SpmaCn+zoCzwM9ljLC Uc5csGmBEngvLLNLccw7h30Cmo56SE0jU/kmxInh3U2bk0Su6Lj5mFkTtLydirhMoOXS/giGfHit KeA4TnoZEAt/NLi1TG8klXLsQIabaClTAveD6NR7HII8fdzCTPjlws1mIIBmbpk82qJO17cld9qS Nycy3/cqiOmhgg5yJk3rDDNn3+rali7UqxWkQVshlkUq5uRbR2gg9TRUW5zwPMLSaI+uQa2fXBbg kN6XogSkVc9vD3fs2M2KscvSH87T4LaMGySQPNqNaF2UI701XmBKsGuSYUaT6BhzCtqM4BF9Imec 1tIvk+HoRiiAxlO7NZu6LO+ByDSuWrcbgCoyekewekZyWrW9x+e50WJRAMnO0aEBLZffJdC7WqRW /chKD7t67P6EeIsTpQpeOYE0HujvJ6LJqE0/hNfT/7AjCw/sjENgq/6uOdLQ+xqSv0zASFLRAkCT 8p9UyWFqtCmlLmbPolVsuG40TO13dVkwvJs/s0hER5hxpJH41q6dRFi1d8xBLKrNIdDqGAKLjU0K v8FEoUFopVGBJrGNjMQNEC/0eb0RmIjUNHiAP7znc9iKiP6MbbblvQ6umvVl0vTXz0P6qBDZ/QbB P5wk4zK3ivmZOZQK+03ndHPBAuOCWWshpguiU1ZoY7fGVNi5JR0D/NdPjuxOAiKkYrlaF+Qzo7Ji RKIJTgaUkyBmCvrJ4wiSAzFjXaAZ93lky5uX/UDXiEPqE6Wi2O18FjQoEDWp0SIENnt7Gy3o2q2d je5WfzQEgJDVSaYDJR/bdyo20Wo/EyD/momYO4icHNmuiZutkTj5lRMMPrWz5DKh427wKIMpAjAr duyimgNNYil9Al1pEiLOxm7ty1WWbH4hbYeWGJaQw/WKs61sUJN6LI6wShjKrmDHQqp3HQ66cw36 eaoYYgkS9JNElX/0ZczNnjpfo7GZQzRG/fWQzvzck5K3sSrC6FMeivxxoMu9Lz65SkPVswY3BDL1 Lx5K50R08oXWNRmVdCy3pIcVoIq25/l5C4QPNZcA51bozIdI3ZXFssX9go2NgYTUdHtb/mmbaZ0v E/u3gYAlll+haJa3dZVByWnzk4gI5XmlKvQWAfWVWLS4Y52Gxba1c9HZhuQb6A1yaguCh6I6BVpF GaEj82+M8AcT7j2yliUr94Z9ywLjIjWdV7b7LiYJ+Ie+nesDnX0vrX/SkdDMyGEWG5fRQ4B6gTl1 9IMr2p2EwlVuXUqqwGPCdVrN1i8dnLjqwrXnA7zs9++ewzRmoA0iKO0cZ6ZPvYKW8Arug8VtuArY hHKxZcrtfE7fHA+3umiwhte6aF0KZmsPhtDUayjKy9jMHGAjeq2VjmGwleFnBUe1cPiuilYOA5m3 JtpfmIaK1p8gHKDqr/L6gK1zS7T5qRL+0bc2Vjs7kJzqtNrOjWmX8tmcGh51q8cRsTdZN0riszfe nzCuzUaCU26lYcV83CyajzHou85G28wmM10fEegYhTHZbz5CrrX6rA70OgqLedcQMTBy6PtYFdg8 Z7Iw4n8zYtk6zDAK79rZoZF4ChArVqChJpUpha8j8KGdeNs/mOczltyHSTLb0okWUB6bEV+YHML+ 7l2gqTq+CuKfxwt49cCdFlUuygVj5jCOTelZMu36FEkeyYtGV6hphnsDMtL9WiLGIUQnDejTTl1V jtbVplxrTX4patWw/J7SUA4y5CouqfPn/QcSTSKPooW1WCTFMtreMN1X7gkSPODlyWVzMz2doXc2 wHymChhskfAHdTnTGGVeM6s7l07MQKnU0QEJW3eX/I46q8tj4VWCeqFt5Le+lQIhsIlA4D3t7155 O6A/E2M3SxzxEKtrLsLpJjkDt48210nm2RMLT4Yb3BoTLnITqch5rBR0p+TA+ZNcod5GoPXmUO5y Dhoasc9dKTFZMUxzkPYynP/0abpcQXBB0bK6pzoVlOg6FeB+94kg85xN2L8CTrjmdGLTspue2DVq oSzFk9o1xSwU4qjJtwsYufXO/lTyVfBAsX6Uq+H8QhP5kxxcS+W6FNL+MmmD03g5ZQX4mGrcOczA 6b4hwwewhsY2lvAXTsdfQFkOG+vBAD1pU3GxEP3JOLS53SOgw+kLUieRUa4RLM8GYe0uwip6pcvH 7Yn75mS3QpDRm2Si/IqEztemFIoGQcUvkBu6529ecqk4FfeTgmLqK4h8976rxXUIOkRxpgB2mGFb mcMQtF0VLv/muDKirN9DJhK2oBgULMVL+fIEWeRZFAoQK/h1yPcPnS1rOzhmJEuiMu68LBTF1xcF HTwnHvOd3HhHcQHn1LISGTgfiwmO0OTFdDMEJO8ERoICajwPsMY3wAcbv9h9aUOgBYmx6e+KjML4 tbQP87WzGxRryUL8hH7SblesqtYjF836PBZixze6CIK1XOBoVbGNafPTuy/JXcQq7X8q+t2qEtwo YT0owHNbCATjZ7fDrO1V9eUsfbBG+39UwjIX237GpKAmJ7Nvu/nkMj/f55E8HnP2pjoEbRT3GVRm QwdEoWYYWqzDODBq4xNNhUWAZYO5JWlR0hvkiU05wbawV5DtVdBK7Skj9UXpZyunCfNmvzyuMY9h D86Z2AexmFTDt0j1takEPpNDT9a4QQwidpRVhzovfYFMD89BUlcsJonzkYJ/ITq8dU8orGOjWA0Y rdaO0MqTkYQZwoasyaz8qfhdw/rexfghRBPmIJ5vzcnG6mvWMdqNcILSynm1LyRxcX/MuupztQFF oXa/CNxrIvpnnRgA7ksfQ5PKTefwL5UiiuWcqvQDumI+TTmzPYYkxfjiaHv3+mW+QGfl3NVRxBiG 4pHUA/i35b1A+LZ2TtOQ9WTncjvvkGfvrYzDiu6zaxmISngNjG21+CmColzOJ0O6Bn8GC6zrWPZT d8kb4ljGq+PJN736S6gpz3S+6HcqtiJ2SLJnky1tJsChjuZm+dOcaoY4NOT/Z36LrYKr+3Lcs8g4 iMQCLsvqBimjppc1uMErGp+Fpzg1LoKfZepElnlUR7M7/vWLh0FfCFTQJ74gP3lrRFkruqmv3AHv d2NqRLSF84ey9qfA8BAUtRBp4QLSJBTM/fB64sKCMxCQN9GhR5wRYxrfWjD+bGElpDzz7ucyAOot vGlHoje2Kz4OJT/43VOaz7it7n8DkdGJgG13+7FFJXijR4Qx1GNrxnMR1hAIUgcwrYy2O9Bj087s HragFHhYnbcCzTjNFjIpvH99JGz8QPq8AEhO0YtEy0GV0VNbESADRrLbiptdZUEUFF1KpfO9B+O9 DEe8L198JKzpr8cnk1wacYr2JfuX7l3l6sXpL3FdUBAn/1Wsp46+vXZ+RaDfkgh4ChWH5G3ZJbUp LLx69aHyRGsEOB5BxbGK+pF4OygRjrRZkPGGzbnDcG5YdQi8lTNX0Q4xp9dFgZQaCNMA/Eef/qsY nwvQ47PvEjycPGJPia0pgKOQ4EUbvYeRC6xVmm57NpmF4bXNCWjTiJQcs9kpyxlEw4uhREQrF857 WSSS6TuSK69MRFiIlS/aGAT5MoQWZFlT/b3bC+oSdOpWacD1NyGyrG7tv8jYM+SvjkmwLjwOFDGN pjN8mzTXO7yMXlAPq0XWKt3HqUf6pbfVH1XQv/d3xKyyp1HWrdDDD7EU8bTowMzxt/nrTSrhB4/W lO5zS7FNGqtRQj5culL2aUgYfqjkuAARafSbdGyQe+kP7O5eWcR4PdRpZ6OXZ1rF0Nam03Nr01vt 6has1tQkzvYTcCqiNvKEeJHuAHMP+rGALglFg15JGkBuAwEnFQB6fhqMj/ZHtYgdvi48yNY/UwuF OgP6arn3dNbqUu9dA6587eAdcpCec4D1zIgHVfYnZ+l1xsLlOwQC240x1HK9ytbuM/oCfhrmLt8X FZ4dNSrugAf3AESPguUQmTFnp68A2gBowpTHvYbdPuAcnjKpIbAN2H7C/TxEqDjlcHbjCtCh1IP0 AbZtZ7l6Jxgrka0LjUbPz3IJC6P2NvAfZ05o7Au+rk42xpbz2SW6BGjzyjUiQL4k10hvWjR/tjl6 dFSrIzPFyUu1jl9ZPEfBUKSN4JkrXwD2fmoIUeQDg2A9OeCzPDrLwGaRVeugIUAWJsRxcz1Kw5Ea V8PNkA5gEUU5RtO7uekrqvmWXBu40/F9bt93nJ0Jznv0uMPeahFjjprsqtY55AVjeJTBMm7AZMML v8pa9KBGy2HXSXj5/Fa7Qhoh7p4S5B3/P/Nl2R620JuZoC5azf5BNlulQW8uZjc2JYxCvNo2tIf5 ih+ogP/qhSsWyWko7rlI19vTuQ6dol/LflKfR3sr4onsL8SPP6Rixz1TMHyN8+KgwBZXwUBRzy0s OWboDI/cxMdYb/+3VQL7/AWxXJJL9FlsjwrDvflfnyZZMp8yeR96S2cmIcTwVUSxkv6iuHr7bSLN MsM1bskm5EESqJFipG5EMOn4WVsM2UZDIjcc2a4muhq22+5tXWBEwQ4NDvskENQWgfUNTqV5lDi/ X9HfsbpA0d1TEWWVj38ZTZaAagw75GmM58eHsnytjjoQELZQvUSqe9SkFGf8Il+bxkV1bx2R+4VH Pflw4YXiJ7wc2/d2wSHPpFbSM19oMRLjTre2frq0AXuuf1iewgy88zv04wLrXiWRddDZA3Z4JUK2 lROije9QNDG+1jxiQZUMV4y0cn7KsbMqImHpWVwPn5cLEES+KjzopiLc3bzAeewid357we+7pM5h w2vrkPEevLPo/arsrqAoNRKD1T+UirUXwZto/qfB44F9jboqPa/aUzpT3i5ONVw5Qd/erFco08r3 lKojBTos97BRlUVrnHeAoRxcRdOW4eOKVLe4Pr9NteTbKlxBElpuHXhe1XaIcU8x7DcUnjk48Qkg CxYe9mVf52inDh4MQEVAzfOIAB61rEv+sOm6Gk84ATTNSeS3wwzrIxGlU1g/gXs6v9EmtOFAwr6X OhOTcHrdlbIOfR1zHeNXWf2ICg+FEjnPXcfYBdRyCaOhTkdc8xOf8eC3nMU+nl81Dsai0xyUzNOY c/2hEfjfOPhOA5Sj05VxpNEdDU8KndK735rA3ECmrbvFr6mt9NvjXg0piJWlRl3hssgIbOSHzYoC Q+lvVTfcXJa3KXAwqdNX1jU3NJ59fkPAv3Ef8TJgrxDepj2lMh248kOYuyaSuzwY7ae5QUVyzsmV +/9wknTucrC4qUicz8sWl4eC3tOZxuxKOihUdnhsfu+Kk2A/RFkVdmu7isvgqG7PmYx/fuUM1+tU HhiiMJCUwT58i5zyD5epp7CGySxJxhMSUfL84jtTZ5EEzf+8fw/c5DY4NzWtqD38BGL3KcWybCOp bn4/sy4skgYKekdexvTxkONsr3hM2XyymCK2jXm4wo4ppMtK8ysLMseXuqCdKNlYrc8U/CxrsVJX Q5besOkmnIO8TlZorwAhdWiC0/nvBGNDdgFG4G1W0UXxigoH1sZnbpjSxC63Qbk1khRzQHc9VtKz Fclev8uSR/4aWwEBnKlK9IVLcBxDY7pHBNM66bTBImYXcV1KzHOSnLn6aUywquJ858R57qZFUA9a 7P5Q0Fhk5ESnr7O03qw3QDBH12ul50f8j0ABiVTBhVhjQpc3irww14kt6yzajIJ3ePjn/NiE7VY6 n4+uP6jRpfoKQO82WNksI8lIbmTMOvlnI9qO+IDZf5GOItX5d2ILBLujRpGExssfpzkPJO2dzOQC IPiw9YheAyyB3ZXvWs4rZF9H4rj4ZkPgWyB6w+LCVcAAkDQ9rJTZgl1GoPlFkLYTStCE6bRX9oG9 /qe6wOheBpfExW0l9L5mzRJPcyR/ftNOHJPQaxwaeGreQaJLBoL0IoX5ZjL7otJTNw4iVNTBRq1x KarosDqTkWmjp2aVhPoGfrfDN8jkee4T89LZrMBjtS/AsBr+/7b+WNUO890SUg1bPuZivi679c6t dRwTjF6hBnahC5q3vke4s6S1vxOfVppZivH0XNBCq1i9xW76Z4zFAQlx+WqaP87Y/D/asbo+BLfP Sigv8g/j9txuLcOS3x/0IvwoaoT22U6ojfBVE7XzOop3gXycdbjm+BArEUB1YREYsuHHWsv/2OgG RZHoPBERy/dFR+6bKODPdSpM3bKPSgM6JAH8tFWUSndPDgKi94d899vI/AUSzTSZn4Si5J7BAUV1 H5C/aPYCYdk3/aybE6O55zuuFJpT4Tbq1qiEkr7druacKEWyJ3WyIqknEcQWiGQ0wA60biNC5REH WsoNP9/ZIUDGub/D8iUqVCKFaNyjvGOoWkuQLxtSAfp4K4CM0fT25cvzz96ZuUjo7ekcup9FMNfy fm+orFdkKfxNi/NBP4uF2JF9/cqId+qG1tDbAekF9nhrKV/Nu+/b26br9wiV0dVe7jVXySWni+z5 1TBescCVWSXxWsZEI9C46j/fcfScWTGOPBLngJsXRVJtX++B+vxvpbp6pE2phzCY8NX96bT90nFx 1JPyG5dxxe3OCfh33+Z/gVAr+ngKD3vqeyyiyeh1qFp9AuDS8wsPPF63XWHwCWy8ShV84nkHIGiH 5v4kiWAv+A8vjtn8lC3nUPW/YxfXZlVm8+6vRwOpavIBtB2dWKw/cD95uPfnSJgAYoUE6ewX6aW2 3WEDhLgozxs/xo7JmtYJ7f7INRxcNI7EggZZ2sjM5in3+gA0XBYx4KeUqGGG/2818puEfeTgNern iWnr4Vw3Z2KTIQZ2UxVHcM3VSjj/7QJPbeiL44AUuhfJEEvl1lHHketXCAHsWySpLSqdWsIFjHds tTgLxdi/Hg81L9B80U/QgnSVNBptRomWvhOMqUmffeIUutHV9amSUmdVKm6KUotLjpHVhl8paTOv s4OIeolhqKv7Gvavk7Ke9DvoNdv+IoQbQpLqKY/hD7qxkeK2Q3CZFhEEaIRSK3Mqtr17+Mm6qprG YFUTQE4AZ4hAd/bXqOhMYiHf1AbxqswkJSRRrkuYOeYYNrzLNr6v3tsrvZeDFbSRtwTb8wCHkpr8 5SBsGr/0GUrWe83k0/7CqWYifMG0FlfGZM9lo7aGzMvzpRA6BBiKvaKzhQ8U19iKvAQqKiEY8wcl 73ibKCKQLwGVQ6jSvCPWjIKFeQfrTvEHzYj15fr+kcPnEgvkEpN2wVKwGRYUSR+kUJGmZHcpEqIo mCMsPXJIKmQhdIQQJlkwAnYMevikwJql+imCtGu6X1836z7VwWdsMVRoX/EevMXVJzFtQUg3q6mS wBT1dnU7GJrn8iU2YVYLgqAwi+TnefiKWRlsH0s64toSIGrJEqFngeiEAgII3twASdOjde8YoXk4 wFmWicx8tlSQz2sAEvLYiMoFp4OCZ3HGwJBMv1LEbjlGnFX7qWnyUGInP+nX09dAw5TtzsITPaCq PcXQZdMZ1eZgIp81V9B1kqUJhH15aLmXot5VZCqxv2Ev1et9KWfpQpoGiZSE9xyiGPWi1y+mrP7z vdELEIe2fhSjQH1RFUG9DyVK/IEZe1LHn97aicAYLpJs73P9mIgG83vwdPvnIQwuNkoJKbLCWY5L JwK0rnU/ZexazVyI08ZqtY/1TRLHDYw/n7SjPtTuW256Zh2ZNXoxmpYaSczL8XGCugilPk9IBM11 h6LjbK4L9iNkekn6quuj8rMfIcItTZmpFTNgJtjI/vRCo2qqNtFNAzZYYIZwlby+Wg4qcXPJu/mm HYiDT0JRxL1B4owhMceShjdHMd7GefBWXn80DHkQu5h10+uDCeTpFqHxUlvBikaomK8TpVBU6ive fiKLh0++ask66+PjB4RCyif0xnHHV0Ly+dvtWHc5xm/vPyTi8ggQYLyMswnUAG4zAtfpd5He29rS Z+SnzmjRWTGizkj+LO5rP2Zr8HwtASCKvygzLA9kbzcevmoPa2ChzLGlh81vp0SjWpPEZEbZKjWV g19D90DBiNsQS7pOdjQ4aMZehbWRzj3eoiHwMGtkCHnR29sUcueRgLNxZGQhN7AEusUEDZhr7rPz hY/+a3DrB4JVdKIn+CeYL+bdrg7XUpcP8N60g3FGM6D8SCoRyars2UK3GLfVCPDHnHjPI57/FFEg OWbvCP/0UJNJZBWfm7Li+SyH0wgLEpEpeBA2YXC49ByfJMwVHY4RnCLxyQdj70+M+cHXuKRq+0JV 9nCxmIWkB6O46Bc1Aj10oXKMTjdS4YtlFnqvLGLr81pkucK8q+6CWvjHoJlerr27ZhbhCpYQOmN1 m1b3D9tvGrog6RXfkKrrIiTz5YuhcDd5M2l89+ZDb2+bHMBL5SBPQ0BUzl5zjLyJODPoNRJlNjzd ik91JY9Rf07cMJDJs+HB16k4p7u2/hJ8l3UnXXgwGG89pkqrbXNehZKoktgbpaq5Kiv8yoDCpQNc nTuV758rGMykzzoZLh/XQWVrgotcSNI+l837/FFUvlPHcszJbIfaBaraaTJEUjrrrgiJYlEkY8B2 najoiNnBJhnhOMwblH6imIUnylEfml8fMWYtgPa4paWphVelgmo26k6by4HzEkEpAWcQBSjc9b5h V9SvxP3BsAKhiaiBAUcLil+X+NG+MFHogY2HMcDzYMzXV/6hG0g0NoSHaSziBx/DsKR6HetHSQ9t k1Qi4LJ3yOFsxmXsq8bNaHc2Kn4e679kd9DPWGPIx2T4/bXqjnMrUYIrAxTChBI9po8sL/H6X5rM 2VQTvy1RcftBoZkuNdh5MpQrJ7/x5F31Xro1Clq8CAleAxp/SOH1Rd0h8VJ2+545L9Ri0MayhNeo ws40UgVv646XudbD8e0NX8iv3xQx6cx/it/G7aTwwKdu+Zk5mEsbOpXvyiXdoC8ooIDRgv+I21Qr rugF8oY4EX2G3oSH5ripdnbLcllHNu2DKSlBIirJ8Xw/PtrX0NiiY2ay7ZCrvtjwX6dEWdVb2AN1 b4p9qRIPFkdW2ijDp+X9jsFkeVlCDyD6N9or7Z1/TyHcg8YsyFjIAZfzz9M9cdxcifpvtv6GdL1X KrT4djNiMFwCcP8/jS3rlCgybh8NY88uMUr1ZbWB416o35dGgc+JhlFSaCHSOIwy9I3oTpe8STZ+ lfulNOp8ggB40fEXUdSKfmsEZe2P6h+6sXSOYik0VyTZL6IroIHxWVhmyUzDeZlry53A0G8ReF3E QxO6Us9/kG/vpukkxVsdpWvug8riEjhcpsyYBENAhMOJf9CM/tm+hPGqCZE8I5gfjkDVByC1b1qO IfjF1ZRmdFhV5+CspnCcy+sw0l3N6Gbz0wUbox2eZmflZGMb/PJgGyvecy/5egjU0nOHzBRPKbRV r98440IvLDi8kvmTWT3KYoJfB2gAj84s47LFqNJ/jvwXtRcRwovLRJ5zDy0h+iuZp8d+7zPH8USJ yHXfRwx35y8xTzFfsqhtTtYW9HvQ06O10kzUu8GXg/DkJfrd8nChDEa1rIzisrTKdZzySt9Tu7nC C9/r9sfB6AaOBoYh0/jCeCGklfWY4QIcEB613fb7816PblL+D17IFLc0UlnsPrUkWTsWiMLSegev YWWpljgkCBH8CZoWHJl7ggjqOlAHlT7kMgrxJjI6qG6+ESL90LiLeybrykvpfZrRKjf9i3sk0yKI 1yEo6OauxgXhHUDplHFcqc1Vx9Adzk/wFoimta2QlC0kPD3Eszq6EEp/ZEsOK2vTqTrzSNmiTAKs 9z4KUwCOz5ntEQQGVaA9bscXlN1RL+kirrk9af3Ns29XODpZjkA1SCV3yCF6FNneV5Iyib4T2R+c KszaPJnM8b+3s7NPLlgEPEYvZ+VAgSYyETZCO6nmuNGg+xeUdaZj35frtcYzJNeyN3Bv1/6b5f3c /HQdoM5ha6MpILxXO/dqUOEkR3SsIuRvpKqFw0NQe16AF0HvDb7l1bFdY2HuZ3Anvlp0DVe1Zjwc Igtg5k+TCGmEaJ6YUULlLUlOwsIY0gu/VdXGWH+VSaNEqpzudJCsIqtw+bqe/mE922uS0xSnRp8C Idy1eb/jLuJ4C/kirGTeJExN5UvXIwpjdyBoVJFu1b2SdlypKktvbjX0bgIC5mys7pcArxGhfNNK CrU/HAM3d29R92ienOufaSWMvaIVMH1USpaMlblHzPwZdZmn0j2/F5/iQjCzDAguKI3jwT6JAV+C JS01mfhaCrYWrpe3Ya+f0UrTMc+TKcGtzqVk2ZNRvCosZTigPqybpFiilWeCHRtbYcsb+vQ7dOi7 Cr6eG/H9IeWx3ZPv3jfkjXbU0fC8K1v03aEh+ZwZV0SwOc0Mq4vj+jXUp9tJ6Whxnn+hfgBrlvGd wVwOtvBwarztagNQzEsr665iDEUtazCO8ZLPanhcz44Roe+kgFWIQp6JAT90fex7XbHJ94hh+Wgd S6i0+FrBeupIbaORO+r6adZ21yl9DaKlgpkrbsrtWlWb/SzvQzP0BEXJYFlDIk5mXYX77tGxnIiq 4pD54fmBxFiOHY6o8knUj3NY9QtK7ux1Cs7T0JIhIELg3OhueQixH7D9D4hO8rYyF3t/ddV7S9vc xIqAUgbYC4ACyjRZdHO0YdLEVS26vMq597hhZYZbM1x+pTqlJTIg10jEEKMGhsJaklGjEb0Hnb6N z4A6yEwMgKCn5muenkuWZwyUvyDwPaL7dWo18DHNZnk2o8G7kiYil69II+VkOuA1yneDFONdNG+8 3yBt42kbjmqp+8TkR25OW9P58mp5tCkALQdZH+qFg58rNsiV4zImgsTT+FMMu/H0pqIiRAkAbiBS jeCXE2l6prqe6GB0ZwQRt1Ohu2RSkpycGtfq0zTW+VqkHHWHZ3zJO5VY+wdo5eCi6BDhaau7b52o KsNBtEMDnePojT6izZat3BTEGyMzmnM7EoDG1hNycPe+UY8IdssBoa8k5VmxgdWT1bHIuAnFZezC T3JbTgIcWXTvpW6JBBN2N3rfSgYRTDurI8Y03o6wfl4ogcL7yVhTI8aHXwhYv6BcGFpbz+JKl91e QSV87fVzi6Vjiua0og2ciPPHFUzpmK42+/X16PiyyekqQOrYdHcegqlakNX/fzQ3ZFcj0kAS6dcF lzzCcIw5QFV7sPWZQ73AvfkjUXJRyAr+w20zpIp/kIDa9Trh6w1WxUiYMcns8yQTafiUQzWXAQvP 9NSQhuKiCIJd1Kfoyp3tcpYjVBRB9qiVYja4dNF5NNcrDyv+zjKkQiEKk3n8xlmNDnqrgbY3K1Xb sp6d9fz38GsXXIlPcnITPUeH4SplWVwmelw7aKDWPxPxDn7UggYLkV2BAJo7zCgsHQUwHz1x/0p7 kXiRZBuXilSlMp3jlIR6tcOYpUAONda7lVuyppHnRNCi0XevWWQt8oZr3+2xs/YaO3D0CgzI3DV3 tcRFzAbQq8yUM35gzsrshCK5viNfOp7D4Q2iiGsxUyBrZOcVU8wdR8ZgZCdc2lmRZqYA5jG+My+K JNK8ePl8B4K3EGL8/3ezPKnhNw2d8RdFGFmuVNLNbBRttf2AvA/ocFFVAG3hPM5rwerScnoWxBeg EIJiXmPxfl1u9W7Z/SgGDWMdIXXUs+Id4N/AYYbE9AGdcRGqSkpY2hB9zbNvIZDxNJm7sXQlHr5F qz5oARb2JWlQaTRNA8AF0SZHdpuHWaMttxprd/ZRH3ba03S09NSzIX//GGy2xfvqKTLvf/vg6+0q qrrWx1cJjMhqgrKEpN6WNycmHDhhliS7YjDM9zP3IXMH88ZE1oJ7/P+ZzWHZesSU+Gj9cGnynEd7 xXrqV2bVTIfjcUt8BFtJgKbsvzdSpb/RYFzpLjNqj4kzoisfLyrl5JjlAN2VAxBiioc26xNz6qs7 TQA9uIBifbJ6kHBHgnwzRhjgL0CGSbsQ5r/QeiFp1aKMnDzqQDHTGHmebs2PgSJ56GsRm/P/ATiB YqqpMbv4zxdbiTg9GYY1AWqArRxETfxwUYDwXWzy7KvGGkOyD5LTxLf5MdB/5BuZ3nocbBSK2IsL skaE/58ikCFVtstHuOpYX75dEuGz9sZx0sFJ8JaughS71/3twqokYUKtgVbz5GEtE5C1PbmLEZb4 pHkTUOc/6r8kknGKIYk2RpDE4iXuvDLN1RJYQ0k3fZAhA3X/ta7TV9uCXVbMxqWqKCkS16ri7zyz MMVdvfgFzYFAbRk79kRh9/kwuxVW9T8yeyifFfhKr4WQYFxtW6iyFGL+UXXaj6IfCiduFG+xa9JQ Xvd1qrtaRZ1fUxAizzXiXk8UnlDze2663pavYJcUawIxOd5gYQZMy4ZYn4UxqRsGM1PK8kQlNliY 4kNnsHvSYL9aj4X3sQ1H/QqX6f/rPQYwA8wo3C/YyfWOIlniWvIaqTUbHyr/ZHOK6/VMz23qb+8d Uvsu461yTIo1wAWFdyGt/lH5jAbYqRvwKyUhWSAj7fWsWmYoJPNgp4jSSr+WHbTGhoujicYC2+CF ofgPH5arwNRQZRD2yXkVcZDzPVAYjMXWEcC7B7uJJMY8W/d8cmuNKZlUGenCZdTLxIBhf/yjoHZ5 vlRNfY8agYm04Fr5Hci7eEN84HHUCe6moEOuFjBfDVw6tnSHi9ol3iszDW5kISDc8JWmeqvim7r1 0pqWGEhNElYyz4e/s8d+M29GDvU6QdQ9U0zNBTA2S177lrS78/0e71T2IvvAI02CKckWRa4KaXUo PgZi9vCx9doemzrIykAped8TUeMo54M0IiGoqgGga2ZxiGtEOhmts6yJbntZMVeSHNO6dFF6C9CV 5J3JBKxK+kgMkzwhtfDkPqv7jdJQB5VWh3AaEV4SMrNoUvVBRYuglHNOj8jgK+HNjKgB1HfVO3Fn 0ggzfPLNW/Gv3H2xuSzrxoO1q7u0JyyqA906y80nu5tplOKdaQMMJhM8Dg0agmRHGtiy5IgC1tja 4CdgCs4MmRlYZ+Q+xK05qJt2CuugQjJOXTEA7INaEoFbA9EeCfulejBci6fTcIi2iO827FhF7/xX zroYE3jlDcUE18FVcE3k8BZzmuhbwlhzrJZYEoOBm9Gx09T3uHIAdrRz/n+9bG6koc9Qbd1sw+Th gJ23Q/ea6/dLvYwCAdLIDQwMZFGSn4rP+IITgjMTh+QWo9hyv7giRZv0ep0L0ZNU8Fvy4bJiXhh+ HHQNXOykyaJGECiuqS2iQKWlWW3emClVHihXnraKa8H411UTzFdNabMhHJ8qJkhJ+ImkxkqTJ3a1 wBxJvhqE2Fv1kpKANd2yD+340e4J/ronl8ZsP0sgZl7NcgBxbYUHGm70IFHdKk7ZFTCfk2n7/8dq SqiYQZQXKGkL82TFX2f/PhVkK9+vIRZmdn9kIC689EgJ5cLQ85x+lRL2EILkMMyX83XTZudRxtfa NmJi/jpLzNU9bok/trySn2ICDnMuw8CwXIXOHXgUnU6OFLAqGmArhkhXbrB5xLIOfJELZD7twYLQ inRCWPXtEtU2AniOhFjWJaucPmtt6WH7x80c2EvVJYt+YNwX5YxNhgDZHteE8JUL785IVrTbKIWj G2a86PHORjukM88w9aLTrvKjWKpn2czvdKNXH8Rja7tCIdgdnh9E0Y9ZHSy1w1o1tEyRdpnrtFnl k6g/NtNXeObZvz/oQTZxFVmzIlvVeUgocpCCAGbbIBM3rD+mVDQycXHDxf2CW2dfK6XiHFt40R2w sMTatFZlmzxRzZShBMIIU5t3OB3+ea6vNWk++wj4rYNYHGN+NzwT6Z65WWgd5z2iidQnq+iIZlOu 1HZ0is9853vlDm7R+YRihzBiiCrIyVUKq/7PspvPLIDQxcejo5O39zs6l9rsv1eGTnP/zFIgQdGB qEUiHi5Q0rqozmW0y9FWH7RYiM6KrokMweCq2LFeY9pOmi12kbjMAfaB2Qau0PUMmWaJGlU5G+yC Nc6ovS2PNPh9AopLedpDiXfJPiJZNOg5MX6905nZUKcn3RboIBr2NfEAn/wrZ+tEbPwV+oDec+uF KwiqcFkeS/HnNJE9ZzMIJ3aShpybGNAje+7WfTQxr7iqrfbTTgcaRgYDIPJEfJd8d6nHgQb76JKy ZATNafbnuhyGaojGkxUYkJSoOKbPmqaFEjih2aMErWpPdXX6p7CG1pu9HNs5nnXn+ONgNDbUpcbo Xqfjy9Xark5JehoaO6PGeJR6lYrzxkXPShzp0uQ8sC/r8yGBli/blDtGRxpxYc5DT413x2Xsqn6F H8lhYFS35BREZvoRTWl7VNZg4KCw7ZZEHtqI1y2e0UVhRoK6MkeMGD4WydAjkXPntR+0IXhZRf5v 1LgR9DqiBbgYbEzHQF4Mh75ZP4X1GBJFdWLUvqBoyBKnod4Xq10OnpFKNSGmiqRZ6q9EMoGvYm1b YfUZ0L6S4FswaCejgj+kTq2vjvL7cEPoU15E07QTNdezslXloBCdFdXzy+WkyrJHJ18q1ryEWM0F P32H1usovMWm/VWvcMP0to/sZyGcKfzUPsXUIML0+a4geyEeo6RddDms3ZP5pF76HlL7TwTRFQdU We77V9lHRQyqFgESeaUHKn4y6X07gGSXQ11y8TWEix4EV/2YeBXfol3sl6b1CioZ3yEutqOMmpKA MET0INl3hCXe4S7fkfM6bw1aXtPPJoY0z71LsJm71lKYK2BemXvSeJffBsHLqFbMi6BDGnU6h/DP yJxo5YikiyGrW/vz/oTEBHomO77H0UFqL6jeHXWwzRrwx+jDoRpKr+roQaYLB5hqaZlIWwLShX5U fBn4NJ3dYnUFletcaXd8/2L9eAa3BtW1HvsGTMXjdcFskQ7ZEt1Yk44zOmdNPOUv/dB+VYtbZhSl kQ/rMwfvwm1pBsJvjYvW38ViWaIB+lD2XBIAREfbXx3NmOrS5lqON9ycd6dkgh8qSOlpzWvDPHM0 RL+sdG5tuYqxtphkX1c03plQA4mYXqQRaVY+gXbh2p+zcX0g0sANB+kEl1BepAjaEDOOuwZ1RAAl ynmlx8YaEnHahwBCIBNaHgwNqqNbphC3jXBAY0OEI+fowzPfUinUnhKMWQVT4Ne75Gq2EZUajUTE JfYOw53Z5qn1MBiZzB64zX8K8QiVUpFAKmu6tTeYNZzBzLvQkba2aOKhGDJF4pw1U090j/XVFEp0 7puwOThViSBziQD+uxCmX9xZkDZcGXzLIM9CcH/P6960IdbCuDlfQGe6P/Zo1LuP8YVSscVhP1P0 BJVrzLLAylsj0cWeH8rAis18LpSMkowVuEaV0BxPXAkNgeniqWKBvMNSaNVIOzPvLLpJq+cSp+9l 2IR2w8sYueHfQbuKfMpOeq+ZskxMLYZl/HJ70pW8fzfKUWlOlvG0gIoQGDruWNcVIKOr5WiFgv0d iK57WvMYMn1oyyPR/tSzyUvWbcnLEFKi5sb4eony8ujVoWDkfhEyvraWNbb+xlCKbHeCUnMHfx7P zQGnF9CsLM7Zpgy6hoJrjHvfY3VN1QAM7ttjlwryuu436qQNTFIXByg5jWH9NX/3dBGRthfjpkod NyePSptoQB3TDCORJ+Z4VBF+NhY9TqPYw5NB588hjtlXQNFE87aFqagudoEjzTKX967aIds6qhRT qOlBEJZVm3/xs5pmLooTJRIazbYiEyeyh7n8fjYHhEz/oHH3yyZr/oNWI3DtqKkYoF218U+7GfWk lQvcX1wpCtGBAPCxR73L2YPOS3q9rivGDYbA/Vgv6klge36mTOcK/dvmVHCE3MADflmEMZbECMYd eqrwE4fK993bLLQsZQgniDeJEwLgPgIm1LHPoNeff2zah0w+6c+G3k1pBD1mYhXEMS3JZJN8BBRY gDm0Vx8iaeRl9JgTjrVjuFKgcGnZ2C9f4XZe+dyRZDyS5EHWyYmW85dBvJVP2ja5+KCaCIZzv23P Bbh/B9EatonrS/RD0JdWhr44TTNXRh7jFzc6I0e4SGKz4TUsVwKMfzRjqyAy1kUuBlriYHsagQZB bSH0Loy3WYwQ5uJUTHKeaI0ImJBQ9zFt+3EGb7mlPieQy1OoRC78vwW3+14DNppAoZTx8K60S3iP 9DAzGkFDWMxJCeab+JhGTSc+7dt6bdvndz5W/0Vq8HhchYtIO5ngQjI9YcXsBuKP252tr4KHdLi1 Bpz6/15PYYrmi024L+ZHr1Dkv/R2ir9Mp0nOw/31b8RcpbYn2ryyO6IbeP6YGwvpqAsmZ8KWZycO 0aMnFfttE0/BlmsQlORvDy+N66Xpq+KNkp6B2hUFoPTgQypyEFBqlKZq9QzhcoZbQpHfeaIgfISF lY3+5pBrf9K4WLhKNq/+hiQzVrwc7cOgxqc/a+oUFim/DBzvUnf/jATSV6VLx5Ke23/tvJz+wv6O +5+h57qo+ixtxOjxx9OuFctpGdAvcNUHhoVoTlHOiIq9CV+dANsxjo4c/dC1Gyf1rWipbucT6r54 OqaKHmm5WN6mUXyXnQmXLQXA18xF4r1LiteJx0xFJX/N+0NPKwAThMuCL3Fs/XKgJky3LYnA0Z8b VR8yvqYPjmHuZhLw4xIQV9DHgNwZGUmUxnKDhgu2WGNJ74ujLvc29CgXHsGEyEs8JOT+GOr93eFJ WPIcWLEm1nEp5eqjs7gGWOtS3wg5By2oQVq3JFGFvKuy2N5GhR98+SD6gCs2ITuDDkhGbQg7SBrY 7nhaEMHxG4FjmnCmVz4BaWgmL7O1zNazHLjI4lyvxIL8z2PajUq47uBPxWN/kWe4nTNvw8WagnrF dSYEgbvSuHWbKyoVVOUZWIYzT+tTruh1KbZCXQH11MMD6EZzk5u1Ab/q4+yiq7m87rXMrTww9oJ6 gRr9KYg2iE8HyaTwKYxYPZ09eGWWvCAXPWDqo5rUVm12U76Evt0Y6VjHoHpPaxAK1pSNWtDDzSqL 08loBEbcPMBCEp35ULGH8u1qq1grYXknO1XrYu4i9QP8RGIgEbwiXclX1qUbqWR2hw9xGig8EV2D gfzlxRqJAZp24Ewbl3SqS0/bfIdvsh+C4mHsGuSaQ3xiaI9fJb5qAa9ZLy1XrRaln9kHEhZLqgHG 3iRz/J6qQpNjg3srULoGpm+dLInFLHKuPnL+vH9/C5L4/9l49yJbwnmRsUgN0R2NU2vkw48faKOS uuFUnLkqQUf7GheO+FEPI9wJlkE0ZFZj5qGinzFU/JvpAKlite44PA3crmTEv6k9BY2ORrQKrKMZ S3+Z+PFbzRcT7WnPc3CoynERy1eebtG4U5qzMXOc61kUE6RW8zbXD9RWJbMceBIajCQkUdGWCWzW HdKZOOAeGt5vQoMBHJbIJzJ1LX1wNvjWXgSoBYYI0EyThDfJJI9y0jJz/0Pjm5YQEoxv7uMo2j9t BnVIn2XX/MEvq9+su3i1RoxsN/PMWE+NmbCkVPkk0lP5u6+pcW4DY73zeH4oDOrG/lJzIhkMP8Vi OoJ2ekywQMBXI71iYgULyTc8yanPm7H7voDQaPUIrKrm1OTuJlO/41WeLCBOgUIxg9UYlS0uR3U+ PCAQmp/z/tVKJlimZI3GlJ3idfIETM8LBRgV405/y2x6U//dJiNXMbOVUZpbQW16h2W5XhhOJUaQ 8Aclouf1jtcjFvUTRpCInGDR76xjQx0OC8ncu65ViDq2xOL2QrHPuHx257sCxDB7Ptp/5qrJzFp4 veOtpyIwhirC81NIgy+UtxW4kZdBfdoef6h7ca6tnFCyjHtfX3buEWxJlOngNvr9ClqWUaaY//tw niBnLI0WyIuBg1zezBUZ6C0K/QsS0H3dr7onT0K9PhO0ApXVQhJqej1fPqQvgKaT3GBtgoDlZv36 0BeLCpPQ9QENTm5KeXWdUZiBV5t/hawb8dS2LqZqALd+KW+vYvnYt7HDJHt5Q4Q+ot1ZMMRKFaN/ pL6OJm34gSndTVXmqn2CCTBkxoLf9h7E4xFJEB9qlv3V9QpkoOxmyzC/ssRNb9ImYoPWUT6xO4Cs teG32CB+TvNzd/d3aaz/CvmXHZ3zcKc2oP2/8ZA6CXwqACu71Gq0om57mkeSMTm++oClu/PQlzpN PJZNbrdOz2G+dgN+E5p/f6SkeKK2anx8eQhC2YiGVEtKKJOW0mqXcE8oBAhb8VM4a1XGIqLshwk4 kjI6LczSUFMh7rTquAppRumSpiojqXDxBqAeM4ZgHwTwobbMGRHbZaREMhpp4+FNcQ/XQhycDtFD wawplycLIrvKkhJaiZ+uMeHME3m+tT4VeJUY9FMmzA9J+8IUCGlv9eP0TRrTN6kNdj97rX1LwObD kvnLOs/H9NG11C9ZCyEkAksVIRaQ8nAN9PaAisnrUr0UlCbrNPnmxXHDJYKC+Nsps2GUgHb3W4Ac uToepBaMz/KEQt3K8p6vutazj3saes/5bu5JmC6xX+QIAR7BUGY+XBBGvO8e2cNikw0anrr/s6gb GzY0s3Zq5lrEYHbM6gKotlQgx38i9pjH1Lk9opkSzWR0o3y0qE61nNXJK8/AgWnN3yV7Eh0hLqR0 BPeuGB1mfXGiw/bbWzB7LznkTtfwcji6KepB4dv+XrA1/lB13hefSzsDbGdfRu61jps7nT9Tfop8 c5M2SdX1SrLte69aQrpu44eAdVXZkV4rbIMqp8ika8h0kGIBUWzc1Cc3sM3wnvi87iTfRwKnHu5o v9KAjvwmgGjbtbSLDmJjSFctDpWqBO0UOP3m6Z2mrihrHb4vGZH/+0xGzX/HZQGU06QTgmRU4MfE QmoBTwoDQ61xZ3f9SOEf7JrkUCoEOPguOVeZlxQK5jBKyBaZ9sL5+C78Zw/sxSVBuYxBuvxazReD KvCLMFPOZG6qXchvwdLhGDVWdMo47SJVENfVNWs20GpV2yAYWGPWCUr6zKZRf3hEbCx+oVNZj/mw eiB1irW0bYc4fxCvPdXOoyJQvpVdNkG4nqmneot5lgUJd6R1PbgHtkgZJmnzGD94Xo9ev7ZhsahV 1NzW092Qd1kphElzS1N0Ef1Buny3/D0r/LtRCuRQ1zidnyj2ARMYq0LV7+tfMooXdt6aXaSDU8XQ o47em0HMRSivvL6NkX0BtIN7kZmTQGrS+6EDscnUtwaYh4Ps83gRRwhvA+6nSicTHaJKu7rcTXYh C8/MybwKznRMxqvm367MlQpeXIZH7kPY7qqh4+VgX8T/fT5hjhk4q3uF/104xqO0f5DsMUKbGqTi zYi6D+a4kLlc4Un3jlLSQ6xsT94Ty8KjXBAbqlgkkdLZZ0PHbiQPz3H2cmHuyWE/5obHxO8Hl5v8 aGEVN3e48M6UnS9BH8WzNjz6aOkvkk6WBxZwtgJLMjp6C0bVu7STXrp1q05xTBb+4sImqWLbVQ93 +h4jUiR51NheEzEJqGs328HdxD4ALxAebaR1X68KQzyXvUdRJ0toPAN9NNOKCDhpXwzv3W3jF35/ 47H4ypaWD9ANG3hgVdn01e9tDiX/r4ND03HVRNDqZelfTly/oDuT6rUAMjSlz0pdLkJgnKQd8LSe osUfPdY6cEzrpDlQgLU6b8fxm/pBthg5OkGqi6V9lkF6QWdVZUP2uqo1ca1/JmXU41znw7LjWj0O D8EcesXdzZeVD/qmIhU4RNqOmUsHMWqxeRnrYp+eA3yDdWbY3s3Hdjg+MyjIQnMOh8pAJKr3eIgW J8iZKk4xRZ1TxGSlYTc40FzP8cjo4yPhAUDStkh0ZY20J/J6ByAnSZQyjZqWw8ncUs34dybZfaVH 6DYg2bON9FHQPMN8zD027lSOyqtcCuUhDG/jNJNMsmdHTlTKcyyU75bsRlrgGSvGVnK7Pww/3C4l WuN7zrQXcgC/Hpswome73GYrs88z5WpFkEV79jROQ6U+Y7FRr+/7xUhmIYwvYTsfwWe5u5wwG+CK 4NSkPI3UYdTgYcxOTakNwoqPi9zvaOp/chbEYYr43tUYFmF+8Cc87YdqCrMLvx2lvC/1HKQcYLQp KEcH5ywxYiHEedNikZTLAIsNAnsJUIHdDOAtjg4gDdzAQUmjnQyIBSk+vNCU4lwYRsmaO53fo8Oe x77cZe3aOJoawV4l5LegQarkxg2P/oAJeyGhNSZisftr3h+rYNLLTitLKamL9OHlcQQjpIi4yUbS mqDE/dHyIGEF2Jp2ChqAre32mxzXFBThXhQQeLnUOmqDlHEWqQEubHiqaTGZQQwYQn29zAhClL7g xj0CcBS2yfOCWPTGur+uE+vCWEGbangkmNJ/0R+wTylF/x3LQ8Bq1MfhT6Wclu0Ljqng7AhwlN85 T3jQ1IR3fI39Lw7f4FMVEX/s3J+e3g5uw2P6Dy6+3Y34uiiRkhwNuWqmAFSFycaD04WdGmhe8mIw rzTVh3/b92KF2PpZYU1yN+zB4mGDCu0c6zcHL82+/L1eVsrqT0eTQ1kwjjVzy0QCkKFhPxDFEkHp jII8NkhJZzNPKbZU26LAOIvYKEZETJgg9fJBCIh3jsvpV99B0CuNVPE5gX0Qn8jIF4GVyzeCTmml cXMRI9uD1wVwal1tMksdrB8JNQXQ5Gfw4A+pDs/8hiABqsgYx2bQhR2Xj3KoKLbfFEfQrxqYn9Ms t5R1JVaqOCOCrTqqfRPjw/vjOU9E0nYUY0+OxHLx8VcmBgQ1Uagy5qmaM5eglNy6EIXIUDy2P+Ar /GSj0GHtlH9jx0qPQBhAU2WuZwaB4QQNtIskTmF2KGWRWahCgNnIbANPpV/Eerp+hmBzVi1nHilh xbnaLaBTKQUFOsxvsrSNn6ULrEoJ/4pegOFlibi0woppMUfpXK75MW50D5X4cZhdIOtUrm1ue+nx uEci4dVWVGAV4IRF7uwz1EbfDYECQUBYH1BhG0MhXyvrwzo6YddTXCimGv6k94YeaHgFGAo2nNUK 5M8zoRSdkpVe+Gj0B1x2JTCbTNbNQIv/Jzmv3zCiTHqNsnpPgCFw7tMTuYmOM6SdC1jkgEm4KTvS V/G1SN9S0W1y6NbxnPRub3065NxFAkHw10RKfImSazoO8P/XKpJ8WBDzZ4WCfnehw1EY9H/NU3+2 QROxgl/ivICROLGRnlQSsocUxRbFqWtxEx91stSc9kfrhj9mI4Wn6KzqkRhAYE18Z8LPUr2YY/5E +cC2vVdsdA8Ze9EcNJYKH8bvipKF9u4bFYwOstfe4wozDLlH2y1cK/Y/OryjOKwbo687pxvJ8TM0 MUsiO3UsQGDkn0ubALxXpLNsvaOQ6HdQq+PfvxPCMzK9FfW6OG38FV6EuqgevlXOdJaHERtDgcaw oLeXULhjWDvqyfTdLb6oX5BbjjcoH0bUml2CX0rpVcsQOGcwnZG4fC7yT5Tm9wf8Z8YbNBbmymJf eoL+51F/8Bc/5T69KfDZt3AKoWzi10224KPg4d7iFa1j9eE5op91AZ9r2+0dXievnfx5vzYz+Kif ndGh7h9u1XPR7kOzPT22YQzC3xNsjzNZG1aZov4x5I6r7+5OPFdjSGNiBpb9Crx4x3U/I55FWNsE lmuLun+C0IaIHGXC/O1KCnOgGKSisisxeka0YqRZ8Sme/MqfD1c3Rx8EdlTuTtmN47sDUna5nAkQ N5z2BCepklx4spG3VaXaG2Qj2QXEQsdYNeg/tZzhM2hQqxBtGbKKPZPA/hLTh9cVLidEAS7zLh71 BXrDIyNqnzSfaI9xW8S+qkS+FYKp0vilGwpgVG69Rd+vzqcg5q8c6LZzXE1c8Z8dooaHJWH/dSBW FkEWRJxoori083YpPeZQV8bnEFlPFuF1XRJHCNGJO1BpzAOQO0cNJ6KoC2Jrs+vXhpTAxJmCS9VJ blwiYNEtuGYXFmtPLxN1JIQDVm2Afvv+FQr20mbqIqHp9pZZIgyWNm4Dc1DyuYo+KNV3QgzE+/R5 JD00vl+NEi9pxHOXl4jBQpX8lQkq4FLveqn8eXjYtOCG1tXWcC1hiEpKmCosp0riCGgx9eI2FEo/ d5gE/neWr2+q9qVy7fIcRzrzqN7cHLYWMEPpaEZ4OFl2cY2acBF8atX8BVOGJCp0/U3AERhTZ5FJ rkL/d0LgHbABc9qRABTHB0Gv8GbkuNeyr3Eq+LiASh1gQKglgaFuMmZU7/k7lxGfg8dU4ly3TFE0 as1akDDeQRpF+JGYVKkZ5XidMC+2eqE0oWTdfh21wCHbRusF1mce54uPeGK3HYIHm76sPh1VnjrE 1hW4wzj3MCPxFjKhs7SSEFGbKaR+98+a9KN09d/uZk0UT2vMyQ26kkTx8tklO2+wvK8a7ehtGMLI rpfYmayz0YPopCIRvu+R5MLDJOcqt2+FAy3iwwYjS6JOJZR9BDjHIpe0+LqTzDb67iLtKR1RH+qw aYNPnYLD81Ui86mgaONku/nZt5E1RJgh+CZmd6kTYsJL4pMTwFJVKeQRSjM5mI9Cn+s1Cos8J/SN xcT0gyIsUVbodjEZrtryvOqw4X0PeUkZbEF5+2LAsqNIrC5CPDQ2tFJzNAWW6UYhI4U38T8OHic/ IpjdMBn4YsD+Qh2EourGdaZvKu8scaoRupuAseoPMUxR1YPjLdNKeDxRQxobrXVB5sh+cdKcnmAb Zek5PsnkaSkt6IoCtBH/j0okLWBflRvVyjCgVYID3qruZH7mhB0AnlvKUet3STXCAzjf8NW8OxhI Fg101MOTUtWFVeX9Mrzno9kdcnA5YtU0W5xw0E93Vws4nJGZfT7Z6sLDZfjUV8F8nyjcw7WEc6P0 JDIUi/+fIeIrmqVT/OmXhTlqCFOzGkLEJ5whebEk1c4lHqiUQKae7xPw6bWVqPPfiywiqeiC/EnS 1DydlJ729yANNguS34icZKIX8GaPOqRLb25v34ywEJz0bIDPYG2IuIrQcg4DCbuZyl7pEoq3wW6q YidKXWmaHVvdkGOOr+DVT2/LtXZ1OwfH9yJPdwJmLjfu/o66heTw3rtODd9hE1iZ/QNCnaCEOBA4 s4AjGyESltG2TU2xCq6GKjpWOI9j4mmTXxXQprTL5FbwRnQWaIY5svKiwN7Xs2i1sjdso+LkokFG l+SIkL1CSpjuWS2CFT4fAEfHwiYCDAQd6ISl9/vNN+t3Hk2IkWOVaFE+HyMYYG4Jx5aPLgOSP3Vb SSi8wmBm+H3/a+LHgehkOiHUDif5S7odN/v6pTyMhw/JuHSf4BK3zG5a+TzUXbk1v0a1sbp/xMg4 Z5shUk2mV/sb2VYmdbssx2+cLBk3Dmd9YdU1+HQxYS0H84SdVPzhOC86Zz+xdbbu5v5wnsFuYZ3G ml3brv+X2N6tw10LPG/IdMv8u8XToLTrVdzkfPifmIQnIAaVPVSO3KBS8ToWbftzQuV0itCzJCaJ 0ycnNBwUQ5Zr7mjuTtkYfLSy67nOcC0/G9rjA7GoXg3v+BsQ0Kve/yrdVo50Hv6AaH90I01zwk+G rePfXFB31BrYhYPdKbYDb55cTFiC+0fz2i0o0XpFeC2tsgDMCAIwx1rKZniOPdIvf1gRxGYnx5Kk wqTx43kLfCE0aDi7NAWeOa6RxcQiscTK0ToVpIDc6Lgpj2zprhEVCl62JQ0oiiDuUy+vFKGZn2Nl GZl7kV0FdSxMboMsr7TyqUVuyfd1mwi6ty1IoCV8+ervjap4lxz1kEImmk3GszOhaVfZmfzPj/gL xFkkLtcPy2X6w66BuctbzLFlxxQNom/gFdUyOBxmbYCAPIkl2HIQUZJjjU/lgzjK79w4eCvtf/Qv R2Myax5GNTagc2OPVDK188mtpf8HE5NHyesL2/XGAFEZFRghOIsoiY+hGAOqE+/Z3IYG35AZbox3 9KvNJFLJgmRx0nI8mgpnnxvv+OqWnSH9T0z5CgKvF9ohljxqBZDbRdtBUBRRUBrZj9lHMWPLMOz9 HE6BL2tWkzDF3lUQQIjHnTuSqOLoZXeCWHIJG4MrFupvjNs5HaKX89yahcbo5L4p1Jqx+dwCEyRi 9q7Q1e9bHWHE4rF2M5hIdAlDZyxsE3xSLQF2GCvf3sYuFdXeYFo37pYVTJVNMihRTQ+yhlv+WeCN 3ZKUWKX8Xa/FBPSHseaGehBcYjjhn5hUpAl3EjtMh0cKbs6ozhUxi5qFxgIs9vAjZ1mlgWKe2OUY ZYgprf3521nqse1TIK/AV0HoDAjXz3l24IMb1XuDNskD8cViZVapF9deHRCDQ3ymL2RhF9FuwGbF y+5Et1EoJy4pJewSSW03IzhLUd7IRDvU1MJbgQlXaKLaC37QPPXSxzhXclFIEnAc2RHdTrlKftxf ++DWEcFN9w0D7DIic2zrvOxxJeglxX41iASjxW/toseF/8eGjo/tgi0ehjBaClgZkJ0Z8Uh3XeLZ OHDSMPnCFf4HQJ2tgnv8V92gnRWGuw1ND1CPJM5CHH96/VzAZ/RVlWYsXXhqemNhxMjs8c+cOENm C/2xlX2w/z6a0nljW5J9zlZagkQ9TBxOXcHm3fW9rl3g+vc/E6iSywpc8ky+yc0uBRO2vZSbqv5s DlNg/u1fT2KGIzsjM2qloBQui8+SV7MNyyECZtL9H4D+8tif/hNIAz+UxT4oH3j6GhxdMuLCfvUw +d36XuPb12fbGwv+cZ7zhPUzd2zr9o2yOBfIY4NemY7mgyvycVsSQ00JQZ3kCZdwBS4rv2Luloxe injrWIUEvx4xLHutBPC1PP36ZVB7C6Tqc1qtNP8o+4A6afbP06mMRIt3O5rOis1Yt5a8S4+GqUBh zZIj/fVKrUVoSONNO+R6IQbdbXmKExkL4ctH2S9xjy3YbD1MjLNB7muPBs+bEz31XH37D+jNXOhV q0kLp4RGwE2IClozeWsidcLHQYnKysDIFfUlr+TV8Ja4IDy3fwZkoYQ2kupzcZbh4vEX420mbXno da+Ewh3cnZK9TFeD39OR86Qg0XTGA+uIHmOxcWli2r+VLA6ST7cLJ9AHBG/5V+oNJr+btGzvwP0z GIZCqfqNMDO+ayy8tJjGlZCBTfXR/phXHIFlm0DMsKoDLL9UTokJRWkPGJxEq9kWnVU17qhBbJR+ PMdAMDJwT6QcbtnTSBWXm0CIddGlVMSLm7ulFwRR6uNkCZA98NpnnPljOHQMA1GO+C8KuQRevzu5 AORx4T82QvS/6rQVv6XFp/F7uAIqTJVgng//qKgs/0bX7s3tpy8sIaGyPdzES4NiVRyE0ciJMxrV bMlDb2654FI0wC1tqhsnbByk6C9Q7KypRsErbhBVXH1QHGoEBntrxhVwmc21suDBaIfzTbOXLSwe CA1Fd33fFl51tQoEeY97iSyo7DC/X8K1fCSB7n72Auya3+IukhdbbjXQ/vW2HgWv5FrP0N4iKLso JnKnfkzXsiZDOkUF7zThy9NVeh43GXHQBGAlbAWSztXWe9sQB+/4eQq0XRYxmWni7NW09S/kzbRx GGmkz84D2WXxA7EZnKsRbldYiOVYM+s8E6g62DEWRQa7X3mAWPRPW9+BjESl5xXuAdoyGCmoQQl2 zsGc/4arZ8/aASBfYcpt8zK4zo8sOL5Ilt5RYQAp9NluFPAt+mz3nrRBz0A5l+06pRm24nWERjq7 flZwd5mnw0KPfcaMmxbZKYZHQvX0VSGSd/4Spsy73Js4fm6NndbCpyaSerWZHzS1Y0Z3kjxWDe8K E6AhfvYt5zb/jMKpdPQR71bEVtfVDArGYm2yg6TySvsFIuU245+cqyhWHav9A27IZYZx6HhYYfTD Z0WIyMBL5HV+w1wHLTH4SQYpkirA1pocmtICbhsOiJm3haAuLfy2A9lumYSTgLSK4PVH2zalGm9j m2EuN8OQPRLKksKwE7klq3dD0kFAQr6q7z8HLeCu6Daorwi2vg7sfNtvrU5VRFyR8ww6DihJBeBF zmLSark/U4980txhMTo7DQuH5gT62iU48jF7BYN9MI4vjkZXRU4DwcdyLTHzGMjogqwbGqCtUZew T1jO8TyX7LokAsft03WMMb/K5heH8a5BxufETkMq/2HYwQ1Eej8se4KZN+8ftcR3wA2hM2xQT+Fm SNQWJN2nC8blL91GaBBBFT3yifX5Q91bPaOr6XK5hj6yIcZvd/f2tl4I8zk0vaLRbdA5FJ7nBrzQ t/BJn9PoFlr393LD65IZ9uNTrhp0D1PhoF55H6N507Mt3nC87vqR/ZbhrMZnjjgu6NAT/Vqm0eu/ VE8HC5YsTmGa8F5RSTHSWTSIwzN9mPunaK+stxFtvBI/ySnk+yyqENDvkCoVDmubuQtCgDcCKtj2 eyGbWZJ79Ux16AJqZJWNM4vZa8P2FtZ+Qu25OGgE1kjxjxATpDadiCbmsfeKeiXQ4a1tmJXEPDVE HIKFb/A/dN+UFmIHogBH/GPLg7E9IOdCbtmqoKjr7Nvi58wN91P/vtTu7r5K11MgOFSAOmX9tuwp jpO2T+xSrCl9/0WazDYT4TlKJvVrGbclNEAXbUI5reRRoY1KVkI3DvRr2W3/uHvQduU5RBFyxqD6 uqL+JfSYk9TpiTFWrmlWYWkN2sISIrt36XBOnYVtYs99s7FJrHKPN3lHJ0u13IxbFzjRIg0hs+IU ss68x/H0IV80XpE8Mf3Tr3YgyXz3vNGB3JypTtcDu+ZwKRZjz2qK/gzZrj8e+21NGNsNMxk1AqG4 SXs/WpApFTOg8z2Z/nQdzfQJzGAR3w9KtPJx0qmBIt4HX7qpsmVj3Qb0tOreCFxgvTNKhESCGS1W 9ThA+/6hmrMWTD9isUVUAo5mhv0pK4lErVTYzkIXvCOaR58SW8JDmqOzfwgSyf3DHPsEuJgIcgFd ieoeL8+IwEJUNYv1wzB5VRXK93BrBYE/NGQ2TzQGtWRzzjNAlpXifycCSnbgs81wbEcNT2ScJ/62 /pBIKFJfQ2jjFL0l4qfxQEzhc6mqQoSKXm7pYJhAYgt5zGEz92QyOqEyZtbLvGh1hhAjRb/1hPC2 jP68QAh0/x6gPl1dGncZ2DlPjb07nPlnaA2EUlcAgevoX7Hn4U7bQg1luiZkio81gJanDLHG0eBH LDqvA5aWo2COhtQMBtUwevjipeYfNG64a8VIKedBZ6y7ZAmyUYtvn8zyhnDUK5UDjKPP09fc2D65 pePlQEzuS0mQye0FGLhPw6fJl88WgXgoH+xNpvLT6RsFSjogl388SmNrgGPfSkOoYCN2Z3OI+BW8 7YaFI9vQhUl8uzCTJ+OLzwrS2amcsVEmBSUjf7sHiUOowXcKW3Jc8NA3Oy+0vCsX+cm4lXrr8Ybd YkSKQlfyme+vGIxogLlsq5g0+Ema3OJlatfNWVwvgoOJvSbOLcl1Xq45ZH6JD68QpaCWbiLjTqv7 75ZWNZLxuzofcIvt3NVt/8zQqfjllbJsHW3+s9SLL2eto7ZxGz3mznEGVskbxaEJuwdrIIzj5CNT 2B9fcPoxXRigrlZT/Le0LO2k/uYF5vcKPUTd6CdRgMjvePl5EcKQ5X/ZoodGDsACaw0b6TQxTZXI ENEabs5tRmnvomyakDVQ45ckKHv2M9bDfKTqY5PSCcIL0briYIhzdeJrIN+jNOg/bNJwVhEKxPD+ l69TQpKe2igPs3mM/ZNHuGv8feuQnP06SK2T3jeg/3F7J1Ga8sX7Yk5e7IbtXHvtg8i9wfSSk3gb xbArglwn+eyGHMCgViLkVX/NeuTuFyknXtt9EECgSnlZLaJRHocNqBPTjwAYX8sagkeuDS+fNlkw xzlwg/lce21d1zYPo8qQAZ2yd9h0/z77ThpdEi7U9kjUaeQw08jaziukCRUq5O5dOaaquxPSsBzF HjDybPsI1jnvKcFgKVPllAvD+HTRb6xY4owO2kjS5bjvEChJimQfU29MaIiYDeTw+a8VtAydei30 2NVxbe5z0QWNd0k6RSyy23BXHSCYzflGHoINHSEGJaQmt79a8tAYg52KnvADLRpf0Oar1hNslhEA IYjpilNDXV09Lf7xfTOj5dfhCF73dRayu/oLlNgVN4oEunxaCE255FOq21xGmDO1wDm6F33Wrtu+ uXTAxSLm/y2Nlpvwire/MMNP74eJdG6+Gbj2vKZwRRrF73RIpVYFiCQJr9dLJKHBKguQVLot06uh o27cttp0AJeavy15z/wuQOfkA4OjCtfzhKsYlUlrjtKOC/Q6ZlCkkxZ4CtAyamyvf8CKakMUfyXp eWetJ32qbOfuduvK288ciboNBBmWG1873wCwrVB9zcWGIFL/jw8gKLd/LvjjZS++QNnbmclPuWmX LqP8LDp0RCSNjXNUReI1mweiWW0fuY+5x2foV84O/zNF8I7X4Pn05bjaxgmYwvNszMZHpoQ9caZA DYZXQDDkuyd+8zTJtGzDJIydYFksWEkQ/Qs87sa8I60YyYxTNsDx7HUD7onZD/+ZfPF621Qnr4Y7 5eXR02P5jTBZ3mQ+eyDT9Q4eDPwGuMd/mtfmTwx4x18vrw2A2ZLg0C7nTkc52AemzAmzwfEFfCUV KM8XbVfoq/oort8exfJaFbJPcM42pxj7Y+bdDiZPPhjeO42ASywKZqmLdyKI7KVwjHgw6c+A2ovf nsOAFOuQBd1bd8b7emkpNZFoR4E1DmVOeU8qHIm03LzbtrrrJ8xy3bYiuYhf+VMwfOoJ6Qehi/Bk sAwiNDyv2SxGCvpaiV89OCNDfbfv5wjINkMsKbaNDXv6tA5oiVS4QygeGgn4i0JaQAYPO9ytB6YV D4omSMhSWrqSazmfumT/U/x9/VJit1Lq+zsmaHeUA8w0baiyLmkcXoND/kH8CfVsRn8v5x07l6de MIgVT9gWAfvKLXzeUbunBA3oxCYitGc6l/SdocfVM9Z5c0hWJeT2XJ0dukiejQMovdxPnJiTX6me DuJXaN4Uk7/A+K4ixfJnHF0zAQof7Tqo7GEazjq/FQR9FN349kKIExNMHdRnBXXSoqN1FggpMNAl P1A5aaCEfuUUjlY5D3AjIIoRWt+ybx0mcsfKcO4pbFVjKEwdXnMKsiLpuNwG0++WpLmKTsxWImBD QmSZ2hYRynNhoHgXQ0yO5FZl9zYomY35Klqq5GVmIrS6mLbkU9FnvyD0STpFSEga80XrXRe2SDLo IA1h02oubcmjoKECFCcb2iyVdAH7R1xjA2xofew3JYLA9ioAbT18lCGLxeeT87B1UKbphIXPJI8F 0DIqMFFd9R2nZ6r4nFtjuQ8NF+vRVmy+tss1AazhYeBLgRHPnhygun7aIw4rqRnrA7f/zJXRA2G9 44/80sYTwkKOyJwAVmXQeILX9598o8k355N7cZGsNifYI2Cjaix5ZNMKY2w9qvN8fDQobWSeCTLr LPD3cGlJpm+zmDn69U6d5yrnar/5LqY30mufFsfr3gP5+JoE6fjFUWi/YCBzPS7E8ALMapbwzTve e/RZOcxus/MzNIYbhsWO34O2ZvZ/GeLd4iC9khx/l0rGkhkma7SCGB2zypbHNqpvIKdH6PKUmguQ cfVO7O9afoaWLaPS63oI8tXX7WYnX51B+3uIgNu09fQy79VT/WqBYsJckjku4bR6VhWPkGt5jWFK KZ2N/arLpH8kUWFAGUUdjucXZe78l4da2ZDMf8UxEQS3CiwOA5aTQjpoKPdvNE9kWmOYdkxG02/3 aKAZbRS9weMhSkhi8V115aVm8ImdHXWyXP8++knz5LtusbZnFfSDmduUw31RaQg2NMPn1Kg5Sxmo 1S6ZTSo2mH1mUNifQU3bhkoDVPGU73UDN0D+DyQzU0kjO6gnzoeBDbgr0jhnHbSjva8Ftu0nj/EO lf3fm/wKJ0z+wXLlMjeK24xqnD5Yq+ZbaqFe9BGZ/R9xbQPVM1/CmXkGaj3jgmuynYLD4GWcJy2C vhbBXRK+w5ghebEmS7qdB339baF9Y227pAlX9HJvSoGl6Wr+fdf8CundmolwEQmA5Bnmc3QnIGKf nHndOImrAttqa+BURQBaBW2GbxLCVyo/eGn3kSl/iUMbabv+a8h50fKVDhqraRVOPIDdHMGbHQlp A862nfLkZg7qxQCy6uT+MmbN/89Ukd9huae6kiGnn3BoqpSHMXMMO/GWNtUbW8A8YI17sAtRp+4r krXeBr5M731gPLJhg10T2HohYmWn25xUqWYg+RrIexlBURmvZ6tlQVh8pR5LwcVo/2Y1Rcrg5V7s TYMAjxV9+o7iyTv7SuLeEUs+UusUdXOV/Peklu9/ZbkbKk9PLO3VI/duh44To0J0w+Q8XOKxPvSu eREUbD0KcVRnD6UFbZcaLg5TjMsQvGEJu4OuBGTOi8MO2RqmWukqDIS+m3mDwmZgbF17sdfnYXZh oSm0oIEHjhzoBgUkYLE3IUS+3rScJzAXtoGStk1SbcZL7qEshUVJKBILiOZgKJ0W02cm5EaSxjjO qwhO5nXSikX/JmLoZXBw7yTLO3M6zMmk0Gv1zudRyGzlOKFkQJvygfyyD07uIRVcAmV82gj+bm2C 4j//K9Dc9VLsBDFOvWBEBfa1LC8Lc0vRx23QSuDQ4xv6Chg5yyPENuqGD2TrZbGR0easbCkqiX2G bM72O4EWWoDi/A4ebzPd1iI7NGm4/J+TXS18HHdvbdCkE0BrW9nzAHUYy2twHH3VKH47nv3oTqHt NRi2xv6kd2Jfwy7cr4cN9WKWZ3MXx/7Dde/ezsnA1U98BQ3bfZXn5/9nQLGLkw9q0BT1EFABe/8w PYcrX1MnfxxZrk2hAtEhgUwaqoQsN2fzvzFIbN/KpWyKLaTwRRf5l2cVJXyE1waqveRkKRWBtYLc plJMuMWUie0Cnw/b6AjoDVcBuwkXGbdUM+vK6S0kPzd5sB2w7ZURrbza3M0zyBzzSRaxXGcZMEM+ iAq47gtF8mRZY2j6R5xC6aMkxbWRSRP3YJoVPiXj6pfWVKJl8zyNyRTK6+4l+8yC8u/gqcaCChQk 2S6E8RxY0gLQk5pTcmmiztfq9am5AhtxQn4U0MxraBq/WAgctJ1QhSWNNr54DId3EZBzk/qo5o2m vsj9PWKkdxNRJH6wnXkUyW5EL3U4amctFYTQTmYp4pJ8hjVNtqBE2+xpWu8Bkoyqe4ClVraGbc+p +7hG8rbILm+dqppfX3UUrbM8lpC2Bv7g5gKfTV3lpfrcrAzGlM1YEcbKQawBT2dfmavYsojyVKoT zxEB6UKsyuBZjC05KGtwkXQ49LlN3Paq8zNLXiDh3/1cW0jZJfuzN7G8u4OGYgdwP2Cga2YmVea/ kCNoOfGZLeVyDWSjsreXSe/Uqcm6fafhhA1Sob8Vr0uj88eJ+iMcxZScOsF+OoeNpXo+QinnF2O4 xy6HdTnwoWlGCbu/gdaGh5Ki0ayyRmGb2PTZKeJ0LwXgrr98TIHrNNCY9Mqd3/aiaq86BACM4jGd BnU8n9ysHdLWrOphrGOfduh6Ou1Ov1PNCqBpX4bGxGWU8Am34GPsu91q2B4rehZM2RHqpk5R2l9u fowssLMytj4qT8OaHYQLsKjUa1gL1Uqb+LOZ0GxOrUCeyBlDv72MhuO4ROSeN1ocmlyOIQctewfm unArITXfRwjutzxmog0I+8hCRvmjGoukIaEOv6OcsibjeGfzNxKuRkd5DYdHQzOtpEtl7eAHCcxF 9z/Rtcw+WmLOn8L8LYuFOJwRxMeSBEOBUSclHkdBOh93dQl1riaObjvM/fdU/M3ADAig4YRtTZ53 utVp0MB4TMVjD65XAwPbyBfCyGFYPCZ27dJp1SxMXXpYeo3giNnOxK9QHS21ua/tYXATVb9ACJu+ yADGw182tZbZpHLLVRQ5TDfY9aNasvTLCGqtfMbq2L7ACPsGJzi2YT47C7NfB1mCf/zOIY8RM9wj otUGrbQACK7Ix38jA8goQACrmwMY8f968dIR/d+f/1kov/LxO6614V4qdDGs3+q2FJFlh6lKn87P eThnUCstn+RmjEN9sMNFBXoPWb/ilWXsc2+/0LZkHAjvdqLRbRyOqi1l1AuD6VVz3kNBK36IAQbF wJZQlOkAYYSZYACGgAZLgOUeaphhMVqPcYFyx57B20Fh4DSzFmozHogN9hutxMf3IyHdXoI6uU6I cDUq0OJ74sUAX2hn1M8InelaZ1t9qbfLjYvGmNnnrBzJU+Ytvnv2rMZ9+2rDfsEOpXvKX+GOtcvq mgaeTxRArmRwEZAzPIhtyZlE6jmHH4aeoi+0KcaqQprD4o+aNrrh7sIPT146v62cEH9z3Ff8SJcH Rgc0jPQKD1qll8KOz9/PcMTv8RocYoD7fxOK06WgL1d/kNyMlaAllYod54Jn4O0qguhv6wDLG//P 8B3mya/I1NbP2SnNVHtOWcWuF3cV4NOyKCoo7fusJ7tTglmbatlY+GMrWJU/xb6o+NvmEBiLP5k5 ezQPLLh2iuchjrmfpv9z1kr4NdEEzJdyISYk9JkCQDXwsTVeUxkL10YbrZ3HoFbGBKeseWrBqgUj pXAsSqmuFzHvXRpYt0Sl0JI7WUtntzewlIIAFqM74BkO2okdv5yNZYlqLrid4GrPLFG1fEoBmtsa 9KsxXuNPii1f72DLZfVO1lS2Ei6XIdtcAJcfnq85DhkuLoP2DlNTaZ2Rg+dqHeZNsUcGvc5tzAln s4ZZrRqfCN184i0RQ3CWUEKtDRTohPi2ZXzn3DJN2Wh2FUK8xggDc46sa1dIQ9zleFs8wkvOhffa XtENnZ30bF7DbtGkFT/xaoFtZCFnHkQl61TSItC6KWSbyZ/vPXht4fV6si5Cie70sTlOLBLJ4rmw MLVEwhxffH2P+zhV/LrZkZIubiWmIKlcpp2RgNSdZYTMlBVTxaEzVIsjELDwU3I5mwxvR3UNv1rf 7/nFJGc1pAoHqNnzHypHq/+W/azbjXrKIkSSahYXOXoxn8pprdDcf39QLDNMAay2tW/PIowqE7np zRBF6rIjUoQBHpnhALkQ327D1x5gE1YY+pDXVLvKJVsT/3Fp1OCZEpqlVPMlNU2laRZF6pYT2X7+ V5GnIKh/zc0e1U7UsXow2Xjt3wvJR5GR+plykatJHa35rXh6Cba7OLXPkBENdzd2Vx5tQGRMueeo F9Ce62p/rzEYeLTP6jgEVjcL0Fyxrs4FPPAX6iljd2/40wdJB06DiUEklS0SyVcqfBhCVL+As0rV Gml1WTTGfVJ/H3M+bA/mTRsFdSaMrmS3iAFFm7iFN35n1u0n29RYBwqb5KiUNWafjaFQWAaTExkv dMmQ/7jrqxk7Dswgn9JtXmUO43+hiYQXSmyLNU9kKxLY9Iu7d9PLF2v7H7HClvOPhWcooqExokkH ugEsyeSFGqIBljpntiCyMaAf4vJRNXtszT3Kn2oC+yJndZpuC9SibJBYGsg7c73rKJyf2eT60H5m dAxoOzjZa54k/I8HI0Z6bYqgk5NN5zAcGszIoXZ0e4xqoRJS1WVjDVGNlolnW2hcY0wc9xp5cRL3 62WDXQJ2SitqVWXJF300ltc3LI/eVgbTof38N1iN457gPMsZsGPzhP5r6uI0I6e2VdTfBch0HpWd Ip+nMXqU6QrORcUIkVcE66OfI0eteUFa2wW0nV3yEkmsVahhiI4gXn7+0cxrw102cOPPihRhcl9d 2YuP4Cd5K23D2C4OVyqWk2rheHp4ITdGDp2lu6C6X+lR3qDmzQPE7DSZ2FZH+ipr++TYyx85OxRT BNpLNvpXErr2QcmwEZ/s1Sc0ngqC9gJuodkV/JvEOawpCcncg0UbHdve6MIXNZiTrDmg2JdCKNMV E3JXnvLap4Gl91cy7aQUia255Bes/jBd5MiwBKkrkPZLoIjXjBUzdE+MlEWvnx4+99JDjBoNLZ+I RHSVOGy/owT8HIs8PxpS3hiSVhUDOJDEHV6k44vmA/yMr/B8D18crz3r8fRvLTMbnDGrFO4YugNK grK8+Y4Au/g+FBOGHhMvT+SRJY1YaxLRwNqbyfFlDi9B6qSsfebrk+B32jkuoAWL+KU/naU7NBIS FxIEnFn7YiktD6KTcptQT9TAUV/6IzVEsIqE11CPUKsKz4+4nGISF48T6US0NIeLoDXn1T2wURE2 kpWbhCaIHTjkS+Y88C6PGppjotL/tuzIZnda3GMaY5XoWXuqsa+Kb1Uk0LK/bd8W2qUG55FMxIjx godfikR0egXZjVf4rnFD9TfHvqlA6aAapH9rJ3GFWKz3l2An8EVPis+Kehdt8Q/bFPf+qQH6lB/r 9b+Bwcf/e819jHGUD+T7fkjbGlOaWles5CDoYrn/+5AFIDdTHDhxEV2WN/Xc9vfyH0W2avpjYJEs pm3w0++PHsYD1tfeVAxeEim5C3vBghB++3mRMcwQeYKk1s+ICBidnovpvKpNuPnbBt+eb+RY6SdZ 4+vZFP0dUTKWDqyi218byi9Pi1WfMhMy6RobyT9f6O/dzcOxUsWOPUcHiIwOUJ/Y8E/SWtFUVNet qdGPrAJRhgZgdwF2P1b9ICM/MUps7cCTrg+qnialvlPzUveR7Ek8ndiy9cWnwDK42vi5/0CAmkfN QLCrdADF0+nKYuoOUMxso8+LWcYH+1IX8CVl0uXwaU7YacRBg/8G9ujE92bo/+Drmyo2v7rT2XY8 +4aEYuoHBVCtocwzmZfoqSifnVG60mZgfyHejSg+HoZCz5qYraPHZOYArZ7kaBT/CM9C1GZ3Mh+k MIywnN66eDu6PzuZBhuqR//eKuqfgdXL1GhpeQeCtOAhA54xF3BFUWm7+D3QWGUDIrOguNpXMFWP BHv93TXyqvO5bXm5sL77x3c6yUjyA5OjMqDfu9sJjWkSdoHqArA1IxacruXZHDVwOOmg9XgHrtuH GYlw5miW4RpamF36SVDXiVnf9pnaGLfgYI/iKMteuQcDDNtjwpXWwkfSJaJpmWO4nKraTA7GebIA oVI0XMb3ta/mGZRVTsJBrqspdZNOvWL7rgTHy2TtWs/irSU75q7fTK+eWTMbYljQYdUkabHbmDfG 6Q9nElW1rdvOGGt09XfZoh3Z657qWG05pQRnKEOww37usNJy0EPylgrPgoeD/NlQm1kk0JeSCyR4 nK8neS3Ymccqxd966MmIKhrG6P76cxULxHcElIMjvJrScHaYqgQw9wed0WFejR7fhVrsAzeZ2XXP H/b91Ni4OSaLCB9RWsuFBz7ujc76lIepyn5tl/pXBpCj+CqhccaHJ2TQg9f1UP5+PxTfEyj5Jw2t iIUC2v768R0/O73frm4LM82rpXQjsqdy9XmY1TCmm7arjMybm3DN4pKyEnBOI0S0LF7wZlTDKNNy ooQElEAYSkZ8U3qpujdBhWOf2KNkUBjySTCayidIDjicS0rHcqwiXvbbFYDWHQjKjpMSwzzYN1Mr tCrAPSbl8ekpGUTsIlyn3ZysGRpeS/kfj0GaV7Q5uXZETPgXJYQXK+TdoLgfv53Xac7sM4vnhQ9K Gw8lYScVtW0q5auZjzq23Jlc44S8M8nYEKl3s8mFKj/OkSABv1a2bqTMB32umcarJ/f5XRk8KAsT 5l8/+/Gw1A+h1EGWxAzKfmBjyVVuWz69huO9RQmQ2p5lxm7MaSTfnO+Vzlngg002PJ66A1Yt8qMd dPD7+01ff9jbkTWpalebLy7Mkwr+QcxDfzz0QPLiJamYQBVK3SayEH9yg77SlTYF7CMnK49tMVG7 vVAHEF6iyY9XKI4aoLf7iK6iqfd/R9t31dsBf7o5zMXfqWYY9P6vpm9GNEMcavxRYTOoE8YZ0skB 5jagMGz7mKI7BkW52bJCS+Z7nm7ILyY6WMhXCjIS4cvChNSh7oYc40dxRQjtt55ESo9wtauaBOkz 71qMmWEb0C2lp3ZSO7+tkSDqk4NpMmzbq4mzABikjyW7C5btz5lLHYzdpxd3SZ1otG2jd0qEalD5 CWlpxe4YidEgoK8uPr9vIXLmiqj2DCubEZxeyqk5rmAdFbZdDxYR8FEYnSs7/pY21GL/FI7I+Xpq f5QFZTOIWZxJ2SChoVhfOJ3gCl/5SiuNU4hEUjME/5NSzzFh7EYyofKLiPvg0dNuRwwrmHONwTkL yRaGw+ItNuIE+68wuTxZiWy5mPK3QUep5vC1bNrhhfxmRE+3BOPzjTDpqGL94lAKFYmXKuBCy3oa 48BmY9hnE1UkQNTK6T9KrvEq6qHLafXKhjmuSiT0hD8J08dkUqB54ukP9KLvIcoq4gyqsYFckEZr fWk8OcFi22oFAOlMlaPBpH/yFW7iLQTDzyxAjL/RWKMAK5E2cpfmJtRMJe07YkoCDBGws6IIULM9 wBcawHIXiQLtS9+syT9ZkthsQErqr78n35UGJWSx02Qt71wt1WD2gxVctjadZrRYhoii2MD46ZU2 BnMwMtp/fdewVKCPrCWq9c8omonphU25QPAN0DNt0TfSlL9c/YlJOGuIWNBLzBH2NMjs0M/hvvWx U0b69AF5xeW99c1c2dtNv3L5dpTDAhnUb2VnjR064Je2YCXGApitrBqQ8nxGBdPDCBLfX1Wb+Jcp WE73khfNYwNwXHd20PjNXKncmaf4Te7GcPFeuBS0htfEXHrGCel4Gf5HurEJUPNrfCA+PYiXHm4Y u5bn/9KenUc+tUutCI5XkcQ3h3bBPBxjy0sPWS4yAzTV/81VZhUmrTfFMX1rMMvb4vMt0tw85ps9 xu5Gv29pwwIoCay30+HulnssdjNo3h4mgofWP3i4EixaSINlRzsirgyee+NMrAI+cu9LNYa90Du0 q6QkAadWuCkNft8Zaap8XJRICkIgIlBC/2U1I5IMgM/VtcXd2/B5xyz64/qZrUngnqSldIji2ndz N/X2tmHxw6OEIOw2f5tJzz7VGVlwCou6jcacU3Tx+aaxnYdBbqhelqXJN8AEjxYg7QlhvfBnYN4n WnNJLcLagtQnva7iohdRiH/rBecwT7nAWyC7s3ZlhZt1JBekJoPQoAu5xjUfF1jPO3LtEbU48H6C aBqkxinMsyzSYNmc2UNx9nzsJF4SraHOGzJb9kvc/jlTObuHlVeyMe90IlzXLt0IdtIXjbnfVVWm A1SDPokchVbitZFj74diQXHQbMX59/sXFKeEDrIqffP8rcsP119YMWIUSr8W2ZNTI7eAAqijWZ4X MhpjWZnrQX+tjoIm600mTSPghP1tAouwHfYhv2AijTEMCHDtL7lwkaWBl5dosjVhi1Irajn6BPU2 YgiXKjR4gAay5WRKxhpHCzQlSwdCIJYjWxai1TqTv+Egvs5g2uYxm4HaJzl/Ar4gI/UYrxZq52F3 xw7bmYMi0P28jsLDg1KUgw96XUhxUFtGJ1Yb0LT8zWxqGWaRHDw0fSIW1eDLNrFAUuA8KohKYmDF Qusz8JXdExvxgjQmdUxXatRZHRWxIBfU4axQkQC5CscbLSeAIhsfb21uaVrrmIod0huHOXAKNnd5 WuPtOn4kF5lJFNYJZWdXd5ChpHx5Z+MDCM64w/5/dgxz6saO8WM+cnGV9tYygpMqnAaORDg464yO bAK28Uf/y05w5/bwDnFDEjm0S/OJUEA9AVQhv9S9FLJv0/DNSdlhzhOotOMTIjctMdOg+nYp5BOP Tfre/Ew7haMi2+1nZhKxIE3jUDWqtUvX4YLcEFtv9CY4PARuLQcbWv7rJGoH386C/vf7ygxIT5pg 8jWS+R2Rgi/tiwncfwxRZeGukI7SV7FK4WyO5/E70kqFpwSMuYQIOe4LkDt/ZNu4QGmcPTPVfIGd hE8YqzCd4zGVtwNQVlVIvUZA6esv1Fh/4F/9/Nhv8zLSwTNFomPhYu76HXKBYyjBBIEKd+SaZgE2 QJn7B8WLq6o7FAfHW0vqLbZ2GIp8/qEDBqPjADwK1prd1yE7nHxG2HqBaJ3b44QBB6NUfKkK0QQm zi5t14SjMby6BFB0JOJ66v4sMAlVy49G2IlyRK72FMJo1fqR5c0QAj2/oxu/GNi6f21IQzL/1phO KQ/1wCzlSH1b7HaovPe5+OJpLIck6eCTo396qpmkeNrrSUmd3Z6YOvj/GRsr35JVLhfqFiQs5SaO Yvi6WAe3lCsBden1N33zifGBqhNY9Yq+OsSrxga/4+OqEnjEwYW1NVQb0gmAr3mjKNcFlryDqH8t UMelSL+ggtVQD4TrIykBF4Kn0deYexMBG1pXUkb6wpWG4j8imEgb+XibYfk5UBlD9ronA2xI8nMw BD4FOEI3XdpvSejFkpqiDBUmV+VzorSvIPYnt4kHaVyWYOoe7CkEPSnQI4NnKw4KsF6pYEZD4u1b TLJPwwDXmRbRyHPwrK2xjI+LC576+cKq06m2SpzFAqh9drRxHEmj6r8Hyc3zeceHzD4xAm69SghW TFY1bFRoaN0656eKj4MNixkmmyi9uwD43T81fjWhBiW/7r0UQkfU4vLckl0xA98rlaIcZnTxiRQY a+sWMIATHtl/ML2MApiVGoJodpDqHmX1Pb+5t2m1dgNHvwJySKqXHjXuZvdaof/xu8CTlHRUHamw IunatrptbBKhFdJbu2G5CoNNkt7f1sTpGbjqvF2j7z67mZVGYeBrhk520OMG1alPmlFlBYlNTI+j TkGhDSHD4oVet5BDDMnQDpLE+u0hmOlIo9TBfkpF51FZViHDd8ut25Y/HMnqDlT5a8pgIV/eawxm lujjRPitYVbagKd96TBPPOTQ2nt7o8De7zj76d/D4ZADWSvzyBeDyPvKXIK64Rxw5F9JhgWXDNZg u8YJYTq2eGHk2VFLk6aAzRr7ysr2WaEGbN0fWE95eAppXuDVv0OopxJYdXG2J4qY3uT9VaWzM3Ko IAwwGUp5r9DpRrAc2rfeD0ItQBUeL2cuJWD7N0Sj54QMdC6mmLJQw+bRCV6mdyfZWep56smq6DkG Ddeyl7eMl24SjzfDjaaUSba8FmyzyNFolhrly+vRg8/RHzmgQRsq9Siepv4XdJC/6DhjMT9r34Gt FNVv9Yb8ZVRyACU7iSG3GJxbt8NJIFCx6iKOUuFqHE2eopCw1rp7CZe7fMF4PWvDUt8P+2ZRjsO+ ywLc5ayonIHbU2/8nhjL9fB+FsL5hBrBW9hlRSbp7Xui0jx9v+IkTkXUTTmjl7BC/nzAvlOkroNK GbPtajo8PxJoUmKgkRnEipoDEh4NpK7uhqhHdTuZofpMWlk+mDGhKSwbc8zDoQPrvp5J/laIABk1 r9yqYHHAKtQFV3EZNg1c4uPXmUA7dzCzGTusz2RRGgv1uXnM4DLzVKee+saQK8y3dMCa3B1Uqx5c qOiI773mnx08k3/BVmmGu6JXVj7zOfgDMQcoYxbid+qzYf9eY3pqhCXwm/tsIb6gneUejt+5ltPg nHpHMW3U8x7ShaY/MLZDtfoAZJAarQ3RVhhq8I5Un/n8mkJSmpJOkF8hNd7NLdzO1MD3Kr5n5z+C du8jBJnWSsQAwVkPb2lGS85KbLk4N0z6fScfJsUIr/eWL6jucNjsnwT7NIVbzLRZ0J+OWUHRddk7 3RKuXVI+Sy63NcQTIJpEjI+hC9oi2UNE+tBSRLYfW8mhO+SgPT2bUwkinwa3FrEMte73sKFT6/iK uhJKNzrzdu8qjmVxeotUGUMkrwBuk6vuZ5hiOKUON31FHB27/jyim3SfBSk4C/tu9WRxeKD7b5u2 8it0p0iKQhle3bLf4+jA/XdqK6TaM1ijzURSC82zpbDMIWpwAQCd27ZU74xxYQPm0twJRM9p65fa +Iy/mQsKuJtyhluGFyqiz24Dj7JRn4AL9r8rhMtMaZTs5kR8AQ/3yvKLI0G+PiRzf+Sq4nsubJgF NtIzlXTZTnnGih9DkOcOIiEThF/czipWxRdAP+6jcFoF0aYPFpLvoeIhm5szo7Vzi1xYKKyFUAFw v2CggIIJZlRjgHMk+xpzXsJnLo/ZCWaxl9lCRBqAFz1jekrV4dyRsAg7Y/xBPOaFWu0mKzvOIkJJ tpmXwSGmiHG+agYBWMefXGI7v0bdwuesfUgTLnTqjO1C9BC/3hQprfdZ8s3H0uowm6C16dXOqtye qhUM58KK4P2kmb4gzxMWKBduUay/f5mxm03i3VB4m0CbA8WaLxX0kPm3ZCIhVqfFI2/73wnY5ezz ocHmeFVwl3QhIlu9OeMZxSkRDjGEJubT0vyJ+Byfl2vNpiAJwhuKUyANl/9N7q7NpWTNytv6i+AS 0Y15FML3LaoADvJHTH7REjhZbzsz82W9QtPP+THX8kapfOdb9gApAFenJdwmKyto3ZJeQck4r1y/ BWdmRs540BxYSA7KmvqHccxu70Bf4Tj7ow87gVNFDNdqLUUKgYB68l3GC9+puI5Z7opa939XfIpc jw/bx/G5RUNt7JnKpal2sHiDNooahTgxvs/RjpJe+AA4717L9x0U0IhSuw+twPHoSAP6HBw7HQCt 1nnP36PAw7WKhjG7DDjYhLqZxU5khQXyU/0Oa0vRGrmjpW1/cjQZAcsIt5W9O6NRALqFfbhGa+UA 4ZtA1g56cUR/BWUmeSzAjXWyuuQye1xHF/XSf1SiVZZZ9wVhpCmsg8vEdThkUWbVfgZRrR54gwXB W9bdbTlvxSoPpFMXSdbGKPozY4lkqEmwriYagCaUpStmuJxCyiTmIhSqV1LINxHxeCBzYZqeObSd tOnzQSFYypfW6to8uuR6/vyqosqTxXykM/xFnq/MPpx5Mi/U7B57XEtSMW8whpsk6XxHBNQZJ6ph 9ZjvCJ6GsJ/PVGO9kk+kW2sRXFXzNs7yEmrp+0ZBZv/h2zA4/InsYamsSxHbzWEZdAtUI5WWdD7B mTxsyBfuX4zuL6rpNCkWEibg7gMfVqcsGjNX5NuVZPcRJT/DY8XZPzrr8ujjCrnPBsOPIeE/hQrO IR/fH20pNBCsvFYz2iv4szrDbRF2FzYmitouH6SPiuAQJikkjVbh5POd58t1+ruMiER1zSSh3xRr LTnRDOk8I9Yq3MtW2mtMAO8+/G3RxVCatK/srV4U3APY1sUk8wxccQcUc3qCZN6eUfT2LttjOSD7 gGy8CApnmEg7KDlYFlYgSDnjME0GiNw6ztpdGfPtG3aOikVLyvZBADQogpwPHa/0wVm1cqQNw6Kq 1GUaYobdJldVMNeLZBoexhQywriM1uNJvwYzPYEugsJfCkRHs6MYc5M7F/F5EIgGMN2BnsUTeuAR ELKEn2HiRHHKVDoIhiOWijYVSl/N5M5JJQ0fhr2saw10tZ8pW96yar5ORyNWqsozq2iuP1qsFCCF jS1qT/i2u4z0Dc4YtId1uwUem2J/PCADdfsJDaxEWpr41uzLflvcStCuVsd5Yx1Cm2HZYITBkIEv 6kB9mv8uUAmSJYUAoTHNZU1Nn6LDwsW7VAA9zElEaXBArW4dU7oAJQH/stKn+kBxuPQqLYevkf/5 N4LAGlpjDGhvo++OGrd5ZjWQN1M8fZ8CRrbeMUSW/0fMar4kFiii30V1WS5dxpd5IYqFWvXYD05u 20TuuYK4/CDOpY4dYMhhY5ABsII6GRNGA8NN1wGy/DFbQPHPh5S8sGGLZvtXj+NG5HFamCIwL6Dg 78V6jhkNJAm2c1bJh/38mz34Xgx397HOOkz455SwOThCViyGyXNsj/ePbhwatfni+RcHLG/YrXm0 dk1cNCxeA5vDLI8YYZGN/zjiS2ZreKf4q/FbH7Hs0Pvs1RevEdHJZ72XN/2XWSYrRxd5GyDxffcb GedrcHFjq26fRvyLneVeLXWxjRkzbqYq9a+tYlKkOJW2d5uSon2O+UQJqvjArxB3421qnYLN38jR HfaTFcGC6QzwyNDp8riZ+DvVm2jG+fecxqU+oCyQfn/Ka0Sjk+UJzBAfbSNZRfo55xvjaoY524LK WNfIjgp7wUlvVGW4/EcCfgvhHjHbljjV8Rdg0fyHvGVN8JJHTdlLT7+KN6LHietP3BndW75dqkuq qdcacSe7RMboXBBD6BsbVcSNPazemycJnx9m5HU5M7lZpmbSbNNNeh9fIH+n8Ko+jGPBZktcyUkW 6DCxmFlQnHD91bOM7yaXZ77j6EWo4omt/Qs3S+SN45Yk1BYsjZnwg8bW9mt3kxYfP7DsMDrpwx/z 1VnUYa7IJb8pO/TZUfq1C4F17t7MniJUtmexq5mafIYMB6qj3Ogk5ypQbhOkc0o0cyHH7aLJBAfl kalkLnXEe/3gKYrEUTr9v6TRj7rlLPjkXO/8S4J9gcyfmgEGFxtd+FBNWEVi8np020AtZCxfvQvi fk3thBPvh73l3PzDHT8pIEmFlLaqTHH4rVRTyMHkzpfL19K/0zj20XFpFyAayDvXqdkjHDp6J4yB wsxWd21MRgHBTw88FtQsJ53DEKF5w3DeiWNHkloZQOiEIKHhBwc6YZofdV6uVFSX28pv2swSqrSD t7eMs8xuUYUCbIdJvgNcon8TX3SCTJNwdIxKBadoL5nsk0Gfjs2Sl1cwgeLfQ8edAdfyXOwpjgcE 7tX77snzRGSIZRK5o6Tpx29FgNGZrCYbpb4Zd0JnS8X9W79I/N9X6Eqp7mkBWFbr7nTEeH3x1Jzh 6x2ju+QsdH6WwK+d+uqycqBvWzCMm8b+hI0bkSfSpXErE0AXTtw1E9Bv8qoWZNavhDlCwGIALT1h NsZoamnHwtRKbNHEcHzQvop33lqI5WDOzEK6IKR/qujYvNYeuCjcdG99O4d1Gr2y1jFXcC25crZl n+86drkaGRnyMZs6ejHwL6WyMkUHF7kgogK3QE4o41ss6h8TgawYvMlECY1D2J6djERn07RWRtFc 7QbchJOmSpm/QeB6hfmSDAVtuBNyRwlx6FnFTsziukq9iyjUtNg5f/v8182tMqux36y/FTxfXiG5 Pa8pfMheo3GMJatjhV8wlmYTU8g+p/cZ4CVqDdiASPQYljcE9r8zDO2/NeJrA0XqO3oBFi1aZksQ HA8hhEPH1V/su35i3PJdtrjJtGz8E3HUugn4NNbS0+2y6mCxYnL/AKHGIoTnj9PlCu7BzCpOQXY7 Emtu1DNOI8aW3Vb2n3KHakjlNwMIn9RvHv/BgZVy2KXpTlOTBgstn/j0aKp5kzrfClRbNbusA+7J vPrarDtAqeYBW5tzW40549QSloCur48YpT0j4A6TxhGl+3r3d09+fPFepprx0068BPcidIf8gNzs ulidr1ONXRh2A5zI8I4O3XCQpCSQTrKiJkMa18tAkRsOUND5BQc0cy0n7RbelA63hQAzN+XLtw+h zJXzkYaMWPyZdtTjDnn+LEVtDqFkHN/MhejfS1Q1N4cLRUw8TlNdCiKzoKy5APhirMvzcKVw1Z56 oKyGThM8QqQ49DyqT4kSd7rYP6ghCRNYyY3dCljslB9ZCRQIe/l+EcDM5/Z5e52yFEzAagrcd1co veuo13g5I2My449NEV/oJ/QydlWOkevffQa+oY8adPMt7PIN/z7UbYhqqOt+nDE6YONcEjcVJ+DG qhkY9oNc8yl5JfCUz3uz0gXLn7Wm6PaYDitWJlRvTUl5Lx+vJQ74QLLTXU3xdnLFcq67nBYSaG8J 4psxRROJB14pRzM2LXiGA264JdOKECGGuC6uUnlFQ/HuKkK/gzlWA4PJOQB8LNNmRseaOGyQkhcR MVWwNNe3fZD/rNsFHhFQQpI8masRtnT6DVHKmW5xyLTyjc0xVgBJmsUUGtQ99cGV8kRTF3BqjKsL 59Z8/8dpeQmMN8B/Wq7V9yE1GEw3ELcaXlkIdikaDVN3EKE0vvgyCqhRTDrkbQEgmWVSgctAsaiR /Xzk1wb/Nf6PjXfBz0H44nhDK7YtNtP92HhrLKyQtFvCN614qhSC438/fHPhgdiz1eVlpZxJ9tAy 4BlOIjDGXO6qGAR7cliXA6z5LFDh0oGOoE9PvjdzWvDKfce8fVI5+FoaQ+0PVQvTVSf8AZJtYRBl pvmdu0Uv99eZsa0RRbyd1vi5Na+YMT204gQUe9aXrUZqbsClqDrvrIXUwF/xOVSokcK/v7DX7BzB 8FlGe2p1BTa2398Ga9S6wlfoD1L50+fcPKnYA+1EbQ1kOK84mCD+fhGTWnGUkhQmln2tXlB18Yz6 vyOx7H7CoiTNEI8W1QFqUs1r8+I62BVpkcxv7/utD1iJuTA2A9Wm8GeJDeorUJqCrr79iZTlGeZN kThMlwTLRRXnKj5FCcdcXf69gvbFCx7qZjOcQnvw3L+1c5ITeq5ESBMhbnNtxXjphYi757uTjhCe O5ID17Vbj9scnXfbZ7L6njYhcadCpRJO3iIN+GOD3Mm8TrrvOtCArZ5Ftp1L5YY3qmg6E1Uxnz5U lfHL4OZzlHm5jd6mIIS56s8Gm+qqTEkh2CAoNfRWizjmc0JOPJoSYt4OGCiX8AxySWSxNtrbHVO9 Z3c7hsOXMmOxwm2GZ+6nI7RFaEC2B21JMQ+OhrytwQJLLJKCs2r/Ti4ACZqDPi+Q1IVJ7ZRd0OXd aOFv7ivfU8xLc5EIA06lGPJjfPYbumotbKua1P29mfLfbi8b6LNl9SKcvtbgctlvecSW6G0EENnm 0FBkEyypGwkYOuet4mv8Bv9ZGbiVGQeNeGbANewInNbrKWULVx0+k9DUcFLh4ehvTQA5MzrA2ZAg HYqOwA6EshH1B5nxdfYQibIs11XDX8LPreD9wW6E47TrZaWYvH7eaX6DT7KcteWWQqb/O3s8zOas 5YtWuTRnocgJXvS1J9yMfjqnSl2sPvm52d8I8NeSWObIOuUec23qNuE/RA8NwMTYgfP4eu0GzhXC G/72KNvk48q9eoNHoCJaWxmrLYgSuoO/JJRTdiE1Rh6UJPgXyBdXcVEiU3QqfhCmBXnEEvDWflk/ 0t7ND3DmQWeqJnsWmP8/VIRbD0Vzj/UEk7gtq9FEEjgI1PQLWkW/h2agAhLcPem8ZDJzy8hXSGuQ NShSBqeOQN/WXkf3fK4dofK6ZfjTiYsW1rRlWrl7Wn7/exZL3wqxaOJv4lki+WfeAm9l7WWInd+L hyKMQCmI3sW0066DkTfDd1GCt8liVDCUV79WB1EuEtVzi5NLGC+P25A6qK47CV0G4XGEVRdYXRS9 aMjB+YmuzviphdmgtTF/X/ORiGMYsLE4b5WRkXLsVCq1PZ4JLASIDEFvxFBCMY0jUt1Bwjpl0TzO tzGAVXLBs9nhx+AJiw5i1UbQBZN5Oj6BkR8N88XzKq8yGoS4IK2FsTSEBnWo0PZI0rJMBra6c2VW lX8DvGXp7bACLTj1SnFQ9yaH9SQMyxn4z7FqQzcMu3N1xbjlnC75+fal1bAyAKSLWlhXLMj6pM/q upp+VHjFaYDzB77KfXzVz2SXh0vepU0t2HyOEQYN0lrq7B7Q2fg4HL8eJQk9aW7HHK5xwmRWZq75 a+lxZtaGUAMVL66n+CxucF0s//KMjOymGJMdeHMrCGI3M66IEFs8YjCkfM//YUofwPZgboMbpTjS 4UQWCjSMqdCG+PxHrj8le5hTuVkCSy/wxcn+xvQWYqITWbEfJ5wFMm1M67fZFk47P8qIEkoOvS/U UerzP/pjZs3AX0mWVQ5KLv09YeHyCCaa1RLHn0NuxX8aNfmad2yAk7Hja6uPLexDdeCknoi+xIH9 Uk1nQAkyCq6a5aJzEMYPF6J2rzzaoN6VZw48uLxYYGhmnWUaxBeYQsiis73cmhwQKgw8ZzLEZ4x1 TEg2nVwiwJFBA5zOjjfcfbXzshRgT/v8ThB5YfHhFpr2qdNklXA2iwqGR5njVjNhj0VesDbz1p0Y uRa7URb5/205gSNGO30OB0YtpF66ELO3i6g6ZslBDW3eoK0xobFjW59n1c1K73CR7ZD5zOcL+sD/ JPEdMr6XeIigDurbItgcsivFhmu5uXG3jWIQFscOTfyx/3a5nKzpG0sdFUD2dFQm+ZCXbT4au1Yh t7NJx1huVCz2QSnSnOxdzYu/a7k6+MBqHJSzyaVanREa4qfA6WBj6YI6JTZdIWjaIVUrO5LROqoJ HCkbweW0gVrSf7P5HgSEEFzmiE7ubDLWhxcBYSFGl9YnmdrFpxya0vgKDcVA6Dbo+YUNVeHEWgck H9sxKtX32mw3syQM+o/M49v6fcmRyTsQKAz5Lj7IrEPE30fGA4u67IOFHme4BFV6C0zeJBtkomZ4 OHd2jtncq6wJYZeck0GM1letBc/x9Vz04DZsXkkGV5HuyMUuXh78kwt+IIFjDIjXwHBfh6sfOvOp lr+XgWL5u1nmj+0HNl6BvNin1+hXrBcI9DnRE+UgwLJRc6wEX/0gU3qZ4NigDn1IEfk7Xqa1tYY7 YwxPEm5Y4fzuNAZgCX9LBMUmmmFjvsG2g2TTacreVKknBGm8nAwBA2M8xqKNtd47LvKqu3s68876 +YdcAvgzHCNoz2NNm3aICDsKkdBaDJ7IKc0RdcZgxw05X+KATK7RVHduvUZoVDTwCBVzFvPXoI2B kkv4iYIl4QXZ/4kXsImZchPYzIPUQkKcM6nkm0Hy/hIr2G1xImD1dQt9P1oDFosG7BdbNpKXcjET YSCGcFkV1sSWXhLRsoB/TPo+7A7Cn0vhbGbehtuDZ3hsOx7RJT8MdUHycsNWDoZSI6DbmQtenWFa 5KeRx7PBvCY02k7uaKK3ndq9Mn4uvJCJPupsijSj5nlsGk4DeKUac42kSRixOQED8DWzPAdkQVo+ t/UnjZTeUmYieIRihAMaigsqOcx/UueqAopMJqZXi/NIvVWstvueoUvcEDl4z1Ihg394b1vnZo/z jVotHwYlfzhGKoMZ+UbfRflojhr7/BUHxDd9sme+sWZmyCvvnufR1M896IVMJxIFScMQy5hKdVIY RFDofQfdHvGWLLiq1ewMX98y9jmMWM59ut8FE8ZQ1g4jLzCfqSt4rUFySlbFdQqoWUYjeNJ5254n WEn0+2sSoeT9q3pQjvqxKs0gmwC/WlCkDYgnihO6JzsUz3MahRkfXnfkpkhuhsRG/xbtrrIbTR05 1+qY7rCOAnSZcpSeeHpslHg+IWvqOpS0x88SJfw/SLLN3iILNUQOLCVPqqMMZmMtMsNLR+CGTh+W PdJ4N3qNYVN4gB/GdqwGR/scqmZC9vFWxRwgdSP74FzOwAQzA1p/UOj5AnYHP4ljteW9vDo/sL/L 2cUGIxykjxXgQMnRpSiB/K5IhmEk/64Li2guRyw6q+SHQxEDCQc7jDV6wXM4swxRLoWTLpwdjEG6 efiC1nZ64CJQ0z9MkYh6obXXuuLziobaoM0nTZg9Ndj1xuSniU2/K+8JEgrJRlFLL28YmMcA01Aa 88Jp+fOHOTFJs8Hey91frq+BIh6++IUELZsgbxZcj6btCiwV1atfDqE5cJr70At2bu8HJn46ibaC Yxz3uZ2yUi1PXIzUDoDtIZ5n8IbCHnhALrIB1sVaRjeA2bFVHEFVPIiX+VJIJh1QXIjIXZUzD/ET KGgmCeQhlz0eEmMv1WFpEADgOxxDe1pb5iZ+fbQ1/eBW/hQEox5Qxcp9ZbnhDrCoPIJNuGEbsF7H sJ5BBiPxGVWWmBEEFXeL1+59pLWJKQvJAhrkrKimmrgdr14TBNvZ0vFia5RsFIwfOFkN3B1rDqWt NpDMlJgYDV69K+wL7EF9u1vIwgqPMD3P4GBzCC3vKtzWWg8QxWP2PqIEpDCAveI+A+U7KqwdZjE6 Z6/J58IxyOYVPGxam65afUBGxtS6rjpyCBb2Ki3/AjPFJKNY1GbB24BHSu8ViFVvF5Zn2YvDt3Ej x7vtnpJkclsQGBzpjjPs/1Kn0HDQ156iWYtxiXTx4q+LzOG8y2qyE5/Wea8vsw5fO/9YF5rtiAfE spj4TommQXrCipIgdbdC/yUYEEo2WEO0ycIoqnWjQ6yX0mLLm2tDTVmco2pTNN8PvOEp/TXOoqNW /q/hnkDq2lWSXG2m+KbSIcQIuv6ffJ1dBeBRaMeYOd81VjVSG/2Tr/sU0y/HNRjllXHEMqodHrId u/aM6eOSPLpECrUWzpSowhb0kSTJxKN/GnWm5Dpbm8jEHi4BkxWdkPEOUC3vn3N7/Qu0/Abi3Q/P UgnRlO0WbB9RD8NSnlFGtKszBO2yDx6jIuEqrlOPBRLqRd+9jmzgnYVr7UTH0pD1p3Rc2ngdBhav wkfD6RQQ012PX/981Dg9GYjdMmMoAGNnDGJbXh0z3mbzYXu0BI/qPjzqbRosR5L/DndBGKeJTtQt 4LBVTNRC3e67trrbGtswoDn4cpxBWBUMzEg+Zaqkp+HgJCQqcLb4+IzZPPpzpO9N3WulA4gMWosI mvyGVohZc2YGof3IZRRuXZ/+ec0/vvDQOOIi+EZ6W5hWGZV0ZwN5m6DxPtUpEmrbct7US3GG+Mzn Nae3L9fnQctvNMue49bTp2YCuv4wnwwTvoKs/iOEOBqV0jwfz7k2wZ1V0c32hahvP/lgcDGETkCS pIIo8PGzis2jOXhIxFyOmPKHN30DbL/WTY4a0lacOscd2TTifuxkX8J743wAa2wVZid54hWn5XKY +4daUWga7BIICP1tw4Xcq2vVeDElsbuWJBmo8H4KcafJM37k5KqCggFWffB+ThIjQ2qv0gj1T0hF 3viElRwDuiQcj0EiV3WqNpwORv6PMCRaGexazgOE0zG3nMjtfqmbVzbDBReUvn6LkYZqH8nnq52V e6zFB+t0+kf4UimoD1aJhHEsHEEGZVkR1vXdv8woV1byWZQnd/AFVaFifInGiKlLEKg1M4BquEId /I08caXBoTWeNv1LosGwq5szyZDp5mUELM6dUf7ZH6mHODVC0m8tO4nPhrMASA+vQbKiz4+eNUfq Os+0dRF+YAhVXo03ZGJ6ALT4FqEXR7utblui4oDgAdCJN7GOKyyBZ9oDRocZpV48m2lVaTZT945A PrcCGYB5umu7KAgW6b01SYyBR1ssecmEnKez2Nktgl9oK/tIQc3vDVqWPJmBUyPO2AYPaM8fSPGX cTRpBtkGi42792l7ZC52SliCdEME/56Jp6T0HS+ErN4NNvgPfyHAqiZ4SHsU00dMhMIU9AGs3pRE ffC1TD6hec9nCtvjJzUqog2g6Yv8ca/ZYSXcgUOqy5iWwEfnPCh2wG1RmveDMNM0BbUEOpHZGc87 32xJaKhmVyvDMgdw5M8XivInQsavaCvVJXqjpeXWLm30gPrWlTJFv+fegQUoeTGXcXpwAg5ZPLq5 amG5DHKQc9Tqdn5WsH63MXaUZMErIQQHIcLzkaCrEBqt6j9u4PDvC5BU1gJ+zAHBuleA8rTsnCiT 9n8bDe0fob96iIxtxQWsOtbOoJSMH+ZkzeEPGE/QpkDu9JhyQ6O0UGoxFrD07XEamq77O0IzBnLG zJmrmuO/04ZLPFCEr3r8qHvarcMQJu4rslN/mMfFAbITWhqsaY7gLRkhkOgknX5lYOP7IQmtUDyU +JpzpD9ABRXjhJBqNhaCJ6rjSGU7UDf14jBp/F4eIQlwHKylBNs2B6mvOXcrc7uwSWeCyXgHqhOi cPS/uj2fHVU8loW4pNzuHuM2U6wdVJlaSQIQAwOYIXzL70iHz1sb7Ao7tpwTw+JomxnI+IfGOFfu m4xVvJmEjOzUHu9Z3yrDWxXt8xCJdyg/H/Xt65TQpEaW4tmY7I9tlBLJnS6PyJ+H0667Wp8bPOd8 JP6Pt+Z984H/AS5rEikQeH1vMIouGVR7yQOLT4OFhTfH4IZ6MmoFMU5ntNX2s6m0rgYyP6F/e3Si D9DpUAoVbvGTptCy5BNMAdWr/U2dT/i7efTlC04VCXN6BZFblzK7YJyenmgyLJyibnpC/u5ePcu1 qW9tZ+UruaKRFYnLYqXueSbQ0zAXI+reMZN6xIc0L0TeeDgqBBcT6+FyNj/u56hHf4NYuYC+WTq4 RgZ/RBuIg9IPDcyxG5WwoAE4ZzSYQD5nmkT+RGX/qw8Czv5DvvYiLFtnyirfz1GgDQIixaP+E/t3 WzKDohsKlG5mjrS/cv28HaoGLZoYHC2+PG0WIyCplnLYXwmPBsxG+YyiGOzsgevBLBVdpPIlRsGK AMUnuLUjhKvkI5OOcUwxPE3LRDTl9KDavhYmW/dwzRTl7+Lyi/umHgDcFIxaXFjLHOFx3pGEoTTe HcNnWqFrzxqjia/Moas9seGUQp/s7AhWjocfZot1PTCcpYpPDHU2nfPHOHwWLL8oNV8O9AVwjkfD LtRRSgdiVpFAiAGGWyOVND2+ALHpwzUNLUZi6cL27r34VoB3MxPN9BdizoG4gYhZ9GTHybe9Rhkx HfAFG+pCFk5kTE/5BK4vzTbSoH4hYOaeYCgSHdxLe96fD9pkoQCGtyjMLjGRyxZNDdQ5dkSIIqWY AApagOujn+QSk6PKXLOfehXKTdWNd1Ek2TB7kXUP+WW05epx7mb/atmxA+8tIBmO2yJDomYD7jmC WpbyzOSuZJ0z9qVKLc2NX1+i6HPPIojms3gElh/14Upf8OnwjlLdhkr0ytDzE4m5C3+6ZYXxMTv3 D3KaC7ySIXw6RLYGSBMB6UoGNsZoWeY8jll9Bqz0jRxVYlrdXU6PNKhBm9deuRTYRipAzzB0J/xW nG60HEnKUAltePIwDrA+ls3hnPHp+Mpf/3WfjP8EcA64ocDMvM6S4nqOeWcm3yBoU9tSDKoSm5e2 ZORj/+LW+iR01fbhPmEwXxQW/dSwF4JQZhr7VjsKccszObFfgIhRnm0SPTs4Suhezn3gpO+AkMDi mQnBXu7caX9cQZjl/zlD8eiOOI+ftm5P7BN9d4kkK0uy2kZRrD7jmho9cDhRv04PTTVos8uAHJSQ +OwTOATa7kSXZh1ovRozxNHarHADeBEX3PCq+MVItZOex0R/5P3KyZsgD6Frv0SqUhgB0a/MpqFJ 0gbh+pL6m4deZTUo2VKOjbK+bF58Hpd0+hz12AQbKpsbWL8E3Y+zMRgrAhiSG60y2XWoJXieTKzK z12n8kzaeZibaVOyAZFTa+tyMQuswvAwmII+MdtkhiT0NruJtTv6/FsVJtYGf/cNBI/BhCxS3w35 CQnq+FWM82FkrBs/1PTUbm9DCP7xg37p6eEsPJEtThyBY7wDdxQIbtlJ8Htw4fdcb3l84E4gTliY FK1qqPphp3UDs1XXtXaor5YlvPZBuPXTZNmfW1VIErro2/3Y3EoqaxnQVL4IBEwi0QAi08fP2ZV8 63jZL1z59FtDr3PpWXHealg5gTHN+Xw9i/o30bA5O6edERLYcJRUH4dKgd9/59NRaT4phHS7tgCm PFHEwGta+keVGj8093ajbDB+zz91kkPduXJ8EOX+mkkPxInchS07LMk7k+bjLcLXCAKvydrcDnlV YFG1zDpWq2nzvbHiWMQSGCwAXLySC9YQ2LSJldV3Wgu7cGOMHFHlnP6d/BECj7Cx+t/vuqZqsGiY 9xt0gqIBNeopSSpQTfh/DSEVROV/UzaWMaf3V718mpKH67dYyMrTaUtGdLQ6fkvXN8nRXsjI+JUM grgdd+ls5tZlozRY97UbJbiPuXp2LLlrYvHTK43g9Mi73RB/v8UwDNZsWOeyDI1f+Gxc/Gqs6EiD VbyMgGI+uB8TP1UqGLQPx26c130Opl/Y8dsOJLa0wb4LQxJNgUT6EoMT7nJKy4UKLcrslrHlistf hV+siffUUsLwCvPnXFLqmwTcm/ddlmQ+F2jH5ByHVXiMLLCGFo54EIjOKmvtlrcU9ngqdWNEOXMI OeoQmldHOBXnpQKQotHZ7ANqJM267KDY7CSKlPqZ+UcfAdWezgbh5rbHkujLrVJAi68qNf+x30um rpoJs0SrG0S5wwkuKJL4fhiNtDScbDvvRcjaexjOMT+gMxf/GYQ+8WF698oT9/AW4pU5t64XsDhP BncWF/kO151Ed6UedkIP5U2CSYQaOpanqIjoh5nYvuUMtUK465pfcyDy7qVS/qKUeK0DKrPakdu0 svyrFLDWnmuvqallbAuGSlQh257rkv2ozAF5vMFz9J2cVk4UYQW8DqfxH/wYlRddys9j+KKnrCke mtZfDDBXHzE0Nz+u0enbafwJG8dW4bfCzXYLrXYQ6ZdDAvjEnc4QQZmKVbZjtgxJynHfRUn4a9Yg uo9SuokXhATco6UkzkyMFEsQ0xvzm8cNIj16pCEtN7rPZc00K40YHTwA3uYB+BOIbJTkUJMqoDQC Q4xQppAcWZ4YTQrHYZXy92OpeZpTn7Gu4CnDKOU1f9DkCd7RsJveUTkeltsjjzRcXe9L6LnBtcSz iTATEnWJEBATqmlBAIO8tGX2Tirhn0aNBmQH7v1ZPpYrvdHQzOGs8nAbaeILzjdTDz6HqYbw0JqN 4WhVUTNpM2oC62rzQGXNEuQtIFe6r+vOb9vhtYT6wmk9k6NFQjIJMABSnBAwb7EytbT0Bp8oHUL/ HMbjjvb1Svlwa4MuHuzPU2145K93J5clV4SqkA2SQ45bqlhOD2ePY9ZDUIcIAJPDV2uq/afnygBF xj10qtv4xp2FgXs4g/XDn/ZJdwSFDIj6NT/Iwdo2UQzd5qeT8/9FhOfDS7QAm7DoM7D8moTudzUV 9vb/2V7ciS6G/VX/PEElWlP6rBbbinWvUUoJ1aAJjgKrjgRwEaACH6Qz/R+4OvrMkrbknn5Mhnev d5CtXipUHjd+8N9u6RGUVnM2wA2t8B3bk95zPpCcT5y5w+2pCbkNBqN9xnGqJRi6BiZjoruYqBKk 7liCQxPt7feVv0GAS4jA3hJ7AqkJPeqg1eVFqeIkrlyUod1mHzAZpkNT16PvXgC+yN/eDdI+pzra NZNZFWSOYarCo1brH2eTkul7zmF7tgU2FZuNnRscYiGL9DhcDpfIwzZbDGSJ9aRyCkZh4Doe69A+ ay2tnA7gdi7w9jWOttapzio/a7HEMLTm1xxSENBjiMNmT0Buvqx8HurV3OxoV7ofeVRmQUgjvAOG 7L1v1qAd5hYooj1bMqTpikBvC7tKxxpci4wLek1QFvMdy8ULGz9XyCzod5xlpA4sdDuoo5Y9TzYy lTt6Rlra5BjDU3e+LufJ3yFDD9qQu/y+jBnTJl8NE70foBTmOdBWZblwvFD38iqoeLi7lUB6KZZe /jbxF2xzaVeO0kg2XVQULnM0ZlVg9mx46Wi9/GbuekXQZgw3oEPrPJnzTpx7VfaVn+A6Dnk8EVY6 BSa6tAZP3kpoZmQLkGnZEJkLpfl+gPthdENS5qUtAEwO9PmOs+PK1v9Ze9enu1QwCkn/7YkGh0E9 xiBqgEipnmbqh1Bcc7q+7TB/AAUW7cAOSDWGZo2FaVT/qIx1ZSyhqJOzJsKP0dncrkLRyO9BGSGt nPrG2jziNpns17rzMh/xwtpn9vjA6LWl+AOdTa9CFLWXbXAKOKAEw6pn5bOSzpFrsgpoKYQdXZNI LzphwtYihIU1n0W89BQS7YKYfGGkc9XyHvNXdWtteN/hHDOJiKJCSPyyhe9B0cjPjVucCsMael3B GTSmBAlmHrztVHFpjfJO6f0O2yXfiKkKFTer99HpPgO895aFhUPkjjhLB8ExKtC3d9TT3vLMSujB x/gYTcvGxtgvVRV2l+G+kGwh2KEU9BNNw58AY7T8+iA1GuEmYrOzvnTE34QQsWpwhdMlYKkNK2gk YviIq582LbFpkek2Kb86au56/fJuoiyV9kKOGA9JXqNLFkqUf8t1zSbhpa++x/b2fraU5sgwFucX UXY3g26GXHQl2gePvAO8QooWLaDI1W1Miu1hj+akTDreCeSg9GvdH6aX3fbJ+y3JE/MMI+Ej4KWW NU0bPumLCnOBZsh6NwhGaFhDa35fbNtjqvSrgyuLAC/l51cq8ot2pKz65h8WgX+jBScw0xFl1JP1 0KOX/RuSgqaE3j4k07ImKQPj+I41CXfY6TtCg0TJYg/u/xhhiX7PryNq9MPoEyXz/8dTslEAnRAm wpev8kDfOzuGIFMlBhW84EdYBOaXPO3C3cp/L6eguzRFnEHCoq53v4f0IjdD79cLNvQEci9Gb9RT xjnB92+yh48jwCHLm2b2qpgnSGnv31d3XoImSmoXWSyQXbKAatdEYi35okQU6SZ6ntzTsCppHp9z zYPVRiPHgS+OxR8r3lNrjTqF99zglRBmB0RwIC3sFv+MoP7m7I2zHAJEBQwQa+WZBo0lKjSKv7bS fC9vmtctp9Z3PI8JmoXHZ4x7sjpW5B7gcup3ui/bwsK7W5gEt6/l93pPBIh85mJ7MwgurvEV9nyU Od7RlncCpOL7bqN1iWwbwcPMrmhSsUYsLEg9xDAtggRoswgkEp3T8wyhoE9Y9ZLPBUx8kXsacAlM BWuVQYtU4joNdssOacVKyth9XZqV+/gIv83mshanSgSHP4Q+63WcmIDAZRw5sNpN1ilnXNwv9QeP 4yRAOHM7TUeTSpfh1nnAwc7C9Hbyiw2qbZ0yCPT2nNXcIiq4pj95wgN8Ra7MpummQ/fHcvGcTV5u tBWcUq3MuWNs7vKFshqirmoeQeyjsdINjy3qehFYeDsDOxB6b/sVLSQvToz70Bz0RPGte8TS10SX akLMMDgs1t7pSFMJMQEchS9nhYujinpKcxmSJyZQXvcpzIPobiLTXlbGI2cS247pmqPNOK3RKFrA +ElWsGlifcYL9UboGQDRmcLzbLjwMLnvm+O/N9mS72JKTASKXUqAobGIw7nXIgGUkokGNIfN+NPk 3MFfK35eVNVyGXzwWEZknie0MUXP1JO/OOy8wn23iFdRfiOZVleevusCKQOKUrpxWH/kLQEdv0i4 C8k2EkoMCxi3ohZOIUgoafyu4FfvaZ/ntiBv1sLqY5xfT/nnJ1ldIYT5mCKUpj+SidhwKU9FraLh xUQp6cbQ+dz4/bKruBIqBpg/GJ3f6gLGSmxJnylMUC8RfB+fDhWVBn8+yx28EVLv0FEXNUkbLDY4 TBJT7N2ZklXUPShPNvHPt80b+B7FhhHqkuA0N82pAcuaZzVVGczCUvoWGSGkXRnVQhq4k/mPfiJA dU1AvYThIXmubeUJYZtgwzzmqkfakxfbf39jBuLUI9Zd5T8j612qIT6lhjTzqvrpdVswS96XvL6D p/nOyeZI1QQex5RmSVrhtejzCcK0NhGV2Rkv1XRXICTg8Sc7OYdjJoFU1ZPVunUCVl7pv9T9X4tW gpdHqrBFx2dxdvNHcJ6nhcak9dyJQzXDxfJ22HM90Qi0FXKITkZ66AHs6c1xw9lQ5GsBsnE7nK6C q33D2L2apomdL1ZQrFDpoj1sqeqfJSYTlauBkGJlww9Dva072X7DRNJGgeE63a7Q/UM81o8lgsnD dZa7xaURtJgjd0gPSEZAU+/bma1jVXmQdtScaPZWFA/jVeMWECx8ZrtgQYASXw/kcZqboKeAzzgZ 2K4O5U3/pZeyRgJ5bTREG5PSnFUByfmRH+x6qPDwWHu/9mgwnIQCipZyR/HpWIggBQbDe///24J7 HwcVwA9aJ+L+w2tbbEE/mhd6JGKG/8gESVd50sDhwv1fx0GNyQ2w8VShhDpFTWzpTLSAdisXr+zz X87RlmnWhCSQo1lyudbXF/j67A+cLzOGy+s70mZ4ZnB21Mb8ML6YswC1641wj6h2UKss+XuKUYEN +7jsD4WVHHuM+skaLrThG40wSDsRLFrNs/9+SHCVHtezr6lwJ802odNjZE3MCb84wzgrSnoT5rYT enq8at+XM9FgtFrjXJUdItVeDkCjpa6BWNLJUJP5qQxKxYmHN2y4xBDRYcur8AOwBB6sA/6bQf2P Sp8Mo5zNXCBbHRrt7MdChO/RlWsCLwe4vPgPttoC6kDhVYg5kaiguQwNJc2MhsS5/GUur6k5D/3Q L1oh50epEID8egZA09ANX4PAQRQDiZNF6n12IWbVEZwQNaWn4Q3Zk1017n02t6C/lm7frvVj6xMY Nd30VvF7LGzwiKR3YoVyUzB4YyvT5lnRVCNogDYJzrAWifiaak5rTX9GxM9xbRSmkIsdxj4hBmSv VtUMlJ70iXHzFGpd4/0xPT1ZIXqVEYvGIcoq11Sfwlbc3wpv22fXpoJXyGzh6RHKYBtLpjXbwFRU HHnfsSzRRW9kvRd1eL0Gy8RNbUd2C0FgQvsiyFMwC0HzrzsJYoraLDekl98mColzyR2BL/xjFTRV n89lOx9D9DPjI+sE7UXnTBRtAx54i4/PlF+KgjzOm8hgtHvzWzMU6l+36ZYY5Lh+Phbg6HUSc9xr Oh5SdS2wqp9AjbnXL0gxLmjscKutUL+sPKisb2Soswebwac85QnVZBXjyn7KFF5JDJrZ9hAkBwFZ XBNkNlGJo7MxyJ5pY6FRYwKgWz2cr316OPxWfpkkFc1TzIlLthwy/Vz2TRXtnK6Fg48WcDSIsW3H aZZvaQIFgqOI2h246zoVQgtd9BMRUx/sLUMKOvc/FuVAGV44NHEhgJGS/GB9IBc++IpItaqAQEqn /i+QaejfLfQXlvl4M/Z2KYgAVwJlDWXzxWycdzgHiOpswTQVDw+UtYOHWEG6kd5yomldQKAC6pPf +sKa/OardtCXBbcYy2VQmAsaV4PWfgsEPgoNxsst1EWDB2MGIfZtnmSFFQKuvxi3Kdk/gRwhMhT/ m3D8LiI++oR57B7V2N5K8pqnwgu/amY7/FLGuhK5DhIRQ9DFNhEGh1yVp3yOulXkDWAChwnzjvWz YYw/kWb0Juynmd8LlBnf15iN2QRtOJ3r5qfBQa7CwbHuuCFSjx+D6ZMnvepPddi/lh219GYwjsRm LI2BwltCx++RKqeqgtZrmMBfatpLFvhR2+5QacV6A5JclFLzh+iCZdALasB+Vu4iGCSc/C1OfJuy EFUWWJxkfQ8RDEZfaAOy9Jgnu9Dn7Q1dp8A9wW2wARGeus0k8Vw8+wMX2MDoS4AD6cGOSS6Mt7uy cOcPvhPly1vaxaT31SKXMgXdwfhuubg4jPpHbE79ekOp0t/jhWfG0CPa0yfzmgLe5oeIiH4XCXVe V0QxoX+FpfKs/glS3v9ZQ5YCcmJkZCYVtRRbZMurRxwbA46qR2ptMCUzhMl6Xo6f4thVvV+rIlvz M/rwXJjqSeKErFB3vrxH8JSLOoFefQxky7rV/ALcA2WKEcx5yMn1e8/BolcYJOyrPno8YP4NYMhQ djnER8BYtW6W2HDEMezMWjbwKXNKK+1rbYbYQolT1++k0qRg5ZSMAjunPJjvHJVU91R7S6Yo1asc ZXgvxUgJMEgB53g1RkpdIunytUQV6ZoPHHAQU5lbN+vCR9vlDauSHDR4pxOR4tAeCfkHqvPneN/4 qYZGVLWsvUZll9Ndc3RmbBLjOhhmYdgJthOiMotqf8TOaicWG8CHGDdrphIwaejqU8BrpYd8hhym qgSc5c/1rmJU3lpUw8f7/u91xXKeD79CKfP2MocyiOJJBr7uHe6kJ9cL8cbBaGFtFni1UA1wNPB1 UnerP/rWJy23/2tRhJYlAdJuT158sK2+fMvWVinja/Kl1KgzZ6uzM/A4tR0Bc995RruaLlmm1jHC soPoEBzOlZR5aMS904xcEgGlXESR0rXzSb4SOKqYIcRBVf6X3v4+PbG05f7h/T5YEW03YgwVUko5 RwrbJV8Ap+Ud7WnOAIAVfkONtAt+z7CCi14bG8VdUkAfw8wKun+Tvf5A9zGIzYKfGDiOwHLwB+h+ s4LsoheHwQsuoylkFgRNTWLu/MxmdhngQJWzti3Z6E7IeUbBAvwt1RUB6tGvTvpUJG+HIU0i/qxB X/1DoAYOu0x6Oevk7rMV+PHbRxzLzNMM/glMNv66n1K7zSg0XpxsbjKJbtFlmnn4Tx4aZAQpg0ck DCKHg3MROJuA/qLPkGtTW4BKhMvq/OJKpYaKgkDXgliftHKxMgs23gtmLgJAx6y9IOkUKgxelf4v /LjS2MJDVdjUw5wNcCOsI8CqJUKObaJY2VUPqx/oTpUdUHEjZFcKSWyFjsQgRVpk+o6cxR7qh+Ch VAxsKdrPAL6f6YLhbBsB0dX5ESpTOWIQzdjx7y2xUVFBfzVYzO9hWgpMrvTgxmc+w90Pixj1P5dj cH1xwiX9E+siCteEZFrwW5vxm/EvdfBN00tI//szJ7aeTY8HHWTjXwdjKfEUo0djuk0lQ18n3PSC OoCsAGmsGIgJ5z2B8yelQ0lMqugqHxXI+pvJ60+kgApN8+/1b0YmoCgnjx9O0gKyDWJwBnhjem0y 22GdjhKnMJwuJmdCKoAvHb/rv6ljZcgYOFuGysljnXI8lFME0oCaPJgCZWI0phagR3sWsVOWNLge sLIsHJ38jEm7AuUTI3Nda2qjtWi3ZC7gMsWGxml2djqa5Zpi2MiphIFK6Fw4OOCSx/uQ51WrA5M5 mxS+jANGd3P3F5KHynhIryq3HuABYeROYHFDPDb+ZrSOFMWWvjWt5FluonYArvsbDEG15git96hS wbw9ItpyRk2Y3etEbm7AN4W+127cYnCGEHjcEmuP8hbVSFUxRMRhLYuRRbIhIicakUmbQtwyKmO7 fF06clG0B5EWUeTjTg2hDv+AwTbK0WPlYv/dop8bLlhFl+R/61BaOb1YItTPnPmcJYAN2IiJJSLH 2BMGjuh1N6eFcS6S6Nvu5XnxBVwPWwABuEfxuYl/2zlQVjXZchdRzwtEtSIS3Z1wMHDm8JdL2Kk5 Uye1K2LcIVFjtksr2qS20eaMZbEU5z7g4nenRY+Ktn6VCLRoAXg9g/R6zL7A6KOJu76LSotlWRi3 ZybreRGmx1JjTfNxGFNIETt7Al3+MwlDQIrAnI4k6YiHOb+MBpJYPFswpOXdbmrQOZfRu5lOPZiH PSObQoHipHQSUo88OGlra45inSWoV+5ukZVXTYaW2TeTOaXAGCIANF1f3KMHQu2qwZlMF7dtfgyd +oX9HRP/gInGwZyGkhObGFUrN7LFv4H/Uo2MCXJ1u5xlheFhKpsOKOtdmu4xGDABwHHBib1kj1s9 S/QSyl7sxnDUO1KYQZldNRqejLF7zbnxJUQqHXoqbHJw8HU/2oH9NtxyKFM9ZNZkgoCZWTuIavNm bvGu2/UTCQZbHVm++jw8rQPprOkNXTQDVzi5DQkQa1kiznMlM23vt9/JvjaHE19NRIoOoeM0A+cR mj9wehtvCIl5NF/frLk/UYvmKMvVwkrT70dROzUyn+20ZxYv0jfcZs0rWEy1DsWTeWr7tIr8Kuxr dMLeCIgNGE05e5VN/MFySSn/CNEkSnV61Xz4XxX0QDXbaR2IRUz84cPWYTBsWC25nb6FSJJjJCZL 1YAc2LDuinRoiC/EkvtxKRN49odLzq+1wKnO3LN1LIHdeKNH2tmYGO/tRft/xhOApSr0Jxwobrga yu1yiHlqof1Kucb6JPz6B+qtwdhUo39RAu4GoJrYnUI2H0Y5xdhWN2JS7i88vCez8YvuBLur5t4n Fvq+PlwLnBNB4IwrpPe4jvTt4hNSkoXQe4yPUfKUS95stCmAl+/mBSXBXatJT21rpn/LWAUF0Tqw ZWG/NTBYnawLq9pfA+zoX8EjvLqnXQmKRPIfwXuiw6CHQxbjIMLkSNBxhoNTUz4qAXtcCcTZmvp4 OIRNv8FKJFyZTXaIWaNdlM0kihSo1w3sIAPYhTlJyD6392ETsglNPw1z0qED63cvyows8uZBs76a +FnaVj77I3gx31JttSX56oHfbgjcNFqEbJ/2QLwocN2AD5QMrCZaJdAUkN6VZlgoNpA7KCgXNAKE AHRIBKaOsuY+bTToEoFb+wUzJMlaD29e5S0/l5Efpc28qN7zAh4eS/kU2vdH6jql1n4/a8svmYMU t+Sh3PjNPk4mc7ZRg9pUTG1e09/Zobngk7X4NArDYP588FlVFZu6+mKXT5e0spLW+duzWT3ah28i 7IQ86341GwfRZAzIA52WwiAqECyyMze5w70+H/My/mnAwHFCjMw1KDHLA7zPGs2+uJsgmWgFsk5G YY89kgCfcELgwIThCSLxjC+G1jfGCiW6INrSimaXClBANPgT0g0Vr71lP1Tr0igS+eQhS2tgdX9R 4ZNY4Gh8zgDli/ST2qVIja3cISz9Uo7xPUwPiW60j0AYhcm1KVggG+u08MqgSW47Uls9rCZV+voH MUP0OYLGHPW97ibyKu+R5TPRSAPyiKvtI/IJP8AbymU7mSQTRYYvzc8C3Jpqp0PsQ/VmuGYV6ACI 9yFQLpYGt9/yu6EDwi1OlcHzjI9WoEkXKpkb7psgzSHVVmDureBOyJHxI+WHs/d8LnxYt3+3n3q0 EADy956QoCK+f0XoihDYVleCSkc90LzVwqLx0JM/6iqHT+r5tEIa4C5TEM/EpPwzP78xNZYwEgev ww+nsH/E3YArg25fVRdrW3YpL3250qwzFT5ywQwWhtQmeOOtGZeCX58YWfE9cCds7p9QnhNsk7vH 0/oQ6yUp+EgAxwG5b2HNGsQHJ+Cfz3tbfoFDkz+uyibM6OWVq8VVyMvBCReb/HXbk0/mb4rBD5Ld xz2ENTfycnX+n8kWCn0Vuh5DyuvGxxuCcIR+g8FBIdgn1FXpZArQdj2l4S2aqw6vKzfj5Iy8bnal OPPKG00TJFfC53qHFP/m/SZvOZNZhbaYk5s+VcBJIkl5VUgaLStwxXiGB6C7r5Y8nYDFn/Wfu0m1 j/o13KCqFYQOuF5l6F8ask5wL83FZ289jOGaTC66r/y7xBEanSIrElJn3SCuhoDvkK7wFP1ZcNAW HNBsvQIhnAFXG0i8CABSa82rOZYoGkhiAlTMxpqHccJWgTwjyT1uY/xsXf5pzoc+9fbov9kQBjC5 dgxTvgMrk4ot6QKeD9rAwpxipePCOcgx8HJQ5HbWpQgqvSqLbDGX82GhQEQhcD1Utw/jH6OOTeyX JmuINvM6iZkM8ZCU7KpW9ebm3jFTanRwJ3HlcHEYjVkjzDRGDR+K2deEvQBSDMLcsn9zV9kxoSM0 WR5nFNOdlIyG5VtdlQXaAYpJn/reN+NgaXOTD3rO68UngnCW0FJoqBHk9aMhBhDVDyALTW8SmTeX 3vLqGDsuMwr75fcANAQGmcOMzaxpirYV5e69I59lOl4SxMmSxBc0psCEMYyrjkPwT+j+PBi8aqPq usW5nf/tS63suQe6GPxCormrmeDME135XLXQOFxbKioH8aqdDdSZojDKyHoPLyYxLKibi3z4KL2g xTLdDMlzCqcwEaTnYwJV87/uly61coVjhsUYWd37mMYNlyquA99hFExwohokUqhqZLcZ9FSrh7Vv ggAWrd3XP8QRZwHGg+0Ej9XYv+Q5EQT9F0zo1U2Wwl+3EXYVNmLdboakMwJtQBqAxSrWlF0rfNPp l8wGhRhnsyiQJJ0c9l/LI87aWjv+tjsTagH5cp22cRUgxnnziUjzA13/meDLOr4aY3vwtmH34dQ3 nZ7cWvxJrMpA1m1WdTR7/c3a40uj2F8J6BwPlubk9bw9+AwK8Ywml6CPlo/7hjeiLHNvBsQOLwIE vNFMBqLd2r9RviuVcNl+ArE+jIL/KQI4+a4AhvC/MMtx8s8xdlgswKJPV0DlT+x3Xn/NHEJYgONa PFMaChhX7cH0O+iUa63gLnsL0wGlDGbn9TseQ6stIz24z7jUybtMHBSjNYe24/mh1uMzPcr1rwtK dZac7Wez9jaE2fUVKDeiEJUFKodGDcmFE5u++ogoKq/5LKhb4ngW9rlr7Jcadk9NMiSerIjNu0Bg Z3J1NDgcE7sc6475F5BLy0D5Yfop+bZ5pugEz7D8/n7C64WY0/XtrhGQ+3Oe3Kmk6xrI81RIM/Ia vj/gxfscAVwFJ8rhjVXChU3mpUnFUMtUoJFCUpKbbFMeD+x/OBppcvnIOFvj9JlTVke38gRJtDzs J3qVNSGINVaQLRUSz2z/1Vt6OYhtetRPJxqw/+xxHESJvrlS1/Cuv/XOlVdgbHEl679PnUCl1Ovv v97yB08SteGS8QJ8ol/YOfCuReXy4s8oJ0Ipnd2KWc+/1IxbdZEMyodjbocJI/wBHSolO5dnFZ1v hPnQvqDKgE8c9/oeKyYng4sWopHdlqzIasJqGnUwdS5ei3EyF0JkORJLj2Tu7DwVf8ClPEcSyt0/ UF2btgPaWhLKTidwXO/SijVqHh7MAiPL4LDA3SC4lwq+Aq3JYgMacxLsWESJLrKOq61e9tx10aZV 18XS6QzdK71wJwrBC2SrvY03dEntgDAC5c1oFA30JJg1xVyQQju5nRV2keRphXOCeXFb3DdlKAye 9KpZNnjJYwRaweDSdi8XwehQUG6dxZJfVPSCVe/NHh1+nFZOQKLzRWDw6qN00/XslQ0BHLJ54puY vBmI6i1BFiB4U6SsQlgnHq2ra7IG18wjJIXDUIBjESsMB6/92ztNVWrSWTkV79HH+ymkz/qg2gkG 155QKlnVbO6SS++W6W756iM+anp+DUpiBYltnDFjBIrNw0SJo9P+UV+ZgzhcxGP8WhhaPJgT4xOP 7Et9Clv/KFSTdlM1lpKNDqBZ2dHuARHun6NIQMgSrkdBWljor8YmEBUG3c06lEWVPQcd6eCTY8SD h6xp3UUjLnCJXcAClip43eIxcCukAMtrmsYZiX9E8W8p2RK5Gs7KnVSYS6jDWNtxV8Luqhtiph7d IQnz82J+ZBkgk/t7hdjsr94fVvuzk9LWh0lDe/MBsV6PIfQ3Q5uZ2S4f8/pf0HPWGXsu7BkUGZvf Z/feIasgD2/3nwNhskli2NsrprpAtoxGNSfL1y193xYH7xjVGMKa82my/p6C/fgraKwuefrCMy0o VHHqP5GVInuORQD9C8AF6xF1JSP3xuU4olhi482QBnWQ4be1whp5g2wvPlW1djLk1CSziOt0vfIr Npp2UcgnVBG5mN95c10c+fPEUoCEp9Vh1pQgY8cEmWnCqtEdCuoUWbAV8AI3wtAfY0G+HKlaPM8R BEnAz3/NL9l4YEHak5zajeHTMQHZ2yMSrQnArvvl+oXxCaGAP+eoT2hoPY+NYmQtrPED//scWnF7 FBXA5IceueU+pbu8bN9Ad/67e0YeTq008b5+vZDOaDFupgj2fdaQ7OELsjxWCeshex+zgGof4PAH zs5clDUXIYyRAyg5FZgZFyonyRBEHRfOB9sFLu1+vpjEJ1ozc6QjQaPEtTJ3bpvMLCtRt1Pl+iYw 8Rr8spIOeaFcMhUR0PcXAcQ+LpVt99WsNbxRGFrz4HU5flBJ5wjCpVzNt/F/ZUxEI745RgqON8HP AESk7556ro4DRtgx6KUDJxbObXV1DHgV9j8nPkuNOQxplZBHJl2uUj4eMQ/1rglZkQg35qJ0DH+V 48HZYCR2nubmuaMwPPbiGQv2VK0jRGp/eBinS3BQvTsrB20JDcSTIvBcHyEopNtMhbQ/r0RLUWhb REdAEeNJ+b0Jrtm2VjATIyVn7JuHxPp8CLQAMOUxMJvIV6V4mGpwIhvcWxG0RgLDfUBPhFbeAfVt 9JAlIlJ+N/rIlp427N2ua9TRHNPgVVf2R9dLtHtGX2QAJrFcyG2ZMUwXJ5ZWnewUQRWPbUhMyYlW qceVGI7MDVoPf07G+/DBAUim68MGfzazKXcWM1s8PVX7FUSK0mGAO9JK2K042NzZn169zyBTQ5Lv Z7lUhSzQHzkQtJTOggrV5VFkITkVTfy+SXsRJ4WQ3yN1o722rCEJSng0CHPrI0rGKH0RP8kvh6m6 8uJnX2LpNn/xZoeP+kIOP989n6EDvMpRHFQBvcBUxZNeV79/18kmvvXdMCTk8j6H4Z2IVH0LCqH+ qMVMyyxbUR9kNNxe53EjCH945UL/CSsbnSYoiS9kS0Q8LFZGJNUwliFqEf10wvI+ihRSeZrtmAf9 sSsUcRrp7vOv6UdoQBXZd1POHc7/o1SJpLmyYhhITpdJnuKMLgbh7IHrgl/eLt0kKBnq2ZVGR9zA rIuHQmC1Vl1DIxxhFe3gor2h784Ft22fv79w/HnOA8pGDLBGj1ZbDUvscbo41s7jkz49TrxcUVhe 9Rz+tJTf64Ft5DPWfW9081nlf33bAAZWyywcztmQU6wazhbKtzZjfItVFaHoORRNvaM8CUxSmZZB 41TXCfXnSh8yHwX0BmbCYGyiimS39CvfqexV7GdC1NKuRuNp5ZibJnmfpfwwfhFm0HmCN37wM0ez YLJlkKE3qE4SSH1KGNkVcgyCNt4RXprLODupFL+fC8Mqg+OfgT76iUe5My36kbwVzlS50P2aqi2y fY/c5F53Y+nJIJezNtrxnzRbJAAIOdF8F0l41mrflTz9dH7ULzLY430a55E8pAIWsr/i6rf5xL0B +57JkkQAhGo7V0c0CookF+Gi+/j4JOZEbMyip8yFqVMVQpYLcSNeXkHLjn0LC3Wc4ZSiEQYEiW3t hDVakW6yCwDoRPxKJv3yp2Dwh6doPPvRXDQHCdhM4D3CTgPbb7Cfv/XD8/xs+TRky3s9Wu3eIf/t g4zd4TGxofwIpQFTy0OiJYpv5tL2Gj5DYVMw4prAXBClrRtK5/THwDOBxYsHz8Vg1vaijaMfvV23 ZJ/ulTrQ2tZNbwMDwRCEPOhMe7VJLkfbHIwCsH30yR3v7WaTGbQx+bWY8M1/oRZF5ec+tAXSvj/G dhD71iRBp55UpZE6msWAm924g7X9QZWj2DNpuQorP/AAGjO7obhpKNGNL6U+QPQk7lhC4JY80/tj jJsbRRMuXOe/FwPZK2eXMcNmKuEwe+ghCeAOBVsMg21f4fnes7jTapWuBSAxK7lpOWzd17uc2LKb h4ukQMJmu3UWdDbV5mdJ0GVnmxaZ0YKXsQ0T3TWxviJbRZFjIEWjhTCe4DsPkXFE0nxwceo4Wz2Z DskVhWoldLS0UVMg/Swt1PsLzh9WztoeuOz8K9NROuhYCtyBW2u50PMeF8bh7yam7jZuJWZH04HA OsC65UiRUPGoSVNmjBN4dM8jt1qlUhdxAPYm0CIyAvqMp+w5ZY4pyBJZCVoLcckDPxPBUaYhLEWn bMcZdzb94TL7ngcDLkK1zwDUVUXoSgsUeMVSDDMUPWlxAekMKU/Vay2YBz4HoBFnJCu8l1D/Ud0Y ZOuRU6NL4CLHs/HGXZWvm1lDOYnijqgvTZ67lwx04+xaidkVenDoQBnBrYMmqA6YzwvSoPUe1qfV vrXspSbwHKzHqcMUGCpRFnGFNYisTKRXc1lTF0isEtfI4I9Bwbs66eVa5fz/pedoSJvjJNmBrf4U /LpFCHy4GYPPmEJdk3DSDgt/khGTGC40yOMDfb2wkVOijXQhzF67jK2Z0Bmoh/HrHSfKxmRvmZUQ jnxs5P97ybGuDPzi/B/TAoIZ+aCBsQTx+4pZADNtbbCqyR5TjaxQzxm5IzhDIDOzSRfKb29AQ5YH WJ+6qzp7EWvNJg3L4vtQolENmTDhD2SFRzKtslELtfkDsYBa+ws4GXrdKeK5Skmh8ID4ggKT5cvf gTP5WNXngDUoNBbumCC1gGCaVm0OiIztQwUA8ZICy7hVHdzCvQzVXqRNSPoEZ+zNnhh71uDNF7dS Y/uXWzeUn/7Gz9Eq8ugOCeuzhNkfGvJnje6tvHptAoBCyvR1LgY/hyAWUfKzSLOimzuVrPEoW3ok 49bqwI4kf5zp97VCV9VdVd3dXfLTFwEG9Cptf1Qw9oE7v2+FicI5jHybOCpsOlLhEJ5NX/e97AFE oegjzbLVaOLJQEYO3kHrXP/RTq8pT6TGY8ghjF2hRtbxYVcgjFP+zWD6xcJMJ0Oh7rePRjQ5hbLF i9yQtoIgx6QfYkf7JAK254YIZYsZngeZU1IrbAbqgiGmVJ/jsRLDacfxB/WoBVlC3x6LJR006+DL P6/ktuhJ8YAyIkK7YTPmmUS5bmEFbsmvQqqhaJROa89wEFjm9WDtRY1NWqVK56CdfUNtv4c1rKbP 6beOI5NrMVcZBoaLOB5Q8XYudkpKIa3RRgeobCc58gJxkI4VPkhCapHn+OPZncXwyENLWS5ymbn1 B+JEyZ1gKmrOvbxVMmcngMkwACamLtjkzUaqRex4RPx6DJAqGOBDYIBZnzT0DLA7lS6w/VIJPOIu f9F9f+FZQ+zmIfXZrrrV0O9sGUyCF7XBSx0+ucaChydNLq7ns5EVesFD+zveRmjMJ4c9lJQlSEZO Br31amECMeWVHO4ILmdshtv8+zTV3hReXpHIy6chHxba5nbSkeQckGTjz1B7MO/0/hyW7XsBj+Pf 7gc1HIu51tJn39yICSwN4oUJnkyAiCTHtfKqH0GZ31A9l8rKM8nC6e3KA0Xl0TQABsuJ4jjhqsJf pc5nfTYajwetBw234j1V4uOL77zFCe8abhCfYNt+70i/8BtbTphx+w83a4WOcyfLErlwh4ebnXNs yXRoramNMcrmR2tCpt4gIyzsa4KB7OfTSCcdM4RZPNf/AEFidU3UaY8u77pRpsVomBmNQeMFsYmg nNHaN6cB5W3WhmOea1pg0P9mTsEbCgVbs5UI3+7dusNtIjz/WNbHdJalDOYpGClhwy38yGcIjKQ2 apvuO+n4Jb3Y7AN2GLgT9k2i7KxRR2C3B5vX61Kgtzpkt8Pi9BC8qIW7DEjJTvVr++JVSw+HwLEX rkx/7YD6MxpjQeEv6br8KUO4vRGrY2Ym4Od7RAeXgUWJBWOaxkwH1dKEPepPE97YsBGAncGJNvvP UIEU3PX4QceGOJ+bV7cnUL65clA9UiVCeFRAPGleH4InfU1bl4g0759WZ2bpfK5AQ2AY4By1S+mw 4ylgn1htlB/VnGcMvbsfPTFBWUEF27/SL2KgzAP5qDSNYAyBQYIOhffGtBd6HbRhTZIDuPCwzohK hhOyZLxepkfsvrV68RRXXV+YUoa6Q7E9yFLbXjYk3ud5XIy2FXH8WAYjsAHBLrjnL/drtqq2PPug forPdqph4+Ymfej+fRJPBugyYZw58RNEUA5T3TwgiTJp5MwM4xjYQtrclaW1bpMIpqnUZT2i00Vy gTCkRNBmwUc7tmHSs5QJ3BHWDFfIXzdw2A+dawgvBBrRtCyetc5ZKN/ZKV0LRWCGpoVtaBhe+ZeH QzYJ+Dyh/u/1HP4SPkFyV/2eJMYGrrWTyeQksaD8g+TAYhJ1eXbn0C3e9S5sWOPH4RTjCPnFM0i9 1MHafkCf6Q4F2gNYvRPycf9DU4fma9c5IW9RJrmGLX9glH9grH9qP7QCUpiMkoYrZ92hVsQNhcop ManUceMCMykSSWka565cOVHZ7JMqJea8Iy1AA9xaUZPBCvK6CxyejpdljqxFnKnrimVeXGPKjA1x 0fLKejlG/BWeH5WqtEyfhxLFYFng9OJfKZfIiNzdZM+8wrcn+08tXrdUMSM/MGZRFh9O0AovOLZy ZzGwB5rtrDgZg2Z8I/J6jDwfZuIaWgvz2JYA8TIf9w0oypTM6150+PLhLorOX0D2H/6Qp7tBlCEY ianQvl9vpIlD0Ov5hKz8s7aS60e/gRu9YbbPXXXInzYFF2ImOsuxsuq6igOUX6J0lDjdilJ5P9XL gG3jzuF49Si3GZRdHzScVBt5+iQJ27Zx4aR+N5pplsgDHNyM0JRQRTclZSOQN1fAurJ5N0W8uc1D +3PZew+jyAJfllbHi6sdnKHpTGRZBsJ60nVdlVbrdvK05HH3H74YPOEgh8NxwU36rw0Z9+yNVQ/l LJiNhw/7tvzTUFq5kkVBqEh/azooEwYfGt1owcFgAdQaqUdha6eDRlQ7Uptw9im78I3iOfRD947r hUXZgO7VcpKw3j3/HCPHVwBogDA0CYrU7+qTAJmYbkSLcUqpw0fxDdV2aHsQM1j/xUKWdvjZIvmm rNFrlaTMIzTYLWbANlUYA+9UUT8Gl/L87FtidqtbLCfhUqTkEirvsO4+nNoaO/WySKrdBN9jpKFZ PsJ1A+FfB2C934MUkGduxCwhnL6JJr1bIK1oH248na1L8VqdXNWNWXtTHnNInezdmHqs2mXllOlo Sg6W8Mkdf15tGjhJzdV4D6fOdaM1KZlDCpswgEaXXRKTBzh0vAMAtcx2FIwlrmWmZ95MwvxCrEvm aXWFLt5Pz704sEzpQRYBEb26KdyHrbLFom/gQ9Ud2m8Via5hFLMnUCgC6qBkaZxBZ/wp66svvWdF VWkSNbH1gNe+PJRd9+Foe6XTHi7ZdD0APkw0g13CJ1yiIpAy6vBOdnuXL9sm3O2Z5L4c36WzcBoW g42j/CdduOu0KNkJ1RTPduJI0Y2MhITwKdkXm/pbuCMJiBvoU7zRpr5cFro0ImQ4n5JeAFrDx0b1 jpquuW4Yz430jWYXqv4ePdDQ6TOFf1GXwmN2c97eK5QcjBrDbkhnLnpAtfHacjSoKNOzV3NsPHTR hqg8jAnAIjTRHx7FxC2nkb6i1os5NvFxaXQMNlbSmddbz2Q4gO4g20p6nFMFsbV0x5kGjNdRVLKK QLK+tNJF227T886ADzyaHhbAXqkU0h7HF1wx1tfRnEGyN+fk+itL1gV++maHpfMGf5TgfFUAfTR5 Tcxz4rgh8KG49PVKGTPTvfIjD8SZpf3xIOU1/qyPggb2KVb/34lFAZsi/zBMuAww7dtKk3f7TrCi BBZbG1i1B27CyaNLmiKc0xKVcep+b9UvyTdb0vm+clQkTUhvd6h8GXdVdCM+sFDFtrjgMJpObNQx 2Ixj43+BphU90v086L+3g2cHuJBUhlXKopZf5AzAUtothP/OYuKNFRXjggX1YsU/6/GUmJuguSLR 1fUz1Nunbwl0uiynewmzgf8aDt9LiNdKFzeoCf5JxmvUNq26r15MIU199hQnRQBdFiaod1FPrJ/R Rm3c0h9aTy8X2mm7uYlBVdVmHR6dlqsUkxTEqgsK2yW/qXfxV/y2+tuvVw7aKE/mKvc/cUKLz5Y2 7QofIbK9DSSBmObOksQyoMb2q1S/I8+prQEJ03qGBXDgkBsZ/52ctjYRIoUOlX/bbKJXPUwUmmDf eBOV+wnTmLTAblx0AnkDClXzikIVPN97c1SX+n8dxvH/AyVXZyTbprW8uqAqaWCTY25ZydXSqYye 61CnCYv1I7OUDGsi5Zj47KMjrjM4+BCAw0g+JxB6VdEYO5JvrORzanFEGSZ5PFfZvuViPYYz3+RJ uF9b5uRfc41+Gsxdk1FQ0+zVYjWtPwy/MVuKmjUnzl1/5dZsMtuMsFlGRXqAj8/Z2f21Zyw12C2D VEJhsGsSPmaQaq4ggMH57Y6cN6bVvBn/VSRPPo78KfjEaxGawYqo5sJFGNBPRE3Wl8fI2mMMahSS vVpU8Ezg35fbO5O5NFvaQl1Oc83HLhOBDnGoGWVgd8IRIqUlUiDnNQq4qLkrEvBf+JDgN+y+MHAX OmPM9prtJ/7R+N9gEBx68c7mGS4yS9SIoKyv1vijbqf1srKiWfXSm9Yb9d30fY1hu876EZeI1NEF Iw6IYcjYt7E8tixOUKAD0zG7BaQWWBm9rrogS3NQgv/hnorOOkHYA0NnrQw1tcnWIWf5OwwY3dQo tSlhAOdmb6Q4tH/ww4Pdzzr3goL1SgoNuxs/BJinXpAZM5bN/RaiHj4FGvHpLLSEzNhKiBrEjqiK SWlxS/l0x/gTRmJImLZgvrfKt2slmalWO3snWKGViOjwDPZm8VedchiK3Hk01Kf4/cZqJHduJfs1 STHQQVNH4pi4MFo6HaZyqTzNUNxdkci8AwJPw6Ff+1se+y6Z+k7AwWKYdVbW6jMQK+sUqo72droL 8AOC2jFiIARHkdvD9tAJrdJW5kU3d5CswI5VmtqNVt6U6NZAp/6v1rzipV8Z5y6tpqBfzg5zV5Qp 4Jxx3Bwrtql9JA1e85zf/WTmc53xYnPpiUKZOPEwol1V/nSmUEVk8QfVEkNGCbE7uof5snXVwJi4 BQeUTJaEzavOyx2NAQz/3mQHKHu49UUlErcfSHjDJrndKk84nGEVoNVkVmsYCZieacFRWFczUgM4 Vj+n/lVmJn3PCvQ0HFtALzLAETCg8I98YuLuHCztoZFzGCveYzdbUT/Op6C50rJYyV3cUhYmGX5Y meNgC0tZKMFs0wbZB5MOfEtWChJ27N/4Z4nIHrpsQm9/jeQPOh0dbkveSZu/2Hda9HUEhZtqlMrG JxqZ2awbF1Mp3en3BGyI+OKco6lrYdPI3Iton9Z0APIBpAKGWj+vRG5+jkIf8QW6LhOlAKALBncj gJXNsJOYWp2xd44DqVJMZ4fZxydu0nkfOObjutyIf/MzxLflxsABDiPFtqziu/Zaj5P8zUOAtshM dLbvefTDHfjasm74TBrFarMGOxlWDOQK1ujKLrIsiC392kHKKkjbzTnuDFYQmFH5kxa58N/gF/Ht EpuXgaiGCD7lMgMRcPLqXfdKryfTH8z9uzfMWbO0ko7YaMgVZIlile6+AKsdSrnwhl+i5cwp5Ewq LxABSess7nW5VD3rCjzaZvaXRFuVgQ+TqQXD+iHbOG1PIQ1c3G/8uM1NKqvcmmkfiZ8JTMLkGfeE KLas1vaiaoTTLaFXgN+e6RLLjG74DiooYnLZ3kzAR9rB2b47sq1e2si+XpNohaPrkDmSUMA4YZPl ON2VzIyqBOwI4Omf5DPcC6D5EtlBH8X/tIY1b1/qeR1l6wAYMYXQvsapjxVgkT0r2chPgGHMmzWA h/7IOjsWVJOFF4IQ7ZmcU3c2KKX7OA0koqU7zfDM/WDMsAfelKbO0UcD/ez2b9zmn7cttZiG/+G9 oKC8fZL27+6MCSR48fQ5wBt2dOg/pr0IQcGiCaFahuUUZ2sKVgwS1fgeFKKt6RHyP8k5Qs9zb5LG EQMErul6OmUFmSmBf5622pi7w1/Xz0LyEwEo3NFYbY6j3PWCeYwTsm7LiCtLGEKBD892MQlzqsY3 z19SoFZYIF3WVCfsBeFqUYiy0iQYQEwUM+wZoTafXHFx+C2WUHZ9KPGttUzkOcE1RB6z1PIrUFq8 K71cb1sgYwinatzs6bIpgYfFJAIvO8dyWKmGzivlQYqFSCg0ve+yiORIw34Hscg4yikz+K5rL0RA /SpMQrN3rIkWgOLMv0dMQHo8yPpTvvtCFzETB9U/cpy+Jy+7uzEDZFgVowF1+HBVlQQGUnTjWRRK t05kECVH8TPdCfd9++E3ZUzMGsD/OHkavhf2H0iTBKyRb9u0g8w2Af8K3REbtwqGHyU+sV8MfEY/ QIvopRfhIaQioHeoJpEn6ofpByKM1xcfr1pY0r8vqqfVQ2iyybVxPVTFMbgwQuW1L5zPM1Y9yAaK jRH6/ddhhE7m8xdTu/9orXXmczwVakFSOhVF37bhgyJG49nbWN944ePtqL4V68zx098088LaOOCo azrWhQL03ukTJda/G/m8WVSjGCxMtiXjecQ547blWcH94Gy8WK37gbqnhYff6ME5B4x1KAPpDauH 0CLhVsjWLc/QiipXYTwFCYOwovX90JnNbIvh7VtpkKzdMep4GmNL2eLXDU63bis/zow8iKkzmkAX GkJs35o+TMp45MZf6Nlc7jGCtR+nUh+j0Wyyf5X0sRisVJt1gA/y80Hzl7Oe+kZ6i21M+HthViag 8DAzoU2gCZT5wHQQ+5UHR/RXLvXoP9JlxKPVNOPknFerrEaOG4yXRFa++DSRZrZ/tWNQ7bRKEfB4 BBqLMLyJ/lGXOMcAOhj9f5G7RpyaPx6CRFv/65sIyHrF14ckU7RwhW4z7Ikfe6R6W2ixMdvQp93E i5Ej7fLFZ6bXBorsdLtEbbaD1w7jl+GrnDGUX8TdVfqiIFqc7wupa9tKcMu8Q36h4JSpBP34c80M jfaSznzxrBVu8HlRS4nV9wiMHIdws0zorwzuX049zdhYmQ9/PSfQu7/9uhf0IIoMRs2/Sy537lGq 39a17S9GKqfnLrVCDozhZzjIvvo/K5YpqfhyySj+IEfb/C4W06rACvwxARB7BnztTJFg7KqPfa1X X1VKnjPJzqxeVzeu+09UeysnEx9cU9l5NmhfPa65VSTjPFhAmAm0Bqu6PS9Oz0k3NLOYIsumtTz7 eFbkQ/JTTPZWbkEU9FpAIGyQ2f+e5wi/YsnK3EyGxU8yYw3J1hb0eqsnrRCmuERhmSkDc2BB4/Gt zTHHOKKYdmtOBGz3qz3f+COrY+ElIBoRsHXXXYfHp7XjcijIoqO9yzo7FdNLpQe0xRacrG8o+5kE S5mkZt6SjB5be04B+Y/H6LK9r6fi5fark29tW5LSd2HmNrGkMq6xV5kcyS0kZhaC8JgN8CRNFVrP s+JndOSNyuDw8oLtT5z/bJ0uH3ZEhPRIEQ6F4OMGwU1Ibp0FwfxfvD+W2K29d+KnH2H4mG0rgijH fF+8xJAdQILYIu36Tt5HkxgZ7etmMSL3kWawqbC1d/UH73qKH7x7P+vBy4B7kZSHFia0y1oEDofw QJBBr5vzwlIqSnixJoniJg3xEHKI75THyBgF1Hw91+cyIY9eZAP+pZFeV+sB0C+sGtaRW5KyJkgh +Y1SKwgwiDGTEouBZwmU5xc9EHs+7xGpmAnux+cVmxs4tPb1T3uIxqHoidqRCzca2rUMow9hJhW2 s2af8jYAhuVb5S9Y08tVISwo+3l+Cd2SGI9nY6FU/RhTxLfTiAF249ClVfFgsHAURW6sHQBmSA0L 32PSoHj2XTtDtG0JmmKn8f+3DWNvMZJGwNeZ5n6/qHUXwCVDt27zNItQX3f3hixYbKbpJX6I2TcZ OWEY5tsU04QE1MS999zgnAlQCKYpafLRmXFb5mNikS6eNaBfyH2Q4GFNvHyN7uJSCFJE32wGuY7l 5TSPZNPROjaPYy2J4YMFpFnnoipzfsPB0Puz6fGlfihcmKI55MX9lHrBQE/0Cwv2T/MwHoPwTrk/ b6PC6HYIuruKZ3FnwIor8S91z/H29SVf7HV7pk8upav9C+bQ3dDgFapZK4hRLgGt9XBuKPDUpVTY tItz7ENSbAEAhdsVStrK/8Y3roYZy4q1QJMweRqhuLwRs6wu4QVb3D93n5yzLtQdqvLRw8T38DIB 4D/K24lfNOxlD0gM/CC3jp59wZ0PFHX6idD0lPhbLEB5j+qM/LV3ZiQ9BY+5OO+aSaWzR0rWWhDp hlu2pMJiiRbFKz9hrBkVwJ56j6B4JDqzM/e14c3PljoavcWVqbKz3Dmw6IVtVxetkU7e/f6Msi3s Xx92HCrL0Glg9iDFRbuZiQmh8+w4LLgzoAi9A/eAQShWgDL0W7saWOr/9vC79GwNv0ZYsfvyhl6P zh0jDzz49VGdugb8JhW0ageA9H8seo9fOHWmsdojpj/4zAI5H2UkWFAAuOxBXD8xXiXKNuENgopm fgyf41pHdMeWErCqmsNO0cvIDDfwkSlabKr2pYzpf8R0GaY59OWg3ZKKRsxQxcpCWFEKf0aZ2aRy IHhEs/ZtTYCoc6JZ+PitaPpLK35OPhZKKBIl1i3hxuhLx6tzTQyJWVLnwQ0SA39I6pe7tbxoBc74 gK941kMVTbebXgeQ03LJ9T6Kc8p/2nRoqbSC77Dl61+YRo1FT0b71EVoCiBTcL1h/ofTIBi2p4T/ bQYKFMPQ6MRqR93inT/ffzE3vyRtu7lAOAsNOny64CxHyioW70UnMDxkzYQL3StZN2w4AT4PakMf 3/W8flQcNpe76tso7CEdrYmi1w5SF7jY0ZmOq+hLZHU63QMCObKOOXKlJSc+dR0Fjh5XiaE3T3Tc ULQlb7LmQh23pMNgJdhYzSDafmG0k8iSdQCH1rmwpz8O49RkBEYVmL7L0m18DIm2wbsGXVRIN0Z3 BSdgJk+TQUGNKNvxwUCt2MoCF8QGJH+ZS6Z3GrnspZ8YDyBAxJf2jOypRTxHWOzZuG3Jhma5LIrD SiqKL/+s+mKiJl9YtzHU+zS0HnDUR6To5wrBQcbCMdGTupyxkwD76kIEA+I72hCTm7GyaVGHvMl5 uKhjNQfDFC2Sm2JUJZ29AsRl15Xg+KpnLiz7eFugupZe5fbHlxUihUB32jpgSr4TGADzmK9WXHjA TacJKbLu7V78GRgSEPo0Ia4ydp83f+5XKMA/SNaVc2kV3quCufJO4SX0RTz13uTPORUPnAoNNABD kfsXjd5/0mEczWZAUMnTxqcYrhzG3V1QzQlcI2RlyHaWGNXvU9wQ+PY9hSrI/KFbV6KrC/+9W1xg XJXsbcYcUZPLprTZiizHHJcrYyZ5O0w8KOhwkAgeZqcJbRM8Kq/Qg4RZvJ/rmP5fDLWXx9n3DTJH 0a3k9yAmREDGAjBKaJ59i0cC0jPN+JEulS3qcOk6N9JnvsUYN1WvJzU3CBkb/ZHHENQLcJBIjQdq ijTatddc80gr7UjaJR3VEc8Ge3KgNAk+2POgr6gdNY0tZvunl76+ld3gR8CZs3HsTvghotCJo67R a7+u961kGLPlMTDQQZj727x6oMLPL05VjIDDKzf5s4zKOUPlv/C4Qf1GMaqk5j/4qrGOMJoU5i/p epYmwfj23WpEFxqQ7lwx4J67p9ufYzgk+6SOCR4i+iKJA4aNXRN2SHuZHWlQL/HT4OzkMDF9gZIr 2AhBegHdOXpWvx93laMSxO4Hb68qxprUKO8oTA3MPTFpFc30ojtXgheEAWkxyYzZdke8TAhpniJ3 43bvDtvgF2tetyAqT7rZnbU+soIibEIUFXYmUDNRy8XbZtNNEE3UBKUX3uqQk+gpiCquR0+6A25c q7JpIoJvIp69/P7fm3dmkPujC2vMrqxd/Ez+D4s7gvX/EVCDw1xTh9Qbd7qETwSRnGGrnpWzQcdU 3ZK4/NT7CVccyJyz9LAjkG+zfY+zUsW3Kv4dlFrlfBI3dBl/WCWPPevtyG5RvtNE7CW6SLdodJer Jw+OtZ2eZ/EAhx2OBIJUoH5yUuEyZ6zVtyAWvw2ka5Op4y0ZDls47lUMc82NNY6bne8t5Afdx/KP GuXYGvl/eswvLQFSGWTjTeAKS1bTjaiYkQqFYkfu0WbbnTaGIDp74VvDhX+xyLTjuJfDHQLROQvd THKQIkvDj/4j2pQBrM7O0/mkiPJmdn7hqmmvkSJ4RPNnX0tw9urrOtMzjg8564Q64MlZXXss91Js E/6z/SiyybqX6+4jF8FK7DzbgD7ohVqF3aHKHbLXoU0oty5ipsD8mv6L0nFUwa4PbX5J/axMhFTH irdn/RujdPndAuSjp1s4ugbxhu00hvBYojdH+LzMmrEfyTF1NOkT/3iwJU3GwToQghcP2gr1telq 32wVjFZImsA1rJMs+yitNX28k6/ie/BPPZ6SBmnrp1ts9SjlS55LJCZwrgv6ZJrkZ7/HmHWzKtuO cjRd6qC16iDhfg0umrxvZHS8IMBWKrZhn4z/yhk+69Uur1UXIqLOWH5lJpk/DAyMudv6upU7nqUN Ze0F1UjECsZrBLHVSAFGMnr72LHenKq15QKc++LGCYqmGEMIJAhjzQ8tRu6JFZ7PM78wfyd8J/bD TO1AqZ3HWAxVaQF4WFgs83+7+8WSd2SABc6AlRImft2pU43F1IJa+DF1X7VTE46dCjckkk9qcDkM 97qViY/JVc3LuCh7tmxKMosKXzXNvtDuWg8pjHMvmv6n432a96zmYcxlGFH1x99k59u0hfwjjd36 f7nAXLlpesGVBcW/8unvZqRY/BVjDRma30HEBga6bWhwRzI0y9ehO/4IUqrrfFQzZHQmMUTS+XP0 8bphTUenldRAQzDMkdikcP3O9QvEXi5lC0sOsJIyFLFPfWTbq0qrT2vtjW/W0K+o9aHO1Sc8rGHQ lKv7aRO8VJJ+/Ok2bKSDLU4IJbF6OLhICVrDDBgJdpOPBRdbXPA9jM28wWYTe7s3l5IcBGHenqA2 ojI3ioMa/aj1tJ9v3c3X8ArjFwAxkFbF3g5k2Kg8AMIvQEeNKlZpPjVyAB6D2tnLm/6N+CWkpfLk LpDEepXAB205fAbPCEwee5xImdpQzDhBmMcLvUMGXS6dicaHoPRUCgh6XCl33wG0tk/jPHhqvUlY ddXo+NdL+xSBxtFikfzW37vpMswGF6M94MjwNubK0ZLTIQTWpMeKdPMpwMgO7hZ+gLZYT985xBhS 5sCk9hrBwgDKYek4iOn4KUBv39m+q5hs2101bMnoTEC5r0mFJKU7grJRrqbgV4sn8KiDpcrvkhTm aBCZEF9cJXD1HxmW3nuvjabAp76CDvFSpctZiq9fRrxDFgP3VG22SxM35Ukqo4y40GTE1DQLHhYe fTMJpo1om8t3F4DJAB3jnqq4OS6U50BiY2Rot36+rN0yheCCiaMEJbPvX1rilUmwrauNPahMJMgS 6pTawTY1ctixU0ARkLx3jioy7DymZzqd1piKSFc8ibl3Eanyy00sKOQffAOTLINn0kH8jGGLaqP1 ZvwUUZJgr1NBUMv6LDSbVk9Sk2u+qYDdN3B5I/MjGdK2InyP4Lj/yXg4/UWkRi1bxYMVj3LLis04 C6Gn1rRgRCi+JZ+6lnTGdDDWG1SG9MHB5zmtsdxktK+YB5Vj+bX25Ubnwv/fEjhAFJBf7VVxntTl QNBVaAdMqW4a1lQNYr/bkkl3zNr3thMy5BKe/ILVnulaygNZOFJJg0mC9kyrDlFtIbyhQctiqaLi pqpmLl9LiYjBwSEx6fQYGXZ0HZj2MIISpMDOGZzYnzbOvpn6OiljYuDBprgxWds72TdeFIiBFDfV Z5PCDtHfClSAeuRN5TqPUT6Mdd4XTd+RSIVrWnU81+id2M3K8etErVLuwZ3sChLBS89e3o2pzlFE OGtWBQ6bGc4NLH0tIDLH6R79qwhL2JGfZqLL1tV0rNxD/m3Xom+LO9XqaGUpWC4PkIXi7LxidU3a tezZI0YgOwahqrnS0sK8OA1LEi1DYxqniZX3nMHRoiTXssw4B5D38H443ueMuFk7g/FKCJxhl61v lgSbGBLRSkSyoWIoLYsnNFFbQcRMyB9Sqh+kKqUKuetzz5jloEtLIxS19iVo2G8VPNBSFuhgSmhH 4JeQ9m5hUVS1u0yw5dMy2adA1fG/zm7zCwDcTksAqZk5mejlOZOrz0lx0oDWmdWDwaQUbxh4Yb+A M3ZfYQGqTQ6fINJZ/8k9ghuas6VsB5/h2/D9LbSq5X1yWoNoRtlMjWPyIEvjnFybS8pioX4mbWDj 6828S5bHcbevIFqGezPdR/8AY4t/odqcv0G50KmL+4m7ciRK00UIw/dnVSyCCfDgEkZ80S/KGErN Tc4dWs6l+8KLwYqII+a8HX5M+LXPrMlLfwf5fUwGabv0E7MmNVSIJtPXI4nbVDiZhifdD76SZk8+ qkuP87ikPL7GXulaueMqczEebXQb92OdIfw2C3ffRzmH84Guwa44QSqFPQ8tZx+o9o1HvIbHfSNW F9TQPAbeXURQ4Nu2ehDyLSMh698aMNd1uq0Tx6gFgNR6+Qii11bjf5brQjUyCMquM/wAYvwuFvYo WjErL+BCeREZdsJA2gciUthAmPai4rvB/5FWk8+rW4T5HNFR/VghbNfapgN+ZvHKpfidG4J3jcAm QESE71K/82/vqMzVfmaHJ9xVC4Jo/sTAix9QPAhUVsZkraY3kPoaHba3J39SaYARw+Qy6yd20Bon ayBJZaIts2QXSbTOsqU7G8qJxjU3t4hgnYFwEf8OGbs3vxiqlcl3DmmHpgrgFc+VqwGQUmqpuyTg 2AaxRjWEj3lh/iR8EXtaB3BqeJ1YTiayu8hIgzskMO3bFzQHzUSSDfRSkkvxJSWDOFKWtqJMT+Pv 6qeNLf7coY9KVGSRWJ+1Ul99MJEEiwzWz4gPhNRFnJ8lCBvX/R9wepIW+DSnBPbYPegAd6D1pOFK oHwP45oVIHp+fgaZfB/1Pk49GEVdQl2X3YmwTJmDtHDk6Wj8v9X663+Sa5T8BZ0Zz2P+agd5RjKQ tf4vvdMHNAMG8DvwpIFryH8hQTu2t/pFzBmrzXWHgarJFmhwgzGZpq4eCqCT96SpF6RYJjcnKoye fjlC3iB9bCnVfraQcS3uRiA1iu9Qx1hOdue9sHSQvdYeNBdb/ydHmr0SsDASza8VWMUoATopbh7i BfY88zx2NHmdOwYCsgvLBN5YIWEHZ2PGXYzqSQPswToYkZ6IKuAWVYdqP4phqfIq4c3AOT2rUCa1 A9RboBM9ZTXKYPvJZ8MxiN0C6hyXgaNLEvUrcGW9rcEr9waQIDD1lOhUHS+KQfOBsH9Zix+3v+Bp L5QH0v5udA6m0PlQg7kUfbZUYnLhvEgRIDxPmYDUiXFGELfhj/oBLqNIZRJATKWZ3rl+IrlDDxNt enlY1AG/nFVlJLRSNTesx/AmrfVOyjGYdrncFB22REQQQxCVmLfe7dYSFouZTEy+twYhzK6GVCvG iHULolz8620ujHSu//RUV63QbXfnx31+MBPH+CCvsFvy/NJjdm/h0DkLEZyia6x7TnmSgVpnmpRB kCD82nlB3taaMkSgJGS1dEQ7E6j+VgQRZDTAMivXeCXH2MIXBMDClLkBKGw64j3+vVYR/ASjWTtz m06LXc8wkg/Oe4CGXwMIcPfaSiV5qUBQhGKnCA3lGA61YKTqSuO1eHLU2Rf7lX63l/zwbth7MXwP r0l5Zi16YpRvSjCgjkTn+vInFciYxJSn33U1nBL9Ou6YOFZud0eddAA1b1Uvc9U3s5folgiNQnzH LqFKzIpSAODmDgLgBW67SBrWS53LasRdvp2x0u3q/ralxR9DttDNzaJFxVrDXXDTfqP0S6dKXgI8 WISiJmymDTR/O2rX4tI7nEEFxXNInWcoMIHFJJp8PBjt9gI9vly1zIBQaiV0pIS0vvJDLi3sfpmZ cRo2BWnfmwlUc8XGj/mNxbvAgkW9+f9O/e/Wz1Edc6t0SBl16u5NClIbgkkkWVe0L5qmruQ0oyqv iG4lzwUmedMd7VEUiTYeG0ih09Hv+/nowMuThYDF5ieyoM87GD014nHw6H/tM2DLbG8CiR818lFf 8gYoD6HfushT+G1EcyeXz+hizkgmICEnJUBJgyPwowBDb/3VffYfd63RZiekY3kUP1v/U8LRW0Nn geFl5kyj5V42e0chVyguQPqkWxx3AsBkF0ksrT6JiNxwTUBo54abOMytK6gq6nJ8pt/4EVl05lpm As9iZ0zPBxCDFtJS5D54Aov6Fpq+S9JUgOLXXNDthDMFk3mlFGx78CuWF11CypFk7wsVyytd+z9d ma8rmgIsYqY+S9iFbWcscZkOoW1dGv/h/P2WiDMWGOWz66T0oznqTYHys8xoAesb2sg2o6G5RxcZ SUpV7NFMRVXXKIva8F2Kw2rlR2b3L7nFXEh5LN0HBLAQSbXI3KHZM621mu9XzDXthcJuvQs3tmI9 r+W8T62EoYilsvjhxXZKN6hWxmmmNX+kLznZUOU/PWybG877WWC4f+Rz0rT0j2JxHrILoB+b+Rqj a6SrVAZuT14BX2+y1n7V5fkxJZXyQhCBjWVxVMQZ5hsaVaMqBuJY1m00OBAJWhUc0h7+/F/s+Nm1 l/dHd5roYEi8yZytmBmCk+Ufa2J3R6ciw/As7V+9QN3DSqtL4auQ2GU1llbBYMdovU56f25GsN3T aIT3FBjoIHxKooBdmyX3+mV2CKX8nJXCh+vC7W5c4vrzsoS0D3pDgA+zBUsfS4x3PSkolE6MaKNp dd/PSyjvPNmd6ULKTV2xfkI4V7SeuUUw9xNNFzj7BTx6Je23vn0XkLpPk4x38B9WdwHZYQXfnRG/ ZTQDYTB8EGieW+7ip+65kJUjwcTHUEpxbwp7/F4x2XdKB9gY/aQh0+3A9f7b0h3Hw2Idjd9BXyRw HZKg6V3p1nmzaXb/beRsQPEPbR1TAaMfCsHpZ6RqFI0MDWl1z94ziZoWQSQSEqwKiBTvBGCaJCl8 o3NtMn9xaJIsvowExvAygtUWSzxGuZky99E8ha8nMfYnCPYF/f/PbMFeJSlwg+eM0pxrWaLoW6T+ K2KvY0DC39k5d47ZkSpSaE3xz1FI9kALNev7rA1biq2qx3hRCeUbAifHjEkun5vs2rtDV3TDpC6W vbYybtnpAyLdbbXmm0ZpREGgb4ZXnUxM71ssY7kE5q3fi9RLHhzRFuPuTlpfnjTSohVlM/heiNS/ S0Jns2TSu1Sj/ZlF1nka0KF+DgtMG9TIi7IOQkyOKZHqoSUBLKUbIpNx7IXozbVlFPgoq7NGKA0h Ge8YTeUn21xny2vN7eF7+fZWtvkSpg+a/PSclk9HyrQpKUVUyugLbJuHSy1lPvzMBb0uGizOCugC /AkeZ9lHWg2XAue+c1semyQ/9Y1LVqvauUvILtNb9Iv6Cs+3KUkwOIC328P1jE1xTyRIJ4vTt50P UeFbYsqWWd1sJCiFI1VGam+i8sSugf+sMuAJ3u+MxQDR+M6zW0JcrjafA0KvobCf7rPiLDPFFqJd SlsMa0fQTOqOuj38+kQGd4zo72vxJ4S6hjBPzirSYsFLucfKoBOSHP6lmdlRlav4UpghtI9gITQx QEBLJKwt6u9kgZ9TwnvCVJNTKb6qPhvB+4KI15uZI+PVhGqckiPvE+/5/eFQ2ATfav9rVVH9ERIE sYoaW69VcxGDZyG2eegccxmoAnUF0iatKfYB5eUOq+6225/cNUNVtvRQpqqdVcU+jGA2ULuQWCLO 0UQAFN0owKyadTDhxJVTF76abNWIUwSUl5ENkKWNxBzbEIBIyItSc5fKQeMT+Iavpa00kM4DTGC1 V9RNdXpTZuIYH1u8fbPO7XvJvwCOPrTq/isE+0XeYvPrpnFsMTp3ciEOB/HdmoTf47xWhFQ7RJdT sZmzrwfUC1TgKR41ZU92OZcW26GwL2Hv+WsHOZEHVydUntUb8jeooaOhLOtYiKF8WBexVUckP8Yk mVHLrCPkMs78529XWfSxV3FsqkD0i3UCN/pmAYA4r3r0xcMPRR5fPEKDjm3+GgofqX52sVML8jtc T4nW8tZ2+Pp5XmXcBowRdSRlfQWkgPby3ildx6f/g42AFvMIC4cENJUfmmTGKz0bzkcqRlT8LvLh LfK9ToKb7wLcfPbep6zALKQ+2+9L0rkaCYvrN71OwzCQDnpMMlSUdCSeqzZcTTWcjhf813gayjKf cxDv2Yi/MWLY9z4javA2DoUznIpZAkkqYJGoIL0PNPzlincpE3fib85hn3pVeLbuR0JHYxxT71DL r1y63UuW9DHpWHqdT3H4GYD5cn215avhxsSuRGwT9mxuomnArZ9GKTb4c7fuqOgBU6sp03TFf40g CB05nxa7wMq+xddeb3yLW+tyLOYtV8IOmgfDzKFrYyc6bP026jgdqMnMbzu2nnbVnp33Guit7BnU 0sPYnOEvFDQfP2bEJeZEFMckcs3gpqP1wtMVbhiIbdT2extDjCpZUcaNndouO3hGmId7ffrOYQA+ BGGDSED21bc0yaf+MUKNHmxDjTpo730DKilQHUV6ZoX38gyKNazJcwgYdzqV8a62/8h2mXmNWraQ Yd6yLD0M9Q+/QUs/6dKcslIDxYjb59XAWYcdwLgQbFn85UhS7ahJJmNAlO+0hzYatj4gppbG3Lai pCcS5+tyDMheVrnBD4AdVJKZHZ82h2GA9Kp5Fm0qy8wAkupmtlfX2W4bRTkYFtqWWU6Hw3NciGNo u0XHebE5IGlcuRDQ8uKbD1qPD5HAGiVTWdlTlay6LbZArp2C4g/MvpfTH45AFlQZWEENdmBccp+A BiPfiMOUoPbgWR3rc//Rj8Sc8fQan/HUL+u4eMJTKCGzw44yp0lHyysA1kDrC29qORxSOy2MKm0Z 8lktsS50N0UYaC05ggIV+DWlvdPXCU2AyuJwuA1BW5ojDL1S2rqcHHorhkoSQQkdjD60PYpnOoDd 10Mf0intUXawZlchsD3sEp08NidSnjIrqvdAFeu8BrANrZpDgyw80pFU0XC7fpa3Zya9Ai/9LJ0N omnsmVqhiIDM0ebyYbrQJBwNiXwJIbV6v5KFRyXnvufv1AmwRqhg1RajMrjEV7GQol9//c+9HUhb Qt8dUEqtikx/0KuJngEuuP6neNDs74WG9sQ3pz4+HCsIVw9xJR5bwFGccm7TB9Tevy2mPCawH985 R12m3RvQLwktA1iOXLab5mIvEnmcFfoCh1Myt2rNfRRh6icoGmcFQDm7Or/J/5ZqFtuG1FxPOv77 jubgknpzgM4y6mvh6EPyCZCCRzOZRwAvdL8YRoF22DsyqTfzDWj9PpuEzpyAP9sQBIHR5FmXasib pPcKCH9eg5WveuK/8mfJeMkWKjkalK07wFjMe76e3Xeb11PFe2tjwpoWWo65DE6dHQ/jmPWH7R3F LxwYbxMFOspObhCJdouWCWQR36ISkH0dxNB8gPaX+kOh9+qhlVndPr1bQOPuXwXpnCTUX7cK2vm+ bbO6m60Vb4KvpF9Ow61shFh8yHjec/jPOXB8jdCpfhg8z0h1bAfJRWsTAoxwf2Cq3bNiK8kdeZEu mR6EstDwAGwvAanHlt48cOoSy7F9ToVa/rlsBCDnpofnKMAyJcwWvmGaxY5f1KOA555lQcnSnaDn 0lhRmSWjUibIMoMYWyow+5J4x4DAUluHQ6ZTSmIF55sjx0baL055R0l6mxjSjjl/CftS0qM23ppb rMqEDXVJsVe64TLpAxqWJQAhOHfJtXZ1gnZdDrTRVaPjeG5VUAd7a0IBZg89clwZ4zoJlx9UWyvI 4k4S9SHux7NbwaFnXFcqN3jJMI2t8rkbpLW5q3zTFtLRZhDITyaY49InYzPKS6JTzMBFjyDKZp9g mIgXCvpszXQ/ExZAs3SUCCFgg0aDtLfAEIQtYIcUJwGu9c9+NiqYQjCRrFVGeS9IovGi7gRvIxp4 3z1CGxAi8n5YgEkZdHLpgwPyZrONtJR0PHxDG/1hT/p7mNqzdna0Ju3dH2pNXRcJzu84IBqtmQde W9OY/5EIF8WSNDusGIaZZgXkvtVDNNIb66+P3D5xC+Nmz23KNVahXZwv5JtoKFD7seShnkc3fGCf E8Ou8c65TxrFDh7VnVirARS9kuw58CrPoznBksu0fz3bmrWhG+xuPqmE8aI1lDNyUS38bgJOpL4c d8mI4O3E5wRMoSi6JycWgp5JI2cPEcGDtzHr/lRa4WFhDoIttH4Q1MFc2pabsE+F13Nqw8FAFdpt xf6YemOcuG7kRjnK0dRdfwsn7sLxEeDhKeF6mvFO5uV5kuruVzgPYrttxI9XtbowRAiH9SvwXch/ WzmfpB0hRarZ7FOU06S0hpBE5Bo2tWuPfq9J0vAEUC9jqd3j47pcxF+j/dQ8adQUqeFybJOZ0mKq NS943JMbJLSCWkCcYafqAd9Xgx7ssNcJSTybjdJJnZkAKPwWjhz/NA824xZubByVE5rbfavKI/yf fDlN8oeza+FyMJh8I14r2RcLRN2YK4a2l22Yj/qpDcyGixcY1tcFS/ZOvqDchT2BOQsZ1GJ8FvSQ 5m4OM0WnjnZs8DDILWoqxPBhQyi80VaRc+6YGXuO3F+6i1W55KwXuh+9FoJ72kXFb+OkE4RJ5mCU ky9CpGjZOqQnmWTkeECg4aykSlEKiryjw7I+HUb5hqyup23VF/j7kSFmAkF9chzMzGMrUPnIOX22 SF0cHM5yuYVxmauwvl82cQbHL5Lkow6ExKyqNsooQ1BBhMR1VSlMXVZMLVJ2x+AmhJEapFxt/OYU c1Zfzl3yC5wSWELLsMz/JGexKWBAdPDYrgkvWlFs+4aVXWfjFwkK/Ch78/d2S/0Xop9Lm+m/FJEi jsaojHsTLTGy/TxLskiQ/dgrrl8QW1qjw3hlpl3AXJn9ZZywUrS/0SlZGlc8p0nv+q2gtgcHd61h QRXL1Xn3H7aZhoVjMOYH+fa4X8B25u4XuZga1/JezRFkvLm5qnY80JsVULLbQTmd5O2yuCJ1OCfZ CgCEzNzRbF3SvrgNReIAUpPBBZXmSywE6bwI3g7+4DqLomHcb4I6PGfVTNoS5R9ZmUISUn72dy3I d3W1vKJjg6mhWWm7HhP14s8aSnglBF8nchniFBRStFrvWJHPViQump3gPgPYLnhXYiMMaUshR19q L/4kUszsRHk5FkCa2vbVeZenyPDatHRkTRWfJT+EsCNwbgbl4+B41HRydVIY2wcOvUn+VZVBU0g1 860v1odqMoXlpe1lHVtxIHu3YS+MSECV7pV75I7fw1qMlXQzvn71gzkx2+kTLReA/XNS4ch29e75 d6z4HUARqo7x/2mQObsQTE0zcjSDrNqJxpHLuIlAR0+KhtIE2Rko4BuT9vbNV/txGTgUyqBTIL/R 3flhENPVIbb0gVe0cEl5IdC+AchPk90QzbiSFGS6kWFalmHvM6hwGjh960m34407UJY7R7qapMEJ NScrDbLMrFL6nQp4Xsx2ZhHKF5MB5aPysntPRGi0q2r5PUq3CyTNjPCfpgSwHCvM46IZMpBS5vjo KDhppvTEiJlkVTWni2wuk8RJSqe1kgcvNjYQJOhKMOGs05BgPtxVWN0nMEH6WC6/hEQvdMV0v9tm xzqMRUA9diT/DrlbBKiz5LLjyU4vzaAQ2OY1iPLhSFNKIYFqTdngLJUkFosVBe4IgPW+6iGo83pK VwtOIuI1Mu43C9JO89rA1HUzhrPpSb/jsbvklvjGcVqlT5qEMKuov4ukXo/vlF9nHJSalk/Fp0OM MjrVzH2l89FX+pgPz0Zmt5vDmtcWld2lRMuqe4kwv9jhocDyBCahtIQXp3pAUM21p89BZo3oXdqN 9GFeRP+wEuuVMWzzgN7rjn8i7DlWeik2QGo7f2GcodXEOjLhARWCMbu7ff0RG2lCEW/NSAXEf6zT FW1HJamtohAxg25gm/uh14nYU3SKvHuJWSi15stXHF3t/Wt4AH6lUwjRF57uftQ7bUJGBmYKrvfe sK1bNuv8/6WucuWT13KhEIa2r2m4W3HWHudpHU+nku8yEapCCk20W3x4u0th0qluXcv5CGDR59rh 33l31NZjP62QBdgtwtc4a4ij7tX+rpF8D1oxXHZEv6AJ5Wtec7I5W11WZ8CNEgTXFyKBopErxKUI nAmYJbMTvCrWU459h+KvxcxN0fmegSdD5POBNypQ4unIkzgPCX4rLm77HQQVJhP74FYEubvoYqqN bfSWjx13J+8mZbirpyjBw1j6ID0LYqo86g3FbVZVmAA29JDZlRThaKj8KrsWXxRRjsWl4mxgYO+W rRelP4f0GojbWJJjZhrnLyVZYVPPidfQ2DJ/9AdIMUDfkJzMQ0PcLQoKkyltjMpF/aBbUlKfmzD6 YRW1umFEz8OnKQxW0Kp/83+85iQPFsBgQEHEPzRwcdHanSYhPc0ehEO0OZbhM0KfzNdNAdy7goXY UcyAZYiXrRhEiSUZm1C2HNVxBmd2qN/8qIz6Tgc7jPTnILUdAwgS/PCFbmCiMpr7+bQmwKqQ8Z3y VeQWM3C+lDQI7L0ctwDdI2KNRvnqbm3NMtFVYKd1+IXs4hJtQWtgjo0UlaGaFOrVjHpCGQYC4nCO kMR+Zt6eCij3o98pTl/s9V02bAXl9fWAj44tsyJbt748uPmcehXFe4oFvQfKEbUCEm9RCKraQOmS a3gFMOVscZDRxJaRYuRY37ockV9iOGKKh7WLvQ7S4Q1e6wIpjC0pm3VuiHUfbEUl8a/6Thc8gXG3 56J96zcssmr/vGYbpFj6fI3VbykeWihTy8BuQ05NgTFHEFslxiLbyfpIPOpU2wU+J2h4L9/UJA2S jagYfIVwu8weGlt6Nu2r/gQDKbB8qm+dVKdLh3ngGEmVGIwKuaNN4ERQYgLhjh5g90W3Q6FQXwNp h/D1tf5EaOMDa5j5NVlab3lR49dITZUN/OIjZz6h+NeGfcOFUIisponOXgSiIG6m6DHTb/z5itoV XOHRfSmiA3Gvm9smH3ck7sn2YihKzElBHGWxiRpmO06vJZH1doS9xINmiO8S235K6uD0oZ96Z2EO qtlr7htVF5/7IKh58BpVu43ufqZZ3O/9PhHBgIZoFMUfLOIP6MlB9fcOy2SnPQrCluVgL6DQEeEF h+SQSP4Yg1cPk79QWIpSAAGBZYidE3sOuEHAM/s0MFSeT0My03lBaRVHSev7jEXwKv9Ec4OevVK2 qqZr+NmSEZdXWrefPSUpnld21dk6QaGfk+x1b/BD8VaVn37c/Aa9jtMC8ncRsSEu2Uf4a29UMffK STjMcZx7DKlfT3nBpGEom91Dw1RR7zshbkHD6JLHxa+QtwE9O4vjMbaH7i3qSKctblrQ4y8E5PBF R3EsE5l+GUZjg8hKa3LxBjzxiSxxEXxoZM4FTpoPyA+dEeM9BLpyO6VOAg9w/vQHg6tUjG7QB2OU F1UdKd+s//WS7/lHmnOaXaKJz1ecOCETRYatchoLFj/glgqPNNq3OeXW0zCBpEA915NGMi/CE/5w 7ZE+01zQikjywitXM5K7KSatlCN3vUYXlaw1Ep5MGIAo39iroB+VLmOmXxi1UXHtDYJp1Ggj9D0m ktsE7aNMrQsqFq8vKJxmg6LgP3NxYytqe9b0QHRdvVr2oRmY8w6rFcRagW8K8DrQp7ob/bzA6lnA FZTjsEpfSuIOj0Z8ntYLdK5pY20h/7rOkWV+2/TLfEReNcU/6A+kEgrDbMt1jCQZ6AeRvMFI7z/M sKVZ0dojP5jcTTzJanswu4HJkgxBitDsAkVHa6LgMS34y+69jMBiX4sptIWltfWM83g3GeLRz4A/ uJ7bDwaY+MmVNcuihzb0RlzpBVmw/JojIK3XZreQEhbsgImsyqxx/+iV9hP/Sb+j01rRGSxlfGDk 4dJ2ky7hc+YJ2XHWiATmz39d3OFZGuI5yZ8Ioth95xL5WRYTnmSF5p7SuDW52hxX0AIEJK9F/70V DF8SnLXhM4ZktxSOiFZhcS7FlgMD3AoJDsV0WsMPS+bY4AEfwZd9qiDcaeFYvW3CwA7mxJMFDvQy /evdIVWHvAcQVDeG1ebpeBzDZ1bSr6wUow0QpF1Imuh+w7GWSCTHnT5F5hDsts0MAxAVanxs2qOw ppo+PfHOBIdA9amxJUqJXRhFAC7YDhEFxEL9AVfq1drhCyfAAd4COdLutIabFdRJUuXlJwu278o2 rWC4RsHz1oanImGge2p4p8buDqnBwrDQvqcy/eXe0TqeWFW0opmwFqoC67g8I7sHmOEJ0h9tdcNM Ts1YOQNMobWJZHuqTnqEkiQsyQGgbrYFRQ3ViNZlDsWYIJaSMeaduOpVAY26brhKI0TouTb/gVtM 8hhVBm7NkkF5jHQXnDfPFOWmPDhSf5Ze12Q/8sGzoVuAJBNuAKkmYtkhLpzhL3hqPu8CVMElngZ7 7fnmi+PI9PTRqj6RSZdNIL50SbVVNoSpIcyZC9L5g77gYemhKrzbVqqqQ6KYC4PzhGSUht3TN+J3 RwWD3jbIiTl/QOIrAXQ4X+khXyIz0LBe24Cwm7gaXChjW4kX65Sw1wnjeTaUCHginCmQSSNV7Ha9 Fa6g/iqGLxR2bpRZtHU9mtJj30khdllmHyRBn4i9pPfJ0qExk37H83jji0uL4UcDl/M4EXcPrsjP CWrzHR6Rq74/krv8GhNRkIeJtxVeRdJ5YLqaUNe1Nk9DsY/4079LaiQr5kUKusZTtBbp0AQaBeEc ctHkuylCivA58EAqFWlE7VQqPe6U/ghKcsIxFOADEBep5jHgZY6pLnyUWBhZ22OMrF2d4yTngMoj Jzfo8iiKZm5qCRYjb7RpVnGncneMrjzeV8/I5mLc89Zeta3m5g8YGLd6jHgRKP3XhD509o6NrWO8 ddcipH2yiSvsYpBb1Wp9gq2gATs1MDNr5Z4cv+TYlc/rxMzmFLmqiL7JByCPP6mfLie8wtJEBh+n IIjoFzS8nfR2BRSOZaD8ZyImkV/QZr/8wqYy6XlzEXHI0Oh23hirWJpMW5G+Tfw0pOI2xVtlPHZ7 tVtLcC+IGFsEqPt3S0fqsAX/58ezVkhdU7Q/IkcwD9vUpjA34WvcZxg6DuJt2vg/qeIF7QaE9h90 bm/ZXvCliGd43mMFCDnuJ7nBHUq57x0g7uWggRt2UmcEZ++IMSpSnIbYrBIS2h001t7M5iJpobYX YtHlaWdmkMOdAg3ltygjuHZRse8XHPozdFpO92QWSYatI0LrPtKUE0FmBrUvxwQ3PyATHfvQ0JpE 8nViVsoDKAjuWBOaj0X4q57VIDZuxUTw3JVHNYZVw18ELoJoKsN7hdy/l2a1xnDHT54Xm8v88LOs LpK78E7RYKo4y2lf670OAn4VBbs4pg1Y8wnkQMA1cTji2wneEM/sfQ4TNgX7TpPqCXs1jj4HgKUV GORQrgsBzBE6Fadm18+0xyxOD+E93nsnFZTsSu+jrNksgewhTsPbAvD4qWPJGHGonfIiPZqujwFR 78ZUgOQ8v2EhjYlmUYPj3LHSSmSCLP4d/q5MB8fxOHn2u5a0PyUdfqCcxGYpTUjbDjCBToJ36wkX YmX89Tz/FJJvDGJZQRt0ssds9cRXVy0WltHvJWdkXUj+78aZYY/vcAsRKQgrSHmZZpU9WJRq+o3Q Cebw8+qexhcK+d8ACQYyRCrsb3trTZF77IfCg4m+sraNR3LihHXDn2OPOxH5UsBZKCWtQrdrv3wz dngnMS7eEhjeDFM+PMCKEPMrZxm7Md7dY7pYUHono7bmiWnxLuT8NeFIKqcvdZ+SrEoKXWi2KBej jnxkaPJHnsLWQY1OzHqLJC9jyKFW9xaAaEfbxi/FUcy4sydublBHgY41x2ASZ7STMe8KeA0stAUW pXUcIL8WUO5PA2oRQhLxyHOAwT7lZIJb+5mklGB6czU0Pt842lvwlQbhd9olmfWtUmCiVz1vOxvh G1SoBJva9Ge8xIP1hO/OJiZHsa3WAYVnhg84JGW2HDd9NSI87SoxeenjcZ0DG4m6Rb0qm7d5+nuY RDzs89C6ZzIy/i8fpEAKmeQKpGptD9feKrUPTOhjciSgOqIyOSJHEsuqIqb8un26TUY+n9bTBoNx 8+HZk9/ELo+znt9MY+FMgsnQEhWQ2Wf9taeOy9/n+edcxofJf/i8sP/gjm4Om1f4SUOmOZzsB0Wz D2ATDLw+BSyzhZmeGi3VakPxRySOTXrQrFoUnt9I0h+G4dG0FLK1nLYz7cDcZugiAZrALnT5NQhj t+I+EX9wxsaS/7brzx9P2JSswCDRCGFxIBg75o9bdSpgPEAJVs3raORuIa054C7RxkgxlbMBUELO eeiosuXptHNQZdSDaL5Vi8Wie72Y0Pt+9ri9b8ETHOMf56LVYatHA4SiF7TV9yJJIauw6zAM19Oe 6+zm6ILo93j3RYO3hc6Fh3x/Rv7RRAKKWWmm3oIjOLCkMsu9HzndrMRgcV8FantK6zPAQwc9oJDj 1uSjwf7egWPvIaJ0BHrKYa5DIqtLXLaZkEC+z45E7XVkYVmNtS2JnXlDVzgNl/HyWbiFZu+kuprx PR48usy3Y7wwW81JHq+utWQJg7fUfYSNju2QfdzCLk+sclpT9SrYI+IDm9VTMToIVc4dhDtj+LQt gay/a0h5qBwcyv3JEqjcRm6etKq6RzMcNkBJDWer09Vju9jkFMLP++YR6Smzq96XQ+RksCdZfTl3 Dg/i4ITqY6U5or/v74aEvu5VfnCnrB1um7dzV9CZunbYx8YNcVw34LJ6RADwM9zdLEj64MkZRee6 KKf+JMSLRnpSj64+SwTR4nDAVn7RiY5/ocCUmD4uwuUGQqCN6kmCAurwg8mdVj2yHa23kzP62F+V RYsr/NEIdKDEiPNQ0Ut8sXEBU1e1zKCPlI7dqgyV2rfSOwdAXyZc2tQTrgxi1Cx/vGE4AtRTMcE9 5T4pa1y9L8lwSvpF2ByPk6pJ2/dZd+CpRVFU4q825xn2Y0338iJdb6yAFBk4dOFTo3U79rJdTwXG YKVcJJ+nDd1lqzjykF/GMFn+oJkLR262AAwAa/7j7Z+kRozovKDM3GJ0zVEdn2jXryEnwUNvUoO7 1dhNd82/C6uOJoKaIRsa/2y4KlnoAdh5ZWvz+3k833HGogYF6L3CwCAspBLIE57MIUBtz3PVPm3A bd0lAYPSKVz+aC8ya98lfbIaL+jo0ixSyv95Maslj7l0r1Kcrkg0mjaHB8l6n2SfLmRRDIm9gyOo fFuvwfx8NeVMkvpPEWsQDYiLtxEH4MQdKeILkkbffjRB7PXt/us+Tk1Mk0/gfsLuPChg1gzHzpOp 5nkulGD2g9oUZiXQx9wcDmc+Q5NtVyJ2tWxVxGc/xNC1pbyv2W6ceRp3ge9UxD1kzXiBpxNDQ9f8 0BlNwSxKv8cWSLAulUtrcCapWq+5jJCbKxIIUlHyfhrKQL2URD2MybTme+CfHwMDu72swAluqTEt iYj7AiXglPVwxbn5elWV4pjh0o9cXRb5R8TF2zDjQ2B8Awvs1MXprUIY3GdKt+qv1bFTCDILMJb5 UUI9/d0oh9HdHl0UrKKVZqJ4iu6FyO7GSHYaG7OeO1fOUIoaWA+h1iY3SpqGPuq2bf3uV0c3EgAo ywQ1BMy5C1dF/HGcJivvtGDOfTpbmw04SJm25jJ5O57bUD1I+I7/QFLfaOMgWabUEr5ybq1PFDqo i5uaGh/roW+9JQdtHyPc8pi1nDH45QPt/iuRUI9B5IaUVWd6iU8zj1Iv32kUV4J1/CTBTblXGkX1 loeTdPm8Ran+8qHvfx05w1ah+JWL+Jcneevkhs1j/8rSRd1qOkYAbHUEy+dtQhvi98xiSYO/xrow e8/ftxNIrHIMw2NlmLdGSjQAtvKAeIPiyY3KTAvaxr0RL4pXZ8S3qtGORbE3UG/lI+IVtIIrH6gg 8Q/LeRHZE1gJjB4PuYpu2aftL98uBgWshqCh1lOEc9JZ9nFEUnHwoyqFbRl5Ud7wjnJlJxJxcwt3 n5bM7NyhIZRgLJuPSOXDshO91sPacBhPsy2z8y6TJfLCItYFtZ6kxzunB8aOnXrbrTbbh9X9A3FK PkYe+U2GIqWpEp2dIvDhcP0LWuJqPTuVztorWDxkNadFKSF4jUpEGm51h269mSGpk6K/yWmhmECx pE2exkSpdMnEXSdXAZzqmRTgiZDUb8lMpKYRe/hIHL5rOYLTcx9Gi+ir6gyc4BxfY/bX8S+lcF0m zJK7CvbWAQ7QiF3GQtzkdMN2Ghjd5DPnHmU7z9b3ojWx9hQGq2pJMbbRpageByLwoLIFrPZUF/GG OoPYQGIrbE4PvImppHkH7PoCwEsA7/oyiOfxuBQO4Ia9IatXlZNErbz2TKX4TpJmzeqI8HC742rX iKblTE0aN61fFa3D8RhY0aZOORxpUXA5XGpsojOqoVcI+idF+xXEsDkhsIcf8cXPWacHC33TYfAA n94hWh1PG3cR/Dk7wEkEYAoU84UOXlufS5GAaAam1S3smhvhsg91xIH1Iz/LITItM8Emjrfda+qK sTEQPII/T3LCwC8pXVnrwvrF5qnu27KjS8GnxqhwvbgctYfzR3wM2yPL7ahlqTLCp2cjPguAxo0V B/YinFEz14hpu2Kn5CKokveE7UPtzScQeO1PqukcZlClFOZBbb8OGpPpIyub1RJ8WBQ0U8Dxw84l NKlJkzWFSrP6OcbD7vjHkTop4lBNUu4o2e8cG47vIwDfWkpH/nWbF1XlRtI1MrnsXJqIEV4MpLFP BUxIuT4ZPGyHF7P0JhrGEHtaQwbWjiuIFxlMpQP0rjySo3qbW27sEx7u82I6mCn2x+kGRvyqR+y7 jrLxY9V2rEKTPf5i04va8FMtnCIBSXbyiZ/HEwoBk6UcyOl50vNYzlEhKfFDE8HCvsWRKWlFBLKj Af7H5UWK9JEuuDLRAb3YLuRCiTslgRjA7BNwzEtqaR1B2YZFBpf61U2S9b2P2YN9sUmd8eUNo6R0 QoQHyXGQRFS0/vHXFpdlDE4XMsxnZtKpBsgFYV+8fRi5QJZoL5teaCuzhS1XuRLdygtAT6vIazhq 5Uf1pu6hHFJ/M6XzhzIr1+D67DSg0Laq6Do/SeXynS5h/AZd9zWNkzNZU2v9MoIBRUZf8mepiUbO SjS8LrGuI2RNowTwAymd8Cz7ZyqZpsKM2TbKItModatk1R2JhpNznnjdqbp+5F5lw1OXwS8wki32 /wWGbrwHcMRINjhDojvj4GRhVpCo05o8bjjijjmaBUVyC88MMax41vBi4BVInJ+8P9KAuZLYcMlh x7cvng/X27Km3BiRTf+b7UBIteSkSejZFWL0iy4UKdEq6tkQWAEHEwxRPfV+G/XNp7fo4UDA1bx6 EZfcfX49gF7Vfd+MmPvs0DwSVA0gAUnMRW94BAs5/jqF5RkP+Kr7z0PJG9MDvNWbzrG6LmyG2ULI XOwOo4pP7Cm6rbTLqDSCO/vmLZQ7bMBdizuttaVU6AYhgsPUHrbAIuiYIoiJ8PdzUM3DzrCVl+0P qP6EYWc1yQ4cxy4isPZEhDGJZSQf9JRaN1TJ08bOh+qTe+PgMoml4WvLETOf2BoOivPZFerMXKCv 9+ImP0egT3saqzaYW82Oy5wzVxZXnVYW5lA7ZRCN6AViQZWm2SZfz8n+5OMQDYwzkTOzQq9Kta7z 3NZ7uTJh3RrGl16E3LVnbzujWjSfx95Q/85pJFv45RxVOcy7LIYEM24LU0nMMxHhBFismp8fPD8+ GARLET9eGcarWm+DDl176NCQRL7zVDLVjEYFGd7F4cS5f9wsS3QvMZxRqYt36NQKVTWDIUOeGoND A0MGnWOx9M9fb1JWD2mhMpuMUimMHAEu0jUOWioDq1kyxKb0Kg/mg1QvxYBg38vRgcp2sYeJ3t9f 2VYjbcUZ2Rkwz8GOuO4k+IRJ7bi3FS7YDVuKEH7pdXSq5cTQfyzeiCLS710zRuwOOFRzcOrl4I3q +EOOuhAPTMh2I9jXj4e0Kgx7UkFHwqS1X+978+5sm2386jjTzyUy2jSzWyxPqoWfEi94QnrP/u4P /EAaXxeQUL7tsiYEOuLIYmLrHBmvl8rA3GYvpC07yO5B1VZRFi2jNCPDdjBpJ5QVf9IivH2f4K9J AezfSkxErX2hxuoVCnuzJ1ZRcGwjt9q0uegmfRDCKDAlSpeGQ4eKcP7waLvEo7EmCP81/Thg0wKV odgCn2f4l8DcgTMInrZJdynX87C2fgGsH+IFwPsJCjhoGYF/hm9uFKYRzc224OmG+2uhf3NHeJpz /bpfDAnXlqizM0cziDUtftnANDOs8GJIuhT0jEhpe0r4bakkVDVXE9SCtfHmkdDFSbuaTgphk/p/ j1QBADHzaHL8eI534zpzxxXpvcD2GnEHpU+vqs19gbIM0GIyyFIPIb5i2Ueu25U28y4XuoSPIyun CPRwBLqG2lyhJuekrcYrr3OwPAMes5wLaohqP3dzs/TGL34D5KDSQpJEO6AQEhsMlN6jU9o5X7Wb 98z1E+RCcvBCvD8LLFqvvh15dhYTQTxwzgMebsHnWWv22a5Izy6TJ4ZwKIkkUhd8GDnFg+rvrR0O UDj3u44qcExcQjv8cwNZ8yFw48DEC1AvbTc2/XDHeFL1szbk9xyTLyVjn62wZBL/l3tnCke7h/3O gnwEwCXpy8toArRh/EFIc2+7ypQwqbNTi4IrcH+R0viSdgDvBsJ5q2Np1OE3xgNwhdD2sbCk0pKT SZJsq66HBk+EZpufvw2BzHhTBXFGYveGo8g53rZ+6P/Llt75yxwpzjaQRfy31aLxHFJW30N/ZECl HIHEIuVQBjWI5NVIE7I0c1ooOMz4ME5zxpqeI4ORd6m+qR/5IfE1jtH+tFahKEAA1IuQJ6jK8nEZ N7nMJUuNRE/vIcH7rfh4UC2YYwXckmldf4v4cY4C2+FKV18ZVEfunWJFTfswgOBzxf7ndxQFNqb1 vlfUFW58hhud281gFvdObx7C2RlwoqRzlGxDTMsMiGoNRvKGJEYYLQR9EvRnBTT5RLN+fsrqjgEO FZUz+rjH1VtuJe1emTDih8VFleIggIL0GrrPenklDlfh1FyzF9OE34DV+BIw9aX5qBpekGqAuZFX 4FbpA2m4sdAmF/K7jham9oDVOJog3rTU/YyOhGj7ga3qaFpA0XqPKOthmKTUeu65zDxSJuYd2kWo co1uxt0eNvGr2unyaBaspyFOBBE5VGuaKiQXnTpdwCcDXzGhHuz0NKIp7nKYf/cb2j9YSrwVGTeo EYYtTNTf07ujZQvFbQSH53Pk/N9UIQjk2iUDckIBG6L7JMGB7+RQzKDuHOKkFVQ5PeWc3xtXatde lIOxYSHlqc4T0DPAiJe+/YNCTYVHMN7/wWDYgx7IulFkkbRxqcdaNYNvxlc6dnr1uftCchScCgV5 wuSnOs4WpwgDTyLfpslk8/XUhKZrO1FATINhX30PvYQ+yCd2GYCKMAnXlYNQKXOeC3sadyY1jvdo tLrrMcv056+OCS7kh94NzvRo4vMVt/NUw0Vk+1zXx5/R9/KovuKEp6zo65sNP+TIADRXjFs8FH4T 0lYM3QPNvrVgl2jJ4DM6skeo5P979yLwUWkInOTQrJo/Cm2rrgJwCwgs2kf0mGFyDY0/X4JlbG3o JOA+850uKGLtA93P0ACUHtXl3pFnb07dTfQgQsUuYB5NRy+VZ6/3ITKKMgf3Y3AmgxEYwiYmWYjg GJD+HW4KmFYmIRuBw1ssINfusnxMzFzvJZNX0hVlFmlMRg2pphTkM15NQyWndxbv/nUTmBqCrJd+ AEmlT5I16KlGYz56h04UMLuTBCpHGHT7WafIoorq9Houex6oN2kXABguCy8xTRgRRC4k2zM11D8U 3khN/mmIvBT7zGR/iNOXr/5Vey/i0iICOcO5Hs34pn0Ka7m7iHHTu29CWdWtGREkmanzCF0egtmb +RqvHz4TAlZxHy/ggk+ccX39Ez9xxzVyOX6YblFbqF+8qOIlL0S+pcnSsBCES36mJ+6GihgY13dM iNUJnuYOACjvkyFp6XokhA/eDIVhme4sct/OvPCzvi1t0IoYwLpeQAPkCZ4RYbhBP/CywCfPOfKp 2/zGz7ruvq2fuhBLoFvrGZGgNZt9TdCgtKnItmCv5tcqEBi+x3WIw7L4jQJsr2dhmIOryI/k+yRS MZkSierF/UfBYe1mORRPcRiPgHXTFEJD3y0Gx4ZcrM9qZSL5kt6ZszJLCyrEZCmZVmVonT78fCba 3UtJ8UQobF1cYW4apEXu6/tQvVWIqg4p0ChgolG8FwF5hs+FVdtsdtFF7x+rTrJzPwY4YvpUDUTu hB+yaBnjm334PIZJLjstjhfDXafszj/0s9TDwaq0QbvSog5uhsttgAFQ+PkanNqEyfFchK1SyqZ/ LmhUBw64xBd/6/DCYSSswSb5c/NhGIf4H6bz6KSL77bnhmQyTmfRPlNqEgPsuGXNfLYXqrTfyQNl KYSDyyOoI+xzvPlbd+qsDXYOkb08SSburUVbH+4gOB4kEorEKCqvmwP2xRdMxWnWQ5xsqdHVHbZq Ciric7c5pLB6KMe+p0yJ9Zlvu16KagvwL5ssQRuE771bg/ONSNisn9F6kIB/WZzEoceLY6fgBpB8 rH0IY3qJLf1vpqze0LU2Lj8k1dekV22IYOZuvTfU3eo05zZLZaOmye9lXw1MvlIR2WC2A3h3hV0Y celzcyQChmc+to7dkb314eKymWkWZkWW4ZQPjdENVutDdtm+hq8fM4LwBOF4S87mzAlO3nCJ4f0r 3rvUhX2tuIItQiOzxrUbGw/N/9ufVxxLNQQFQ3knlAb5gMU2Tulzxnidftd/f/f/wkweeOQkyVMG ER23qnKi1+wnPPV+kv36Q2Zhi07TD1R0OdWEu8dieTaOYg2wop6MJ02bMNBdhimc+Z4TNSbreDzW ycrbQLny2N1RNSM6HPGsHAQ+F7Nh5GqFwSDbu8Wjfp505zRRoJg4DSYxc4BOkfDFcqiG8ZYyADgF tcyL/PnvO1zGbGCkEUVKRtRZ0FuzFCrbGAPFXLajvYvLqg1CuYtA5yj9U+ndNxqRuLnNQXT2vV8e tkv9tPlV+1Y6kiB17hL8g0Jq8P79py/mnTOKO3+YREiXcSb4a5rg4vzKV4CNmTqh1To5aDitwiXm KjAGe+p0li/HPc6/51B2KjMaRLXcsttE78/x9MAsHAjZrayVhkVu7qUMCg6fWZkb3R9ajus3Om3c NYmdU/qQRtzV9qGhQR38ltZpU9FfiYT1CC68LJnnVTpjfcscNRj6loiC04od4QJ0pUzkcvES04eh yJ1uIp+q6zM1Uk+hLlWHObFhmTNnf14VOhZ6aJf+CrOZnFlTdUArmbhzIPfrPMcY9hrPueAY9Apz hsTpcDvaqkOVt8rboLst6a8LjorwzOok0FNZwEN9QrXaHUP2wvX6b0Jzr1F6u91qFgykBmyyzbu3 Ds4a6ksmyB8+vsOG3fdn22IGxGzfu2gy+WDY420WEAv4Uc3IcBvR8Dlrmd1P0A1Y28odw5yqeK+r ReZzzLcWYLd6Sxy6GN19Um8NF4aQj9Du3y3fRk9uKCQ5lSvxnnnmCJp8QhZDvuiY18ybxKmMuoub N0xtNmexzMbj4NiUitMw0bvJ1cufvkE9u0zVP/ujJqTh+aCnFM9zqF6j7/oY+tyubCg2DwTNrbbW 7srwWLK93gACdh9HhITUNu0zrYFy6KTe1Q+j/kuTzoyuCr2RcdCl2ukW5kwmiXrTffpbU8Fb7eqG /+K8QWWZMpXYUQ0OsluHX23BvcKMhuSQPu013lRCoEK9ByORtXgLQBBBAgnAAjzSjjAwD3dLB2pJ huRkX6i5werlwJ2787nhm8BAkPU+JW/LeeuGiUbvYjacHz32XOFFg5Jth0xo8LW24iiOokmAlnqH XG+frjjCS09W/ucVwGSTtQyaCg52bIiOUcfcw/IxK2AGqq+u+42gJ7NoWjs5mc/HjSWQCsSdtkqK EgTFAV6nOzJwLJGAq+JqAIG3DkUTBAdrmu4Nz4rYkvFH6OhKjcdTcmJbngOLJyjnSdvxFkedBNFY 8isdy2s+CGick4xA4tpzblN2vH6zggdZoFwKDDKdysZH+wWnayiOiJBVgAXa62rgCIRKFLuTs7g6 jpKe4XT8MNRr9WT33L6Wojf2HFFIxpjXS5z/AwyJtYGcR7bNkVSYslfevJvnDPD9OUf0c2q6UVKR wJjz6aEyHalstWP4cOYypoUanJ4vLteN4pCzzPZtI28o4aMgMe24CAcRi9BDGLfrHbrzP73OQVeC l3piAIYYUmyeQIMoI+31yqYgRBrbpXBkXsl7iyboO2fM0GSlE2XlUwM8V4GeWWweNxY6jWas+YUC 5ek5pik9HTY4Gb+CxUmjUDQEU/P4RVa/1WRlrTKPOsa5nNMo9iHrGXPAqtKxcbGiMVjGTiczNEfd FY7S5pdi5AZKQmvV6E9ClfAavQEmEE/6JSxwe0PaK0+WD61dr7xfg9+PRq5v4cXTsW9DGI9MMrfC A5Pr1Zkgwu/0jBZbYwV5RAFa04CkV+HoQ9SkJmbWpRkAL1UIJFh90mpCSX9SWZlEnN47ZdsjwCfR HRS86dDdhkCuT74cEBAFonDYyCsVRQ7F1pm2+YWACxsgE0r80fp4Wo9+BaSr3nTWTJ88/5mVomAt JMiqtOxuJg/kWI9nEPkGaSSzpZpj4Za+kXOaLbj8mWE9KeRN+EQ6vMCzhfix2ICmXGs5MaRsYGnw 8Sk95PG4rWEibT8NGHKWAbJfOFLoYFC1XLE+Bb3ZW3Qbz4H1ns1Tm80udiHfWP19sbGq04dS/YhQ xkeaXWyifTtDxQIKCYvDpGBIbbsZ8r0N4iZH0pcwouXtdZ4eiHrw+9q5f+N+vv/kx3IRriwn8xLw o2Os9dYqGEMHhtMiXTIlGBrZrwH9or8ZuNckVtWdpoGHCx7R+CqYrGPYZi+ZkL+BQcXKdlr7phim ZRa/QwfkmKkGzav/qC3IlBgWn/45+B4RY7FuJ9PntzcXV7EO/cjQ5Su6eQYH4MzcPr07DOhGxrVI wHn+KAEQwET1p2jQR3xC7ZoT948+Ja4myJEitIFSUvn/81mJgXjlYdlXiqMDMrSOKNb322N+88FO v483aSBDrEPYnLUGvq+bqDV2ftXMydromQF0yQ6OCgl5CdTw29LV1f8I9wohSVYuTmM+nasU/zzL LnPdITAQBCCkyT7nyLJph+tzCYLv/j/Z4a2YEMGX1rlQjeKMJt50kv8BSsTIFBqXoT6ZzfBOh0eq Rl2l6C6XJJLIVY7yTk4an7RV0d5bQU9ob3MwRi+l8wbR8t3WA05srNr5FKjejTmTb9M/BfRM3eFm TY0jVEGTZsdoKWfpFidwwG/Fo/HAy48TYYraINofm8QwlNKsbLvj6KjUVATuH8E30WhQNqfrwPin Oq59E4HE8g1/YKmYZ66jrQOCKwH+x8RMxW3tvrRE1+6Igbw+HUjBEMgwJqbQk001I0bTgfprz1DK ++b8tgLLr2UIqr7B0S5uVTxs7XOET3gc6ZIz2gA1zc9lY8jAnf7MI7TRo6hRwYcYr5HqQGR7b1iM /H4xbvEIvc/3AVLWLlPiYV099ZjEdDeGPpDvhtnrlCqBxz90E6NyJedANQZ+L8dfQcg054XNzfC+ UR2gBT4DWo/sfgfu3DiAJ7Uv37s413T0KgpcS9SI607qna4HoJKoXYLflexuTy7hHQ+fO0pWtdI2 avzvnvxfPR0ELwS4M01jA39qtqq7PNQkeN1FPXWTg3r5P7kH1QMNtejp52fjqHhqf7WbB0QF43tW WIayAwKNAo/ByJuEatcO5deTfihX8nC4n9/JACpefjNnO9UOdB/0+MW06M30nmVRlkqiMgO3lua5 /KlhlJdZ5aCtBHC0YJvIOrDlxbVqv7xSkwbC/389OOb6f5JQKi7xOGOHf8aF5WHUzRzd3Ks+fKHW CQwbB4p6Pmf2oprFhFgJpCQi3+t8wVp93Sd3Z4H9W5lbtkNtE+heozfCPc6PUGu10oC8rriXc44X LgUCYU2bA2zWNKGN+bPyxG24Aap1NvpwWpF1jgPtZgbknnGRwhKbHPpcmuRYvC6j6XUMg6WViGAd u2l4sbZ/uGcDlYrjhlkToT5ktMr4aqqvsOfMBJKkKgL7L97MpWmT2pFwFEfRIUVMw8lEKCSYyQZO xfNd8vIaAnCenozsMbQCBxW9Y8ODkmofWA5ogSw6/TobUrUZwCtjPgZxgDRGuV5RAArdp4PY0Jvw wYHno9Y1Y7+RPK5rTIdftDLw6cHEjcO1jjZfmsydoD6H0jqsUXphSMWuvEVFZI/fpa/2LusR44Ln E5Zmq5KtEaOJiAKUcnKvqvs4Nb3AJw5IRftGuc03fr20tM3ODQtJneDMcYIALRHWRnqfFh1D8vSI Kb6oi3ZQYjyuW2x01g2LgIkqgb0x/y0KLV3a7Vb/5VP6E1SSzySv2lvSU1x+TGEKXMYasc43J6fw TcX+EvVdvE58km6lzZKiXopRwaMwimpwla1zRkIUJ0jcY+RZEGo/XEVonnZQrYLG23AxcV4DK+r/ b3mRrPCgv51HCfn9L7uWvFNbjlegEw68PDVmS1jhEwWLp32s/RUwdr9gbCxgHK1hYW1Jz99Ge3Da hJ6M/PIsrIGQO4zr4YWKVAO0NuwrJEv2xkuqtnMvvjYYP5XoRKWoG9MYGzwtu4LrhDB2KpybhOkg ugqWozfE8nppad6u0oX9i5lo3cQElN7Um7tfdOOU69EErQGtl8QIR28CH7IdQ3VOhIRdnC/+fcqS coPVrqbfAgaSt3hjr10MgSqx3wZpwvPPnLK/VReO7oy+99mnvWjpekW7znXK5RWEqrqsRrA/JUHu ABMPlqJPRWUiZl8/g0w0XLGX5CjZARADSMcK8BQsHg+a6GUf+RQ9CHpHPkXelVTvX8ES/LMIABQa 6npnA+uR0Yt6O95lvbPoh9ZlI1LSKnu6enATBjba3zy8lo2Fj1ufc8nnLgvgG+Zk0MX4smnl5ZlA VAQdI29Y/fT146mDsTpHjfkvFeQiHfW+i1fuHmjDIaFYyAQl6GkPOx8hX0jLVhnospKMecJQuSwO UGYkTyS3ngikkHYRyCgUda2WgLSfhKNAYnFabbyPCCnVppEMeeFKVibySnYQD3FBmcQE0YG+pkZA 9pyjDOnv3HLR736OdQyjoLRTQPsJQ7QDOjngeDhJS4S87l4IMR5LPDv33xSMfOadC2wbwaNQoXp8 /puqte5ysLnQc3vDpI6gRo4TCFxHFMFjKw1EfE62uWFMjWPxHcqojvz+3Z1CMzj6t2cW/nl4hMFO JEhfDDoVsSyjL8Hnl62NoiB5hUnZNLDay3phaMqqzEA0UJCbxNXXqwSJuvzWMonJWFwLprr3cXZE KrBxnBKkxGIfAI7tMl6SIJkHUqDUduPUxF4uPvILtb/kvsuHd2F+Tjc49yfFoKFY+yQT7rKRA00o /nnrQnvXFVMLMp03jHwPKukL4R8rUCNAqCEI5+H0sYS1vyZZW2zqenxHW/9KaE/Gq3iADuLWd4O6 KdLEjQiFuxLuvKAUGgSWZLdvDTeguCjwixwfS1CSxdh+sDf42UIBrh+9FrrWF2QZN9klcdGPyZC0 pqQHNCrNHk/oafcohoqWGhlfZQYB9CmiqZv1bBcvkNhwCRXJEy7stU24CoeQZ6ysrx/kx1D7Cscs 6dfW5ECriMBeZvuUsEnIOhAcPzu3pt6NHnWBDi/G/tkxnotF8j1RJWoJmac9XpjEgzN/v2+M7iZ5 WZcKuPh+eiwOxrdmzpFCCnlKTBx2wbAXvnF+i7DH6m/V3IJfAFcNxKCtCfg+Hk0WRjwTk1P6JPTM gbEq7TrV+jbPWo3PR5GL1a2nXlxMtlU1N0Lv9SY8oePyUC0JxO1HK7gcgITCdvg9gIWxe3/a2zNS n4e7sMBh4F3X2Yl3BBQqtfq+oUy7tLNUHzXJ0ddfesk+QYA7qF6b7dhITt8zlT/+DWAAK9IhH8oG GSSrvjumiFh95kx6BOquilX6lMqZoD/jLSqlI9T9Tg7qD46qyXflpcFt0s6JVnnFRvXdE5X1F5oz 8R5IQZDKhAH4XB2bSd6OJLZy7ZVI25o8UGSh+CdDhvr043qrf7CKuHcAIdX/J0K3N1TZ01f78PZi wO9g6h0RJCURZty1CqmwMZ32pKuO1cjKYs3Hfn44JPVfsWZyPU+HdpS29SOZDHOQsSoROfovfHo+ enkDH8IUIcpvon0h7K1BI0YcnFRwBN9EpfAxFC3vfa/2A3H6WePX0kWYcgrgjm83V7T60GT2D7Mh wr5FZrRr1EBloNoPRJde4zt/DtjrHdmPJlqFfpD7F/8uNKooIN+qTrsd/38Zmf2s6xwO//CV2Iua mabImVYScsZw+20YT4g+m+od+psP4aPLbLw3zT078ihi9ExDeU/WDaCXluI8u+YfI/M5w8JlhX4C q7hzL4JwxK2av+WIpacGNUFJWYNQKrQghSzHOU2BY2Tf0JDvfFLbN15N1n3FVmLafm/Kdrr/+LV0 4r7/DKdD2uhR6vvRDRpiCpKt+EHw1zCPYHaOUtnXOwSs4KL/kIjufWR/0004sTIzkFBnlt4l6rft NCiZYg42HQuwillawd2jmMaDDIjcQz3HNefJEFkCxuVyG/agSN0JhgfgXZ8xxenaS8STbw9BVwg5 0+czrVaLbL9M68dKWiWFka6bQSrwUUE3IGW0E6pbQPUOv613e+cSuCg/lBVGheuAVhPVDoMnREoD NJ/A6iWLIWbUvIZNjtfAVHu+pvg0iCTd/cKP06HSdzeRkcsGkDP3/xKZ2kmzahjDc9Uf9p7mZRc0 B2ey8pySSPlGyJdvHNS40tDH48aVMLLnRFSRGVb02D1pVb+toY98xxkyBeF5bpK1jnqRUlVeUReK jxHhw+lFZRPDv4C3G4jHXBZTXkaBGgs+iQmeIlRhR1yGW0Vv3LlJ5TIIzHa9jkJe6JKUFnO8piFv u/i8RwWSn7GZ3W03F1C4K8ACbfUF2DWZGNPceq8gylNVd4V7ZgzBJdVltI+AZRVi1TYUjJ+PaUb4 3BLIGBleKv7/raUzz0nRMbaTITKiyKvgtWFbuq8RzM2Uw1cZH2ftvp3OWdVtxEKHFSicMRQmVNWM qSsCCxdYo3eoeek6uI5Log2UqvhX6K6IfYnZqU3zTQfCScReGSYiJKDs4VUVRUTqchuaF9TwoKv2 MPI4SUX+5WbRvCEQghUxuUdGaW9m167Pm2nCiNmL7LZigmhsCyRNBAF2lXH3WwOiPV5gdFR9tCEH BXeztTuV+gu01SWPoRN27cqx2+2E16KqiUmCxN0NAENXlkwhyzxWDus7VfAO96iD44svccAVEbUl udbO0PHoFK8pq/SWC5Ozfj2BCjj6T/+GfiZGiY/LozIksRfJ83q0CreDpo/U0FrnFbBZoBRtvCsX YAXssz/xKSnz08mvxKgea9RSazx4c/0yuqmcR06UgVB9vZjgmq9gn3WoEgObO/5/6nxFrMYos1ff L3m05MODeL6DR+M4WnBoJgVUkIxvlkIKGi19sNkJx87PlS5bbpA2RdfxX7x73ZXX5Fwzc0OHgnqZ VjIYTJgs7JXlerR5Ei4qv9z4jdRrRuIfOsC9419RGWJdB7WjvluydSy7mZDtVWEvjCn6RX0CWe0d aIVbtm3Yy/k7quwYF3HDLUy7OcIXH1rrH2UnSC9TNdxQcorpDuzVJVeU9Vt4P1nEBQIw0GHgBqOT JqQ8OY4CoAdc7mn1z4ojfBQllrGCTSDuJoI2zDEEE/+9oGlMQ2k3QR2q3113uZw4Uus0EKiLTqw9 mLUdrJx3cQ2WrYfYhvCxddTsWtmDB/X4XLbnH9pGnyp+Df645D4/GL8xwsWfg7H7bJ/deiSmV04u YLJNiMN6j4jmG930gvR1OXKa5nZ/2Sz2jlFx//mfi67icrVlVOLNBr4YIYsjxEUv33hqz/ohC0M0 RVqTP3l8Ktlmp3Bf3RRHlqdBcMbCx2kGnoZbgNd8FzQB67Ed7fPoy56cC65/l8601YD0puwWo3Hi 2eBe1lwTmVcCjYXgKTAKqYIeiHgQExSai/TqBCx0qEeKw3TwRHsHzIm7TFoLU4QJX2AlHk4DPJyX Sj/A0Ymg/SOM4LJJvZROTebhAymzxp+QdTHBZvPpSvWtAWak0YhCaVKycGQOyB1BXvBNtzeCx8nr UokuSTOS1As0sMFBkMY3GwsVxn+d6N/wgSaVL2LMzZ/sR3RVXy30FZEyG2HrQ0wx9PlXq+oBLBHz r0zGGXifGE2solAVSTlF7aZ7Rk9cr5wJ5IUGi0IBmO2H1FCuaKX+CGWlk0RZR5ponpBHDyAgqpbw mDx8VeMUEslu90uaCtDBS7O7NlwPt0R8ZGh2X6Ac9Sn/udYNQg9dI22pDuftA/bIoyVuekMFiHyP 3qFSHpffOCEJdhM3E2ZRVCoTCDxlN9eqKaFmK8usyk9qeYmdR0b3RoaBGK0I192OQ77PVfnYaylg wYo+gwgLfC1RIdV4KfVjs8pVVhc47exVrZj958SkOHaDahEUnMfEhjlBjKGMuBDwf/qZ5P1ryjjN SD8YUwHdH3fNXGGgYWMZV3esMFvP1cvEcA1H6QHDZx/mhoL+PojHRE8gtkX+aFF/wgbKtdKiCFlR dlmnPjirE/QQbU8iQPSyVCNYzlOt/ESYlGE8AddYIplJLhaYR1bor81OuFD0VA+YuuX9nqzHb9pu ZCOE98W3rQiUnwxtxenbKpF+Zo9k4V7zrbWoN3jSGe20eq5mHPq+Fwx/WXSruJE1fINYebL1iYDE e6EjuR34rtl2RwUHPmUfICUrFiNEr14cCgx9P/0Bz8HBp0IxoQ2MImPDr6SpsKPdMrbJ/848RQBg YkpO5x/b4rBuC+fOkTgdMSNuFcRSCSO55gAIOe3TBE1llxWb6tHBHykw5JO7yxU8ZIrBekm5JcxX ycOpFicsqaf8ZorHZI7IsBQ5SBjb9/SyNrzArslLG19aFcolodI8825N09Z0LFsZZu6r+vXU3mxS Pk3+SVl31r/qfVLV+wGO6pbJZs5XmyVTFKQnKqaLtdJnnBnhW22oMmsJebweodQVV7A/zyhCgs6I F6vLIw1HhM5Nifxw7iLgBckguaflDDMd6cyxqjqhWVHEaAWz80vLOz8VodBNgckcQdnXeLDtlLIG oHk5IPm3Fn4INUK8O8nOycjknKI+nM1AH42kJsblN/+HIJ+X9ATswwSzKnRdEGHTmKeaChVR7Fr9 nHcHUkCwMO6dY+50cCFlVyQh7odK23KgSb3IbwqG+GIc+YjkXBMN+Qv0kgiVm8ReZFMQHxa55KE7 ztpgGVrpLKcdCFEhmV/fQ6jhr4TLA45WA3etoxa+MkYxF56spBX8qoITaKpE6unymeFpxdbIxg8L PaWQYijjXNPlJVISU7OyzqxrYIFhthGwda52+R48wT+kY0LZBIX3VftSewmQze1YxDKSgJ9X9azz AwebNlEtb7Ct1HFgZ2zzaeAS6B6Wp9SG0sQVmJJOgS4HZKO404zVyPn6bm27cG6R7UvtQ+RgUJRz UHhGgnoyY0vAYmjJpmDobgAf9QbFZRlAxWLfhM+3aClP1eQBDmisppQ1O4QwmjIZhbP/MIIqFcfT /LTlnKwYAR24zEduWs7h5x+0iXGXLp/XBnltpyE/NAPVneQZQvA97DdAkluVhSs2uonkT2PLNSkr Gag0dA0EctXSSKVekARd+3Eed35jVoKwblKWOBdUGCSzcFH3ASODvEv2NQA08quZUQOlJ9L9AO74 6sFVPwF1G6FW2uUP5Burth94fQWDsr70O4aX+O6Wy2oFsF5q6MuXBO4UaXxgObf8+nt18XtjE/tL vDXNSAF3MA5EosBalDb73FMvbtjl92zOR5nkxoWQfMONyD7QHgR6JnlPA/9pjMsDS2In5SA0bxXC pQGzrVMjUdZ0t1pf9KqY3CwbwbVyiyTBtbKZbll3j6z1GwvuOp2l+q+xuhaztJyn43QcDXpK61NL 9do3MKDieW8Ck92SuEYI10SrtQ8xqRpling8K/DXR4gU8shlbuhvcyRKVoshw5RCGLHbZEnGXjjI xcjC4if1hLM8X7zStkGJNgKHQJBdWDekBuYnLoZdYwP2d3tG772P/wErrwiDcLxa8iYuMHUr5fMF njYm/0DzLumkJk0wTYMG8Bq0qGP4IOUnKIO64LvFXO+mUySutHED5DwZ1oOjBcG0KJU3N1VF1DEp q4R98uuXzM/XrGRRabfvqPOCrxhnO/RFfVPmjHqGx2B/SiRtHGYPIpDv0YqPwBCQlRViQR9/KZTQ U2uyTB+hLtOIUlCHTzpgVtmEa7ToJTH4OCO99YCVJbOqpAladZpX4odkYlkEXc44VJFBzSnuuDbS EPgo27Ba1mOJbSYbqb8jp7nmrxrohSfG1lCngIljCoqgNzmQPX8EUfKewAdjkDNoO4ZmAZ9nR1Ak H3StreRyqzC3zluiXGG0XZ9G91Yx/PcQHykHnT+K4pjy8Edl+6eaPpUyPhsgI1yyo6hOgpRwakZ2 7nTjU1nxZnKoqxdJVI9sFgopBzk4DZPc3oCekHydTVQtUHZSOVI1lhCFj0GF1hQFfkt+GjHZDAoA 3dkxFxoNKFP9NZVXrDzEZDAFGpaEBhwcF1H2a6xLysQP5+2dn6kvDWj83BD6b7Ah/i6qkVjNkJAH LClPD8O1efbkydGwgCZAX0jm8SGuQohv7MKdwiz2n8WJ0iewj1LvtpPC7/hh8i/7a6aIwMCar188 clRttCv/e/JJuLTdvi9B4kagJngpNuH8kR9GIXxuapy4jSecKmqZJG6iMK9C7YchCw1G2UKx/YY8 d+tEzD+/2BA/qCbwCpD8ciMuTAZjBqFLCG0Hx7OcLwFTuJib1xyPn+SC76lm0P+Cl9nD/MHxpcmF j7gjdDuMIY099iUGi6MzWHQv/micwExmzX/wXa1lIsZkV26WHp5eN8y+f+v28rrlJnUvkyzN2WJP KLrGT6+7yGsjtO+LXYg0ty+9oBOKYKRoVcAE8x1VRe1U1LVuVzz6uIUfc4jmksSyCWhhHCYI77Em tF4uaqX6W73mlDTe8okq2qZcO6lrIQqdnet/8qNwLufwI9le7OcSRQaNLank2fQkdP3td2D14vpA RgEVFydzcc4rEPaG8VeOpUNRrkoTB+7S+ukKQeKti1ZA3QgjekdeIMXY7gL8YzyNgZAIlaSw/ZIS Dtt8RuV6zLbfY9Jph3XXABTGDIsxFx4FcYegiQij0lK/SK3KtO1TLOQ+JA08lzAk0Imxz2BqA/61 rmjxSOBAalHY+acyXaYHql2QmxjaO2yBMBRBTYpszMuxO2KWlKBJhohxsfFiPy9WYOFP52Rw7ADW JQ1RA0O+ddymp+uyJ9B/FUM9q8EkItbtpA2ZGpwJ2Svzpd/ONnDxRdZm6TvFRHye63ZdZJIks9wm ITR2Cxzt97diDmsvTuSTfUqa5HHoqgRTPAG60op/OZFOJeD47p6LKJcch0NoENqen7VbfnEKNdBS pw7Z8dwYcfa2yMYz4oj3g+eCdXcXjya1EO1yz02npOuu49NeAYormljA382VcMLgf2q+qGbg3kuB XMpuHMedyFyWjASa2YW8xz3Ze7oBiweQXuPEbXSSJMe1TfkjWX9SF9NGuS5BdWo69z+xCqmDnVrb XYl/KcRYVFHVsh8hei7ZJj1q87qGSptSqzVQc64/uRKMBKRjTlSWqmc9ubcxkuGI1ZEbsCjyXRW3 dzRVNdSLNP3wNC8xQsgxN/Dzy0ey4KTPNetSykzfF7yp958BwQpDzQrk3I36XxuuoHwwBnxj9qq3 +ybOnLR4WcDL7RxaAvmFDeI0wHSc4139pwzfaRdoRWIXZLt7G2E8X2KIfllW8pYjlJiD9a4zUihj BPI2JBL20JebOBrQCbdNSyNwdw0myTS3dfKci4M+Ikvk7Gcgnl8Pp8zmKPDx7eWQfCM8wGIpq5Ng /28ZKiyQhZWvoRMzGeotoWJs2sn2ZZDyh8g8a4htelqoFgiNdI5JmtLO9lT/+ZnsfJC9TcABs7MS Kqs8VYHVa9KWr80q7mMqoNsdqkspNAx8ekMs9Mhfu2e+XObRqFKckFm5oKtFIiIpxciKOKcVh16z hqfURzoCxNkx9hPlk3h9OgEGmw4D444hvZhilLgyC8NCQCAftPxoeNavkvFNjGPkPqacvSdfY9Sh 8Ptq0PaiiJISda8CUM8cxf8I+60ty91+E0xCgeiNF4qKXcmfg2OFx/EsdzODIgEUwwLGqAtnghPV WWi2hDyT4Cj1qNAZ5A7CLWAKymTJMHCumNJIL5ystyrqG4KRjAWip4vz9DGAeUX9g9R0AJ18lhyh muQbpXWEL8EVrMtMT0bZxYPMnezK83gfBQVbGV5kPAaqN5N0xIIW5dGjhm/Oc0ob9+nCV+KotAIC 7pdxGlVGDijxcN+aP824lhCOht+rJj8QajONT40L1VNStMg4JE+zi9z3HsB0Vgjc5qjtIMmJVXSS 7Fwtzl61UGtdB76X3r8u263gTBjiQRjVQuoblpJh1hUeBPg/do6f1KS1yvyDo8WYJTEsh2OYgkSb MMST7F5MQaCnFIDOTseNB/3ROdjxXKAIfEMS0AK02XvYUlletVoIH+6ILKYEc9Acz0d9sOZ33AOR H8dr+WC/Z2XQAihDLbGn07sAe6qno0X9Xh11nZURK2TVgLoxd3wCGTqHKw4gWAdaB3qYUcQetmKU DEoXGC1X03+KctnSO4SFEynH65dFg/a40zyHauNVPPtE9Ewx2ahiePAsWtPfFm9SpsfLezFVtWcG GB2vHK3dGIiF9E/Bp5jHHCxBtK7oe/3TqBkj5zr2OyRSOyTyjCXp7daD4PfX0oEUHqcEtGKzERvn VA4ZedisxKs1WoGLNcfcGkBcQIK+tp+/8J3B0sHdMYzzIbFgiHoao4mUn9+QvsRKlrTqauUxQpg5 5ZkPD8DS1XFeKDaODMWIQ+/teAMUpwbWoXdjdvpty+x8U9PL8i7ZxiMGjSu+75ZqKFh6oE3GwICH SadqryRG64eSpQTkjBwfon0xI7t5HeXU/wdx6nO4QCJAFe2JnsOpKJqms8b5B4r1T6vOagghGTWG y2G06TFzd1NqWkC0xOzjJJHnhGs07QM7Xw2ydu6Yck1jh0QDj3k7IYyGJT5VtUGEUzPM2H7jHa5U vO7mP/AW0feTeX0NpAudI34kadqcw5CvcGRYxfPRB3kBIa9DQABxmCluJ9TBZzG15pro116+sXCf pvCMagnaYaM/1auEy9RVzKESM4VeekzrHNqMEpnuXqReV14NGas9j4WV8M4r0XMwCCc/8fbcMMlK y2nH3F5z7HrgiNmLLt+NLv8ES+Ky+Z3CCir1fAHfDNVLpzeMLq7fbTpzddMVtKrMElGqS7dGJwSa vRlSHYE8Cg4b0C4q/vP+QTjhsujdbVgXMYy4Dc3wB5RMOgzsDJ6/DAzM8Z7aMbUHHhfeUEKNmPgP OK0QQLB4P+xGgFha5PMCeIoDYrlxlzN3SYgh0QOVxY0u4+LaWCczcXSn1+Yt7pzj7wxoMyNi4AWD nsFFoRGvIL7o5uvWyUZKKNRNEx+S/fgZxs9KSPo9LHF2ZmuCjVen31g6boOP0s816wdg0B3IF1Cn w3xY4jmrl4gSOAPR1ZAXFBl60/Ue5XCkCzDPSQGk3PgYsiJ1jg6KzuQDFWEY29EdPw9hxuCrO4Ll Ilra6dJofs/BXGhMdRC7/BFfjKqVqgFcA578kcRwOULNZdhMtD11KZvimV7y7FTBJNQSZPLd14To u1ZrobMfW3WeydsIXaMmjBqE3NA5eUtR5BC1+CMte3CylLUtI0BVBlvjSYBAgTaNsUkyPUbpbcJw 4Xoa1FEyIXB2nZElhTAJ9njF0nk1ATjDwKW8YZvdqpiUIReZ0mahhRuyUzZMBHXRrIhrqXE+fsrX stDDHEPrgOwFrfayiyvyFOIsOGXtXEr4NN8SeLQkbVBUbGA78JMdTVq092eDWuCHPnTv+3taZwpm whdGxcCn/nMhdSPeaDLak2FrOctdwX/oHWrZ3KGRFlWAXxJy2GDgeZEqdDsW4/TNJBVUIdUIq49i N9Z9/1tH6Vz4lqlJVaHFMpVLj/eRzwOP2onX7XQd/RCD2d9BcYbazyssydPloEWv5sBAHukH5uXe nvGxN710AQo97YC55BEXSAUjdp6TduWR4SMLyETQ7Fm/zSEaQVwSSAaC0StI5XUvIH9Kcl8ziVTF 6tj9dveFRbOsAHvzkJ8lxDl+UgIHO3be4bWMgW4zRrjgbWi5s5v+3uHfPMzZEzjBpUAdkApfrh28 2VBLjHRPu6ANOMIA+HTpn0NnDFUt/r5Y0tfHcNC+GKUCrRNkTWlxj8vcGthDCbpCsX7tpyTJABqz Qw+KTyg4p1DLUAk9jA/zsWaCToesi3jFfvROnhuHDquZW8L5EPZ5xQ08WqLiCgI5HQ7SDK3NujF5 JyxTnyZK9njLJbwJmIEd6MkgZkTbfZ0upw//Yoqk1jO6T0XLX4ZE623c+E7lIHt8LGBOlCK/kBCw HJoGbtrxijosyTXlk7AZ7TEUXQqOo39GABuq2QDgVNQPFK/fgwWU8lOhLxNhJb+dRGAJEGqaelyb Bt8eDJjysBA5gNiKIihZeHGvulQZtL0a4O+fKwyuNwLFmZJtuzj5JiUAHLfXSuH2UM8VFldEK98X LNld3RYv/OghR4yZXtyAHDFbuRp7PZQipr4GL2hp8WGOFVpF/TO8xDFnv7RMGzeHeKEJjUNCpbAW SCaSimFg1qX8rT23TjH+Ao9Ubb7jOqQgXkkIt/IKAFHHNixqSw+67nJYVta6tUsl1M+trVAak8Y5 kvW7QaEO6LT3mt57VJWC5ted5y5HFf/zycCfNF8Sj/iMOU1lAbT/FmDuCAxIM8em600x6Buwxf+y Wtc3LK9NMQsCMWp/zY8qkHUzEAfCHfSdo4hzWsnNJsOm3XcfG2GjC+o0iTEl9BoDIOCqSzIVDpb1 BskUGsK+8BW/wMEAC8536+9v0Tl4RLduijgLTTJbCyENce6hz+Cyp9Lm10llcz+bxj01b6tRk5CA 0286P7QXbuVuKrr37uz6CMJsooql/+iaF9cQN8oe2FZOcrNPwFsipc3g241Hg8fJKwJlcKKO7Fek mGb+iAKz4Tp+8ICjXPUne2Lz2dTGIDlN+kah+BEV2gXarxkFVvL0apyRZCgwaPVzByuoWCpVcPSY ewTT69MsExGHTUm5+gHJs0LlmV3a9rmcyZktV00f0vfCQdlSySOG7YI5C91pQ9mYKTQqwg8EHPUi Y57/HB1YGvB1J7AmyAibivrFg5RdpXdXVwd8eRhkvQQxYDCee43+78qwXMB33PW4VwCnbBZ7wdD2 Pr51inhcMBMIcsbwUqOaIzS5uOmffswj2q/YtYujHkDJQtylICfQRvokR0okvk0rHjEEL/O4UWZ4 D8vgOpSJlsUG6UITdc2t1dPXrjr5skheR2ny/jG07kmWmZMnHF8NwYkFFG2dipcMiW7Ujg2/Wni5 jbGl0OOeN6gc1+ky93xuxDK7lQFYlTg3BXetW0ByuDy1BVoaKirR9LmqSO2IEg4EXjIkp5Bf7Cn7 uBNtg5xt+2YfHGQAgd6Owfi+tAXlbsfG0OdTENbvFu3AB842GYZU2FAXiouRDBdaiV0hntecCYWn gzhOGWieAmOzvfc5Fo6OL+5slPCDqJUav6IaILl5WnuCCoj10cLUeIAsma+wDpCIX2foOEx+xdZp ilxe/PtaPJ4TsAVm6derSx2aYBQg+ytjM5WneVv3FLtGW2PHnBrE8fgeUWINgtWUBeCIcQicm7f/ Vilh8oI202Ksqb0mdPnDlqtxVLTbTfFI+9CWpNqOkrUHJAk2Ax+QTwjjX+BJVgugfJDURASkHkzB rY26enN2Usy6rBCU9lKfNnxDG+oF9I+MF7Uet9e/ZRXZrl+Z/iFeVik+ECvVgs+nuObW69bEe10L Hwd04DJdqDl+nYOrjRjzArjpXI9qWwQUhs+brEMiD1QDP6bLC1DL2joWoZ+J8NPCCcwlkuftHuxY tY3Y1xeq2zFt7yhFCJ97aZROLIcjIsPw/yZ7xyLgQbtTVBoENokqW9GH1RvaoD/fEw0eAkMOXB15 Xajh/Hv7zcXxmSm+egMYTkoq/6Gv89e2uahurZGAXwgIbITXTwdDdfVM/3XrsgBMmdUY7/rqW17y /ZGhRr5A4fcDMQ7baek42Q4+Nuei7/Hun8ZwP1uYag0zeUoOybKOw3oIepuDidF4La6j6TSHE525 1Dm19tfxfWmEOCEVuO4NGMuS9JteDgE3uRVPm5t1cxCjPIEJBTHaWAUPp5nZt3YYKCfrXPhnVD6M 6zfj+FcLAYuxTLmTA5YLTCP8C9XFfCG3jDjdZCHCc6D6MPtj6P41cu5TYpXQdBlQ3OUR+cQFcaXa GrmetrRaZgbC56w/L+UYEDdhmlb4j8asSTNSqLEHVTDqY6Hns6X3z+mu9lQPgGFON/STwwjFBpC5 +imzkH/Nq+/4Qxnf54G077hqKKN/J0RKzJeIz4nEqoPoKG9AF+kbZsqZOBxttfZ8WXHbkT0WRraR wRaupD9DmC02h47RMyDhKaVnM9Rbn3ntY7sCiC6nPPRXjnKeUtELARUqxbbErhcANc+SPj5YgBlM a7U+lPrPxMTs3p7Vhmz7Lo9VwgPggxrqlf718Iv1k6NUMP7v4gD3ZsrIihvwShbJ2RpgMaXFwrIr yWYZsT5VkEOxKbjGs8umPe15QHcLendG5fDMedV22at0REB6UvgzHs2Sol2pV7262ONCGH4nv/q7 PDi9iEGLcyWwAFn9ORcPqR834ahLqAFutV2E/Co6i6l+9FJr4Tu6x3VOP0ZZz+35ArgdZQuZwfkT OCCthfb4xnmKBqvrP2naVX94GU4TmViyblsoqfCWwB+FkYdmmc2RaETTyJB9Zt8X7nK6kF1X/mIt 0r78SJMee+i+NsffKZlBj/mwYE42xIu0Ks1bLQWFt5dOdcRl4ISUhZyifiCM+9B9giCwLni9Mue0 K+gjc3MKpWzK5HP9YfweqkUQ2RJgoS+Z325XvoAQQkJ6RLjPd+ULn4Ob/Ki++AlKeuMP0JWBWAor KwC2nTasRZ6ntcnhSCvitb3FQdmieQ+2DJdtjj3fYwZxBZwW64mv6yk36PiZcPD5fh9hy4UNeCor lLmb/lbrglt+zh76NreGOS9rRj7XQkyZ8UvDXgZCbFlUWISPpb/hFBBZhMvKxBh/UqD0qRkxiDdi LOS/qpVr2gaV7Y6ojadfJWeufYwolNB/SB6rEKHfTt1+RTlU4mnilJ/fCsUa7BoxDG/bgAOtOZR2 at3wLQARzWvY/AjOZ5R8/rxHOkGzhEYuSEaoMSQhuGsIcZXzjndXtu95WuX+8yPTPbE1jvzJywYx +A8LIEsAFMJfiP0F20ygvvB+SxneS9L6SBaALz2BWoxUbg9reMBFCxuKwPLmI1aIWXU9OhK+C+sX 940Le3aBJVHab8e2KfWdoh9IMU947Ql/8Ou4ERt/qXjv3PYIGUXjf1IliB1EX3YmTwJ2JIShj9x3 w9VIMN+5mojEhyL6T3++pRVJDQRZjBRMG1t/nbmxQNZRaGEz3rjwXcMN+Vx+yTjEZca5FFVR4GaZ IIZ99yCN7DDA9eYP7C4Tx1697icExAfIC4c+xXJjQMCk3dVrajqPp7QbSuu8TnDPrC1yx8bdlay5 wRFumlelU38PyMiuJHhiMjipGkMZ3cEtHY4uBXbKM+6OF0sTkPyuhUSrE06BXirszgtFr9Sq7hMR 6lSygWlViTvOa5OLlfQ4u7fHhqKQPRsVt2T+ZuXN+04hEldW/UtAixPVqpgXXn82HHBVthSBg5HK FtW+aVky8fjCrFTQiaHfq6/USD6HsDOuWQBuPXM6HL7KnXM7FVuUGQ89bp0M0p0Dp4SF5UiDgKfE twkkBWf4k12mOr3eXH2r6S3gqE8py3vZCT/e5/4aoacQ/MxenBFuY7ZqZzgGXagGwev1oH6wtyHS a8eyd+SQBFVU50rFwICRSk5J8hUDSGPtVOoUjrk2DNxXYTfwcqLzi/pem30JRJU62jvxmjsH21pZ NzpOnjJq7Sbl9nHZKNMSg/TCEeJpRF/XIlQkJG5py+Wkx4EZODCNjGaFBatEEqTjC76Ou7VJ2kdM Rwblx2BhTbR67+BodxuYk+pARKGwyeFLOVvvTf7LskRpZyhOdP3XnSfEH9/QtXwNFlLjJF2eoNgc v0I+1nMPQxxjR3isFqnTjNckxU+2PBp5g1oEdK4t1zfF4DjZ/BBv/iTaJC4eFt4r2s+Luueah0UQ MSwOgj9jOYQWpJFV2DBA5aTnf6NVFkiIL8JZskaEMkv1WiFjqAL8SSjTMNMquXTfkjf96FS+Jdna SrnuxKLCf95xaVsjLANXG0b1PEBoYradIfK0gKSdK4u6EAzsmu2flynh3BLK7kpXQSGygv7xbrcl YP2EkDqj9opfghgocAlUFUVGwdIEOKwLhYGTKx0cBvSHjQjujIDxuhtyRCsCSPTWtXfBGbNVXXhS DDbSJHkGSVy3tc1MrM45fq5G7AHx6OwAnmDWX1hmhQd6ln0TxKZiPMoVcopnkBVZEntj+sDekpWc 8GtsMqLbQNf+ftuA83y/EDW7F+pcQOunHjVGDf6Z4aRBWQ/0irO920hwLvRIxoEE7vYH0vxolL52 bMS+2USojnP9FWbt8o8AI8eUdIlNf8OAZUd7UnpN4UwEfc9QM/cZr2TTD087F47oCV7UD1xrt3pT yDQLiG+Tb0HNIu61paTwcVzJUqj7Uk2/EUAcU80nwafvfFyjqRGL9AKj1NLYDyT3CK8ChVpvu+Jk gbC0Qn6pC5uidCRQMzVlhXrrkxVsgO7fW5ntLlW8Oux3WY9EU4fm/E+rHwhVVTicsSUUa3+aqzk3 eeWtKa8J2B17F9lSJGgcgS/I2KLPr9gzbsxYd3IpkBNAs3n/MBms6F5YwGHhQq0FpfsJ3W1QDHw2 I2dz/yKT9J4n5YTREu6xDMWhWLgbV/xDISOOoi4Jh/Dcw8wnWoU2tRqlUl24SbHYoL/J6dBkqRz6 hPJC2yEpGMO6FliaMSPmR/UJXoeZV96wFhTJJ6u+fpldfhkKa8dBFBnT34VWWHxgEXISO8G6ktqG +33V3LLW9xVWOKc16AqkSJskklulTR2aIb20ZQ4z7Z1tCZ4DvUVsLFPpRsOGA/02Xg3B3+6SdeMf M/upUdGmBZPQ6heK/nx5ajzfxWcX4Tg9bKj4qbMGqwhIRvKOJcfawhRn74cEhvfO43fM3aqyI8Wl 7QLe/SwvrHxPdiAK8zUVd7RROYNTASK96y9+QclcsWqZjfpiXZzaCVk5wpwh6QKgm9wiZfRhP6gy +hHbKpDR7DBd6g3I5sOHS7KCJj/0QqCQre3et23pCcvCWnWZxkT/f+JecJgGfGg7fk+d65B5dQ1M V/BHMRcss9m1Yu5P24adLe+d6hcg8knjfJ1nshYaLWBD5PbJEIW/B2RdyqR4y3F9Jlsb90mxfGDE GmILXVF2p/admGXkOEZGZ85nMSeJhaii7BneEELFgVJ5KB7tOUOzPVr1nN4ypBjx3I44aEjDNIwy jrs1136kS3N/TbyYosU37uKDBYT8WMDSsVWZ2uwmpD0vG55tRS3Akr+vmyDQsedpuUOtKjw2LswP 3CGb3D/C90HCG16XwuEg2f6M4yI2jJzTSr8W9GAGOHXGaYLse1aPF3Ui6+Ocgw8RFE0h/b6+iu2N FpE7Fw33wqvI3v0YfzUbgOKtKSRND6It9a23yPep/H+bCi/L42oeinOMLKgqHGR/Vf2udplaJBTW xh+9zy1Z+HZEyPwwe3WWxY69fWcxpgxSuWZouPNWP05CN8RClhXzPT864PLdXNZDnkMnVsaWhNne OuOG71nC4zKtytq8JThxO26nyhOOxpDNIdpzvlzAzstSrMKzU0HyR4Wbfk1Zsd71dW/OGA3w1/HR B6IYtkSZPOzje7gfLkzziIuc3oVWa8ZSShvfGzKt9v+t0XBri5pX02ICe0r9xDeMTkhxcw7goC0W i5a2LAU31y7e4EStPLSDCQwTcK4BKd2EXRQsDyO7ZIr8wYswSGJ4u6USUHcPUr49Oz+AZVT1+lm3 AFuAVDRerAr6Qeq85QThiyaUV0WlZDRR0FY5F3rVFi5vVQHQ/6ElL6/F2ArDyzP20piM34ZuRL21 dCRPSEhAtwer6IWn5cAUj8FvBsq4HhBKAMVn0ndSpmVW7sltsD0/zDYWfw1ySbWm2aP2gI54QusP Gqu7Ht1PzjmjUb2nTXh2y91XRIlzxufRUfKjFPqKoP4UHEEwD3rA31JECFKQNNmryZpTzIZsBF8G L9k/EObHIGTL5fipJCBPb7wg5q9m5tgX3PS+MfaGTTaKdMAczP6rWISnq0GnmKFtPQ2NpfRv1F6k sreY0S13c4MdvF0CiGnXtBoNWvUHeivYLEhoGTywUQav7RAepI2p6EM6R3zesCFyH/hEvTNS3ORA cpZpvyDs1knbasWqZ/K4cecolKLEM6x8vynAXQfVVHSqxlLJ8JtN23siYZcWt6JeFwkCtTdkHx9c Ww3V6IjOLRt6/bfhDDhNV0cg12hrLs2z09kY5ZGNP4kPiU2ao6kM4cJHMyUxrMefAOBG2+tqghMN /j31pcfmac6cqjPQCTGP6IFRUnySrioDlEc5W8XAH+WOQdoQRr2/fpK4HFM+QGsMLcquQZGqIJbE 9cCRxhXdKOejkG9pzXD/Jr2T70EXJKMD7oAId4AiRzGtFBxZlaQnq5/AomA4xawemTq5GQSjRlTS ZqamEMJ/psMBtAKehGJXDQq/gIUBL3pu1y6UB14UQeNxfQpHNz0uv0f4hBTzGSo+Yn/1MJ+DhwN7 +tQfAck5vMj7qPD0gFj5qz8kvkUpAESkYv12ToofcjYj1rjrpfB5aGbGvDI1GYP3A3YLheqlcrPP hksqgQn80H8+H0aKGZ9Nkhf1MGENHLLtQ0jzT30zxp+YGyX7hnf2T5uJyr6q5OREr2yQzPdUPu8e rMLRI45hJjCf8ZBAH5+zMMMGhjj0UdtzMoyPnMZg+MSmWZgzrR6CXMzCCdmaoNXQdFEpLiSCUkHR VSiUfXV4/FqqYsAyEtKkFabwhLRSZJ7IV7JtKBXsWQWm1BmNfHkQJOk6erPNTnbqigjPcw1jdRHB heNi+cQtuwJ62uuwQ2kEDLFl2WRSlhQovrtTpJNhzBSwf1GmkFEY6FdpFhzpZ164Ye01/Ks3CAez PXmQTuAdp9yOJCIXFRtqkTQFl8XZV4W/BWp0oka22fIdZQQpKsRWottFMpp5mcks7Q0oKIB1g6SH e2HoJqQOvRIS/CyX3b+BiSztuCXiiSh+TIQEMPLcphazTTixYynBXziXQehglH4/2j4HiqORWVC+ A+yvckIoFkXRtJ/fFKOy9dkdtvRVTlKZvEjn+V85OakgxQboGWcxnsZGsRxy58M7O9KAvFv1kPAo tef9GTykSkA5qSAkXL9ERpKXmch2rM+kuCRhELwRXGprMPDVBQPXis8xdDKT7w7mz1oMl6Wk7GlE ZZhJL5U5cjjcJFSFmoRMmARhekuS05/KZ0asSLXBL0PsqsacStqYXWIh31OnU5QhUvOrj66pN5jb vPtVanD9d5xuUxyZryAtQIc3DATfFCshEa6Z9n8WpYEqbVR2k1bhVIjYBnMe4G8dJKEG44cow1+m +CL077uYHInwH7sbQjgYQ71m7uw5AbULB9J9XjVMTlqWTv0TJ95aKKVQiu7MnuP76taV3EAzY76k 2OyDSLc3D3di/+CwfKuslRWusCkYDNzgHs6LoPFoLi59k8AUPyrzkG/eEVHB4qUGYk3uECfWLFiB u6Lb90QxKpWAdTZ3yRHi/RNAqPCU2Nzp9n4LqAu1DPbVgqdgyc8tsGxCrfMxDD6qBXL1m3huzZPy u8z6k3aX9jgVqDYgpuLL5r0ReF9NHKDPzVtcaCxVcTnD8/bInmYEbCYVFyueStFStXeXPjGged1V wgxT5UxrhOVaxGcgk0iYPUpdVp6aOEIWDdDuZJpAT/Z527F8TCc1jtpgPXm5iz5K21ijF5QgSB22 HazR+ZqDPxct97l6F4O/8WBqA6OIs3AmoVclk7l3o+fJPCClWmOw2sxoS+RXgR49yqjqLGgjcaL0 Nchap8FF2XBNptZ7TudYDVTmPe7w0c8U37oEphHOiTexXQYpJ0yc3qGXQOEmrpk6ilvQUTpedYAf RHLHqTHAMlIaP0UA+WRUlzwJVdHp+1Li090AyCkdxa6LUVgtMLtpDbbWqMV0UbkMi+0M9cSrFu/A 0DBimvRzJctkn1YLJFvJDl15k3JllAT51D4TIS61ICxwHCpghb9dSDiEhmW2rwEPGeJ0mgb1LoSb AbvGY+qFjrqxomrlOwd3qpa7IPvRZUjAULmpKAiuLE0db0yJVtXqwN7L+ilgOSC4b/BIlboSOhSs J5FjCmRbGDMtI6LkBkszH2/ihyk2ETwRNTg3M9yDjFLCqicIami8Mu6VKrN7YLadzsBhEH/4rzk8 Jt7ikBbyLkryi69xfKRsz43L2xVekYOUQtTW6xb+fIPNF+BcUKwsL4CnYjJOtq5pBAnpEnlh65tJ xxYj2r0ysi54gBN+4AHXshrpJCvsqE8k9euGH7QO4vqdYSdteYdQabuZLq89tyJoDJF42xejJbkY 67ri2KN+s1/DF09tGLldtoHWSHL44lVUWAfMgEGmViJtkkF80hu2q0zI57iLfHBbHQLHJXXM3m/F 9tOb1kIrFG0GWlwpweL8rLrJGrGN6eAlo0/xyy7DoVmvNuq8CXdWRH5/AgFtfOM0ah4lqYJBIIns K5Y0Q2BeVsJVkf7+KoakhXqs+R46MvCWYTpG4fwd45rePHO8dcdWioUzE1Rpkl6FTegknpZwZpi5 V+Z/uiGNeU4McFJjgZWLMW/QFbLL/X3MvJQC4YoLMuk38EAouWznFRIJ29W6UPZ5ByblTAqBW+MX M47h0fv6AgdfGHe7/alksctxnBeIT8rP1obSHdP06/vIwBkbzr9cy4bOkf9dPvyRHKA9DT9YT0ny q+AB12JxrucjPF0WnKhsb3Lwf4uhg8A2qbVQy7ba7kaLHaXZmN6QptVLLBEqZXHwk0JCd6bOFd63 jqXiME3cgHzaAhnDjRRKVmmjPEgfJBEINNPi4Tgx8/3WphwgaycQ11szWSCdNOkevd2QDc1jIfHp dJM4aelLoGeoc1cvKVdhbLnUQiW30Q155MTJl6j1zssGnKKCK7NT4lDzInzF18F3psvCAxBHHA3D Bc3PQuTPFQ3wvj38u3XdN80v3XS1RsXTsDJ6EddtVrOOJIGeZMJ3m+8TWXmVNTLsNjI4hq0KtDA/ QaS5Q4ISCTpwvVTerEXvm2xFf243TK/2pVHfqQr1U+OJaEvuM5rnHj1sGkmZdWq7/ltGi+DH41I4 7CSuQDPnkF8T+RhkrtcOINzfDsQzWTqGmnZ15vhRL4hgv/ciTWE7uBJcxkjafQc4/R8cMmQRgzei +89I9pXRvuCKbHdJfQOcfZK8ItBZ65ponrx1TQ5nDMKE9tLOU9NdAE8oWKsHFwGwprSIA+GU8N92 UCJKKl4oKCLZeCiMzALlOvKFuvbNMU1Wr/nBxCa04fbGLkwBrW83UB8oCqVYt/Ku6fO0mdqVu92V U4Z7ryeqI4hFVV+32iKXRtvQmru+WuJ2Y6nnLYvFJfBFit43JPV1bHBvdPxAwaYSxSNxpIc2K/t8 CFZrXHG7gl2/m7GQNYBO+Igkn4K3XJYhKPyynf6AH3Z3SlsC7aCAmqDcxpMaBnm5oLVVrpvXTUSp U3rjzU6S6yQnltrhCD98Lksesm6zb9SzGymcg5Vqt/TzyXDZv7H6XLYKLcUbEpz0WncqfzZCJzDz iqKN2W1ChHq0t3ejb+bQKHbnpJMqLFANqmf3KWm5g9nqC8pBgz1mleiMw/xwKasx8Vql69HqlVOe Uk9M+GfhdMf165J6ZW/wFzH/+ddfDbgNGytOjVBavN/Y/bptHsaTuDu4B2Vy/+0gD9b9RBRkbmpv JgVjqjPcS7pz1Ex4vvPDzeayKEOPjGxt7YuQTgeUviI+E1iqH/ShKgmLEsEiNWIzfIes4lhY20eX w7BuAdboOVE+3B1jLr/ln/xSOXgnm3C0PyHCy21iJIN27V4giNq4JUOYFxgIus7ouxy1U0P/3J3p I0Xv2W6iv3t3871d1FI3Q7IMRJ+w8x9RZ5eU0JEWwT1go7sLe6+rn7Yf6vnUM8iQ9GpaJdYQq903 N5LyWKME9ASNfAc00YqeFUgb0p7OAEbWGXRQCMdwZJ1jfAykf44HaZI81yA0ltylb05vCVJ97Uwe Ar0FJd68gEZbw6Ha6S1+FXUTDL8i+dWsCQoxFfMvsHCEq8L5yaWdBaYt/Zej4QCGjwRiEnM04939 SEtaGXg/R3NCHjn9EnDoS0ODULieDNrxbD50fcwjqewvbeR8RHGra5QCP+hX8I59vRfS3h9JuOob J1LJs9+pXQLDFLfPZ5aJF8CfqBTM4b23/ILfHFRvhS5zE0bGbihOPFkU1HwYmRqyJr9l4DdsfEFF 8ksRz4mt2JGPTimxCje9UqLBDa/53mF+QwRiAYgu4zBE1ZJFkmALEr4sZaVBO3LmtV22u7EXdAuz nNm5sh0gX/7edQ133xU3QQc7gzLqiFKODoinyIQx3qWMWsQ8ePqL7li1CicmYX1/lLjFiwSEPdJ2 Bn5PN0/q1Tay+xsGQMHEAlkuGxukXsqRqq5yDHDw828J0vo5uioROsZ189AP7QzCq6zcyGyaVW61 Kt/o+7ceLjhlYP1H7Ad2/NGVgyagwA0YRCOcXAJtOm1dRPVVi6cnD9z6zpsrsH///O8eaiv9WQrJ KVr9mWOqBbPFfPfbQx8fULw2+s1Ccz7duqgh9PE9zEfLgxtsfWtvQOprnCgt8SOn0qPQ0ta5G/6S QV7yXGdhu9UbHPkLH+GAiimV0hcoxpyX+6HKKPBnuhI8r6Q7Xgfqd/e6uh8hBQnIJ8C0jTNwldQn /NG870U/w0goQKHar/1Ocb9YZ/+k4HvmXjpDZBQDGxtpY+3JVU1l58cnUcbKD7/q/nU7lv9pawlf 5RjfpApeLUX8x0F9EhcvBgPDPSpGqIzq2Bf0U1RhBE932yfFG2MWtwON6hf60xNxrTwVA0l/oZv2 Pj38a32xZ9B9WONfj9byv8B2+Jwsegk9iC7X0dOY9JZHfDo2bius+Yg5j7fjLggJH6iBA9NMPAQO Ec+dP8BfWhGNWoiCtxGg/303C3i6gTknukBXVhJjDaVkAfaJZ7TncuYb8SRxWTE4SWB9mrSmNBFX Xbx13BcfKhJr393HB9oDay+syiAE2aEUpfHtSj6bRwt4OHpWEGBk0+0LuSucxbmizCLUyiFS5rHg 3LRP43ZnFCH2bA6VWdkoorCjcWYRjwMcaU4LzSYXoWdxBCNw5Yea2MjamnwyImDKhOc+FADbL9X8 ZaurOZBWWnZ2NJ9jj4ukmxZ1VX6rlyicDuHRSRWfMbIBNTewjzx6Z8xmIMSpJsy/UPGYlOT9uFeF PtzRXV5vdIrFyLMqC9LPDwxDS95EzUraVvyL3TVADgpgkz+xH51CbalKtcRdcswC1laPU3nDWzRt ynqs4c5twd7A4am5MQ3R4d6dUKQ9nFJk/wLuSFMF822x5qE0uFVSuOtq9IzX8qOmLGQEN5G2+XsJ QOZ+JUybr3nW1qIuGj6RJZMkflEDbODfyI3OLKPeP6Impnc8MSuZwKZO+fOTfCAM84IM0G+Nsvez FeBqLdZZec7oQJwXDdpWupIKho8J0z89JlDwNipBlBEsaZlwwm37GMje4SK2nCfOnCiMsDqw2AFa kfcBRrM9Mka+ZJ6bJAA0rOXJ68fVaXwsQ7RawBj7no7xbZSWx3xf7ciSddK2tURCgwGYwQpQYEgc mrZM5y0IM5GCyqgj11f/bp4nS1Cu9EyG2bNz6GBLmo/Vpqn5pvXNULcntygV84j1TAHub6shVN1c JM0kNy48oJ0kBmh7hFZtwMC/4jQsvVVSq80UO+hGx7K8p0IAXhJ3Y/bdENL/7VsXA4IiayUwnThO aqposnOYEE6AxJe8UC7FcjvEyXdha8i5rQaR5RmaHWyYfUbFlOjPGPdC/AK1xBR3u5uhkfF2zWAd iDNJJ8uujJ9t2rpu8EeQYpfUL37C4Sd9fPGvbhKvXLZo6GTH04R/J+N3+qt3XwZeJaLcZZgbxCtm 8rJLuyEJjnTwJSUdVVKvHx0NylHL2A/8AYqHJwV3gFrrVN53Pb/VByBFEdK1YsZsV/y7X6mF1bRY SfII+uG/bn7tkbf4uIZzzKf1W1jE+a4TjNmsBusaZ+Pze98+IAdJ3UACz3FJLvzl3LalvqOtdlA5 p/ARfVEQa1kebxXx20juHQ93Y46kxSeCz2026hSz8RV2VTqaVWIWCYvVhkqii9uW0iD9KP2Ftk5X K+UfhRaHe/8dIRatQqqk+G2sIdZ/0S4fwvdey+CbW5e/bHcnMhM1oyd87gnxxSYtflWgS8MwqiIc Ss8tUHd5VBduAbg3DWhi/2QML1AkM5nz63wCvBTByzFrX5WKcyE6vcwLSNkExmY0vNgL0d0NEG+H BdnmWTbydJ+ICXS2wUxNQ8qgI+e3EcRgVsw5xvW6P6CzL//1vu+Pji1o059XmgvSBd1t3W2kYBs/ sUImN7/J8i9CZLpyYXDdsTX1tfnVaM3BTzrHXU7UV5cxwuKMgh5RaK7RKdwm8Z2cuv0hRsFoOBX0 KCvR6qaHZO4C8Y7k4iO1DZcLijhofr4JVjA5yvaeGv2/nMsXtEmBDD7ksX+cEN2FzFC3109wm/lw UC/vLhNjU8WKa4FELCqRFj/nL/ZUS5M1kT3pdeQSqdb7wMDDnqBGsAGhBo9vRAIeq5BP7pZNwcZR qP+l7p9xtMnmWM6NkC79onfkdr6mIi+Ws8Krk37i7Y8mMHgsJbNGf2R5vNNDgvtkYT7gnXmys7xi NdpSVbBEbNea7C+PbwWDejMZuoVsf76IqMupHq4TH4ZNyK0qVV/4Gyw45z9y4/hsS1tIBsFNG0QY 5syJEPas3BRAonNa4jyV4OV91GzdHjpubhOTEKJ/ICCXjzJiQoHGyhFulR/IU7Q+mJfnpWUK1Aaf grLCVhT9dDJkf6cdzJFX9QasyM/m09BBhimtZZ8PdADGZQmG4hq9YtzI4vbqrir8LkFPd3bgr1/E 1z1rcMQcGczG8uhbUoixGZ0KDm/CJJQ4hyU01WTH0QD0DFvD7fsjMybj35YL+2UXDTPJO2E2yUhW ZPRw57+5DuXy7DcCdRGfuMNK4Wcf7wz9aaH1/eg1K4MjxTzMHp6QALuVXL+3XffoTHXSUXcChibY JZXY8VXQlKjOXX5EnUouDi6ktTKQsYJSs69TUPYraxaa1w2+dNaoyP2ABPvv/35b6Xwb6znn2NcD 9qmbssgCubI+JzgXpyEQEKWEhp4W2GnEjCPzJX2z3y7OX3RVYCs16yXQIzXROY5Mwr2er+HTmTdu Dnrhn288tR+mKKNLDoVC84/Qc0rYwNmY5/EMpWA57hrMSFFb5wWMaRG8kTdLHyVsyMTmuS6gXM5i yetJio0aAIb/pShqRRK9fGIYfrxIwVg01JOGk3mx3jVWH80Nbjc8bqfpdze3z6P6b6an4fXCs3Qv wGXhB4NOfL4GIj1gWIukNUqjuFBuXyLr7/GoMkkEr5E8wvCgnd8YejMWj7X3PshCj+2IIovaHOpI Ou+W9XcmBZ/OX3HJJt+D/gXLHsxB70OwgktaNBvYuDrPGfeXsQfJO3gCeJH9ks7PyFwJ7zw11dLG ofFSqiqptxpmKRxTtBBFad3QcwiL0vo0sYdcTukttdYEKo9asX3jGKmVoee7KNNad9m3142iVOLN 579LYXJRZy5rit5AlOM8AT34swQzHBvUUYbTphccpNFzCtFlHYY1Ga5TbrOUTf1mvT3c/+MBhjsh cAZipnOWfiQs2azjvHwvxOvYU9KyCz8G1Lvmx8hm2mztDdG52tpQptRk6c/YY1Bk2SXknz1QLUc1 kaAGQiiUW/huen4gwpobkCJvQawMjpoyvGbZj7nUhhPGZr31T9a8vD8MEPQp7OT/1w7P+AjwwS8D ZlPUuia7xPMIvLWaUVtHzMTGETaXKM25/+z2Oxw/8Mc79GOGq85bJsPob4hQUGhFZiS4WSMfrBAE dp3+AGP98sahA8hb0mV2WH5cy5TH5I9vzxZf1FE4ICF9uwlZymlBG4WTMjROjo5JuJF7a+1iH4ii mTAtUVOeDHp4s3uGdYdjbr67ZawQQg3nZx5Auj6NgnRHUpZjf5jHfOnn4tZGsFH1TtXDTEPC06VO PzFFd1UhPHsZJwK9YwGcm+1j9Hmi3uB4J1ksXNtSRfhlC8uggqcCGtosaosZ7H48puQel4yyF7pb I1TlrzpyDuF6tWGY+08RxjbfV+SeFwkIEHBz7YTw5JKw/u5vwoLRXvNq0hZUvyMkuKPBx0FTCtXs jotspb2OeWQdwtBpNnh5JKGG9hZWXA9sAr7R+7i4SLM4g7F+VGbkVSkzrRCKCDdLP8nmRAG95cZ7 i96xCyzyo4XIC+NwAugSujmg1avFoBGx/2fzqltnzLfmtZyf93pu7n6tcYKuvslyHiN4p7FiGvDd v+uKDwo515iEVcM3uVeOpbyKTF4f95KmV1ADd0blSnjVNEZeXAev86exhngaXC+gOqxG2z+Z6lNC ma08XZ+oYjbu3dSlWjLCvx1oZMMw0cgyyRq0T9w/RPw/T3gv5CnGpf8Y6sKl9tUnexNNzF3/4TSJ 2k0VW1SHp1YJ0HdixknWrU6PdBN4FPctGcxHpFn97xzHdcTcdlPq1y3AbO8IH6EPmyndJkzeAW0h TKiTN2FhaaYI9+RXg1QA66qtqtgE2D8hXzOOyY4M4PF4R1xlf53Pr9ChYCBvBHSU6pp35tgfwkkm hyV3srcY0HK7Ua519m3RV5ExZNDWBc1lDYTsQbdHB3GZlxdm0ZXHE0wiG4shaAuFx7oNbWvaGlXg 9qj4Obp+f7XfRE9I/Rqly1wceP5rfcZmpUmDOtR/umiEpVY3/c11FCuRrXLQwHWwhYFWSwT36eF1 mvVAnJ5PeEuk0JokiSngh8wcuhhw9XZw0Hutr/mjjnLCo1v0t5+ZH57U8EU0eM7QNDUUQnQaLwmR sUtH8JYUyi6TB6HYu4yyOr8TNCF8miuMwJy1mPpYfllOcpV4IdVrgWnFxPRV6cnNBBDThgXjCXQO PIyXmnSMpfhWpkd+j4HO0CzhvQxEgcQkyTHLuLdSqEJC1I7AmqXJXDOBO90FdmsvoW8060NmUCms Tl3tWPP5ZCg8agzS/L9I5JQ0JjSV5JD5fUwzZO1tCRAXkFTDgulG27vfOXKun37FZQ63LBHDZnOF Np5jWOM4tMPwfAfxbg0E4qlLceIk1Nv4KIX0mFRQF/7zBtn52zDNeoSyXitBprdbp33GwH+8VxYk /WlR91TexKmHy8ADlAbUsCXF0UTN6mibm9nZoTIpRX8D9OH9H1HC57pJKxrozz16UF+ucLOgkt3n hZuuiRS+cxgWWiao4WFWPoCACQWJFYc8zo+ODDZXZpdV3WlmxIIEz4k3P1rExX76CCthd/+phNq0 ZNvxMF0vw55HkVJXGmt7DTaSZZcSxvs0zG90tgZDH0jNAOsIWHNSnpOyuDkQFoEfdFTeplXSUMUz zCmKh164uItv6b/TrtO8dvIL0vlK2sIP7mN9K6VNt0zaZ4hmDlBlyjWsZ9xD33g8Vurp/IiDjqU7 AZo5uffkntzPgIWRIDR0BiIbJDqauJgBV2R+xrKxRNNp4qbdGoETeEx/CSUsHdWTjm215sCubZ+/ 00Ovpki6sCXOjRoTyC+vF/EoZpcDKUQ7ejyC3HUmq+Fzrn5OeUUuaSSL8i7BYAaNV9EBsomw7p/8 bfE2+7oFXvGxog2pSr08r0vm7PzlewrPrpdMSil/V9oISSlU+t/8UpfnvUgIJNDnEqetkVaC6R8u kyrbK74E0PHs9MW8719VfItG2rK1K5z79rseHPtiJrIPdnNL6CRp1Icasm8MZW18NTEXPVIQ6uGi P8z8H6+5vFozEf9NMm6UQaVazYqWBnaljuKJ/bNdw6i6azZIZih5GD+xgWBtCGkyZo68nM0oeCVi DNS31wphQJINmSp0SqTuBJHH+QFWEGYBI+wB2R0W3ZlPqQwZ4K8ci5mO/zeAS9MugsLIvp5DMTNH W+VZyDdgAbScCPO6vInusHnZoCOBvkZqMSTT173EraDiDyDdo96vUEOB1z0W8RQquQ1C/5s0JZCL UkMlOHKql9RGHmfy6OMVtbwj6yEGyDkJAlMROYjrxVVc7pclqxMkc41e587PbZTQS35WEVeT1HPe pe3yUoneXZT0QBavrk2sZVY02JsU8kS2eck5QJ861JKxj0gEZAa+2+BpdTXlYC2FW4BosKXz6S9n +rKzPEU5bCLUrkArhWmFlIIN+sC/xXXZCcrS5tjv1SeDxVejdrhVnagFQ3zNfKdbiriF50v9oTWF pmQ5S4O+yhSZnZ1vU8WKCMYh7hSM/4VtsosYggksv5IRDDdHjCDo3mJGDnGsS0eRLdKoNTkSKe9M 0Y2+ZRN8ibHStw4rp8pSkzc7l42o/aUguQqeYmHWXECF5cwzyYLHgJA3sm6vIPXBDfAMOEdp8BSN zVfRV4tB6sz4KAMJjMvHiZIdlF/rczWffkBih+Exs88BMrV8F0zTHJcBYESktw1FP3myKNWFSt9k UmSaTUpgwmJr2lJqoolgNqMHhccwL3cAytZQfPwDO7epfknrbB/lN1zfmXpujS1WnPQKxoQv3sFK unLLaOy9SPzHnCczTm1uS3pa1NipfUNqVi3BgGZJC47Hj9RyIjcgusQW4EH/cY/jMZjFXK2Y3Qa+ MDpHXSwIrpKhuPq7xhgB3tMwrrlP5urYMWjgIBQ+t6YUZhJh+kAj+b1/oMOcE9D7kIOKPWBEvU1u 06LzbKqArYUjHC964glI/Um4dWJ4sKYIAmL0a9pHJp2TyD8s9s3ETBF7pCMKxRkIQtwlSDOGGs3U yeWlWk/Lg3/oRpT8RXOJgagoicb14RRmwlLu9UvS0tTjVxXX1pgGqnbqXFgyFX+cjhAR8XZccCFV jFHFH44zKZiIAZqP0mozoaa5389D545OsbMzl4g33lhGTL7OB+uExv49ojTU8zbUo0jGqiJdsBbk n76iNA4vpfCBXbTRhuNCFks/rRBSK/dVvgli37i/JDb1f9V+CqU3LWML2VH3AkiFJ+M6Ag389iMu 3LK+s313AfmjnKqP4a9vRc1qwocTSKUw+V9u8stxir6M2kInVoYRMkAy+gWFuieqvKIQ7iGULppo LpJaAt1NPrEdfEokB8S1k/01uKDZ4kLaT71Nz9zJtZv339WDyXec1BOSy2TmTuVP+l/pn4P/10d8 oNk+yWHCy/vBLZnsGqKKMjF42WjzbOkUvzu5udKPlLxXEHQ1McWFoXsqGtjF9sFPvB5YvFnSIA+1 JqiWIpoTKQRPiZIom9BNmmTNO4LVMr+/yLQoZ2OTIEsJVvdcSbLaNe91rYv45UuLj7OAuylRugQV qgDAsLibG3T17zdQAWKb1W+Q2rXTa0ODPKA3pT53XR5xelTouUMHTgmHMK4FFhFtV2lQzWiLN8d+ AL10EVGkzw+yukGpc4VtyCLQGTQ9JQ8wO4eqk6kOehKovjkqvRU5r6r5jcfNQCZR9l8QxiaZyuoF zUsULLacu+rvm62qAUoUAO5E4/iU9i57HoZRzs6mFJCbFGjnpKi3S2PAcMkb1K6BRTK10TBvmFVM meMQSfD0eFFBoCrdkMJA90gvmY526hDH9dD02dvDIyw2pMKFpUIEfrp26s6I0fy9IN4QFdFSKbvo 1Bn3bYL7PQWqH3OvbxURP2OFy/gD3Uervpx3fiJnDVEIfmi/ATAzup9d3LapaP2+iJA3ekbGvmWh +PfaIZ1Bl5uF8AV8lh3x9h8NSs8wdgqW4FL3KlixFDvwQDwIw0YGsB/gGAvWGOSmp5qzBL1+b271 /JkSQfzOs2zM5kG9vlPpwWqChEuKxrWS088fgSPW2l0iFPJaTca93hS3VFCpWl0q6ruSWmdTAi69 +Px5MTEIzUTcM4DaVr6kWfm0x4spzSO9RC11l0DEWcTEeHULwYAKTxiHpb6glQngCym0kxTo5Bp1 7g5L7FKvEjsSpZl8FE6QHnB4IPsD79yAyi3YTlqupgX15n9W7ZYxXbpn9UgFPd3Mr2ju9S8R8skU X77woitu9VXbrwKP0QkRwWWYjA+s5UYgQD2y8Y0nnPCEAivebvXI/+AKkJQ09ZvySV7VNp21ZXkN Y7QvMvrb0LjkYxRplL3WHEqfV2gSegOP1QS67H/a4WSd6k9FFmri6sTC0C+X9zxliFHaF2ULf+4L cakHcOWi/6R7tjLYu/4dQ/hjNjSgh21NlOqQuv9N4TbusV0D3quY/9lN5QU4xgcVU3GbrVO6LB4Q aW04ZDSuhmWujHcNQm38O6MEzxOnOPA1bDs0akbVZGHFaP0zIlgMVTrWuiewy6yq71KnhcOBTuqx Lerr+irkhazLTsCROO41bEpFNxwaRLBniibsExspLABPbR+5J8Df32fhmCGIDdpjLms92LbCz10G h20yAOpsBmiJSQB/AHac3GJx4EeFEO2Zrkh8+dDtpOZOPcTAGn1Ca5IeAfm9fLMVrZbyWo+0yG4a GlvatbE4mgq4AtvYImCniayQDf5b2sRka+lqj00B6ELDyLf8gVh2h6TwozN1azeYHWSS1L3xlaE5 xfoJyJ0IX9J5xxaESXdM6PMKEeN0GnbSPNII4+DO0V3szVD1qjSTRNNH4xeZkgRVR9aaG2EHhlNV RbtG6eaLbrRMWnzhTuCGJdvUcKSYhuFydjRwKQe6raHyKdp8twQL33zVtafahiyF+LnnyCdXUA/X ubgH0ObwInMXOQCroDQPHjoC2j7KeSn4tjSYklkvWzNy8cK0Pr8HkoDwC1qDa/HZGES3GYPf+rb4 13gSpErdYw3OWkCx60qEU7wvX5ogQHVBJW11EkzKf/BWZiGC5huvnbfptAcMbF6/1/p59SvScH/M be8J4LV+3Qk3JSBbB6oZdcdKh5bGgCx2wjuPC+us1lYc1BPW3+DaP++1pOt6zWFANa/t2YXvCK6z VO9q9HntQJ245JQrcanRSYRsO2GRAKXEq3VdHZutF2jmuvoduabbU6EGEZmU6IkGbkFlJqWx+N1k +csBT1rHrlUxXJiUpkk5aBNsvBB3gEQI8AwEXm8/8XKA5cSwOQqeDSd40DOVFhG00R40LMqIAqpl ys50I2cBMXJyutziggjURMChDXXgOdOivB2H90LBbz7nParIzvSvzPM+fFVg3Em8saIVGiLRg5+p SNtqEUEa7FLkpYPod9G1+QhDfPxrIdjiUcqreeNUYHe6GQ5XUBdEu0+wuvdo6ETVvIZZG4ZFG6cC 6RGDolzIXWol0NyZRznr1Nc/L85DbyisqbCHLLLdawmPRTYVXtc/K2x5/iOURhpjyM9oljlC9z14 SpMEWcUidNQ42YKZsWRM2fO7Ad1D5beENj7frcYrEIp/Ndtcq7fGpIPrumYwg1A2ORyOsi8XkiyA b0OdxLKe40wkNuWYkMH2QTWxf26TNNS84+4YShdrMyy71u9JZtZE2kJPlJ3ur6ie1SAQfay03jzi IEScwu8gW3iqGox8xKc/FEbEffchZFPlQLS1yIMiduSr6vJFrHLm98TzD4e+GX2MGy0Sr4PudY7A o7azP1u8ijQrBg22q+d37yQ6mPPm3u+5qAi4CLLTE+xDdmlg/lZgST2T8sKnVlsxOy89/uZWqHMr hY+kBQIxB1Z2Dn0Py3F/u6QN9xyJPmk1q/zXm1qs24/khqNg+eh21D1OGVC5g8ecOB2ZwvKS8q1q 3c2Q2qrMp8IpxlpLvfEoCnBsnt4Jh+BIUQQqr3A+YSls0Qj8vl0N/20RjnqbXA7Uu455amkcFPj6 uta/FZDlkmlL2z2NSgyO3Lp52wN4jX0lASbPi0J6FMnqqY0+C96uses/jjd/sIbDZCLQhB++/775 FGdZm3tSvBq+0kAK18qnXyjqMXsB1h1jCF7qJz6iTgx7YiKkzdtp5nofTwK1ttArULiAkj/ZHWYo v/rtXck8cuSXk8ijFCRfAnx7v59DSY60YtYZB9NSJ/peowRsPmW9QeT0SHJUwy1hJ8Pb3qKdanUX vRBsa9nK3UkBeM3rUWSuVDYGdvzEhQEdc98OWHbXw0WJKiS20wLZeI/27+lF22onguYAFSkYiqun pwcWUeMvuN0bBLjujhztVEqpLYStRmRjfGLhUEnv1EbARUiscGPZyncTTlsj9dAAH0Fp1go/0F4Z sB2YKH8G+866X/JobuNBuZUddJCI3gxfFcQ3bj2zLcYw0Th1S0JjUBP8Qkg//8zaHAi5AZcmeaR9 iglYtMaHUHPJ1p5/TShvorhwpuBCDCcC+hGHPzaWUHZh/AjLca6VTDp8+cK+QrpLPYh/PkoLIkTl 3HQVQz+2o4EVnphLfJRp8TlqjiPgm55WzJCvgf+l7VNMeO/vhsatvU7D5/LuWwJwwMoUNosvyxLP 9tBFfsDjt0uw29fOP0o6Mqq6iDkpRhSSE++yFxfCZzaUr2SOxu5OgM2C9PdCSdN6/F917nak+4Ir ySzUEe4UGOF78oeRlnAaAUDTmI+tnK8+eAgi7D0tdwsWe8vt4xV7ezsNFKhXIlZbRVPC0EOrBXhb AMReb0GKFT1JutoyeHfvwkKdJ962SPFe7gi1izA8nUV/fOPhKiPTfCMEXyJAn/YDQWhV8fk+kf/y WSWi8XKXgUd5VyN1Y1peCwhW4/NaiHIH27BRioVwns6j2dgaw+TDOqs1mEwoNrI9Zx6hCtuRujXT AKlo3q5J7EU3wGdpHyXkPZ44mfdU6N+TLqcJICaCY4ouipTz6QEbpBPdFjipZGx5Mndq9xK3qkFf AY4STQdNcVk5jpiXQD/NxsIRqbNWoeQSfM+w/1o1fvKgirtVt40QRicON54NWDwo8rqHJ/00CxDe zVo0XWj1M9bjn13AZziTEongSyQpY1o9HC2xs6mmIn9r2q4/ZxAM48t1GLVJyqHsbWnsa8xdVVv3 WWqWtl+VWPUweWqfg0EBi/W/co0x6TFh2Em59Y7V1srru3LjFzP68sFc5cLlWIoPKq58eW0Bnv7x yvtB8wsH87slkIXsQWdJvSQ/9RHH1Uc6lm3/ulXkJWuPnHzo16vmzGz1jZjXXSJUZUuZeX7X1oRd G7VXflIGImWqYC80L+TZwTbQztjKJ0pI3x8LbUhpIaoeBA4Dz2PWK5rVrZ2KlyN+rWA46dH2LwLF RFslxeYEz0zOS30WgCMDFvQaa/9UVeu4fQHcsw/TGjpeWwLNlq6sksqI1YCtcuzMuoX/ULwC1rj6 i9N0DiphWTJzwZ5z1J063wiL75VrxHnN+U/D42s5JwsyAIq5X1jRTTe5nPU6oDSM76HDlc+pXW6N IOtGQ0td93rWVjgBVeg8GqWx7RSit4jTjQOWC1tD2hA8+FRCxpBli+aKXDYgVPzuCy4Qplhmd+l7 xuW6tCMMnedao2fK83JQnOSIh3WcDntgTIU82tOFBLIojulSjCPfZkMeXLAEextobAlz1RFwR/qd 2DkFQsIQASo4DZN+df2K1uOPOL8c/kXdQLAnAdG2vjlyrFtpOkyWZ+mnPk3qnowZ8dyzMod+GlCe vi+4t4VVvSJiJ9PhtSsSqzsBlGL3NezQ1iAnZtm1nkJZYx2lf2d/7+M3qNxIWMJNWJAG+vWhYuXG AX/uwtZEY1LNH+rbf+ABoT8CocJT4lGM+yUouuaixGps7MbnPl48Oie/feVX0Jfwwt5ahVD0bGXb L5xfr3oSTkKj/y6KM4xidosBjXeepECI8JiLP0ZLVWmoDWphDmZktlAgrDyNY0eflwu89xYlgzM+ yvGoJWEmH9mihML7GHlgelYOkfSIQODdqW6j9Dt/PsZ7c3X+5tUPwy1eou9Ffb0QZ1C+lsC+SqAu 7ZmS04OcE7eL/X0eo9E2u+PcosLfD2hAFfJ8cPN8ADRr97ktDHRVgeEQnZjp191U7KtyHimutJJi uKgITD9NeMGImkX8NIH+gYt5U889eDxXFdrLjQ09H7Z6uYZiFyh23EWv8KvOfKSytSh4bjZazX3X Z2TdvPXtbp6RJO78pDtgizJu8dpxiSo1YLyNXAiTJgG/N0Lf5s/7yNLGa29SDqTOHtH3ZlB4X8Xm puuQzHAMMwZWyG7qChR4cZH8rmOjRqHrQ3/CHFnvEYiM8EyTnCsLQh9+VqqKluUEvDEO3rbdJ8B3 WyeMnM/yjwE5P1yQlqYjXIiZrO9+wtxEYAK9tSglrCXsszFc6ohi7bL3IMIDEdbSbKAfbNoL14Pc ko5f28n3JF21P0Jpzi8EyKVnqyCu09VuiwNVMqhMNNQVVnaxo0QUIkMgW0OEMkBso4rKqVqoPmOC HcU6lLSslZlFdn9RHHAG7AJq06ca6vIIaVSqX7DnYKGvjiHimGX4gtbjul75KGOOSbWEq1yShedy hkpRIim4dHhIPBsSjQsS7WX9tzk9/2fw5u9yAX+dAtTSJ0bnh26m5lFPEG4wD6V7Xun6lgUW2x+6 yE5YjXGzjGYeFL56BLYP+YvGZ/vgIAkhJpTULf1FbaxDNyKWcX7Vh3shnCdRgulZtdyYK0oMroT0 c89R2Pc3C238REpitimE3AJhL8h7zarmIQXZKsmgN1IwY3AasR5oEfCKKvw9OdwhwQYyVdhJi7cX 0TnV4ywUFjE7CW9qBw3qOb42jpmJT5Mp0UbcDEsVk2pst4W29WNuKys841IgEpCwgv2lTTtVk2I9 f4RU66zMjhx5VjjLQfgcfkvi5ynY9m4nHadhvKQJHAP1KXglF4aCdmSCQY83xOiHK+A6gftTxAEs MCJUgAq7Lx9RJhmZGcakWKIcL7+XwR+9U6LcBvnLw5mKurTebt2V6i7CNaiyPZVkR8qgkKMu6ibx UkicP1qGmkwlMeFLDQIsT8T+jB4Q9t61JKGOcDXrjTBIZlBLK9TziGjdfcB60GwyWMb3llIrsRkJ WRFz8ynMtnulKaE8IXE/Cl/YyIFywm3/TwCcM5f57zQCFVkA29jhYz5ryxeM9wn6blyyOWfscuno C65Jh6U+gDyBh5EfEiWTfiRx1YcxhcW7ljQ3Zhiu7lci9AWsc615ZJS8n7H1Eer7z3tPpLSMkuCv oG1w6BztcL5ErhgLVug3MLJaHiKfVJ2FabBp/ydkrgOV8eZJKcgxHWsU58lynHRft+mSDF+Y/TXO /qXY5eRA2BSEEC2Onfz9Jf0GNv/QXV7ijetFi3r1waZcsUi4w6iFWwfg3/utmMhkJdxku4l5iGtV RYTwFd40oED2iUrEvHlNnDDMfdwN2MAtUJbnzFP1gqfNMEwZNkTRS6CmL+YlsfPdqGG5kzKy9qPK eJYXp3kbZjjXsOcPRwGVD4a3rcrX6JBuU3ZPZ5wtcQL+dbnBEu7pz8wnhRNyqAFBNNfG0AzhOGoV LQ5Cvjp5YWOOlfvdhSIv1jvJVS/RX2OI4WcNDrbhLu1TgmrIUkSUPmxE2Bx97HhHpFESiIpj9FZy FvNaPgHcAXWt8ew7zSsBvBfz3s+URZpMBxS2QDLaPqZmi+8gDFUuw9V8lvcY/N415aEH2T6XNmNR HoUOthYCU0tjx5snY+t0XtxwzTQa0km23LlLbJ4RL463pOhX6P9Y5GOd03Ue5rvESo03tJs+eyEY CzvCWVchd1yphvPBCHbyE96k92xhL79tZaA1avwHpWWwojFhkIFFtaXta1VI5kEPtDzG73wVpLMI b5Y2i73ijBwmzXvgvpY1maXxW/CoXSsBiedOS1nBIDxjjjM+e6Dzo5tVApNJLYsgKe3BmlHKlf0U 2lT3BYynegFU12cYlnBHRn3tAwrOVhMHwA+Ar5T1wL3xLcKw2upg1mZU+DT7RTG3WtPJsfKfK7Ly X0kyFGYZSPY42KOGtAp0nclgU7t3hCJ9V9kWfBGU9oh1M8WSokvCGTC3OKhlhubSp4FKA85ZCyZC 06II1FL7ktFeEXNXG9JqtY/xuORhQMlR5PjIaWzoqEfdx+wIMvsYIDs6ohg6AMyEzIHj+l0P6UeU YqdZv0lEafD3LBvN9c+YWyDujP+o/lNUSgpc8YoEOFlwsrv8K3YrfpOGF22voohoFVygs3AWRi51 E88z9/neF7GPNJAHwQzsmQRjFGIhhLJBt36e0r00hEKTui1CY0WW2noHiw3UDcWvfgKrR/+RE/0I v0OoGfcxsuTl5JdfZmeLqxIKpsLWM37udECChcUVEeYuJe4UVcHrl8/kzxVRkI89PuOYhhKTnrUn ZWnKdpwZBQ4PhJPgkctLjo00eJz8yinkJ2mP9anwPM5/gWsTSV22tlmQZs5pDzEq6vfp0Nrqs0Ha yo1xvylm4M+/wXhwU5nAf3Ww390xMaTbh/MZTCwb4xtGplZlh2kb5UF4hWiYfoHSKEdwOmOzVfM7 AFydQR9GDd2LnFmBHGjFqTbGDG95bgy6bY9lYGnUsY23/6QxGXFXJOv7jP3Q2fAq+rg+7Vs5e61n umfA7hD1XP5YChSCEz5PO0XcqSc9C69ZUJSEdaI6kWjey5hvKU01bbcRguFMOwX2mnSrV/41gZTr XVAmSo6gTfIFMQAV1rjU1Kzr9OO/aAhI+PpmOYQRtm8CHI988X8bfUoN9eWv7NR89XU1W+NIpuu9 WNK5jc0L1lGspOCClWwdxcu1znWRhZhnwarB7Y8Dm1jjqXm0RXAjE/jnMog6MHJFv2UNvFsuU6lm bY5xDghrRvdOQh2+R7rEns9rKLbdIulBZepHOkzmlHqYmqQtvFmFQUK2WotDdd2asQBlyEeB7eZj Yofb/1hXhLYPKyNhWXmqyTvgYhfYuGm3xNVrLo1V7oe75MY/V5UmyBJt4v2nliVPUzsuoGynsTjl DEA49DbGK2johjKEh8GRx6H1k65+5RzWH8rwlhhs/2dqlAEuaVKH2dxKDwTszcbJdwbIvnMBDhhY Icje4xnKwYPTCAc/X3yxrXYyI7q7eLx2KAcc4nNGFSLC3oRG+m8cIfHkknROYl5PvMU8rsCULDLx XieRnWFKS1D/MK+NeOMF+iXHpaku819q4ty+egXuUe8hptzSnkeCaKQk0vtCHsI1Sx2pXPID2eZQ NLWIFSPQDvz9JlhZllK+f1NoLjXu+yP/+jhIYokbkoJXB1UYpv+RXwniEt/fsOGeZmEH1xE/mfjo ENQplncayw1jKKjhSy5S43jEKwx9RFA2FxQdZTHqvIjYrVs3Gn4rbFIxwlQ/qxfM6N9ilEidpD86 dJOFXzDS640x1Or5k00Mbcu0GWvHoIerbtqSEK+/vXA85IagbvpuWk2rXAw8tnUReKasxzzqcJwi 6TXIg8CNSCj7ZLYrF6OWdf8BF6uoeVaBbcFuRauZUye0UNG/kAEDLDCL7A7DUXtLtrWf9Nl31TNs NcRR60QN8oaELRx0WFz2bcxU1qu3b1b+iQjdvgPMI7P2b8qyNMNfOJrQZoLyGMiduNy8FyZ4rd65 hqypilOjk6GozMu0aIvuzMZH1875teR9x+yt26RbBvBNgKjWV2UAxfMpYXOAdNarvIQV8AUhyW+3 KrChXd48OKq8t6ANItmpMNAxeGdAPhhx8/hLEZ8Du2LQHNxpmqrf3IkCTZDO1JiJMIqBTVH3JrOe 1kn0hZKyc78zrCahKYRVQ32F93RLvwdCdxTR8FFwQ8q5dnSC+JdEtJS4wjaCj5/ucP1lA8Jp9KAt y9qd2uCpYWdyyu2oTzJKYys2IgfxnT4X4HZuRp0dK1HYmxtXcZ9FUvLj72ARx69I+5q09CP/Aimd 25QE1LIzWCgfYZ9dVZal3BY/N/syXIhEljt3b5Itb/CPDmJk2xeW6BhPScGKKqTpJTakFQvIg0/8 ccypCMCJxmN4SMr4btCY10gvcszjQmh8JN+pf1J2oquxEc5oMxstN+cB7doQVR/2puLxaoZI/Wpx lkIv+bt8bq+c3VbLEKA2s5ZuQn7EZSo0cgic/s60kr8dK/oW6Z/NoEZBBe2E86EgB3xAyf3oZUSW GE7Nyf8pIwAncPIUT7brwZ+0hKOutinPiz+O4E+8rZdFcHqkGnBPFMQAtCfQdRP2fCqShSVg1Adc FMuAE01ES1Z/DVi5IUlHzrkH7HwnDtLdyG4EZJOSMvk98O7vhl3UmFwiPH8TrL4XmQyicc4pAPcW HiYsxjvlfEU0zzPR0onLJSwsvqZXtiiyMaKWS5xZeROiKMSaXe5Rtt0IsoWCxi5US9zfrGUpBBtY wzDUM5DvYihSQVx/APq3XPD096tiv/uo/swyQBGqJ8+9B6I6Z1bYYBlVQc0aK/QF85Dnb6eR08pV SGftYZikMWhE/2gTPEuBnkAFDO8Wx62VZHsld/eaRyueLw07qQpm9yvT7XSfxV9r+mLRdFyPf5Yd ZVCpujADW6eOYmOFGBWIAvnvdigazguZ5dRxeIybVpF8VrYQZSplituSi9+zFdk+2lLPXmzGJn6w 1kwlVZMXSSAbusmNUnFCcJ9v1NrCN7Vda31/DkpohDcK1+h84Bux/kiCTgeko3TLnwt3t6Mld18I irm0qdbkNOQdQWt3flwt4aCf7J+eCQ49i2td5wGAryZUH2d894nWNw2E/mJOBx60lKEV0cPzPpOY 2p4otLRM/5aWyQ2XwJOQTbSf8xX06UghfnB5nAAHvJv1RORbfCCtkWLAA5hj3qt/MJczyE9EuQ3q 2MZi13oM84pX92U+wbYvu46mXv0y2qJRqi6/ULM/riwkuDMxHOlDE+2frTFeWWpY7xeVvrrE61az YjAAteWkARCZ1pPe3tTp3KsuMvsxZwAv3heHIfeLdPWGp3Im541uE+fLKGu02cFZU9YgEOmLykvi TrmmfuVaOx2MWKqBfTejvrQ8rFF+1vtvrJFwvXKEfGoM2ykuS1vx/aQw7UHgf6IBgWZGahcrenkO 5eLj9VdS4Em8a0Bo2ydDqegwnJ0zUeNNk0PEKM1G3LQe9so5t/szX3zlwaaNv25bHL8dRX2JOzoq HWXkej81oXRZhfxGBkVSubLz54z0CT7eXJqk+jwIAQNXs/diQ4DS6tYCpulSZtuFNjyHzkS4IQ7v 4+Q6BL+MLA2wSBt36EswKAZyWx+8R4kGpnbZQZThPIPzDkGIiAa+2kVUAhf5hkMGhJQ+EwSCFLku tbrUQ9ZENHKmx6HcUWfZ0yps1DZ5Gav8nkT1wmeZrI6dkYpPF/eHD/oilB3QlKlraGb5az6Cs8vo QF0Q5OiBICTdbtYoCdlXGDETQRjn0TtvvXeDeQ6bQmPI09lS/S89D4DUr0tHKCkpSEAeVksf3Msx 5Ak3djp5ZCMoUTkS3ZxgwPTlXc/fEYCCKicx9IdQWvotuZPc/25XHb4Ob/SnJ2TX+RJjxrfern2+ xXH9x23JmwtnaBGduyFIkJAi9xy8olpviexPRqqN8PxkBx//YcjEyFYRkdezP56dbGvJXoj9Wh9z dkJjVI3asNS7AeWsuWpRIZEbWmU8tWJisQgWInM9QhpFp/N+qSwCJbBItckwVx1nmCpG9/MJZ7ot T8qSF1bFmmeeOZ4aCt3rMdfdoNhpXl7JIuBsbMD3okW/ChjM8BGFp6/idRSZPInTwIyy6uyz3+8w SJrVk+ryQ0h7kpwYwEAUW1soQrFRkxUKlHyZm2hjqQOSeOETgb/97zQB7secwxeK8eI7uYIHn4lA fKQoS8xSWngswW3JvqODMHIt55trtMbyLlV8f38AADg9d2zB1DJCnhAgghbmu8qxAopTLp7tExla /Z/FFAqLB90+6WHY3aWziVzwnuePlkXQYD/VgnyWoSWg/386RoLNZvELWhUJy9W1/6Qd2DbZYqgM U2pOSrXKKtK06mFGhm9l319tevhob5KtqS4jD6NM970iu4VeMNWPiWSG/spP8ikhexALFyEVhuPB m9/Uoo5YXyx+W9kuPwPX9jY9ay8+rcz9Z2fn0CMgb0YB+VcRelcYqWCH/HjCeBZFMR01gyY41Ilu u/npZ0VZAW2KLTzY0tux+eb3X65eksjJzfm8O8+nfvwPqPPPI2uLCS21fJyvaMOV/AO410qyUmA2 p6somm38jOSiImq/65TxcpbeLljrZmSCWFO3YF2Ibb0RtainTMhqSLN+O34aSLEa/PKnK+rWKwxN ZbsD3PonnhgEv9MIg+l1achnelOBMhV38Q000/vWCG/JRFE/Y4c3+6i0/3TyB4CatXzxKcZN/C4A D3rBPrumoWfb8NGSwnDlKGKKVFKDSNpNvTI9UFMixu22eiwF6Xot/8GOZptyMk8r3EcIklmPuxUF +ZmZLVeEMtqHE9PV7GTJ4aTOFTmwyZpjx+4fyhIkmoA4oJoeNxHIntD0NN37KfbFXpRUoP0KFGRD 1ETt20RUinwzCdYMjTEGqT6QZRa67MIgsQXpqss8ITmE0H3cjNjU6NdMLl4LW5OiYTBPJvL6NZRZ 0V8XJVXFX4MRVdGvY1Hd6yQyrtd9kOh8PCwbU1lcBceRNRqCKCX7AsltOnnVwsYZw1FDDSGCxPFX kz1U2Lx6p3JEXLz2y8md7DM6CshukRBhy4POxDMkf58YGgi8AeG0Z2rNHlPyif+NQ69mtxwmmcsQ Nnf8TXq98unv0OkNB0KxX/FSlyKZGKbQpAW+QN2GMgUJMCrM1UIB2X9Ese9xT/ifAsLTdt+qEgkt MH91Ha9gsc4r39A1eqDnVm031vCIxvDRycVNIgGTK1PGhHo9LtD+WYweDmox/wy3XvQjlEFEl24+ e3Kqh8Dv9iGmAx0RxMTBpoQb22P8toKzjza1mh7M62QaZvVozP64XJaHOJltKaALEm1W9NstV/7+ A3p33yjM1RPhRfo6Ppxsp+V8tt57QMcoGfmNJmiOp++tAnsNuuxkqTTzcUTlGaceYieczEtsyk/k kKsoIgyDkyso7gyLGlmeefztt5oqij7GNulJg5HTmMbWOx1VVVmZ7VDiZDKa3dgSDes3mR0bcO0W 60PJNJGLysLj7vLx73e+oU+fDZ5ahMXDeuQgfadpwtNW8MvhRf2ERSB3T42c6RkXWGfgXGOitrrz ZZEdSP3uO62fCqO2nlUXpRu8xvurMf2pFySre0VLUOZkOsB0u3xIVzYwET8k3lAG49szu6xqJYZB sevm7OQQH17MDRFG4B6yD8tZjBUO77TN7jyowWNPvdHvwijR30Yz8S1ZGT3AAk2nsSSpVjf8O6i9 QH0tARO2ol8foacleIJ1okP++pkKrEMGnNAdwUSxYjrYAaUJNYd7oNGzz9f4FzKs3ScWxmaYyBT5 gUeg2HEu1TSml4zK/p/o7wnkaeZXsv2/CJ0LDezG9S8bPTH+0N9eXhoTviqxTNPo8TFlcUdVWiP9 jjSmvgOkW1fJFEUOSyPwBQ0WOR6K8FDwdwBRHb9ox9CqWTaa1C1mfLVg4XgffkmQSPIU/5Cwy32r t7/xMKyw8o+Y+oMmUqHjl1D/6ghE8WBNBsX0rYM+pFlzK7/kDasjsXhQD4doNDWFDGlUtlnRR3V0 1mlcbz5TfQlSQkGkD/1BUERoVXrwc59gqIyi1juX1poRm7XJldNMVvkAgFbuBj3E56EA+EFf/w13 7C7SUmnYPVsGABqnMug2uuVRCclyvZWVpGF+SnNbRCzkxTxOYe42GJ56kcpZtsSMeabVyPCU7NoR 6VjeNIrl45OQcAiaoNN+OTeUktPpBz70mpG/OKW3opC1UaO0FVP6czvQYEPTdp093InDONYGgizY ZuJMiBEcV6B1etMZm1KGqu1JodmScgtjncL6Z9jKM7StUcDb3bSqFSVf4wbF19CsVf833haj23us uIWOpk5+jDstXGftJ68KkWhABdcKOB58YCEH8eGVL1/LpROVXB0JxJ2VF0gLXv2nOiu7MsfJRcjR EjzrTHBVPhDb9u33B3KmZA6aA/VU79iLug7iNKRqS4VmqwCOgO9dAL2L6V84Y3D6BYfjQPCv07N8 emdxa7zoJOUZETdO3od1fB1nyNw8fYayo/bCEH7g/2qpk913NYquqzj0CRZNaF6MBt6oLErv65A2 /A/oPMbsQhrJGU5Dwc75GydYsxGg5uTHRSywbLbttqpYQ1faX4g7qxPSMuwEXZdN34h25aR5dseC FG6ZKcBz0rd7MxPAaZj6kLME8k/NyoHMEcDJzL082QSm/rR9uantfU+s6k3yjVfLQ+Hmz34IiXCg Wi/HF5LjxDh2Z3PyLdgFquIYFyGmcwJ0WndQzmyKVGcydnMKbQw2hmJa11z/0UiRWrLIaDdllezb sd1G3FWJQTaSiL/UqyjFrLeAKHOAWuBi7zJORN1XylQKCiklW3isnwb7X8YsaDetfYoCFRrFFAXG ezguubeyTl7OGde3UE1Mavh2r6HEUbCxHDzJd6dgF1GqaqkB4Q0MdvFPQayhAlV/6QBhXRqgPmtk KWafI+Z5bpe/Egu7Gs9sO800NJLNwI4abw6RXefim3BbQKx4zZQscNAMkqWgXtvkTtTsnirTmGBe gHezR5bxgNOeQ++NVZCAas2HD5SNNvOGVk0uRt97wqpuqDRyMLO+bVzzLlLhwTs1tW3AEpmabLO2 rKw66v5ZevcYIEu4myc5/BWFfwuFbTxalmALu5Y2D9sSZhxmen/IDBjXLTRUq0YoYer5oQyy8Jm8 NypmKZgXPjqMEMzhygcERvyfpbMd0/tY8mWQV63Bbtd/mVzZ5ZY5giGmsSUFxrqL2w7MGS0LvKHM iXgBbbv0KimcuBQ0IW3jj7zjAM6NSeTA288Tan1t74k5IqKEhsMULa+3WvemU+lcByM1HHqOT+i6 wvoJ+1Xo5qs9sURuxa0fhbmTh8UNnemNTjaRpR2bX/LtFfrAIl5/tAoMBpFbvE1d6JAfnXJwhRrJ G0ufDXQ9TFf8Lr9sWVbJp/2g+gjnFHPbjk7lgcdloNeuYhH625MJO9AuAEBrrenG4vCvMeR+J5ag mtAS0qvvVl3hgjYLN2E+Jo6eG7qRdZxqyL/PsuREMq0I4zzoWPqny+OSRGvvY6dixm8rvraWTIqV zni/OLRJl0di1fdtsBdsx5m6TrHjgj2fJTPiYMKtseQTj/Be7Q0V4gNo5DytRpDe+/GWT4DAd5r/ Tm2Yv5WGqzlzMeFmzKM+2Ry7GBMMuX4/+YND0EJ6ZZQZ8NO1OjsKpu+AVwToVmUDFIeQnXes9C9b vfb0yDPPGGXrKAw6CSZzS5mDLz8jBAegaFx/foFz/TrM3FPTV8TtS9nj8mlCrpJ3Zibt3RmslAoZ bs/4yxP1AhoOTBm335TkHNI+aikzCMXvXb1PWhrAiEqhvndtbk7dVQEtjxj4mf5Ax6b7VKTZEM1g hAg4sRJhdaIcX6ZvkN1m0J8iP/d+Mfiq4Ha/ZMn5enrn1R/66RkoRqqvSXWGt1ec975W5dyPDtPU fdSpWFBLIiGn65huVfCdyC4pCykQe2LzhyMY6cX2mpgyDadlsU537AVBs0JkCO6QT2o4rnMx5sHm f/EW4DCE3dZPzXhkYmytPGDiwxhYokqW7190hmgiKAXmaNlh07nRAz29x0ZhAbDM+7ZUSByi4fzc mwZacWhLXU0gVcto/74ryUPfB0M1tT43nyWsHGerT3iwlEWChB9CLxPfqMBgn8O8ngBApxZthzzE lcNo05fLbpkGY7/K7ku4p2uPvcUNH/9g+N4bfqofCFfTlavNpvEuV6chTj7fqJHWdd/zwAfLJYva +AFmY8iwfrdt4R9GN2Z7wYN+uCHWLYqelDi/puLngUobhcl7crNKTXIbJp+a2cdvsxz1lifkfqoP K+CrTWUDs64byB54AvMoIaMJhFFx8MkNMJt0pZypCc71fFoJoGxdzr6m4AT+JdyyhiSZzVta9AuX JCZpQZ4NGVXnm/awWfXJJcLVFW1qXStNyMnmpX7Nj5oXRiaNAQMZTh9W4f4JrChwdfnBwKYxehKm RnrlxxYuh2kEqo/hgpr1YA4v+eKqtc7EhnXNsdR0aCgUOSsNla6ULo4PGLupQ7EMtPxUheSgm/Zd jn0c4zpdCJDpBhpJ3GJeAgNztgSGz77Mqw3pzLVOQtvA8jI0bw7cLy5ctVd4fV5Iz3NNv2yn0gmk s6l4B7jrTttDASZgc9IDPjGjUEpfCQFA45wwW1dykcCK4HNJrWOUHsns9Yux9mldSvM6hHj5rIO5 r4yl4YViOl8vYqEV9XcFf/Db0wCDkbybbUwzlYdAdP/P6s7NnCNpQgI6Wpk26k+9Kw9ngKj04zDW 6BdWNx/GrZ/g135DW4F3FcW/NfUb7iJtRVRYJfNljFaEdAPisFXUJPzl4SVfsy5Mlh3ZtTD7TVij Pi3xiPM8I/fceRlrdfyoCsKZQ0CknQwkuQj/NBSHSg1QLxPfUIPy33mq2dsVEStNd7GmD1FDSr5M /4ngVS+X3kawWQixV7TmOQmXVvBhyqNL75CK1b6Xg3D9nO/39byAywLE/0BxbUAW596O1MLK5Fja UBi/CjQupVBwAhF4Rpeul2EVIZp91smo7R6BC0zwUqPQcWWgXHXr2HkrGXvkcsBk/PKTyLPRcgPd MwSZsN5hUBoUCN7Iw8Etfcug3Kv1ylWyWBnGm51iLV8rfcLIiL+sXl8EM8HiFISnpIkh9+GpuTZd fQEUy/WnXlMuV32ItuEfVfO+/Ks74bUaTKwixE9kicIRglXni56nlDlYM1Fp7N8odfEe1FXse/va tqomVx3nV3kkV1KkPAuxIesUI2YzdnKHyLQHiZNYrGR37Vzrbk3fWpLANQiPI+Z9BU0PcbOR8Jj2 eILiOHgLX82nnaX3A2/00uJC1fqGQLh0Nhlw78XhGsFLsaEMHMrLl6Wjb5iL39PCT9lNY1q+0ZNJ SrAiSXta5Ism/KwKMuF5FdighWA/DZrtJkhCaTQyb9UPgvSWr+WZnde2ENzvd12zq5qDTgx3zu8I zv0NN4UAv1ChY3Yt/BD5ZPJwqwN8S81DuxHj4NspqCRcoe9SfUhii0eikBmHxbHCU8Ae3OQ+oB2i BrhyWjw2MvSbstfiJ7XtkmSMymBVSqvFcT/NRu5wLGibhVMf/EzhREG3WJ0twNbcLMNm5SuXHg+O 2pglvx/2TVlkpnJPFPlqQxH4lGCDIhCy0j7lKQGl6czYOxYUjCAn6iqaonaCMgaPm+SSE0TKbsZZ zEzuj9W17/82NRQZYin7EtMokysFxhED+eLXUtfuVE6MSPkQYp+I4DozK5KrA28/0uHtKiQRPrY7 z3jW3r7Bf4N8YnwR+GOKENlKdvsdSGdTMe2sUWZzvrpgAqdwNzBcEClsSHkyepFNwh0srTe6m+wq oN36mqXP+oahI1RbeFoZmdO47JtplPcgKpDFkjH35/CqnUgiOAOMV8IQQqXHSxH98TYhmpSO+bzO wS79Yq3ixA4iU3DMaeqTqLXAJo2NjWFQq7jsKnMyXkfqK/RkxW70OS6uWTGfbu7udcx2aNOv4CjK JVlQuM9NIgYE0uDK5MHMXHQMCuKPRakWHUJGzgLdRg9tFJDPIM6zEqZfuu7yGCWIdFZc3Rl4hmdD /Is0r46ewIVRlDsQrGT6yxeK7qTe5dtzXLf0/fp1VeD+RQgpTLRUKtfm/9bsFMpNw5GpUt0BqTnY TnkDhlnMBJXy8GcrKY8tcqwwNB4YQ3ZlHAwgmRREvs+8ZjWvN3CdO/0GUAbvPaPtaFVVtLIpdRso MkBNf9crlP9yt2iloIehpdvAsfaHl2nsiKVMAHDEvuinw7Pq2SfT5lctMTn2CiRgW+xcjsNFe4Hi AKQPeVz8wBCLfV8G6VpTMWvZ4hQSe+SMn1ZOH+QwLomMqN+ScBzTSplx8VHAScYiKQU9B8v/foxH 9UvIsgAriicaf1t1cfE6Q1wePL+fG3j/I7ZGzeiLoLjtgGhxBeHRqiP7J0PHTeaBmYF/nub5ZtdU B05j1M3/qyyzyTK3lO8NVv+roX/gz+vaGyoQpdFxCR2H7r/b0oIMH8FsIP6WotZsTlQYSUuEsAkZ RNREQHf4tzsuOM+SJGU7K1khIEKKcENqcXnqJPD+cfwu/wXTV/SeY8bA4LhBZV+mWzZkJTyoDaAB VAgtqIvuvT9SBdazaHfASC9WbXgs3OObyqUF8tYMoDN4EowZLpLSp7QN4P8ay4ZddeICQ51A/OLH +sGFnt1i9XQgY4jeW12csOi1VZx1RMLTkR0hgGUwwKPDfCVVkixhw9UVPk9SvVdMw2rAYeeewTcM j5U0LdLUWyUCq7YV6c3OSORb2wmPv2lKDoJeKQPfaH9cdZMvKof3w2CwngJBbYcVH9DP9BQpSxWU 9Lr0l2uuvNSH8YE8ULlIelYB3bP7h59HH2e5zZNGTzWh49TvahwqIcp8eA1F+G84GkUWR9YuJk8/ GSwigbaduuAqVrLWP91JquJ5b24LajjHKJPIa7Q5u0yqU4J/NF/Tqu5sFsrH3Yn6zRiNcFrLcBzU J7lWduuK9ZveED4SxkGb67NBm0kPko0wOKvkd8QtZyNBCd01ZNvyijdq0mvDPx5+pvTv3DUbDSN0 xYDS2JlPD77+vyoHdlNBgEVawgn+kOYeW0KxHX9UbFkECFoFK77vCcgIQ7t6Kp5RRQtyJyB1iDQi 2wqIrbAxSgJqzCqYZe0K1uqiBDC5pE7PShbvfpGl0zXjQqzspyCYmRei1zp/SihMDg6D+5BT5y2U kvYcp2kxA5s99HAQ/3ROm/XSb+v6WCXTKXXi2ZfTR7L0YvAL2cqgEeCRjYkED85DFBExHUYmJ1iW cA8efMWs/NOVxlycD8jizU8V6PmPYiSgE0+nXeJJ3SVPpGhrkwu1KuF1opzrUy2u7E4rtXk8CZZ9 bbfJGagRyY5Y+0fYzOBShttTlzlQZvA70skSaQRF0M0F2rZ6u+N2Q4YWUlkWo+OOlgn/7ugRRhZn D/XSqy3dPXQcSwYJeRDiEDgT7q4yD3KtRbwvhAQMsri3sLrLKVciXhehYJ9n56ix5XGVJROxYYQY n24BOyEgFyXYzLklaQ0YZumzbVW0aTLh00xxABxonQ+YQW9fRJ5k7brRtaVlT+3Dgg4tQxWsvk/J cZ6eCCUnPJMMZ7D80+SNqARNLzhA7sbtGfjweFxbPnnx+snCBEoyF+GFM0OQWZYOn6wMjbb/RB2f m8ji3FeVcSpdRCRFhupHbhhKcIkTroKCuljg8K3+S1MOkLePWqHUDrDAdmmvJVjkZurT6iJ9o4FP siSjRw7X2DFrcZqqeZ5wfD8UyXSRFBpbFxC9vIWdIjiaT7R1dnW6phhDOfqGFvcYAfQKGu3ja/bz FRnvlrOOq469oOotWul0yyAvRCcYmzDF4tnwZVF5k+afdIXSyxUy0fMNsqsvN2BSEtuLSk1n45/6 gjS6B/R8pSQdr0d5GsJWQQI4aiaF6+q9QF2Gwb+SYOP9A3spdy5z1k6R1THNSouITMaLOz7EEJAE mHwoEC9YNSAjWq6Nxy32KDWLGhsBHA0mgBwgmhIKOggN+CMq9rNqfiQtKx9doQuX9lUJ3vHAXjtL bi9mZcbqDWnBeTHWOOl+7MenI6cK/CMC+qTS4+PeHhlD8kHCtMpKjavBDoCOT+8/0Z17U0OZ8dq6 BnrD8qtbapNkOO7OyajaOqcmon/pcd7AvSh6WSzQqpHrOM59fW1JVQ642ne2uPrJqnZwrdyDwYeE 7MnUxXKh0VysrF8Z+hy0wlRXzLRxDl9LxjhBTDzM0IPsQlhLpCr1qqNxpa+QBUcWp4ICVNP85jvc aEUNsqFD7mLXr2nmv96Ylw9YGQLX3CBCtSkotsPN4eUAj4kes7Z4xQ5K0xOpHI/AlmCL/IRdwi0+ K1fqOebjy0QPT7eaE/23AQSu1lnWYIxeQw6KC7vMc90PcuX+3QsJrHAG40O7oGrrpjmQLiqkVn2W x42wSpdHUkrstUV+/zq97pinnncLXHbbyTPem1E3Re/71/tct3ayRg32vbRvhh8lrFyXSGm1iD2Y KN9Vyy+zHSesLahn33Bxd0vZYoa/nvhrvkL5UrAvckWAs9+H9rbqwqX66bmEqbdoxJHVkiAWNfqr 3JyRwnmyEY0ROu4fwThefDmZ7kh4fMRFr8OLWs325dhVDARkSx5pdl9PIPRS3ee9p1p6pBqHwvuc Z3I/ELrD2tFAr2OlcO+9pB/2pQn0vVj1Sw3ehBm12EqySYJph8z2wLTZXDTbYmAvWIvUzjy4yqXB 6pRekJ0IF48+i1q/yKaznA8mtcAp0i9B+qP00YJD0ktRztVA11s3xJERTs1RbgxDko3outODnt5+ Si9kGjwfxmprOmdR1tmBegUpr3tJKSLULKKL4d4qqe244cjY62adTbqwPMl2MWuJTW9SyDHCWVZ4 w92rzPdWn90V/IhSavX6MuR5wnbYOGPuduSj2bU1s8XJ3brJz9uQtX8GFbVPv2rTYtlCR5vB0D8y hqBRj78zyzk1Jb+mHc0qTtlRy2Sz69NWre19z4wwe0WutAm5y3sbG6JixHCnG8H1/EtEXkMe0YE8 men2EqnJZg//sRLtbK2wyXudDGRn1RC6Vj582USXcI0jQOxMrhXRPDUvhlXtJNsXT11Y/++J5USa H91SpMYQlmS22gssakcFDdQHksPrXjdVADf6xsr/3z9ObTrzt5/Efx5cgEKyIwKBb3YlDxYHStRg Wqf/xlZsDmidFNG36mvktW3jDgtltonMX1IQ3GtkkBbHVFeP5UxABWOqxIz6P62ht7MDzTfzXoW7 CEQoGfGtn67SL6kL9zHZvGS+0qsy4AckY1EZl00VpOX1bI9E8JY3X07D+hsN9ml1kBnsz8f2iZBL wiwjJeDyNR1yX4TgGrO3PRWZlj9JVdsWVWzmK4JpPr71z13EWlSWJRmYNOIu/qyb+Xq4ym9PXw+S xfVN/OKgt1mfFPAnr1Zc8TwD/S0lEdyD3N/DagCLyoFz87k8WYI377JB6iGAZ9ATgGRL1+cU2YQm eJBfeZyyBeW23NRPDGXOntz1decpwNG+o3mtIn8l/Ip2+qFqZZpFyduhOitiD2oW17IJVuXvP5Ry T02RbTU1fWFIw668O8rwQ9CPUBfStud+PHJzn4nMpPwRqX2zEKGawiwwg8AumXJse3P9fGlc6bat N3IVwpWgBcz8BQQQFftsVzIxEoGNUqad60Buv/yAo37e76z41eqeFGrdFZcrJ6K10G9W4uFwmFZT G4My/91+CBljNJFBTBFrB0VNHDZOkB37hJLmEZeXn3OaC2OZ9OqLQ0+ghVSCxvFP5beNTIq/wuBQ eMD1m8L6oM6Po3vyrdUHexPM3m6xU6K/7Ra1Sx2tucR3YkvsQO3NysNj33yuJXdRn3CIkNrt6M+2 GjeXeoJQmXMQdX4/R9mo8Cw9JYWe1lnddE10XwYhP92fmqjC10QzCPECe7zGUbQk0LhqMzAWoo6k bUYlnBJXqe42jO7pdSAmiOMVH1t6cxXyJ6E3vQBq5hjevbU1Bk1ipA+bcpSf/c2bkJX8S0OxHHXv XoEZ8rViiDWKaVa0oGT2zT6Qs1ROBtg0Fdz5o5Yoml9kLelGDN4ZcY+cQOemnAJhm9JOI3DAKsNf PHePaiYhbLSwTRQGc1pcGow7cgKZyk6NsHdBMYYqaN6plokmRGDlNBl8LDSf4ZscplZroTiO1JKa NDyb5Eta5L+e0yeBCQoOEhKVW8Bo+bWatxkAT/IpR5ckqMTqsfNUbD8nP2DpRZntbMKILnSHRsoB 1xsjZ1swW6TGkqX/jdL/BVuE4uCmORRdJCfiqq53cVSGX5BBCbORKLKhoRZGA6kJ6Cp2R05OQTfw p2gPZ2GHbVmptPoASjg60+yaWhtroeia54L/eaftrc/yFe4ZtFOrM3af0m+RaAxKFhh0i3+CEkfO apv/CyEX4hI4NO0UoCx4Ko725pqrGb4PMOYJoBiqvzODN1sX4vdr9uSt5a30rjihRKa8k62qpJGZ 1jGAwTVwBqvPRHvHXPv6hd9dS4PLD/w15f4480Phr3KzbSsf6zpmdWAYVkyd6p0JaWrpYSOAArOs KNkGGqLBekMxUPMdL+VsSQpbwVn0TsYItAm4nKGX2jjti7BGrYjrV0o0CZZYAzYK1UaxndzFUx/i 4a1+6gvkOZ5zeQV6d274p6r7HFkIFUo/ZG/UC+7yndLZupL2m1MGe3DN+wiNcK19wBm0Ta6Sl0x0 rAOQM87GCNnWKMgg2TmkScFU3ucM6vdJSbdpAW24JY8tcB4pUD1HiznmUJklPzbqeKaqNMw+YqjB DrPVicf51S3kn10NDQTPIXm3SVZtX/PfljN9/6J4PJTrzV/apScfCSMvM/dpjXSbuR0+0peAGLT+ 93GPw+7NZQAvXK2eOk+x494AoLc/lWYn4av4irq/LZa1JUyjjfelU4hIeG3bDxi2MW8eWf9EJ2mQ DShGGBGKh0UC0t1bBLL7QrX0QNWVXDpokHCGABymyGBlRe85Ff2UBlGYIze4kgSwYvCPVR2gWSOl C9bSXMc7wFKVEbN/6IBX4LumeLYoTVDw1i7z22QkeiUiJonSCBW0fMvn2OQW7zgbzW33eLci18Fs liT1oyJq5bog1xHMlck9dnfWe3DecMkZhfk7OXRz7xsVzyG5iPN+bqqz6W52E8T0l7Iphh2aKx9u /+Gi3zGVbm6GvcdETTM52tW1xgULrj7KUEaMai/1XRgMGj5S1Qj2mvjzQz3jYo8F05meq5HKqinT pJZOhOcInkesxAUbNuvJggQSz6bpHWknfWiIDN7dPNeMFvy1icJaSdJMzre59M6W2ZMbM8zHsmu8 jB2Q66Ncp9ReZsPy/IJhxuq2Dp+RpiFTCagcBPlIx1M+ocZK/3C6ZUO+lQFid9wLOooV8NnokY7j bAWzmXHWYaFO27ohMVWTbLrqBmd3+VkfXsI9wiuX5l2fDqT59Aj21jsVp2+2L7h72RiSSWwdHgVR 91Nk1y3q19WQaKzOSirRIvLwKIgUKWg5UjH/SIHh301rVH6BHdF48pKnjBQw23EU057AGsg5b2d/ WplXK5gXmcaekOBs0UJCuxcFdp2bQl2/nb+6HBq3xAqpPCCNZNE5vN1zZq51ctBNbBZw6Ce3fLtY dJHpE7G3nawgNY6R8N8Tlx4ZUlkUhGEw8Gfd2PRGXMh23hRfetOXKSlmoLUg2wYxgdZZNduzlsqB gqu5oL5JnUM+KNPAU3tK2Hmv7yHCnhEZAEbYD7pyGjFldhkM0nHk1L5O4yrEoJZAZQrobfgoZU46 BTQYh7Oyd37rEKYo1W2SY9459aZbQEco6Oa5sgkc+R/vziVvus7s9Z0ZvZNlUPFBAvei9CUvobOe 73VpW7qVYpe9qyMOHWfrRJWfvpNXB/tQ5sesMQehhYDeaDVkhte5KS7Qd7HbogcNT89rV/n+pYw9 IvYFVmJOKB55HmuZJ8s+axi1NV9coA2UtKMcIGly+wRuf2pWxWCVD6OFp3+GQoF3pjLfZ4Bmv/8a RTi4xpinTbdVo71jloWbYdKNzYO+TYZF9yiMqutWNQ5QoJHhn70UEJfasneiwZdgYmKIgPCt+Iw1 OXEqYQnsGGo9ElkAG6FgP9pKdWPlu7ehOdV6xe73IuMEofLiFzzVsHO++03Msj7yujiGnrF4aqVo UGQgHMyMSXaxdSDQwdTtkBhgs4t98E+TVmcwnc7rIP9giIUhJd+4RCP1/GdL3H2JyjLrMGjx5sat 0YDfKjEpk73CC/bZJP/kC0pqHKdig+p+dkpvgcthHZpctu7vvD7nJeRAPQStRmKn3nIk46vqbjvx fnuQLVAoKA/kthS/9lHtwRIlDyI6GyX+GkM6NaGHMJ0YoLY+PZju6Dg2+VYRvWV9FnCIazefrhW4 dcI5+K65nWJnrCWKkR4SyEr432UAY8bMQxtpylrwvhLZMZJApUIkHOKidyODquJ0GejSnTper0K7 65ds8pNai+rZrQ1axynP4tFduXHkek7m/VBRMSAJLTlO70YYNt4Rt+rZtjYcAvw/zZZodb7ypk12 hy4xFheR0fbPuTuplw6JimyuRZUsDphTOE+vu6vGaarr5xkzm6oEwFbPCq64RYw6NSwsd0PlvaSy lYNJr33UaiJep8GpsZlQwJXZmGIkVm08PKqAhnMH8R257+X5gYGa380pKDGPJaOjvJZfUn7U/o0x 07vUxK6ZKlne1szVr5n/H3+rruDUVDHAo6i5dtS9lhl4gaoil/jRoCtjCCHjem47ynvJrucCxqnF Mk4VJ4xcpRUwpKZAV9PiGsKpj5CwL0Fm+7hBuPFgjXWeLH+R0UnNcZK0sLH8oODsnlDFnCE/Z5nd r6EnHYnQ0hI9cq64X9lz16Q2eeP5w40kpWFEzcjT0s1xaGtlFKpPX04V8Q61apUJcDTX5yueIAOe /VkM2YGOk4z6RIRE0EUqc0JNuLJNZT52G6xd9zTGJPF7EAzydX2l10SnQWvnSShZ/22+UfW1hSgf FkGexB2+ZeyTuP3EhA1rrm3NdIMZp/pZVUAog7EBTay2uQDjnjdvtkncz1ARehpS2o/AiUiLLdnI ewwrlbKk34cDNlOqfDjRYGBFa1dlLmxz3pL+WGeBH5cLBoMVfxp45tJZw3TUnzIHK+IwQe13daMv nplFaGLRAZZIYWV5U/bls8ooLn21SuIQKs+9533zR6lYAQr/vQ54LrgzcnU61FJ+RGBTBzuRy2hy /8EjFaa2IMHXdM84ezFiv7sU7FzOFPo98fm+q6eQ0p9dDW1ziByiKWgoXrW825KQ/7kdFxDSl+0E 6d/gb1f4ENZq5vzsmMtNoH07oKNgiHnOF5g/53v3NU7ZoykEcGHc1Gg8qjtwwq+OK+o3GHUd2L4E SDjpKBVqnuNZ4LkTAWGT4hQUiYedFwIQ1osO4641/bJEygPORYMX37mziKhn/woErls8C8mdX0cB Tw8B4TRkri/92lXmC2DgrMxJW5O+M8/wXh5HnpgFTdzYxCApLzJmrGxKOcSvqTh1EPcTCoWIKTc3 DZSgxSTPyK71IOGBBTlLtV4vSzpEGrwJsCQ9ULtw+ew7x6/MD9Rhj1FrUNUc1agHMMnBGgiNEzif hsDlS0Mng751oOGa7cAFk+Mdts/Ap3I5EMiCBhSvPzQjWGzPwavsYCNybG7utgr3WSzCUCyjPuYi ruW+doC01BeyXk69njYQjbwVSNxTuwnqAjq0/wIJdwpdhL34g+mNB0b/RVqORJmBomi2jqxY7Dhd 1Og0UAMj7jHfvyAogNKP+tES31s+ThUk8ZRv0x80RXfxtTCtxtLUNXRL3iHcnnB/laNxmpbrvD4T USEYH6jasck8q33lZ1GukjMwIiLeQP8T0xBBbRHJjZqoOLWcSrGF0p1u5iL9K2gScKGlpur3KiOO v5d65+sX3gJMrtijFW0W3x2zKqoLNRhb9GYNdX+rLWRcxDWDWfswIdnVmhtoLxvVQpLTY50n4ib3 8QygVv6BtFHm+iW3BoFJEgZV1Fbc68LQw5izhljyKS0KvFFs2p0iVsS1aUW22KIiqWk2UQLQaYG2 1eVtJRr8ipZcxNPqcu3353BBNOZGLNn+heiqCKUU1BKPKBCUzmu4kwdKtQxqfjRg7jOfxjW1DMdI pmLUtEOCEu/D+d8cJVKZRduHggAjyqJx2IPMGnD+nQSl4yIOBWN30ZSeEe9vVqxyyo6K8RgJyse3 oIzkkavSQ+dOtFdmHBoU2gHC/2EbuI/iYtcp3op5AxmDdIqz/XAMkB5ctPpFoHX1CnLC133Imvrv J8YrhRhuWglB2QqqPz0I9kTfe8lViAn4a/NB4XnjDso1QNeRW067ZYZu51BPDO09Hq28SIJDBaXY H5tY5ISzxs0y8IF03UuQEKL8Zao9rV1vRAqmV/W06ghFy7VjwKgQUqZioMmB1l4xnLEGuSTtKY1d CgF7b3RCJUXBCXcLr1qFq9C90aWW++XITymImOZuJ5pBv+8IPRYMwmE9bgU9WgpkDwKcFnIY6ejo 6qaJY94TJ1KAEsYgUxIieaK005VIIXRkiEdFRD7uPkt2AtA1n9CQpv2rN4NQhJchnwytPoew5pp3 FpWOxLQM0QK8r2wfdSvVJVi4ckZpAG2952NUBipV0PHnvx5JrN1pwfjqvwOPtoDqF7uKX+VAFki9 AGcUW3PrGLFEj+xUfd1iGnQsZqYoMsCQmcq36onOQuris2ZEIRZa/yeQEqzSoqlVY18On/ODEuo1 O6leHgYsHoPvJIIhZRIPtQXzqhLaOZFcqsaieTPHeq5hFxKV2QRps4eud+Sn84IwhjYbJbtvjxi1 Pi5k1MQQhX/6o80UaM9joun1JliuRXlpbq+lHr7KsKn9TCYS51BuO1XtpNEaYOvNJzzj1Rj7qEoH TN4c6TZRctBIXYLP1d8uHeKRqmqSvDSDhW9V+zaQH4SoEJ7pFE7BQo12zzm1AQHTyVebGjyjuSeY 9L+UroWaJ7R1U7xVzGbH24tInTJf8/RqY+FLALXrhHJFqCTGV7Lp2HvaobAGRpekV+9qIM5Cu5ta /ymtNAqXJi44pLp9H9buvkPhq1lZaR3ftv9UpnyQ64NxSFWsVAdIU3EgURtWuIfaqaSTG+OOCeGZ f3n76A1afntXXYiWGEJuHp1ZO59KULEvpBQN1fvI1xzxvnU/E2vig9+byEchcyl6ANMAVRtpRNrb +oAMOsNfluD9pFsRTfhU5h9+J2T1Pav8UteXQr/Z08T2SxL2lMrQ3AhD6/UT8AqB4bRGaxADceJT X4CPGroR3mbUD3WNmwxfjk4MvEktSV7COb0UZj4IVTtzxmmxCm5RN4FY5B57okpL/nzmD5nJCWIW UVmLqApAjmlDypdrdjFkiyKCQJItQ94ajSmSXzpBn9Y0MfGwZ7b0kN/Dc6Z6wJ4isyw043+9ge8p UzSB938zG1qOi37QGkxuaqlsd83Sr4KdWJjk0bsIWrICEgSreFLbEuN+tA9MLJ6owIP5MixdW826 FJAJ2eoAB04DebPqgVavvPuGs3WutFQgqshPQO5LjmhdvnjRrtGLDnb7j4zLhrvnnNURsmcXPcYa rJ2iOWgeYTrqdh5/ltXjjxqDFPgOz5bhUJVzOcYiiwwFU2e7LmdhdKN9+y2MI525IbPLNjlcfEzT PO8EdRiPjbdJtZucj4xRMbGFr2fbXi8ARtJVOl9kfi84eMEHwIDLhRgGFAYH2B+eWHtwgbsj9e3j ZxEDEvqOc98WS6GQ7L9oIeTMAVNjmfclEesbrwvRk0DIh8+00qrR9azJxnlFzV/8FPeNgFFU33sy dvLXhTxnOZe/CCbZlaVD1FdCR2h3GdDFKY7mTjg8KtprISdMNgcUJyqaYwloHHgs13LtizFN0Yy3 q0QLEixZP1Hqt0L1jLsn1t695hiBDR4glHEhFsWOehyYSu/+T5fStGi6RxfkzYtjsAZfW1ds4blY mWVl2fFR/1qXBHcQaex+OcS7ZGl90V28AiJYvg6UqVOBgP5ZsjUOgctdKuqCwsDi8V6GkDWXm3s2 qRq+PAPrcDZVxcLKY37yEwvQYGbvloG4rKyPj5GzCx+tzr48tNajSFTV07Qiw8yqw0mWHEUIqTF+ w6klatRRQMagthf5/qWgMPMhubupLPobZzmO2lzaoXpz0mW2CNszH1ORQE7gwRJUSsf3m0MMkrek S8Om+pEZv5CEJ6cPmBykiP5MDWKNiRvbhKMAuGH8FpkU4BCxP5GUFMmU/nqWkS9t9vQz+nh46M9S Cr0JMeExsZyrLQeBDD5j1ut+KN+CFKdSuMhLf+FNpzBIs6c81tg4ta8y/9xBugyw5CPtSOKiBWGf PAFOOBWBVf86ddunC8uAtbkTsZsqkAFUz5Gcr0bWOZWrCnAxIH7vLPz2A7+bvstqOGDK6HoVsK8f KoxVl3s4A2k8FSbjCQy30Gcvn8qe21UDH1v0C2y4uhVgUoiyzAAtQ5jnX8qvOl1O7tAqKSLlYEnO ApZJWW9EE7bCAXY6keRuk2cd1J4bfyGl6PxBzKkk3b2XMz/c6JWm3sGHApUi3cN7SgU9dgDD8qyw MpELD6b36JnRZEjrMGoBrBWm3aYCD9WD7oDWdOKdBQXfRuBpkQWXlwWukXuLhJjpJtrH8QpPpc1Z DHL5ee9k3M0HlSu54fZVZqHSiZ6hcvuQs82VHGzhA8VG5rMs8xRYT8BWksY95BH8zLYppnHjLulf FpxY3h7S5iqmks7RuLa8sJTYuE382RYGkKon9yxDm9wUeiNjH1mUv8q5OjSAX6Vu/8QCKat41yhM DG2rTFpHtve+3PVwos73brj4ZJWza8322GGu3WU0d7KIgIgyGMfFX39Vjj9MV0J6yN6sPbIC8yOh 9ZiiEwqj5x4gQRGb9aW5ABpps1RGCqlOnQ2d9zSmqzt23nSbIWYMXDd+7kX31Qpls/TE6bKfwT87 YmEAvruwGFDUCYiKZx5G2gGgpOziMvccdS+vC3AD2qWy326Qm1td4VRlLVTD8az4P3vYle/63kwF k2igZcrleqFzaDILB5rou2QjOUmecS4UpQRTFWZkVGaxB3+GXlwkkCu+jwrmTlQ74CpU19jcpdkk SVPhIpx1/1qok7za3MAfhFvlgODOhv3Xmp2VK5Bnqhi20/F9uwmLaxXxRzpVTlYME5pGWQoAfUd/ 2EpCL044jVX7Q1nlONSrWs9UARk5p3ypNKbG+C0sOLXN2U0UdHuwkok12nOzZqJQIUsNXrrfY1Vc km0nATCJQYGPbktSpRBohb+4/Q311WPaWmb8cfgIF1opvYjmrHJDchBC+eU8M5jsylqn8k1nhxL7 qctDAchRy0bcgO06ihOk/3Vpan0JU99j7MdyYJcIKEU8K10MeeWq++ZnIJ8gbtaOu+cKqivnweEa G48abOkqR0a+15hwSONG9HIfHUk7MmvGCp6Pre0FaKhG3BOPcyYjEam0DtknAtPoPHaqnAKQbPZa jIwuWfWvS9AEe0LPZxm71c7wyKHBQNLp0GHI2fk9N81gScM7xz1RhHf64KNNJgja8X2OcFwBFyDO p38dHr75pboakOndnYulSXOX7vDTblJuuQe7XzUd0E15N/PFQcpE9HITegSZZo0VV9uNr2udSWk9 h624Mh+NolDjgQPDLHzj39Teqa2yMnxmsy2GKP0GX3VphmrkHrdPZPFTDz9E/4kzvzpDBVlv/Ee4 2s28xGNhllWd1+rqs5efTtlp9dhl1hnjzxhkxe27oM7Keomg+fx60ZaUm8f/31KBDit+nEgP1tMx pEk5VvMd0v9QtyGaZ62nQsarlMS9wjjG5TNPnNKUCsYznWC2eQ7lxPOaPXxmkxdYaSYR4MHrdg5V lsB3qgfzKRtP6s77VI/vuGAzuk+QSLjhMwO4+9/HQFACxCKC5PE12wKV8vUr4nuQ3wc52h6pbSJc 2yIQJYC7q7i54kQQYObT+7LHURQIXgWgPvLCygPf6HRTSuw2WIlVlOw/KGnDZnctkJka/OOyjgrz 3fA0aEEtTgZNcU+LDMCcXuHkNkPPaOfHbwsF0gdRJeMApvt4OJpDlq6FqFPto9b7J/YKJL37RK28 pKef0BF5W4krGFusULkQ6YY8DeX6OZ/672sARfsNkOEDLZ+ajOk+T8RU9JMXX7UxS7x16+mOjO/u w88wXoaOxpdNCxFOVL2Gb/qEbR+jMED2HzQam9gkAV32iZ5e8HviW6IDRCmYxodSFL8sZD3/bKOS reMAGwCJ1hTfrCahRRa7UdaRQZFPqIHBwgfXJQUuWW8UpqruHcttm5QqEOAc5cIvzDG1eMu0lyx9 IDTqNV51gSx55rQHq0MGcC7jYcgfgKNskFIWE2wEGfsRuLzzAvlanrbUn4iaFdEsxZddde3ycQ3C b/zCTFe3PFt4/Dxg4yL+TMo2x6bhtWT+KcTUTkYqnDevyKkQPEikZfv8d/jhaDsn3EXe7Jpt+4qy GhJcsF+cWQuZrybnONLIALOxaWQfG6ehQFfNpH1RvQnhi6yVhjlpFiTkjTCrswPTjAD+nAJP/wdl myoxk8sDiliajpoM7TOOzLdaETj5yBJR2JDNt99K5y/sdzb9Bxp+cuWnJaC9Tbg+Yq7/+NgG1jxP AWRcZP2gVIO7Dv4Un/orStT5dOWAypSgp8NDUFugBRhsOOZUtw2FcAabE7PWgAfHV1Hv5j1cJnZT pqt5IXut2KNfvlMLvkF9feHoSNw6AU9ZnsAp7RML2dQ9B/DcSOwQ+zl4VkLNJ+fD5tnT4Ak31cex Vhd4TgYIUB/8+0Wxb6WxM1/QgAAa+Md68/34XviPheREvHHTXPHQlOghM4at1NCAk5sEVQe9/Hx0 e8g08nwKk3+nZg8lui/wApdMWV29YNhKITkSxjC6itBik2vSrhOL6ztmOLC0nDW2UChLIJ/Zuq7n g7a9OPBWXF/bAfNUHm5FscBz60AIctcB1c3E3xU8Fg30Lo/qqoQW5MZ1bCfF1CZDWzIv2hOihhyN A1SNbPEGuicGMUSa3nSuDxbVt4TEqkRNwonc9mz+Phdp3hN7hjpuuRi5/CSSbosj6F52iU7fgWaF tQhG83oSft8Z87Tqt3Nc0gG2yt/0AE6+W7YyP1esti3X+OFo4w+thpxIJsc6gbarA+1mD6yKz/gZ 0u3b3t2pU+008yE3bw09jmZmIX1jz8Kq9C/o6xz4zKHQ3bsd+0bj/5T7pStzz9rG2LhbjQmy6rpD 93Z3pasqGvBvtjdFDTc9/x1Q/o95heiLeNtaYcrfF8M6YcWdrivYUQjV/fWL/5w/vAWlMlcUgqgG NJ7hmiZonXg2GJv4NLwEJUX5bBASgeOK0AxWLVMysYPq144wfSYvDlGcw1FfiN3e7GDw66p3F99l fFSC/IyTtS5ox7D3z02diZJNPsNyuBPEQnj8gmEDJt5EpopVbhco/nHm5Tn4GWi/h+yakXAjnq7v hZjfBDXy/y0kV57J5usdrLZE5zWLRZZv+1MLzsRcOZ+OkpSKYT9iu0UgNwCPqS5YZL9oiI2rbTZ/ RUiQV/LVddTE0EcsTOCkEkJRH8KXQh6aUB5R/Ldi1nI+ix4mVaMgJV+rXk3Is9sy2KHXBUjMHWFG rMdOVKEkovGiFkD8DGF7paiM5C+nW5JKkumu/tmt+Ro8kvo1wqX6AoN6Gm7Y6/2B6koPe7sPBWgJ iC+Dbx7nnf1cT4OZluK1P6ZcJWpMeItWt7v1LiEMabcIMNpZ4K+OoocmrFAEzW5DVxCptmz6k/94 nKsRpLJC2p2wiuSe99yaTAfNT3Cmoc8WgQ4d4njYetlwWfr7o6+1RJK0A4JnibhZbxUuvZU94etZ U+Ho7iHpPlIEstoNYVCcpDFKa0VD3tJ4uSSQiCpzCst8XpANCI6dfq3zFyLR2rkQEtbKlqwJnVoQ /LUwpGesLyiTK5vJTzGp0/rroY1Fh6bvYFIvK+8llzxAojR6iDh3AL9dXnuYtw1OD08x9e6DryK8 jM/M4FdO+3rqPqDGadEema+gs18EPDW4FFxpQ5Ttfsw2zuwkNu/eBTw2OkXaIh3TjrxZNxhNPxYH aeuRazq1dUanUmltS6Jzful5c8+BUVVhFJFzK/ZRgLZiI/Hj/40R/yPGgm2Xk4RfLc/Lttmf+vW0 QyX8iwD1XLjZhOiqOGW6hPMNPbTZV7E82YOXFUgs5+q82WV0EwPz5m71Hour1ert/z9Wl0IblUiT W2xQ9iInRnaefp/dZ60/SpcbNtIGPNbghCZvVtiP1uyyLTn4oxVcTQbJwxghz98xYZT72z/fy/ny /kY/WBfVuT4gUUz/CKJWsdDNL712PZUrlLRaT87QF6ScEMBi3AHGg9ehZXcMHMYVBxSKEOloPkJz 0XLkRzIs7EhbvMRBXQYMLRqx/hruX8U7eU38yf9hm29uP9/em1Pug5mN6PzOPRpIGrTNhn65criK 46pm3dq/2OPxC+hjU0e/DoQbtnWr9Yt1uCUH+Lu1GSqrjbfdSumZg5OLLkB6ClsNFDuUFToeDzvb ZjqBXn1jACeflzEh+X20vbkhiISyz9/X9nahfM4VQMTX8FvgtAw1ZNRSBcprUQ1wdDd705U/s01P K4SIaWfpLZ4jJlT5dbkY/E2SDiHeEKgT8Z4yvCPF6vRaQ05zHaXTTgDAIXSk6zjRRz9KSNIejjjP gZonrQc5pA572J6Fj5pyn4LXOSG8rcXGq8+SmMdqakHFGNm03FZweUfSTzsTW/nIDDTfPCkpgWVD 1IskA5PbER/VCr/SljGYGDVg7aaFSMijMcGw8NM5LhJC+W4ehE7WII5rj/i47k+tr6xI709SicRv w8ZoXC8DD13EI/OxSINKYoRNYn9yNUPPhkPssTMhpWLCaQvPsIRgUWE7+7Srnq+2N5WvUKYsXbP4 T4Hp4hbZT+8FDqDySkaw/giiBatXvqGFgYdMWLOs+M/dRphdNiZ8Ty9/2M7T0MjRP32aAnA9sEk+ QwtizmMJQ3ebrm2GI37sA2u2cFwRSKGrx1ayH3iT6RqE9eHfq6yfzn2OiV2HGiAY1lsKSa9YgYwF piGLcn5/mMIaQL4GmRV7+Ur+3bFHWoMq0T6FH7Hdz463uiraPt6eOev59gCKoa2XZ8leK7+B3Ju8 Td3jQm+gNot1LtSHF5re27EbHMNNyUvUhD3AdNA0nKzJU5MKNgSH3PeCn4curny0i6RU2Wehh6Pu Dv+s3IZ8CAmIfFixMGfDEMtVxSStghcXy5MAZdCNRWgdfI7C1gh+9R19RRcb/Z140moMW6G7YdaO JCjJfG9XPDaKX3BmouMN4cHl42wSWvtus4dQ7bA7uGreYW8P8pkPvLU6kZHEDhhKY9gvy0Wa4hvb 7Up2vqwOTut1sOEmPWkb2bDbx0LFw02SgwJZ3XyuogwDIYJ7o3PJP5Nfx2xFyfWviYwhJFe68Pit IXUIzE7p9/HI6BAZOQnL2ZwOEZ9Fw2spTGUOKB9l+77hJ8ygwfdS+h4hlfgx+Sk1zd/hFOcrZUtt aznuJIeWLO3eF8YIyNqhQsyGDZhGOZJILbH+m9oOv+7uaWCCOnGO8FvNOyIKMh5b0148RpE3u6vu uN5M5/7AJuDTLKOwfCRtm8rWmxk6gmhiRWbu/PVuz+HkDo02iW+rnTfSd06SEZwgZaaXsjxiRwV0 iPlovTDL7GTB/zc6HrgG4siV84xDBupqihcZhnml1281nqT6At/iARsLi7uXPiRN8sqZKwGhVXsj KrDwsMLPkZ2snIG8PeYBEd27bBi1cvDzpaMJ4itZk1n08QuPqVbQ1lyNHecpYAtNuKJPK3ILxtWo Plrimt7wNFLukqn1Fnj03m55N8zOiHdQj5UNVh+pHn66/mKdmbHErQxrGmYJUxzEqQEnt/5C2PRm MsKShzVy4uBwmtSAiaMgQM+3zy94gpxmidyaA7NwSnffH85g38VJiibdkrFsYkuOyNzRs+vfLefC 6HvahlqwQQ4FA2uR8wCpg4Fm4JFffVkT56JnPfGZODOHQ1tcEB+mBWiog+6kW785ZXsr8hIuPLdS fRBdgftLn21Ee2Oremrp1WWOSkGNgfrKQ5SETOB08M5H0EpQIMyb0ZrTw0kyxa1IAuaF4R/soN+x t4lMUULCuZIL13k0V07QZVFY+OSd8UYCRxW6jhxsw+nr2866RkNs18r06SqkGlfxH9SKHzfWPzU2 OMXqZf8/8MYDG5XPV4TiUPLkoXmps2N+cTT45qzhWjjzg7c+ESGanEI/bYxsuZiEqMbyigPPNeb+ UTF6GKxuJ6bvnfBGHmcUiM0/TtDX1N3BXKyNTE6jBLScg1wkhypLrsQ9RdhJLc8/olJ1eGIE+V7C wMXpzYY7XI/6YzzkjNqdviXmoKDTwOpP/L0lsgzTI/1vgJiOoZWeHlT0+2bEr4ceV85/X5ayxnKU 0rh0XIMpfD99rC08MLMRYWzBHdNtfiEH/sGiPYPFT63cFJZn0hh85Wga16dFQxY8BJyHTRa6NwA0 YgzSiYy3jvO/AIG/FjL8DRl5LiAV890VtDsLuXjQeU9GV/XkeXi6PgO4pZvcYGNfOW7yF3kMENm0 Ds4A1iIExNFwMKfO6xPT9wMlG6MJh+u92Ii/dDa+gAYEkj83xKp3wYQhxmGIBZ+TxP5eGgIwyoGx LkDynh4jyKYyAjAHnUdMA0wBgxESTQJnl2lJV0g1WteeO8UhmVr10UY9qHznX6eszN/pvfTmA3Xk K/zP9iPlOA9tbUleiXhWSnKnphyP1gVTykgppOWp32UixMQL69oEufEncJb4sSDBnkqqTZw6IV02 el9TqwfylcOKCUHjXa5CWRMj81SIYUQPPxJirNKALoX/dAiH8e9nwa1tPneKhMqzn+36SUGk74tj 8vWIdYx1Xy+iC+Al0lwr80dN8wxznmCwRiE2qz+2dn6+w+UZL0OZdTaenc71mxBZKIXekiMjmvw4 qtw+5ejIvnRBND4BckDXntFrsNl7qqFTmNbjEiTFkdueVcDaXzADEOYgT3Ka9i/ZaPN66vwSa15a JHwKRWIZkpb4yelj7YQDD609TSnVG72U0kr//AFQk+up32fmNSi0djV2UHg3ZYiUUqloRqm4Ima5 hNkqKYC3HRrM/DqGCEMpNsFzjv3apm8HMmScF5KXMO2IzYfxDbRvttYhrrCD5U+7cUY2Kj/noCJa JEWU6I78AxOD2hoXJu24xYRP549g6liMPgVjxpeEEMEvrzVcNidwd+FsA6zSBaYiszA/zyDuVawF HUbW36kUIUaIy7x5IPwQxKGIeTeVtVqLURqR+FXgsJl+m0cd7WZX+X4Kay2ncXvwqBpZyWE0Opyh kUm3SN4kQDeNo/lXZMRUFP/JboZrELJqgWAVTbCKCpkt540PVmXBIUGMkWIPijL7Y07FJK2rweh7 r/X2Av3ZVbOX7TahEyKB50KUa1Yeq5VtkK7mPgJkFhcnQDid8gcmvprFOzAIiXhB0RMamMIm4VAh dCRNpD2vqO7jeEqOlljvsePQvMq6a2XKeUSzTdEstijnHO7vKHjfw8dfShP+TSFsVPsVQ/MXF72g 0IF9eK3yEGfeKjSzNGC+VEGe6y2nXRhDYu7igyUG4CMpOU2uId3j+cjB9fHNWPeUSyGU7iYxJbdO miMnWwkCM1/MKhYOjXzNljkX8XLRpR2h5SPCVK5TkYZCkIk6dqyNPzROJhLjgKoNqMfRPKGqJlSs PGlQvKpGn7jMpyk7V6Rke5uGWWDdOzk9TTV5IsVqWAy6iFeS9KjfDTeyxU6eKCcLqHmL2SPPo4ci quDM7QNMi33oQbek2m3vdppzbZhrbgZmqc6wgXfT/GNA9nmfwhrAhrQyovrTRPur51fvys1GYKpH QqQlkQ2aJdoaorsFzMUh1wBbEYMd9/uLhdi8m2bdDVkY/7cnr8Ft+c1vgRur5BJXuzI4QUZ7YQ4d o3ukxUqi9zdJpsrw4TSnL1Ipb/7OgtCA3iwXzWv6zQV1JMRSR5P8fPOJ9sNAqc8Xc2/AupzltKcN w7QtSQ4n2kM5NtSUslnB9YHJ55zPKOxG99QXKhOexusFps8C9YHxiuSjHf3OEPqaI0Evjy/VChIx 6B4o2ORrUjVR26mDgXBKrTHEKezpQQSRySgecrV7/ZMeS7WOcRr2yRoMzKDDAuAfUhMoflDgb+e5 4OwYhZfws+G+PpNm5eKtZblFHpNKCgodzn0PGafFub2nQPR8/cQJPqXKzr/vB/Jor8O0Hqw6lOo5 ACxgfeNtHeDH3Kvl2npGrDFRncQMM6+ERqpzbs9+YsgQMs0QyZFtTdmHwB/6w5ZyvKmRFY087X53 qnGQt3PhH8f0Wc0cIJrLQFs7idt4P1T4RfgesXw1KzAjTDttzr2hBBkKWB1ZyCQKrmrcVEWYwATp SY7RYHI66Qy+KUddFlOmo0BomWQi0pU8Fpm9JDtpFYEMiWSaTQ4LAEUjoKS981UQbtp7vjRs99vx /Uik8dJ+BaKC1diPVfEiGGKB47w82+ksbRY0dj4gLMkolbmrbf3Vt2xkW2Zn74/WMYDw2VCxV5go sHx9X7YIZNUHZINoU60bJzYqpF2qKYBnz+oztM4VJoLXzMYj7Czon5zwC0IpPsdlsMM12WXH++A9 mvO5hhs3OQGaZjPl1sxUCkt+sbxXBzRgFVp5BTIA5kvwcmWWlXBdBXiodtP7e88IIecgaGf2DSRS vwbj7jf3ZQPKEv8k2ihq3UNk0l5OS5ErCmoGqSzZHHVMttGTHJJlvJTjJQym0YoOomUvwGtG2Nj6 tuKnk7ojoPWkKJIsfbIF0FiBgJ8TkXcs7/5Bwlbr95JP6rzoClkD67Ms1apO2SrXCwxmSpgHTrsE rax81/4QvU30gl6T2lJPv5VyxUWFEOV5Fp7Au8uiycbOQETaBXxCTQCqUxhbPHRP+PhgIj5AzVQv wZyy3KULhgh9tZAGIJsr86Tjxf7MczsWxqsYtxro8Qin+y5UdZ7/9uQRLxw6JBScZz35ihbjDLr6 pvRyBZNnK7XHf2tkHTGfQGfL01OFCToGv5pBOCufobdPIkzddo3XF2Pp9/jn20JLIuEl6uIbyxyd HmUNKbfbhCMPFU27L5u+8ZhieNonpvJOzPV8VKskTZvlTNjQumB8I84KT5UQYSFz5Rt+8PmAsME2 1BpN1lnSTPRw0jN47kj18ztn5Qup3fcIm+aONmWKwGRFTgcHUHrZQwCtUMYgY31+qTLQEvnCn//3 8D85AhGdxzFMFf6xxUCOPuxq/D0rolRFF0k0QXidFIa+AmgxH+1Dv4bZnIU94MEdMeNlBB93u7jl gdFc4lQZKAg0jOCpR9hATeSmVGYefIDrxRE0KUjwJYcCmWMZ4k4o/RhrPjLRv61TxnXJsRwyRrX7 5wXp4oEZkAXakAnJBb4z4uo1Wex0YZU3KaElg07UUuStrUXMcT/zUp6at1UrcQ9p9OLwIsCj5o0H HjLPBoGU+AWmtJvM1QOB7mtLcGQXE77TH8tjUCvNnizxxeMLv20QCHw6q9XhEC9z2jZYpwiPRCiR WRkZ73Uv9jf7/SC/UFQVyogPy3lilfOA5Gv1ztzpDSutOAk1ugAet36KC7pobFnKLJwaLjy4DGvQ CwM2HoTrESl/Q5ASDH2jTHe8MLkJk8s6Izf6O4GWU3kjp+K2cxEgucbWQWKyAu7Bowsjpa9EVGa/ vH+NT1LKswlri4pNG5xDlJApVbM4JsC07fOgMkOy9/6bPnzWVX8xOoaWgzj9OCxgXMJBs9UE1lVP 2f3vXOmZ8ETM2YSMHKpoA77rQ8OXjmdSnqapTJXOLUU2Ljnt9mkj7Nqy2Gbt6fEwMSwp9i5wqk2q ew2IaYxPpwVNqhpBKFGu6ta9gmDPhOrm43jk2qNd/i7eAxiPhiVveInKcJ6/E4gqlK3gDYdusP2n 5IsRuL681tiG+n/QTA1UySjb7JZxR5H0FuTQXGp4kyhMze+CvGvy3bZbS2FlmC2AnT9SXeUMazwX V1oN+iCEWaS18z34ViDimVawpXm8eIJGTbISFerD+jAbaOL/zOuMU3cElicXkOxU0x0cnT2rZxdk V0cffqascwhjybn8I6Mkf9UYmBZOf3SUOkH3aYJ2VJ49pxmHN1FauVW/kMqY5uS9C8yapZpGECG/ mu5Gyt4+U6s5Dzxm0Ze4Eq9KTBFMvr+aPkffiwoOpWSmnge2LcImrPMaRvrO0ypZCQi/yxMxtkhZ b+wtNi4IG9ET5rEW1pXwhqbm5h+m7F1iCii68L4Eqj+WHm/rMy69jrNmFDQqSA8Mqu9AHxvTU2VY +yNoQXVRsPF6bTfG1ICzJaUe0eotzFU8rdO0z3IPTFmjTiL1uDr3oI6HQ4lxDmRTjxSLAmwwdvcQ xcVPFr0Zu9r49z2BsYLLiVvPc9WQDXZPxQVDE/UQk/7E1QLKyaT1nzk9ODPDOGsKbiHKWGvdA9Nu a+Fne2pWrmfCvlzNlr6GgG6VnlzXW08D7HfpXlP4+CNjOD86tmltrId2s3ZIV2Y9bKs/RUoAyJ9V Mk1F6nppgbhpvj2kdUzVKF+4iANE2LgiwORoKP1NtsJp2o3jU6Yc3URpLzwhUn+Jm2s+NoUPq3KQ LVns4hwVUYFm2fhqv0T/fYTPY2IhqJTmxc+2E3Xr/90AUpleF5nPzgm9+5EsfS34h+KEN9zkqERc x3MoAc09M3SfSfFI7o5pyqaXluD1WrLIJK2yOtD4R6TbXw7lVNY+o1Qfuxgpq5Ja+LsfDWAerT1f MiYrue5ooayQML0O9gm03UH1hFX4yAhv/u9VZwgNu+Zt4CY1CCG8Ha6J1gwriRUQioGfO2GOHXOW NG0/4QZWqWxUOZDJTL7aIRnvRgpeUcLYkPw5lC77bhN6VQXPp71EgOXT8sJi/nKSZedAekFdzROI xsTLEXEH3Mphe4LUsO7aEp9OpT+kyfWZ6VGILW+PXEvfOJ/P4PRSz8lZhN3yxi9btnOpTECyCO2U oRlQpWWLzXFnDHBfJtazk7TDf8AjwN0IW70Vt8DoKgUyczfh9Zb0wJMaH5JmjvH50oLUTVzEMRsU VXcIPYC/l+dXuzW/qi4zSGtAsqNk6BL0N9x6j1QOSUG38YfYo6LdvZDd2I8k/m7unT9nA8db4EvZ BJYHWFp16KVtTLX8izv5hdxq5c0F1RZWPCXaR9n6mz2K1RL5JU/NHmpQaY7iu2CUcfKplsGiQBcX 1eYaaOkpUDaQiJgrDLN35l024EecHVXhugGvi+XQi1Hw+QwFL/qLE9S+Thjrd71P0v965JdKkhFg eDBpP5RZEvKhuMOa2V0Q9AKTDfD9zb2B9nfOBiG8guwCrTTKJajKTMctlngv/SJzhUw23bFgHBUW dhAlCG/ANg4j+RSW6DHoT4kPpTcJoH4i6Xey6vdNepYD/jhW2LNEWWBmtNQBGaD6V/P0YMSAVxTv u7ef4LzSk3jTUXoDKLqYcqADnDT9ewjicX2IYrLK1ZybuIGU7vl+xInyPRX2p2Z9ISGbCVyYv4/f RaseWq4iwj8BI+icSQsfIyeP3u+C+ER5FCPfNEI1GRhBMPYyFCTFnEdkIRFCRJEIfCnfqQeyHERg tKqUYfRox5sela/PHHCnM2yvRLg1zHpiNUZQDOlPX0UqPwP62osjAZoDFRnPfGwd1xHWITVrWHFz VhfHfj8HnkxfJ/EVL0XMFk8wT24mM4e5BHZuKQqqowJ+S9ZNqxK6O6UB2i2V/Bd18Qw35MuTyJnQ q5GVuKgBWr3den7gf08jhCFunkN3dBqACoQfi4hKGxTDEAfPO0NAHVYMt62RnrbyeHXpAr4HpJWI qaS2SRigmlaFHGt48ivkqqxKjFRKndqgdFUqpDR6uCXbc90E3twsSzoCzma3tukg6kWvzBh86All 7R48PC43jXTnT9bN70CWZduRnppgCdrOwgpQGzVY4pXo7mxpGbmLTTj9C5prysLa7YL3YOgOcCz9 QtaIn1ZKM4qIwabtjnCYYL3SrUY9jkggk8gNcoeW3YnF8mx/s3hhPgUzBgmkSIXD/ENH9H7EEHMm 7NuoxVN8s0gFvudzRG9TTk3ErpFy/Gc/ILkmSA2Y1+ilMPHy9kF/8g5zQdlMnJ59RtIIFFuNPIyH 2K4+ciLoaRQNNBvxdvq2Iww2tCnFZGXNWiF0mfGASgSa2rQMJNAyau66Te9OSBKCixgWaQ3eWSWI yjz1JK37nC90KPJXwpGmjD2GZ+Wei/Ki/TzEXsOGM/5GCLGezu9x8U8c6nuH7BPcU+Zs+F3dcdo2 Z7x7xMCHuPtNuR2Z7sFK/NJMa/9nb0KElDltCUppr6ZqXmS7WzzxeeNFKXMZ9A8MfQ0YvKwwVNfh Qrgc0H6SnuX1szinaT76lsvM2c0jRB7f9QovX+bkxC1XWoskHHpYYlEsAyYW/SiwaWJevIcZiRqe Bbf8IzQkyL5JrHaRELy6hgbcfm34VEl2FuizRonS5Mpjp+K0hDHAm4JurrZi1r8G224KfvSMXhBm JxjSzpI2RXnl0HR4x3gLq6/fPV62QRT5FS1R1kwHrYCy46+H7OGfAKrUGhQ9PdvVY+znfCZaUG9B TmomGrGYcwSCLNfiHSn/mTshjZoNTuGE3GdthhGIVSlaaoU4qCLAIzW+XHdM76HX8XnniYtHlLmD Eww5cJOWOTNtT7rtSOUi4CHYF8nMhVuK+Ez/qzOlxWR7k0RxA1xtdaPj2pSkdoqFQv5mu5UvIxIJ hKVA0PbPO1AF0VRbVUV3IwzQsz3Jm0aFYUgolzUv6z5sNs4zCMe+rivBuvau4ag8xybgLBryUCZ2 vgVzFtHWi7DpF6rQn194yEHHuFYFN9tfcylnp0WDVltUrIr/wEnQtIyNRkVuDw8pPDNLMQ/ohRE8 A9MeM/Ntlk9ojNLEu/8o+Bj1Afm3Q0YZLbENJwVRc4bR2JJ2pVCrHRWeoJvFJArsLXx5OX1tyXjs /L0/usR0ziL2UdI0j6Eig94n+VXWd9fHlr0bQnpOT4+IKHQo0jRNZLrxrbvYTbUFgL9UsHjvqqtY 7gc9X5hAbN+119WxKjgtj76PhvQz0yXmviWz8/hy3Xaj4531cNYmn+hj3kNZJGTndttU64xaZbKP B33+yXix+QwhdxdghjncPxb6a/WewwJnP00B6opwrLTr9S+mxxTk8lG3s6xceZKffbhtoXJuhfDb /8YRX1d/OMg+p9TtTvtUaTz8xkUS+TCR2B/Su4zEHGXtLNDjouwoxZ5zWzRVHCs1PsWnbdwoPFdc H2gpQ5s8/HQRlQuXH4ShyRsA2QtzD0leJSLlm7rtMDpbhgL/f9F0gNSyX9yP998gNW9v7vsIhnX4 4i5bDAKIpFVsbZpcy44x+hV9ubR5/jayzMPqqw/EPea0vKwAh5nxJ1qExVAJs1IM7UNC1E1HHeO+ wiFkyOenYf5fKAU9SA9UxP/LqwQXey6jRd3VieSFqN/hPBlfzRWcYVcbPVH4oWZc13Z8waUIMnGw Dkh/o1fQuCqIZtJDTZp1RxSL5rOVMOrSpt9GX7i231noc6jWi9ypef6YSNhUKOt1kyrFOhHQ0LwX VWAEJxc2blWHUAQM7ADS+Gzt6HgVvq8fcAoHZzYIv6e+pK3mwcEibCUfdoEe1O15MXLEkv8bYaQc qJn398wOKSjDtpE7/hAQRNk3TGB2HMmLEbhNMTUIrl/XwhBSAll+o+74qosZv45oZoO/p6lXVy11 FUNc2h+AMVJ4NPn3cqY7VOkypGHzH1bZ1MPiyptYmPPJL3cFCFVFPXiaPSL4Im678tEeMN/+U9os emyMLz9FOXOri9rUE41u1cukMon8ZwsOa0CiTBW+z6hid42xAlLLmiVLqwXY2CY9Svxi/xvKThP9 TWnmz/fRPrFaZrjpz4fwKK9mwWEAPw2mqAQs4KnfD0DDYpILgbDIK0GKUbc/fMki5bZPJZjqlBKO XP+zJexgXdR9QUWiEvo4b0wZhwys8SgZpDzl3QwoYg9qaAokqK6HAnZVcWl2W8PicYd/hqz47+2z 9xOemgrrzXtnw+VnaJwPlYo8ZkGZL/lJ6PVZylN2OVo+vRi5MhEVzI/B5Yrz2WJvQi0zAutO4Pog 6k8UhmxdhMqKcKFMc1k9+PArIYtGkR4M49EGpbwBQCHRpsQOvhkK+mjvFZ9KPy+2MuH4e1nquQBo BCHZWoTc2/oRw7wgGABHu09T83WLawUe8c92AXHRZDwJfODIFO9nYKroRVTiV+YIzUptKfziMbKj TX8JDpr+sqYYLnBz5TvH7h59NvpxysUknDi3QmO+PWnfjIdar/Fy5ynf/ggFfB2DGUYmWtT7rQIb VIxpK9VbzvL8wyfsOYp0Yk7NSDyKn2WRWT6s/It9bTGlTcWoeH72iIMNF/Kfm2tl8hv/UJdg0cLg oelEwjhzA3ZqdHFYin5soC52bOKPP5DemQaKBogkmxoHOyxKk9HxAnCJulgB5iKDbOEMB/qBO7Zk BLQQpQTxkjJOAEGdjQMlhgIeuyQGhKuB46iQJPcfnJ5yhUCMcdz6ODSlLaklUbljvRCyUQ6qh/By 9iIUK+kn6cYUg1aMqIZlGaEEq7tRbH92irIPEgqF/a+kcSkISZIum+j8nfl7Gt+3MlbXVFT3AtQj RA/L9SYY8DQJKmqOjo6nVfvbdAXqvcWpSBhWs1i8qszRpijekVkXEAj/O1g3RQGExVmFDK1GKXz0 qNm4vDeAkcWkaG3UMuALw4K9PnJdYbKSWmBmVjLWzg7EkgJCxeSURAw20BmRHBOybQydnWz7sEJr wtSiUXPdggOEhj5zHCyPq4vGXBStvz8JiOBFI5/quV+5df8qWQodxpHOWSW3RtsIcQusdVakBlWQ S2XQksDaGs1lExcNXCxDRZ46rvaN2DGH8/dsS20IDMTdZTiguu/Gvg9q6lkNNLeDMP1d/vSCXWkJ a6kBIiYyvyEK7VcOrfRbtGlYhEMxfYtg0ufrW9xlZsmTCp2BXvxzGDvUiYaXgjhDWQbswzArOkpq c9F27k8ax55FApN/8K5DqT/lzgfF/GjxPU28vU90upIBEa7D4KwAtfaCXXWoQ285A+3x9dnLfQNl e7s4v4pyPUqX31R/QbL+z99PcBnCg00rV45+iLOQtXpmJpOpEZzYDX1vLwz+uq3BaE7hFzbEI1Ef S6p0aitwT+xmFxLgGQJYzeha/ZwsXYG+ARkXc5yW11DbdH1V2w+HFPZ6/lC+2TkX3iny7voyrQy7 w+xTPExZwZg2nx8GeUNK1HxNCskIEmKge2ON/tOZV+YjqOJdV008TS9+WQGt/vDkQf+Mg54lEEMo /+/jmWF+r87fXnDs8P/XuY6CwgbR0dK749ENhJhgOsghb47L0bbR02Lr3maIPjLdgkJwKMSxSzd7 gE+HW96p+VVOy3FeeccZmWK+gcE16/9S68TIEPLDoN7GRSnyLhOK6nteQVzaXVAWQ9R932sDY5FU 29D5ydWHAZfJL6sGh2qaqKy6OBP1HH9SAWTsHDEAvjLoAlt9RPrtervngKMVvz3ik4uGZLuSgm6r M7AOlpWXZ+HqrU1kWnXbOL3OI+kfGk2CrAIyNlEJScc482pt9XVw4lEG3h3RksB4U76fA+jzD831 x5J/bvn1s9c9KDxeGqNkq31O0PsZoHd03YAXTUJ+JWLZ0amfrQg8TI8rTV60EUNz2trBwE7kGw46 +OonkjnX0Ai0vTIG2k2HLF3XUC1YhVhc1wvpwUcw93U24CwH3TZG7jsBvqh6C4GEKIP/RPvgzvx2 LtHIc9R7QQFTCxUmgZJqiIrLwcmh0ts1lDPUJ5ztQqwstU/zisMBhGS/Oy6obx7ldP1jYRSOt0Bj zeNHQ1RcQzkTj1yZPZ+k040k+1iaHg23qwtJQJDqSAR6n0S+5blANj2jLVwvCPAbqa65sLmDrnQn +UpPr5/Rd8Oh84kKbhIyqYLrbmb1ZId0WF086K+yO8vq+/ORh95GwP/OjuuqaT8+K+9l5G2wo5d1 bZM+gMOJJTFHCMKC/rOh1zoZgJisTsJ/ZYuN0olVdNPtA/B3qJv92guLskD3BfLV7XlYBGgOjko7 v6zSK5CWnoSYNgYRNxpjrtPRm++bXao9u7I06uNlrdPZBF25RpX9aljE8SG/CUYuiDdiRRgednlc +g8TETrPKRQAQ4K6BLW2b36X2M2w/4KOZN6kjuuWN4NgvHLz4fAnP40HDjStyjwkTVlk6keO0bJA H7/NrHtG6snClQS1mDMqY0G18pAJiSLTOyIVcDgnaHKOne6au3BMXOsdTw0BUkR4FeN3aB9qRHVH sRYhaNYgRjUSDu1+ipSxTIRB/ehNYqNS5nmjScX+D6MaE0UYCh7q8TMZugSd2ZtJReq2bCWr/W97 iHDM7o7qMnl+xPZK8242wICX1C09oEeMXFh99SKK0X9U8RhYyJeLhsOSyM42j2qZN+qaWIO3alDY 5MIQ9y13JdwEmz3FHvUFUNe/J6LaxDbT2gfQKxqXBbw5WxKCrgQ0oxvICuQ25TiTW6zgQnGeMhXx RziKoSEqW2TiV5RLAFzQgp4YoOL2T4H8TzskVoth2k0ZZ3GkCsy/9td7eoNTcsWUcc8ba4jIfT0E BSg= `protect end_protected
gpl-2.0
4fdb8c849ce64dafdb34712c7a211be9
0.955481
1.810004
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Combined/IM_tb.vhd
1
3,242
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 17:15:39 04/13/2016 -- Design Name: -- Module Name: /home/robert/UMD_RISC-16G5/ProjectLab2/ProgramCounter/ProgramCounter/IM_tb.vhd -- Project Name: ProgramCounter -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: Instruction_Memory_TL -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY IM_tb IS END IM_tb; ARCHITECTURE behavior OF IM_tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT Instruction_Memory_TL PORT( CLK : IN std_logic; RST : IN std_logic; JMP : IN std_logic; OFFSET : IN std_logic_vector(11 downto 0); RTN : IN std_logic; RA : OUT std_logic_vector(3 downto 0); RB : OUT std_logic_vector(3 downto 0); OP : OUT std_logic_vector(3 downto 0); IMM : OUT std_logic_vector(7 downto 0); INS_OFFSET : OUT std_logic_vector(11 downto 0) ); END COMPONENT; --Inputs signal CLK : std_logic := '0'; signal RST : std_logic := '0'; signal JMP : std_logic := '0'; signal OFFSET : std_logic_vector(11 downto 0) := (others => '0'); signal RTN : std_logic := '0'; --Outputs signal RA : std_logic_vector(3 downto 0); signal RB : std_logic_vector(3 downto 0); signal OP : std_logic_vector(3 downto 0); signal IMM : std_logic_vector(7 downto 0); signal INS_OFFSET : std_logic_vector(11 downto 0); -- Clock period definitions constant CLK_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: Instruction_Memory_TL PORT MAP ( CLK => CLK, RST => RST, JMP => JMP, OFFSET => OFFSET, RTN => RTN, RA => RA, RB => RB, OP => OP, IMM => IMM, INS_OFFSET => INS_OFFSET ); -- Clock process definitions CLK_process :process begin CLK <= '0'; wait for CLK_period/2; CLK <= '1'; wait for CLK_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. RST <= '1'; wait for 100 ns; RST <= '0'; wait for CLK_period*10; -- OFFSET <= "111111111100"; -- JMP <= '1'; -- -- wait for CLK_period; -- -- JMP <= '0'; -- -- wait for CLK_period*3; -- -- RTN <= '1'; -- -- wait for CLK_period; -- insert stimulus here wait; end process; END;
gpl-3.0
90bb67b74f8137ef695f5f2a1ade2b09
0.569093
3.618304
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Combined[old]/ipcore_dir/EX_MEM/simulation/EX_MEM_synth.vhd
1
7,874
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Synthesizable Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: EX_MEM_synth.vhd -- -- Description: -- Synthesizable Testbench -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.NUMERIC_STD.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY STD; USE STD.TEXTIO.ALL; --LIBRARY unisim; --USE unisim.vcomponents.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY EX_MEM_synth IS PORT( CLK_IN : IN STD_LOGIC; RESET_IN : IN STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0') --ERROR STATUS OUT OF FPGA ); END ENTITY; ARCHITECTURE EX_MEM_synth_ARCH OF EX_MEM_synth IS COMPONENT EX_MEM_exdes PORT ( --Inputs - Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(13 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(15 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); CLKA : IN STD_LOGIC ); END COMPONENT; SIGNAL CLKA: STD_LOGIC := '0'; SIGNAL RSTA: STD_LOGIC := '0'; SIGNAL WEA: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); SIGNAL WEA_R: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA: STD_LOGIC_VECTOR(13 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA_R: STD_LOGIC_VECTOR(13 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA: STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA_R: STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0'); SIGNAL DOUTA: STD_LOGIC_VECTOR(15 DOWNTO 0); SIGNAL CHECKER_EN : STD_LOGIC:='0'; SIGNAL CHECKER_EN_R : STD_LOGIC:='0'; SIGNAL STIMULUS_FLOW : STD_LOGIC_VECTOR(22 DOWNTO 0) := (OTHERS =>'0'); SIGNAL clk_in_i: STD_LOGIC; SIGNAL RESET_SYNC_R1 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R2 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R3 : STD_LOGIC:='1'; SIGNAL ITER_R0 : STD_LOGIC := '0'; SIGNAL ITER_R1 : STD_LOGIC := '0'; SIGNAL ITER_R2 : STD_LOGIC := '0'; SIGNAL ISSUE_FLAG : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL ISSUE_FLAG_STATUS : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); BEGIN -- clk_buf: bufg -- PORT map( -- i => CLK_IN, -- o => clk_in_i -- ); clk_in_i <= CLK_IN; CLKA <= clk_in_i; RSTA <= RESET_SYNC_R3 AFTER 50 ns; PROCESS(clk_in_i) BEGIN IF(RISING_EDGE(clk_in_i)) THEN RESET_SYNC_R1 <= RESET_IN; RESET_SYNC_R2 <= RESET_SYNC_R1; RESET_SYNC_R3 <= RESET_SYNC_R2; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ISSUE_FLAG_STATUS<= (OTHERS => '0'); ELSE ISSUE_FLAG_STATUS <= ISSUE_FLAG_STATUS OR ISSUE_FLAG; END IF; END IF; END PROCESS; STATUS(7 DOWNTO 0) <= ISSUE_FLAG_STATUS; BMG_DATA_CHECKER_INST: ENTITY work.CHECKER GENERIC MAP ( WRITE_WIDTH => 16, READ_WIDTH => 16 ) PORT MAP ( CLK => CLKA, RST => RSTA, EN => CHECKER_EN_R, DATA_IN => DOUTA, STATUS => ISSUE_FLAG(0) ); PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RSTA='1') THEN CHECKER_EN_R <= '0'; ELSE CHECKER_EN_R <= CHECKER_EN AFTER 50 ns; END IF; END IF; END PROCESS; BMG_STIM_GEN_INST:ENTITY work.BMG_STIM_GEN PORT MAP( CLK => clk_in_i, RST => RSTA, ADDRA => ADDRA, DINA => DINA, WEA => WEA, CHECK_DATA => CHECKER_EN ); PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STATUS(8) <= '0'; iter_r2 <= '0'; iter_r1 <= '0'; iter_r0 <= '0'; ELSE STATUS(8) <= iter_r2; iter_r2 <= iter_r1; iter_r1 <= iter_r0; iter_r0 <= STIMULUS_FLOW(8); END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STIMULUS_FLOW <= (OTHERS => '0'); ELSIF(WEA(0)='1') THEN STIMULUS_FLOW <= STIMULUS_FLOW+1; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN WEA_R <= (OTHERS=>'0') AFTER 50 ns; DINA_R <= (OTHERS=>'0') AFTER 50 ns; ELSE WEA_R <= WEA AFTER 50 ns; DINA_R <= DINA AFTER 50 ns; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ADDRA_R <= (OTHERS=> '0') AFTER 50 ns; ELSE ADDRA_R <= ADDRA AFTER 50 ns; END IF; END IF; END PROCESS; BMG_PORT: EX_MEM_exdes PORT MAP ( --Port A WEA => WEA_R, ADDRA => ADDRA_R, DINA => DINA_R, DOUTA => DOUTA, CLKA => CLKA ); END ARCHITECTURE;
gpl-3.0
06f1c60d2fd0bd13f3b83115178adc4b
0.564389
3.767464
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xfft_v9_0/hdl/butterfly_dsp48e_mul_j_bypass.vhd
3
40,540
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block CIUubfMZJXhT8q290L+Jk+gMZIbJmOW8n5wBEMlHdD9nfrj/3tMQrlPtsKBuo8PY7yBLkh0RO+N6 1O0ViyvngQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dWDkIUqoCr18uP2IOQ7eIIts71x4cuKPqRF4BY5zd2HyobL8xSB/eWjLCDw+OG35CsnQ+XYTB5hq zzW4bLWxBpHoe6185diy0+bdlawVbLpX+aXOzSAv4Rs9M0yNORMXArOtEf7yQ7fZG10WtEgstNOl uZq7U6Jwxufyd/AyAw0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block iFf98W3FtvT2LKy0FiJm+Fm9AQ0Q0P7WL41zwwu+yqkV17os412waEov71Kq8TWysd/AFkv522ba VaP+0CytBEZ8xgGzMZaZh2oV0iJrD9h2+5M0Ef2Cg11qJlcec9uSXyoawIuaH1cLMIapiankL9gV XE66n/AXNsB3ITv/b4JjJCOD6KMh/sHwFq7XDMcKUg/PpKl3DluhpoTORDSbYDLobkbNVHFeU066 aENG6Meu6qThFVBHa4/bn02nt0daxpQVwT3tUFwibJezB/i8Rh84gJLT5rVGFJJN1GmsxprGLQmk pGKUVlTuNnEBu83WGlwanP+9nE3Ia5oTOshZpg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block sZDq/NW5Lf43hZwk66H+W0vxDaUDR5KkMlewhBoLIlSkqqoP5ppBAyXbzB8Nnn3klz7HZiDvOFpN X47He64rQJvtSGylr76SZBnQrR6aK0ZEjF4BdIxYiPMVD3x21xgfuga1I8fA4usEc+fLd2apeZ8U 2GHEYzEATL2PPimvlsE= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block sE9rOnv2mwSHUOCIHQltVvAtLaYSHQlYOqVfTyRSckIHLgyJvAepgZtMd+M/gMEClW2swXJ3BOvH CC3hwFk+S3uQFSyAe93lachQmMpJN8J51aMg06AAdWMCe2IcBQds7voMy9/pGGDQLFCfN0+zOxSW ycKpfUjIOW772b9MNjLvMRsaUxQecAzn7s/APpxrislL+2+aYEDpv//AbQHdkmq1/WYBa51tpsSs 416EKdWL6CVKzSLtLwlYODDUH74qojWHXe2WIjGo99gQHml0j+VmvWDVj6w66QHkxbayTZgss9dn 2AVL5bgGwI6VP9Phcnn+14ptOFwRkZSAgdhfaA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 28272) `protect data_block zIiGbuqnnimBajPqIni5SH4F8Lzepm7pRBaiJZb3zk6l55baUQhJ0NkJ6lOPb4qxENvlpw4xn2Ol X7KYgmJwahC4nrrRpjJkgl4qpItI1IFJtprZ4trNe+WM9VAmvXVmKXZQwEQ5gFbgeKMhI0oSfY8P YBt8NHArCSST4RePK5vEpTEdn3zLhicb/Bs+Di0/eaEZSHrjLQ6jljGbUkcIE+l0fW+/aKb1h57v RbIO26aWF3xiy+SVkE9EUjvSi10GpQ3nO/ES0TtsL0Y38MAl2id4mPx4wGQf+A8NHfrsjvwLgw6S wb9dRyHfa3W4vZKKXdwswGDR9D06xgNqFoa59C6Xa6veOgE7FOAn41boXKoFOJZfsbU1APgxB3DV 0XxmLSBk8PoIF3C5+3DoLr801u8haWxtJrMaCIqDsWjpZow4zGvSfI6wJepu79EYk7Ze1eR0gRBj B1CfzWaFWYd91UxPHPV3F73Jaz5m7m+hbGCtiKbOtDDOsqVGpj4ERC9JxIi65UizvfZ8eD0n/zrq 8iw6TtWOCJTudkCBXAszpOCTUMnCzEgKOslbaeTOZGDVXlgK0jBusS56nsJi7/p75920y8yCoL5S MwTs1/X4m2uGcJyY23gmE28CxZOcvjgemiVT260GxsJKGTbAcP6Qt+9XPD1WAdkV6L8MJ1jwDWQk qhY0VUw21+7+SNl/nPIBfeNWtwE8nga01W1QWbUZsa9CzPSSaXHaVdZbBoCDdcoPE5CaoHco6njC VJJA8rkvgQSmb6zWEgpVO5nvGsGT6lmtKHEZXDB7rd3cmDwo8pVMcYpsM/BCi3gxFvfdQt13rOoE 6zwZ+hfa70RGt6PEVXfy7LWfGnR8iIZFwI1X73ApwLMY7TGYwtdD7SJoV8TZsYE9r5eJic91I8Lk vZ0LFfc8aqgf36a22Zq5zsPc6WhoDklBKgrqdS1WDwspLr+TqQ95UFnU5PXnt+qlw7kdtC2NFEFh nwE+bzkUyi/sFApPN+h7Ii9Bg8OubX+vEF0qSXgeMuovPDfLRjVWjxgt+rgPiX219d9fbZ+AJT9d m+CLm6XHmV2Tu/pNgGqPvTOBtkdZkW84mF8LsFL71FLn9nVmkw/bkkTJt5cFAJcFgFOtrB9aYzUW Fbdbbszp3ku1VhzztKyJ7iM3uBvclsBEqW3Vk37sIelLIz9jOA47gardYMCYMvSm7smx1iblhL0A zw6jY+uYYD3SLEsAL29pKJ2e+iST7l62lMTjOzwrMP0+9DPsA+48NA9PknQR5fl1Z6WTHGN0SsXH RXwyHUCOwE1dqqm0ogm/TGXd4qpJuirEoYt7PlM3YZiWyszMFnCzCNdzvkDBk2dxzRVjaU23WLeO hz15gnMS8x/TT34uGcxxvFLLbvAxri7BmsdnXpyujkG6bpC+q8ffq0mAYbsluRilBviM1AMdIfJ8 bZ0u6nA9B02n1vs5+wzYXYne+jdIRJrKfnitEZu8/Ht4YPn+rcZ36HhQxk2bGd3R5lGNPt1cL9U4 4GEsJAkp2iMLMD03BPKumaqcG3cwlqJJg5u4mV8A8ul0lh3gzeWqqpSZLyu6vDXoF+9GvSUv7z7I GtY4SlpiNHBDUvMcbi4hDXwojrpPrp82p6P5LvBJ0Ni9D1GHmTYm9YPSZcXjKlpH+MQ1P221QR/P 6cJsA4xNhgYGvHUVIyLFO7ND5DhXkPUhjdPYHXb0eMeIzgV/iBml3B2AvZZW3Jl5FQytWGHhMgsU AFAG1Vu1ck+j8+sDMykHEGxBRVgQPLVX8/5Bc5Fd/duswbP+1ym2cTMwWNXVgbnu9Y3Za2+noNWp fwR+vflEcFlmwQEF84m7e8rClmdICZeyovE1fjDbipd0RuIt+fai1eHqFtU0vsQ3TqwyIDD3y+38 oZyV0XBJ7ZkMwlaIizTNuXnAVExyoT+BdnlWMfqNxHZ7acw/P964/NgXdSdq/f6E5IV1Uw/htAEh eHtlFrtR6jqX2oDcayz6DCfAp7tFjGxWqZ65vypuVhmxBDhl7chbS3d108Mipt6DPvZC22ZR+Z44 GfIkHjS39k/pni3RVKMdSkkNzU9IpagKtBo8NP58vYrIPnQbAaw4OKtEEzDF7//wvGRG2wOCG8Wp cLbP8p2F+cZltKsVSEtawxtOeGk2a+s8xILB78DwrdN5jcWqmc5x8c1JGntcYegZ2dn3EzuIAdaD 9DfUp2sh752GiPTKQ6t/fyi3f+qyGaK5j15L5589XjV2hfUQzpEswifw7zpBmQBQilO9CuOOfftY NZFWlvIoy0xRCk+OQTXlhdbDb9PgcikBSGxhzeKFDot5jknmMUl7NANW70en8f1CdU7TazXGU7x5 9V1gBl0ffTku1pmLPyNaGAoN4/IbMEteCzmRssZSamhL5zILo6+Eifx7ReCGOwUXFfx8Q3ThceQ4 Akr1qU5MV9Ahja4AHetCVpFA1PZ4yWsVJnyNOoWGcRL2519oabm91zUvChhiRonCE+VtSGDuGgC5 Zrfk09JOC8SXSBxxQL6wOInjphj0yPRgIu28vihOO37lRPZJntpv72m6RHDN5gWyb4AgD6CwDCPB uaii2IrWlC1H6H2IqBZ+zUBD17TVR8cvtqkPrcUsifHpRi06KP6Ermn4/e/pF1Eimr7d0mY7hGx8 Q9lYzUBl4o3c3xY+BOR4JUQYQIdb2LDe+QbbRVPwOVZvQhzUFNV/tyKter9gh6P6LL2+7AsgqwuI nnr8PiW0V6pMMEDeqSkuChcB2WUWNFZXGVNhRMV//TA2lMFPE8kQ7rcrjmEbt9D4rLrgNMA8Lu3+ SmF/QszlFpAHpa5jMIwt1qd6UT33yHxZ+LXWGPJ0fWF1BzOSXha/TCD9hm2qy3TkuzbXOJDj0XTf qEyTPSVGLkKXYoIjIN1a++a3GIB8P5AUkoqGSOjecM/w24Sa0CZOK8fPigwDtH9AtH6+l+1bmmLB YOwyTZSNFnbwneQMODoqGm7zW7ZT63+sAVYV4o8XQv4SI+t5dfRMtl5/Hop9OJxtY5D34tgguaYl QsnpymtNXaqIVSX1Chq3kn98IgDsqIwXNXjvNNxHnwI2BuOnaLDSZ2Cr0WVpn9jz/LJe3QfPwFtt jv0ZEzOexNb6Q+5xYIm/NVkz6NfRTgbIIZeTvYfwCHyF7invdJk3/pY8kXTIXhuoYLmIQUOuvySr GIu7j98SZ5MCR8/xZZhbQAQxFvnxzij/2qsU/VpvEsGjRc7eScxd4mVlVcULnr0UKKunh5cGohTU CTmJ17dMgTsXVgq2Fda/is2rgG6mkwWOnChk29h63HeyrxSK0x+PdFsDKJTRnO6IdPdiREKGcGSF 4GuATMCq1lTOT2frZL7suwxyQ8w8BSZjis9QkjqTd00ktzEoEgp6krG4YsLkrOZyXubMJijc1H/+ fPpjEA4R+ZsZZ+ffabq0Ja+NxCujs6VLeSk1iHzPH48SClJWZtf2gqCUEKmnPghlw8Yg44ZTYUfE 65HnR4xaLAJ598aSgaIkHoxBvnZK15VsuAG5EQ+a2uLjBthVtpEWQLVGNi0gBUu0mEzvqz58U/I/ Lwnbi9IWB1zd76CNqq0XRR0cBI95J8/lhZA4/V+hldVi6MqhVkrwGwLEZ0Qnv2ipwqr28FgbUHrk Z063C8N/srNQ7lQgxLO5KnDL/n5KScGvoa1uHLWQ/6yFVawdsooHPANrzlbYFvF8bcqP62de0h2C evrxWUi10+bsZFr6QEpz8wyJyt8dfiRxd4gUjnDr6fqI8igB/dZ+vCuPYndrc1eYMJoCWgc2f1Ae wCDjha7NsqvHR+xfb+YTaXp9140VQd8t+aoG2HXoA0g/VmiXF39M8hesUwNBUlcGuiMxk1UHylqW AI41Ogh5+eCPoAsKv3+fpLoo3noUpzPZB6Ark2wA46C3nK1y4PTTRqrEnitCbk0RlRalbt8MvfS3 pk/ybldBbATxotibv9THdZcRdh3+5zgqY2iEPXOXJsxfQAvgeJdLuRbzU+kGcAjAUMiVy4nxMFdP Fp4BHpgEKC31lvNK+Z8WLPHu74StVQFI124u8jJkGLVGy8nIATdHFFcPet9gg1G/8womeIudfrLY WFr1eyeAlrJ6BTa+Nb1mqpASxa5hyasMhd51gcDGMQbecxN53dUDl9mJKiN2XuA/WCW6O1MKf2l1 KkvDHPGfzUnqkG3mcEfdqtLtE1rRgS0+etGlU5rIEEieEXQBfHvOX+WsP52A2sRbPZ4xNcALgSDf mJj9qMcstivy/8isJCZzpIWdykEV2dy9Wx6jXNZSAfPiX64K3NPwoU7lGzsPsWxPJj8cxxuZ43h4 y9nhDd3PBlzf4cpK06sSPMrS8lqz+u5U2tN9GVvgpsZe8e6pssMCLQcB4iQx3CE4oL3tElkYmEf+ rEW8KZjDXjx3+JH3z22U5SMrEFF+U/bSOJGO4vovnGCudsDW0fJKAxdFxbSZSjtDyv9aSvMQlzBn kKDSDx9UcumOPAYsu2zt51wELLKvLbtNclMKEv/XLUjtYw2PLjHpB4q7p6hxYKLXLlZ9/dc/Rsu7 dXElrqm7i9r4mqKtFrW4dYOB0jMtZwz2sXMXJ6lKGfn2/jTkEVkTqa7OR+i5vUhYW99aIKl8XC+n x2I2ciwvzWbWbVPiOEvPLMi8dHUqrFRroHus0C4CCAPGd2A87srpHK33fXMbyck/P041JgOhAX4e 4Lrb+Wtq3J8u5KNj9d25iVsya/9WmYJJ1+MvbL5i6W8/C4TFGE+4/Z1ii+CQO10Yt2IdzK3t80PS ABLqZI8zQFrk4nXp/0YuxhLzrCfLqxf9C/I37RVcOGJYkPRWM3DjWDPNwQLD8raoTX3pAKRdJYYj vE34DxfjA6F/VcdfwK8aBmhizqKLNbivzHv8UVQ+VpjXPfVIvMzMeiapeRV1V9152Be6z+KOG2h3 +Cfq4kfTzui6Q69VDouurutpnIz9NYzD7uIRezW77YdALQGfTlN2YuQ+yJpC+Sq+WPgh+GMLr0va 12aFehaUAb2/oEuMZWpRpcUIuy5KPg+NYZ0KwQ9F7sDfIW24Bk7mdxULpOdYFO0n0guOzt6Zy1Cs WHRcyoZdQMhfOjfvUI13wxxKDss2yzbjgv/LJzBqCcPR+afHdC/fYw46AiGsJprEn+7Dz6MfFTQM 8MBC3CDz2/Ktuy0msuLRVpqLwHhVCIPzvSK1fPwOB62KzJFvHvqes/3GyO8U9S2bmqCBZ6ZLsjbB DgyX9g/5rM4EwYQeT6iH4G0Ya4Y1n6BYdMLCMfu/LBxg9oMlttmNbHeL/k1Yvkytjl7OLZFdAGxR mq/FOh48mppuuM36RKEWVH/QdMoF3XAWFX1t472MsT45grQt9YQnspMYhA17TBh+sH5axchckI0/ cWTEJp+iNHam8YjoaaA3TIbkl4XU0Gwn1mKiW9uWcC504eEbQwv0mhxAl+3p8q8We24pk6xS5bni 9rrnM6zOi523ykKNntx/9xr4SooGl71dP7X1/vndoJ8n710RN8JeHtF8/bI9sVR6j2nSUYnFiDZ5 abquNKMsGzJS75+xX302dBdMi+gE3qevc/5L0l52ld+U7C4UJwi7Yk1Srt/wqPfGWfcAwdVUTzPG eq89w5xBd0ycsVE6A3GPTw3k1gpwnE18hDdQE/io8LYhjn4+sgUrehXjpeCUgNyo2Pk9Z9tYPffz cpTvDLG98+KjRzlpf002Ljduk7wIFgT1ZpNwF5XymBkPvk40yVSvPytIsXZanzQrtX2epbDw/mvp 6iPbkJNNa2+lGU8trnXde7m17hOD4fz11PCDEoKbsvG85gDXiWf6QZa8Ctd+h09Hbw1F+5RaOpGZ sJpOqHRaPpviv4DvRTxOZRDX56eCF4hRdjkrqnr179Kfiwiy4Ntx4xupeS/YGPSvWLlqO1xoRIlO cqmpXKmlh7ZxGgbZniiicthG741ZYy1RDJ27M0f7XAWjOC5FkyXu+6vW3Yjzh42jiduzN1yo4xa9 KPAV8/n02j72l5yflYrV6Ika4ARW53ghYgvGSO3UDdGbd7jlr09A0GarqhW16iGHPOEns8zDmtyV A9EokfkQcWIzYz2lf9Pz3lQPcto8hjVIqjAPivDG/gxedHbLMOhvWGKHDZb+vfIcDFRBpUoKda35 Mmkl5Nx9xOc7Eqx5WPq/HaQNPNjPda4pRRRjCLMDkz7rUGhzXTWymp1p1M5wRdkU74x7WVQwYx91 IzGB4/ED1xwaUK58Kj2U4M44m0sKnXngCAKPd947/6cHiDDgi/vZPx7ey7x4mF+5lQz2FBa7Yb1s H++7EIQEGiKsjaVfOF1ZTOMqmgNeStzOAdjhGhJeD++LFKBbPZE36ZAnWp1cMjLbNrhku5a//BW6 C7IafwzWl6cDp3FfIYV1IrXgEEtoLiebD4fxl9q1RSvV4E3Yf9RLkxT6NLF6Gf/LIXMHrMki7s3f +hEV3N34llxCL8Q+VmUYj3m4Mrp4tdEuDn95kM9N0hLbbb3bPKQOP1YvmvE5f0SBAhZiWj9zgAY5 ULAYaR+Vn1hKcqwsz9faTqr4DMsciWLNGuKRaj+oLQGrwQLs+DFmrFhCcTzQwoktA1fUgPITqZM1 wajV5ZUnPQC5ElHt8fqu17mLRYhCA4k2ojp71oThz7oAPMm/I8yGz2HdlAoCjWSFJERseMz6+1m9 7u87XKqxBkWvNHbUQCP1gMlAJAbMlO8TzUpE7vFohxUTnkQGO2c5OIlpzPAv9flAbpidKtiQc6Bf V6qGttEf8dKrXJpfxfKlzGkfw02xPzTwzndnZ8hUJiMyjE3XwIWZGQdbEWNUckGzfIZJp/CrtN92 tJPReHOuCuJXSTIwaf6MK/SJq3PbXTW/+CqG9flhyOPIqetTNZ38CCPHONAAUNpQGk1vLaRJCMLQ 6xliBVfCP0NLS9mgPNHy/4TxgIsyFxMUWy0f6KvistMkdFin7yZ9YPuK6fgZOzhpxJJ0uV8Av0dr 7/z2e5PK+56mKPbjzrM5OHWE8Wq/j1uYtFHObqTh4yD+tU5QuZ8x5pPTciCj3Oho9hWTsiOxQtso b4VQXg/vww/mdU2dV4SHrT1IOYmFv2K/N2D59s6Gar1kloj9pauqE2NxcVEH63z/HliaMLlgcKoD FjHSVCeYTT81yfi+QDo6Zu9f7R4jYQsQYIWJ1YPGoTnsRg9qP4qCe7glULdauA8zu17KNboOl78F O9hWpIK3+ZqdQmX9fluRzmOjO7p/Y/YQtLUFxgHGDfbW9HFMYD/Q02d7X5X4GXjKVVT1ipL7EPBn +SjYcyX7IIe4nyca3DPHPPWc6KcYl+SS/F490EA852V6ehxUd8gCabBR2W1bv/yABaJePS6BYb6S X/aeWl5pNbJf/ni3KCfqQG/rso0kwNddbxpon7LJ/MblY9eEvScOZiMzOn7CXIENTn1+lAAYhx7g MvJpJmtI9cWs+Vn3hGAT+sHaNG4WjzAGwfLdqTx7r+Bd4sDs8KwqoAVIyQT4VRkkWWYRnUvdpbWm FHPLTxHMpyxjrlFQHPo2hMEa4Z26HJUuzd3ajm+KMoZkHT8YFh5A+pDnwAk2kFZbFoHGKBY1yEOR ZiKInITJYb4fkP4/bqhVdzjaZcU7cMCyNcKeNWM3HAwXd9bExBeS/st8Kz2rT/iCApXy8phKqYIV Ujf9bmQqOTnDOV+e4IGCtH5NK20PaAfkMp+jyB/gHzrQf7MjqU7x7Jt1nd65wuH2G2mHW0Cymxj6 O0fW2qRMhmM1HTlK9R5MIjLnkeoPXFwrz+saLltEBLOy6nTcCeR48ea0+d1IB+2CiBS4oBbwqMEW 11qtfOzHABF/DlF3MuhYVF6xaLYCsghTEf1g61u0iia9mK9InQgB24f4GHjCG4fkpUkFoo3uyC0W PYD+JIXuiXz60NvR7I8Fxxel85VKW9Bpk5sXZnoa0TZ59NTvLA6kCiKlvhVYf/SQNjNKrh5hFbfM YzuSrkk6+Gry1ZNasLdDgSr9dluEcrv6JrYF1fKsse2P/tJMQhkE4MiNSAA5i+Iz8O1h0P6vNgpv JPGXmYGYSzHpaJ4oiV4fqTsVbAuzuNhesjyGoAC8N2LSRZ5JBngODkm+MPEOeAqcHO3CpSlN3uYG 3NJgaCyxOfpLv6NsXy1SXdNRHzuPujkioZUneW59e6ww/OPky9ECUhbe65eqSX3ryvv0QyH4fFU2 J8ej/c3/e4cG90kKUK+ZL4wM0kgNowA+j/sqaKFkjHvioQI+OTS/96VnZJrMPeGXP7VQmnMYYXO8 /IraEHviqoWAxLomA2UmnlOmpUtLSRuZDxIvDoh1HqY4Ydg2QjpD7dniElPpihBa/QEg87+/2D42 DypfNrJH6vhcUfBZ9RCDuY5ax1Ev4jgpdZOZE95/Qjxa7Avwqybxqf5IZhOU0arIt21ELr3IUdz8 TGR4xxPtAm9XD3w4D7GDMBDIxn/qD20ZnmZmt4cFekYNlhqLBEXlsSTAdW1IBs8OrP5ysRqcu3nv asb7nYeM9qLzKqcT1tYkMDr3+f3tjDugc5aHvxB1zgjEYCBkQqaMVd8MKqtuZ0dvk+LciZ6ey7rj YUNAyEcP/gV3ZRd+Cw4jiSoOenxBkfsdCWlWqq6mwPz7zhrzx+68JCofqf09ANYerqC596oZR1OS K+tWqUwf+J5SaKcp6JKcl1gWcLraZQAwn7sWUdnC/2pFm5gDRILgaxqysFEzYOm/B+u0Ud8mQBhb oWpq0aY50rwd6LmyyreDqw0os96X1SYWJMKqbd2kAe+e0tRfWDTrxtQUQDk6KpbWHGExa6FheMm7 9kIi4FndM6lCM4zwWMPcFYH9Sn7WUTG/sVVGnnlO+65sAoXfIDUE+8dUiuvLPKnDKu6v22okBDEq qrMWWGSVIMaJ9bJlski0qxjbbn4Bd1mQW5XK/MwaOa1COiOHhSfv7tWcD80h4eS/ESwnMLT0kudj usENHycfrHchoUI7EygShG9S5ZANV70KoKWzA/St88QqZCp7M9F2PIWBEfsuE3pAuY/oEpys+bfk cqXwwN7LDQ7zi9Apao8tMIwYAzCKYaqATnAOfcXGq4NVu7Pm5p45RUehDbPJ7yDflkYaI48XYS2K K6H64okBNDq3dZT1XDQIO2whqEHIUAPTBtWBpI4NGIlTMQLtPlID6cxDvtlatSsvQnpinhhd+z3N ZNuG4xnrdSxWiiZ88rFknq325KBZedix/W84EqNmQ3BZOLJqR0lj5DGMTgsVp1uDWkz6rYytWyhf y6LuWdwYysDk0hM0J/s4hD+0p9dd/C35sWY7TsNL8qgqfnPU2s0We5R0dLQm5AmdDenhUGljubnU k7pu5J0vBRILGfJSL0zc3/2kLQsWxaDzscbd+U2h0ZZAoO3F3/Wk4SMlkPuFhrN229I2v+qguTtX ZhzDj+TtPVZyY60AM0PVaZC2srngv4I8s6Naa/gQtlC6s9VHZkgSu2mN7vVIIzcM+hyaLC48NEOm UMswC4qqLrd0DhsH9gPSiSkbTQUiEtVMUHwxAMZMFR/+NaF4twkkaJpj3U0C2sPDxF8a/o9Vb1oi WuTOIBB/uh6oGM8pOpHcrzk+qPY85mPPbz7ODyY+CQ0pFRYm2aUm1ysTrv1axoNRLcHrMYrhov3T 7Njm+rhB+wxFTCTADTCFCb4OuABWYBwjN45GIJyYAXCgu5Zl8W44AA0yXzOOvTtPCD3IW4+xeJQ3 E8iISe224LoOZUdHNVaCdKoTjUFSkK6NUJ055N5vlumXNtytNj8HxsKo3HkhmwY+dpW/AYbQ5grg Zy4WgsvoZgVokK00PcTUqBpU5mg32hTiQJkVzJ3/o4Dlvg+eCfarFsoAAoZ3OAL4H0ju4O8HdV6M wq0ItaCXGnMS7tWYaVODcHICImOq5Hu/EFoglp7/d82QFpSdyDBjfhSBlAiwt8vY7jAeucgCd++X 5nbvyGmu0JZc/w+kVUPj6r4ufQjwWp416CTm7S0ENb9GNFxaERGvMgg9RDpsprkPWqsU3NdPaykW pkfZVfUepAs9XvxBQCT3AdUP6pE3EQ/ClGUOaUyr03eoiwgb1tRIZ2totaJmwLs1s/khVCbYkF6h hKgM/oAjEkfaSwq1jpG//VtbXzHkDvpeAcv6WvOqvb/ddPHr5a5KvWWagMjOA26hqpXUgeYjshPL zKIHJVh7ZF6qxmPffglueud0hQ2wXC94YmgK6EoHETD8CypSM928BHUWHnisFt25ceww6RG+w9kj gqdPY0thzZJXQ+o79H+l4FX0HFEufYMGtTWbE6BcVzIBXIGgJl30kDlINWGUwZmH6d9J7Z1kFhv4 85YpW57zn71Rqwu5ZDxdsB4D8W4sfvmxzeRxmWHEU/ZGB6WOlcdMNdUS9WUCDErsR/ju0aVbPGpq f38Mb3d1uxJCB8KQHa7o/+x741gvsHcN4azagWPkSuQsaXIkB+3zSsCTPKVap8KatBfUIqgyI/N3 9actJSqngTSSLhZCSddlcPAkTVegRHgJD4NY+ZEvo1+zAmGarmv5aN52q4ctve+a5oBeT81Sr0wN 0baEsesyTEZRDkiofVbAoCgQcIxvEW6urHRYa3l8Z0sURto2ZdyMq9U3BtuknKcn3s5C/cFJXokk /CBoD0SxlWAfRnH47U0TyV9HV8nGyptVi3ugPx7donpPmVfFm0PwBAsOcWWvaOta/qIqBT1zMYcC Rv88RRJXSNc8ujWRN0hPJVqTqqckhagFQywJ0nsN5LAjW8psdOKtA83k34B/n7PmIFyL85UVXCMa ztSJSu8NNoDXAv9LlSPU0dpDNFYe/hp7LErhfqK2Nmy3xzR+t20dS+qauN6O/w1eIaj0SKID7qBI TfzY2TGIDxA76DP+T6AAQQwXps9GU+dIZlLlrHkItWJYElQbx8VHuOsx/UqE2yyfdtNXQ7u/y1HD dkjUpj5LRcbesCRO1bKEfG+sQFBLqEVL78ndfKs/y8Gm4XiqCvcgS68IgLcKBllwhQ3mGxHLIH5J OIQCRQ4DE7Y43JEP6YEn/GGXBSw6KQA0CCkBtjDfAt4zdAoKVMfqhHCKAx3I7mX8f9+l8F4alUvo KTxYvPNCWjGveKjIj9LPbEpiJvLJCa+HuZ+1pXn0HmohsEHn2MjLwGJNBkJ58Nl5jYxMrfrkHa8O IYrnT8mWGc9xa7w7XzeC8JX2+vL9EwOsM8sEhaX39GPdR8+CFeGJyNV34pA8gRyk9AZ2gDo5jeVQ t1EAbIDE9ryO52vJx98MAbrquWdlZlrGWQTERMonNs7VCuBG6C4cFwXfLqCNEN3N1fmU3H4PXbFR WjO3kwnoQlgWfum7EWFIwr+ao2GBS+gxa7gReaKeebPyJGiBMArPvxwJx0an+tTFQljYDTyRHTHp mJYR0mwZ1YKuDpFQBlciJpqvNb7HqP7+s5cyeIfif4Y7NsAy6EUQ9WLSkAXhRwx+oJTlKBJlFCbO hrcXFpHFc44UrF7brjbrTFBIQJlJOzz+R8znTebq+9V6JTjgv7jaG1NsywLNQNBYFl5n3zuTyJRz 42KP2uKcEWk2f3hoUUnIgCb1d0Y6aTJ3r5fHQXJW3AaRajDJRytpy8rnTsJRSFsaR8hJHLQ218t0 ZLdHQRHORi3KkxVfWxbDGPz4INZlgOWfM+atZRv5smd8BAdGARWV5LUKDQ5u6z4hxfSnZngftfaf OQQ8drqZmk/YCHPl72bT27io7v1vB79xvhR0Xgf0MQ607BpwXexep1pU8wPGcwa+jZo+qR7/nurW Z2mooqsWKZSlM//oEhCwaBVUk64Thc7ENz138wM9dsHtQS9Z+qzrQDdQFKsqtFvj+863qW7G8vn9 RDsOxVcYzi8uPm4AaojYidPmOOGHE10XuKZY6Mh28Wtae/mJLZ1sPWanz6ioA3pjIImGT898OYJ3 YJXu/0xKfFvflD/3KwH++ATPN2vgYsK6Ii52S3FoBRELveBn1fLu9l8a7Swqtd6vhgunubsHYZo3 VsV96/Gp8ml3ZgDVyvHOordxWNCuO8Fk2g68zc+AY0IZ4nrDePDFQRnkssRqa1xxPQB4/XDJtDSZ cRWM2tq1T1Nr47CzDoFgHhP2su8tIamZcl7wC1UHmUX0D7faa0eo0UTVm9/qDZpv+8GlppBuNQ/q 5by+rB+u6UyCrx1O3GODuQhPowNWtGEn49Jk3r5Xlkel9albsMTyebmJGOO0CJSRZ5hI/KQ0U0Vo Gf4rDXyfCXWk2MFHyX56FnEgYC0nboB9IQ7pRf2FgGZKOw1tajhl9MRdSvcXFwytY7qNf+aQwYyG GhIgdzXWahW+OAdk7m1SUZcH8/e+U4RBHvKnAG1aUuP6iy6fqLIVgMT/ut99vj/jeqQgIxFGL4wD suOG8TL1OOnbZNJXN6TEgo03vgzkDSVfznXP45KiMq6Ub1cdJRuef4ofbJIpw4y/KIrIeK1DYQ4t Mx7vT84kbgQH3uzGF2/NhB0DATv4Ulu2n/PwFNPUu4UA307usWfUNlZv+a9woYzSDp9KmWJXa/oW OBzrv2fmO+jk2q0WmFSmZ6+LMB3e+t8ZENTS7QDS4ztVW8tZOrLkCppoJTkTEd/PjMcDIRdNuHR1 8Uv8b6efCq4aBUW8RrtaVsEIKZy27nO6BIPP2PFwliN/RWng59dtZBNHIhIM8zzI8rVGgVizhYMp 1FLfoVDKhZhdkPVYdl4zC46gc/DUce9VNpVVDJjs4a9ldn42fvBxuRbG4CSP0VFhyIDf4vxJ4deL ceSiurdgiTYpIoB1x2tu1TC7dB4tuJh1pTv76wbpG9r8FZE5mImDfF4CGgmQWig9jpW2LQTGqO66 F8NraaFsbDN8lcJaucjB/OUSGyrbgLKs63J4u4Valbnkd3OLEC9HvOiZnoWntpIBlisSIqA9c8PB TI0g2ztBaJyZ7J5N8/EWCSqU+A+hvfqNTn2PwxHe5KSCAnzIzgXf1+NRvh3kO+23foWYwG3rdhVu 9YRJOyRkq9oFBizjDrdwDdSinBn8kigxM0SY1hkqqwYhmFz8SSXcBWdP2eR/8zyiUEjXV+YEPpAt IZLQp2T7poXbW/fbRKxJThMUrblFv7XbWPTEjd1lwvx+XAwOMHlU6146gjiTZdEmUQJfkn2IpAjy sbN/p0e9pes0EMAxIDV4q5xD7m3fpJRcUFFlFhs9CO3LjTy0F0sN8NZxjWClbwooeCKKRow8tyjz wZaiNbJ5X5YKi46QIpzCOq7AjRR9zBYNQo6uMTRqIKX5PMg0jH4FHUgUgl9lfBEKcS38e8uqNzHb FNisM+iqwuzBkbnYtAekU0vnUvsBxC9sW7HqRIbPOMb8/DoD+vij6qHcBy9t54V8SH9c6Rtqp/2g Xyy6v2i2C0/6mHZ6LkHXg/mxuzRloy4FRa+bIC0l6YYFyNF/amwtKTQaP0F1ai78F1u9wR3TtzNx NNJ6OprlLVLLsK0txHesA6hfSx0TRMS8mzER0T5O9C72KNR0Gukw+2fqjxYTGTytMULFmX7xGmDy EjeYTiqEgIpZml8NQPQRtyqtpkmWGm+3CCyCgkx9fLaqt617eNJKQy7dCNqmnj6q32FlJ2iObb9P ZDVed3yxC0p+9BbUpIHdQeeaKhbPg+5itXeWlBEhPKTuvUD0nW3smSxFLfmCQerennLSuM6cdtpG hWkvK9sqVQplhiQOquCSVILDv26Ni/uSvxtaLYbVZ904ubnareJg5U+oe9nGHppj3uyuJAGBb78Z 4kGojgvMXitlGAp4bw2jGDIUHSU+kvSoCgVBi3J30fb31CdCmxrIvT0f+mbIfM6F+O5VpusJeevI xfu1+ikkpOqustLlpY1nzQxmKClNvcV6ckprF195abnZrae8D85Z3DS5JTpDUmppYM6h5FZvoiw1 aUYRJLhcgP+ihYu0YA844QCBUOwxWTgeApEHByXIdPtpm5uI1iJ1tfxK+TMwzCNgb/C/FHjc1CdA POQLaCYy3ot+06yUpRJFlJkFZpJwh8AkqmXCWYZnwniYh6Hm7nkBY19qemD6PEBNsx2g/4Po8KuA L3FatA7ySe+nj2QUlYevBvvoFtexYgCvdlnVRtjo6ozaGdilC+mpsXL8rwRSRzXx3vgXM8vAf7NO WFRxSqBkWQYvWfvLeLi3BdPfOL4eD6n/wOqZe0Gu4brJKEVAbt7JggsAxQSKGD7dfXu/yZ8ECjK3 O7xMZfS2OHoz9i1aoFHbNr3sIKVOdMxoprl3yBPH3mOukM58ZsMuG1QlPAf58TQBPWnOfqDk9EvI Bjhhc7KF1G8BF/ugGzMRUNAVGkuiJIK8M+A2VDeh6z8BY3WWD8Tm22VxgdCrY2amMv+8ZHvCB/Jh oqXQs+JPySxEYn0wgtNFRxnVt6qBag/+RvsiDwEgNCq0pWmFw5wmDvuIQ/1KQHB4ai2BZiAw6KCr tg9sJvnJQCixzWRE4VDOzlMrXalJ4j2gywsHehln3IFJ+BCaO09Fp2Ha7emLqu5uGCNyH12PBrPM l5qSGEy/hlavyf7wkMDwsWPLmJJs4vBJnschA6Bj1sXaSbfmqaS03JOk2zXxJgekxzii3RHBJ6nN MPyl/HHX2d+Naq6NwXCJ1emJA/1NTeA8O5D4QHnrXm40gy+xGfI6vvRVF9PZi2voRQl/DIjkomF4 eHJtDmbHFbooi8hU6RJsK42S35b3xj29HEXPBT/iKK7Y9jD0oI9MxVZDZenFbDYpcGsgfUFqkylv 3Ce7B2VozFHiD8z5a7CVAx3TqWpZKQbsb9Yma9vhcGbPUW5GbV0squ9460Ny+zlj6AIB8vNYj+iU uIvJGl9CWBm4yOHbHaYCuCqosIQ5g8BdI46QYGaCfykiPhhTsnWd0GKxLy2oV+V0Q6cNY2OI5KCo 4dxHZQdat0vUC7d1H2oR7tDWA7EbOGpq/LV/T56T9QsxzFBxwLXfp0SQw+IMXM4j1DSzPVwVNVx0 o7nx2Bn3zl2jj2KuWaHZLPyB7e/B8qeMsRHmqbMmASuP40W6yg32p6zo7zewvezpuy6fY5FAMM3u LgRktz56Xufj4mAipSWkxe7W1ziCIZVE51CTocl3Mhhzi0xBeVB/NSqKsS7q+3LYFRelvqSg659R taXBWkMEkZgIZc4dihm4riWlpzbDQsJnJJW4aqHCRZymQ4DWop5pWJEwyFm9KIptZ4ixfYftzOMt 6kzj9Sh+NdM0PFzlUtDRVwa5eEy4SLJrrdxmXq8X+/YQH9RvUK5VOahFtV1XTGRcYjmgACfVF8We YZIlDOJrYl5L+BECCUenltqP7gW4gpyvuvubD0a7j/GWfh/O4U6/YuGkYtZbBZfnruZ+ptX3C08p PF4o0v/aXKzWJl6BBYW5kRLVF9PotWxPIAIfXy7Kryxp7Lzdfn8XgtDy2R0aynXjkRCOKKnGKell 4KiGCimgBfR/e1LDwcP8tayJKNeMB6QWnSENCaziJk/MT4QKuMLwNiGQKzVWfzh9CXcwdGgtGtC7 0dViujonF4wPhFORSA1TRZQA5Yvciox0Y3IISGw+fHG0BRWGRK9xzqVFq6mjs+hqYMJTYjGwFffu bwE9jB/Pv5pXjpSEdPz1sYgdX+8mwcOau0ZbdCKkRfnTNQUsiJiq14fYopQcOxFp5tzHXAzuPVMr 3rfrbjYNsk91oHTbAeyRAbnhKxf37aUCxa2Ku8H5S+8y21GaMPOkR1oDI4FaDysRUumLfsFOZD3Y 8D2GgfNBV3t0sI0vYDSw3pLFzsnGirzoZs/LVVT4MlTeSZDfH+z2QdkSY4+21okfFH7KbiONnA0H 1jmn5guBHqdimV8SMQPvvoIDNjrowDgRBS9KFK3JukisiSXesxXpNGp3qei4WxJt7ytuS8uK6Ci8 fTuaajH+7ID4lwOYZwSCk34c/qZyq/O7xxX8ev5nDWobaVdpGZsjhLH2aZFJ0SLX3VSaQeEjt5vf hli1E3tr1DmzKWL9Lcym8ADIj3vD0Uc51TCY8ldMrF/KwUJPllYrMPG2bbl8/b8Vsv4rgWrIrixK Cs8BUSya2sdCufohdd5BuXezJ4FLHWxoNw2Q3HBS3LWmWIaQvXuXiKeduwSZyFmTLzEMs4ANIuYa K4rUuW7e4DdvGDTPriDD34c1vv7+wlDQXsnoKk4ISeTRebUKNhGiOfFJxPrbNFJUPwrhxd9wuvtp jzgFQFNfI08QDwAEfCS3w8xJ8w1ttFFpz2HuKRdAhNINFmXtKUJ7B5GgUumHqwrxed1BJ9iNWu0t 6U6luL6qC9MWankFttpEFfuZjvxCi1P3f6aWdtMN3/fcWcczmKxVTTJK+ndXYXPbZVWp05uyICUJ RM63pHo8wY22KNzTRJXUqWNQHAvxVX9XAJ8KBsw3GErAffhfkw79fGWPk0/+YjuI6BNTmT0uVLjd xAoyeuPprJwv3HiVAcfXDTKZJV9izc6npGdT9rQX07k2TJRnl0ALnlmpqB1uGi1q28al8IQCzUrR soHe0QDkIfL0u/xOH+9E6BWTpGvMItQcj2fAzpJcaidVwwEY8IZydAnnWTsQUi+x4tPP4G8aqZ9w pMfzyuPVXI/F/XHHs1OUQ2jcEW/34MgVhfo9B5hb93B5Xn6b/BqhnIAB142F8xCG2SYLp8veLmRn cLGoPY5SXNLMX+G2NU0h9ZviIX3GcDfr79bhJ9n544vy0arFlP9A9vMh/RJStVQ5ek4KCKdiWDnB qopiZn7vUxJiQrlTLqZb0Kmw/aXd38hYOz0Akj+nAVG+T2+sw1mOo6vDfJBs5OQe5eF+5/9lwgAP ImPHnQZRYUV+qyrzfLj4ZbyxFCbXq26IFl2L8it7gENiFOAPcvuA+qkW96Ae6QkqEgXhU1AMWVn9 Xh6HZMYPMwi7hZzOTOEH5GC82JhZ5tUvHb6kJ1BfpMBVjOJXF+HKaDbimqShcAq3Gkuojw1w+DVi 3DKYgi5zJxIEAHDsTWNyr3KCdGyIuga4EEjy5CwB4N7tYbV9RVT1WyDoM5ap5xBr+UpsvxcOQTcs AAR9Fs9l0CB1XxmFcb0qdaC5CsByQpQoLm2z0bgXKWbP3v0MdwxHuAR5rwu8/YbKcKV9YRWuSsR2 yk/U51QaVyCqQ6jr/r/1ILOmuLJMMEwCyIjt5IBGNOtLKgJ6uxVl/yJBCVKMWkDJVSBo9HRFLwU6 yUiIj2DHXURQvUHisKzH0lTDhvgcO/FPYe1mqLCUsnTSFLnxHw2sZRb4RAzVmhCy7Mv5jDvRVa3W j+T6SLvng7T0eykOH04NUZxA+PsOUPPcsA0V7HvQQfbI7XGtpuyjJdkimTYcXCjw8Kz0BWAAjL3j 3OzC9Moa0VPI480TL/qQFp/SieXxIAgqpSeRhhCAKyRX2agILlZTE0jArKh5QGk3GGsKU+CUsnoH DVTPAXsZfTxbyzFHG0xT5DUp40cTfVI0jz4CCWwBjk7vp+zGPmOWv97FzUql/ZzYt0bA1EIVnujB FuNQzENqwU3L6rMHXbsO3BgI2llMVW+vzo36/iE5Tnkqrj8vmM7bRXW1M2PnVLStNFf2Gpz6XpwW EByLbDideKrJsvN9HAdRrQG9gT65siCtsFRqhtHFvVnuwEPxyQ/5Cx8lpfgjASOkvafZMy8QERFp hkUhLzHjOk9IPsdxjtZXs7fS9v7kjQIJHLzu0myO3Gz1OF+XiRe0OibeaOHeUBVwOCGHr1vNLEKH qhFNQAbAxMigoLpcp2D89tIJHnFsBFiuafgGuNN/duZyu28qPcam3VhWAffF7LUpMqf6J4zvk9u4 43wqLfdMa/NrVcHn9kS9tKb0wps3mobiT9AeeEUTh9XDZZY/xKN5Ll6r2kG0Ypa6/ed7w+7EY3OM imt/j3n2692MV5r1katr+eQuHOzbQKGpgR3JKICE4AHYLJEF+27uw0kmS43J30UGa6iygJ8MXpN5 TDh73dvoq1URIo3Lkj+Fkv8Ri4bFOByhiGY+s6Jsz3mWPGwzE/43yzuavdkq1UThD1uIkpGyUh1F XlmqklxNIOQVdcq9MOyHTihoyNGM8NrHDmAVjKES1Q84mcZIcnEKqVj6sufOhZXPYatu03xBewnO +KCgQNsdSZaRsTF3KSZbt7iS+BktTRekPiswJbgkQWejLEvzhLbNhrX/HL+4u69bGmfSsxk8z+Dc qzmTGwD5WqalOhJbbyKlT80Q0CxEwoA04b+a54G2jEcfp7QaCUXHAHDS/Qv4pbfyag7L8TacgNGs CON70a0y4jKbp26p/TzhPhv58c9XqoFC10GSbZOjFwFK79OzNeBp/mibfG98t+D4mMzz9LpvtZba 6kLtLKlA0Clq7z122jtFC5LiOEUSXNukUaiEt2GpDWgYzJDpc2AVhiDEbC/amSuwD9q474XUOXXC PdhGCzyuLeeOfiUICvJUjcdL8/aIP4+DWhSnB1ytXFPW2aehlNZ717ruordYEQhwQOtDx+R7mf3P Bj0F9gKts4b5WWNhNby8/1ceOsyGWNItSgweuoDFJY/9kNHN3/NcBuXPZ8kuy5z+zpvuQpFP3Qkp PesAdrbFYDoqSxq7yZqX8BNlTGzjyvEG1ieox1Wq+fKVzC2kgXeXSPwsFaikzzuwB5Kp1gnKMP2z +IMXaqFm+xY63WY0b0JkzW7IoYz3q1luKis8U7xhfi1TgNSRxtsMrZApCQ0uxJZMjRjDtKPhd52c mumbOmkeBK6h9kEHsfoYsFmtNiI/yX3GvODjNZyaiVu/tmLT6HQLjiiinkc6BSydKBNYgBeZDr6p sHcU1EXkAtvamTZYoGQ8DsCp6V91ynQQFzBow0lNEkca8swiNZBfQiO5MMzixPyTrgDgXfaU4d7Q N5FMFWthul+zxRlL9MiWbmE8j9OG1E//aE76+dX0rMFk47nmUoUBvChL3TsTiNPVigZXVcv7U7G9 92hLPFUBUJh5qa6RVG46ZNpohGBj4Zcz97QWAZxRm06sp/NlFKnr24qtI0sAdoHjW8hDAY+5h9R5 krJiOaCzAVoDZA0KDpZmBqlz69XmLtFZaFbqUfNS/yj14dW3v54zWF8VLrwvYenE7GD8TpArQIZC ICCoaSofPLN0Iyj6gVKciCsDQOlmOHLPka80+rgdr65KyE3USydF10kgsfPpeBhph3ML/FqXBU23 NIL5VY9qLm4Wa92ZUqeR5liFlmgMdKwhoh6HEkC4SsZbxnjhnlXvqNaquIWNbpba6td/yqWXywh5 fNPp0RWX71XqeAb8CHPo71hOWzoTwY4pKkQSIVHzU8BtbRca0oB8Hyg2uX0ot65y9Fj+mSsxgGHs 3PbroizM0bcPAMPSGYq+B3fnxBHdjFkEXq8sJAltjt5pJoJiP7ynYx6ipEolSdOIffsbo6gHhdst NKjUjsJ6ThH165nT6ekAUYukgzocCHtAXx67Rv1r+RXkw9rTu+d2mdBLWdffGkiCx669NDHpVojE y6K4m8yoVPmupNiJA/GepaKp2bWj3/IkJ9n3k6nLFigdySUJBw4WW16X36mGN1xMvGAiJWX8JbBC xIe2SuuLhhFtNY2ke6L6hiBB9cWVIcrZgWkE54X8vkNGpOP4k375LvPnwl4FTxUqMzeG8a2GvPqh W5LJgf/dHN6uD2W6LLMxFh/YF2MOwDg6sNvcWtSsRnWcyrZjN9nlLXaL9XM4zrnSCWG2TF9wVmF4 xy3X80Bm0THUXE2fGMR87RtWuEfYwI4qYMbBSGfmHbJlsZ6MkZaVKqObLJOtQy0HCJ8TdpBTfTwu jwq2REx7m/MOdlYSc6hl+1u4PAPc9i4PAvXAX/D9ifzAbl1u7vuvNOj8LlehmSp3Jd5dM9rovc9t c9UwxSJcfa2k54R/VWfwgcyJv7fdqrP12UcfvD24WPdqwGDLWbzv/ANRlvBqq+326w/sO3ZdDDa9 1O+c4lGXtYDDdf73FhDIHf5yLsL1o892jVHWXK7kitynX86GGm6mm+niegQN4D2chPErXLuEQgrT 9LllEKs5Jl2psqcwiuagTHO6rEFXWE+MmxnyVYVQrrpoZ30HHYh7F/TDXjX8v9AbTm/foAkZu4lk XyfY4lSsxOuVChLTMPZ28lkf7Tmi7tZHHrAA2ey5xmJwGebZOViyDpm6GLLalVXtGEBc9kFEDpK0 nlvrwg7arMxQxclyCSQ2qII3m4MsWXlj7+306M/GARBOzvR0lDmyiLumpA8U2b/Jw0+kqsHV8tvi h1hs+IFTxq+AQlLHhD5anYB8q9FjP8AnHywPlwj5J/pvAzdB3YTv6mgJVjTa4ZRpwXVt3UveROo9 RoNcUKrGngLiu4qF8mwiVDoxta4gsO9fW84lvALyxQ7AsZpG2XNAXxEVrUt4p6XE4t4pxCZPW2Aj ZgoCfTPvEOBtiT3MKd523PJMEdxooZ8PaMJg2I+Mz8Scd/0GheKbjZ9ktZu1XU2sLPXNL5DXUFSU W9AC8v4EFmNQ4FB3OQpl7g8r6vTrDQJxXqFfi9fSw517OJb+Rvl3G35rKZk36hrIbw67ervqtfKv O8RONv+wPoaVpTALFY9h1C8IEsnGou0l1cuFDflj/zoUlGIs7CjUMMQ2f+hlGttrUVecXyTAisl0 4rXTHyYZ7Xc6j4WwNv9fKz93hWXgPGMvsnWcJ+GzZwEkctfoa4gDkjGyaghl8zup5UmzP9CLlENG naYas+ds9v7vZWtKCi5tL3ZpDczJCL5b1pCmP1XCTDuhrTcchBc62H14eatUe/PAy2qiV6xs9lik 2PN+17tsD5tZglMtmbyU+QO8I945r3s0vww3XVIGSXcfZg/yYS56/JW/znlC089ser+uPR5NtkPZ SwG5e6LgN4a5pa7CuDKg/J9hYPGwmr9Yh07Regu10gpE1tDDcQ+Z8JMPK/riF1WfyS7/Br6rdU3C t3s73d5BnyD8APJ2VJ2EPjRTQIXiUmIHxHGeubebc2z0LW8AtwtHgdrFDYKRNnPvBVoxtti5Lev0 xB0+bxw/tpfzO3fSxQ0vnLcLqK/ks0+PyQE65OsybQExMigXCjvilw1D7nYb6HTnuvYl6xkXhOru Bd6Lm27hjqY6IPS472kCsXV+/bA7kXbOVMBirXpxILQjO3Fz/yb6XF9DcaPGGcbl/52KLBBG6exk LwMrlrsVj7Zonq3vCSmT19hqmFokzeal0US0t6gBfLpmBbBOZjETvTbHRjBtLRUfVWJMayzps6hs cH0oaDrowq0DtTvJ91LOW95R7m0YGhV7kFDTtxc62yjm0Mo05NM2nXX+a0uzOIAcO6BLzb0Drjd2 4rx4QGcVMelM6gb32RjYk1FjJtX9jpYUd48v/tyo5Pu79NZ9He3q23Ujp61AOVnHbTPDbcP7ODq4 s5fys1mIpXZck3+brNKHHfCMnSq1b56/yh15v4Tjghh57oCb8Dj/wRF2pGYY2pDQaKlBrq3UZMNv GyyFq7Tpy4oTJqp48EBBP1dvkpCESHQiFoVpqTWgnbxDkCAkK55v5hln57W/4KXNlDPt9rylq1wc 6AsSHM+wc1YmkyMoorZf18O1u/3z8QhV6gcCC2Bsi7aZ449sbSQn/E5ZzFOPgAVMKc+sEwwqSdH7 L7FDooX+mHTuDoQHJ5YYb2bvNZPCkLGAVRKxsWQDdDCl/L7dItEuKEyrWLgVNgtVH6eQREw5hqbX O99hkH4yyGKodjWAr9CugGN60cT2wJ6ExiHmKFgfL4nb3fcm26DGdOvKcGYO7UTVyK8JGXfF6kBM rb07J8ZrkDAHfUtYAmYlR9hVlUspSUsfXBHyWOGEbZ08JKnAodPItdhfUU9crXXwD63gPtYVH7G4 X+0LMH+I94PLS1Hl9J5GYBP4KEtbiSExt00mKbFMCOdvHmCtSk89ML/mEVekT24O6bX2/577cZhd BhcPCo107GDZXDK7uZhLthD7nL7qXWcFDoG301/KEaJFp2/ctyRlCmucApWoY49R8GuYA7wfgrxW LU2k0Ouh6NHGVOchJ7cFIyx6tZRBhl6p2MbW6vlB1xHemfO/P5Ta0GqVsq4qWU3hJKHPzA5RZJ0N Ea3dkYpmER99wkdGjM35m1G7IhYuN8rRyopxPR4GPx/5CYpfHt45GoTum47wkKRce+CNESbbBwXF Q1hyVLIP7NCBuGYdwSEP3dXw+4+NQTi1eFT+PFS5L1MEC/5MJRTk7R5sD6FQo027/6mmATGFybmD cXZU9IONgXuCxUM17km2yOc1Eg2MKtj1UDkP/c/mX2klGqdp6Qb+ie2DkBsGpINV8W1+8DqomAHb 8EV/viEkMdJJny9nu7ob1ptfFK3n+SLshGc4WXczaCiR1EzYRIZ/Kh92sTqRJWt/pQt1Pt76atYH urCKmJNgFnR6yeDCBHczL/EsFMyjlgVuI+vPfYq8DQ7Vg19F2fF5KwyipKqitsMDVtnCJKRSvdg4 15WohGmFigvZFnZspioaZ6b+IaistvEnl8t1qcm0w3yGbhYsodhTvsfn2aohYJtH8tIukJ3yxukI U7yKWTYBuv2zo9DqjcW/fLOx5Yb2iarkT93k9UquGWlyEODtboEovsC1bTQjSJh3irC1tQl09i9M y3z1c8xr2YsmAk1WdUAHeet3dRNoP7vIcrD+U8aLgay3WGVa99wvgvyBpZz+S5l4o6STz3cxhVio x6yGCqHXGEZOyJkrH3tuY4N7JZbMdhSh785vjNeNNvIyMyMh/HDiJdU9kdE1E9JAtU/XAjGYeyxA RP1juGWeuoFNh5+c1agCcYpV6b2VfaFa88x/j/7d2BzByOqU5TFxkeW5k5BvgQcO/0WYkfl0w1BF AesvMAgygkfvvX7qIsCKoH1g/y0QY8qwaXCwYr2z8bKQntSrrikpylDra60Bg/qKw5ZzlqcZTXAJ SR63UP2S2f4SrqoEAAsGHCLrcCykmM/oiEWqirFmb3HT5BXSdhx93V1X6gyRPdSi/1OPJoZuQAOb tEdRn77jGi1fjKzdQj2sxKzZwFruUT9zxMAYGnHfdEcNTZVjFZugnDXftCL/116IvoTzrD1gNgvG jWa59yAo6U9w8Wn4gaET07o+7im5Eib3RcRPy25f1Vi+cpwKBcLoikLsqL2zrKs7/+x+0+VHZ5Ye sCeydQQPmXwn/eQBu6aHKTkiSioHOJ6XYefR62l3obrlAXwSdKztV44kKcd5ZO4NUrTFHpwCK73l y9AvjcFJlgg78ro6nRU3TM9w+HKDd0gVJV+AnAg1u40Ja5CWGgouGgCRLQmxNBy8hA4/K3gjkiTo 47/0eBFrUjBVnt5epQG2nvQtQOYnJm7s4K5lM0D32s07Expbj0cNES9kHV9HGYe/KcgiFB8LJuM0 Roqo6aLmOjE5Rc4O2bdo66NA3NOUtwHT2b2ozApyaW+o4HMphxnO3Pwh/DprIKN248tut3Ocqc1L k5Mqm/VsYHhrFm5pG9jEF44wja3Rgl0NBi25ltwSJ7AhcqsmmMe+oxWgCF1qwDfClAWH8+NLqALy QobWzMYnWvRZCwTRFZNXgDD12zAhRDTNnfpdFg+hYiMOZ9837E7XfclDRTcG7bgy4IRESlpez+H/ G16kwvQfb7jzziJe6M9behJ6l+jKmck+ojh3nmmXBQf727wbgwZxP9JseC92j/RayO60VmC+2Qrc znjKqCk5GJTB5+Uvo0EdVVOsOf3UMAgFXGbaqZJRzdUNXRbvz8yBsfGDrBsp9e5BDHvR1rzXyZee hyNv5/Oe8aqBTjUojnJlrcfSQBEUrEbLIF7YaStxsE3aq1ukO9t1k9UYglLLrY4guNaBRugzfjGG o+/Y8LIMHEGr4g1aHWc5vhx7evnBGm8EOIM4XavwJf6sIkL+KRV8WPvyeuil442O/Pm0gCg70SEP H48vAA3En4HNkYIEhGDKh/N3ODwI3q35JXRSHS4sNVywPoACQv+phr3j2Hf21DqjC8vNjrDoZrO6 YWdJYXv3iglwdbQVD9kyxV1rhz3flTbM4AEyVZGGkeHQvUv7Va4BofNpVZcve73HSPePWaRgOPct esGmgXz5UfzbSIDMt+4FS00at1zN/1p2NfQifRHOKkaEEWhmiQs+NyzPNOgbNU5igMm97jJyavM5 Kd1iPIPdxQhdYpyUHQxZ/reWLa4T/bwWIyxBJdq/9JYCRqh6I+Wc4v8drF2SRu1l0e+S3M1E9FRK H5uNWy7HBYyvmPjcUhv9EZg8PLuMijmml+tYDeBn5Jn4ZSz8WmVImmVnB8dWIpBuek+Lb67eED0e LahgPdBBUkly1xvc94xOANbYhcH1jz7is34Uy7SMP8KY1jobQoSEJk+qNnqX/CCnZPe5KkY8HDCw XadqHYHJHLZ5yCISQOT6UI3bYaT+NCOYwAf0QmvCqbb+Vx+nbkmDBmjDr63F+7qy+uBV7M84xK6s rWuwP8My85VSJVojkyAOVw9sGDkewDUlox/tFh10RuUIpERW+gjCE8WHv8MdqvqLmutoQhql8YOx f17cqWITkR10c7f1a1PmM+3+thDGv9qx1l11qV6Sm8YX3vXPRUjJtsoC2lM9JgGViTUSCO8BA84x rdnoCX3VTn22wYPQeWAU3FyaigvgIOVcTDNLCLe/bHZS1TNOk2PjFI+KjLKGA+lZF9dSuVsOa6Em 6MPIPHtKyt03eCv3fLVJRQSpma+U5SjXcI8MxV8rAERF111rB7woIqTaKeYCUpOppbUGuyx5X7MS LBrpLYXe33Gn7ujEstIhnpxf+unKQJyajmG8bWLz+6LGt6BRMU6/eCDeCmmvzG6y0ML5pVVeg2cw ZfceP1XOA7de+wbJxHULOvSYAmz9ZOtFoQVd5niquIaUGCfld9ZHXVQ+LEGYCUJDqdWgj7rvns0i HMeDffXhPK9cGv4c8cIKMe6BWekCmWmWSS3399OmFsU93S/xOOZEpvkRuGsEH9t9OpX5ToolyhA9 aMloCyE79FXA6FOYzvua4kcrWZKvYnAsRs28N34riLMZFqkRVL/gjRaUUNHrDWvXu74eh6BvDVOg pkirH01rcXJoaKQ/IP636zgCauGwjWp8Vme7pCZy3DQh9OUP+FCAgUxMttWK2glxjE7xghtbCaDp FMCLmoRZ/9ciaOnb9MYNQGWq64/CEqSNC/igUhFnUMGKiQ/j8RGcH6CSZd95aLeWYYNpIhuwlm7f R9FvNJ8RCIpMCN4zf+9BYBS2xLxm/WUxan/s3DH9YnrZZjUBg9ZNKNc7EfNFZVe0s3F5VG2eOw8b xBstOUHc0U8i1rUlgoMu8zMLs+butLd3ePhdu850Etu7fhlXLDV5bWFw/77cU/DV2zdP+GyxUTm+ jSi6obfq5/zkQYrkBMpA+Ks8KRg8fknxEoYgdeW7LDop+vKg9yReWOMksIv8vBsarcRjhXe0/86T /3725T+rh/Q5TenmgZUMXEKBMsgl8Xrd1X574caZssO1CgK2P6CxN4WGkipBMJiaQxal5X6fRVyE gVW7+H9Ga6rqow7zukWqR0t0g+XIrriEA1b40GapnyLs8tWe7hfubSEm1kHmhFQFrNG45cC82956 g5UFcH0QN1ZkpdhNwkEaEzVeIIHdoFrGkC4XjLmjucWoD6pX0iCZGp51ekRKf3i0Z8rw3w8WU6PG KBpDH2SK63Wus6ObOWh1rqnwJSspLEix6vsg/fII1Np2MrQAxN3E83ZTtRb3UECVkKoMjd/fX3QL UGxpsoF60jHgIGKwnCpdc5leM8wYxkEMA/VMVlCWyzncgNtJGlcGgziNbUklWpRwo91kpAdN9uHt MFkof3Q6MAg0koKWu5zdE8xVCgUKRbkmiCKRHPZcHV/Ch3SySktSfkjYNzSvq5LMIxkRLgqD3Pz5 x+IrYBlar31Brg9n1cerbHqTvuxFDb3HiLfK1bCM9OZ08LgwYIfSL69BRO29TNyFb5D9X27pwZCQ +op9r0T/pgdFSzenmDoAas87ufkKtD9S58RLlVhKgVBHcFQ3xjZIh393FPN1xo74YSwGUvtlBBjZ bQVmzy4t71srPuKopZI4AIikwm8AcnHyl4bVHFcXBk4pJmyfN5lIs3AccxpCrOVGB48FEHVQgszk fJL0djHc2RvBVOFIgziwaA50nEl7Oa8gNYu3+5L5k3eThnqHeNyFC8KLetpryyKuSQEBg8FEYDtQ jm5zExcUh7SVSHlmx2PbL77ob9ZlYWaZ69D97v5KE8m406Cjfb9DAUUK/KksT6HxLUCtDPDvBk0k +a5YpCv8AlRtzCklQTNUtLSEJvOn6/O49N5oRApC5berxJDnr47tSX1jDFFVwsmhPYq+eiJR10VN HbuQhQ3Cu4I9zwDaIaZ2ivDIPqMgn9vAh79DuYgPKangf2a/uawOsPLCGy3Y//eLuk+kRmkUR338 lZxYfYjG2g4yP5yM42+Qo+Bv/lorrXSwxiVKjyA/LoDVL7h6QTXu4sX39fK8k7myuO0Vh5LQ7s9O ZNl4/+lgzeMTCgA7TikZmEJ4+UrP1HO+kiwVfiwkwmNWPoTog36s7ZnlsIdi35xrnu91LYcYan0l zUWQGfeu6O6okkxVHobU3jeYVCCA7ZtIKrqJcOh/ubjxLwe1B48Tz/FYNYlu2rrx1/kRov6CkSaa IL0o7zmvDa3RjsDdL+M0Al2trg2Ck36HRO/vCZ1USIkQe891LFls3kuU2KfC8+KrodKWVwE1BDU6 FQm2MUD2bzzQ0resiJALkEBJl7m4tTQDjNBcRs8Nl2qdiFGSWMyLCbYqGMWfobAndPhL9N8PKpG8 OANK3j/Bs8KpeyxHWOQuwPdzHnyMDVD7VEaWeuN097hn6tu1TuThYZfw6ZSW+2Z6Xg/+onZ9x8D1 VwpfXYx9kIJgmGo3n2bww7nASUu+2UCdkGW5rb65g8Lq2xXEImzDqvVBVAI993s5BDRBQWS9EXSi jhffLHsCTE1ITQt1C6O5HnRCNMNdvvS2cKhotTnU1rZ0XmNA7pNFNBOhJbLPvvNHpJCzgxGdsrXE 3SNL55E7BgNp9DLOlWuCr5wqF9kau4BBeuJMouwe0qIAKi2drWVRxGYmN1hxehkCDysI0u0lPHwX ikcNWTaooHEmfdHxz4xd4fC12kiJ6IJT0hQivxhsbp6c8p9/VcsE/L+zr+bNNfpxanRjfdAxPoGT leoV/zsBhD0fPK3HwXDHB3uiZhrzz6L2TiAIp9Q9e9AujgMO5tv/mkz99Ppfq6Ohna4RJmLAYrnc gxnB4H0pRQr+QCTc7Ft058e3YWGol7SRDqXvRLuSonYbs5IimFs7boqEHSZ+C0DlobgoikgUW+TV 0QgGjGrnj46aiZYFJRViFnwCfB+G5Ad4YRzpQpVez9cMA1z8qz81+Ae0GB/mxx69Ai+L4EjCrSE8 +b/367NVUonPnsnqYoajO2g82a/R9XXQBp7i4ysCTJb3PRecyudVO77x22hFJwDC+zEoyXnYwQA7 Jg7jmRxZpmUiP/EaEN+GwkWkqHgTKqXSMCb3Bw9u4gaXP8auhUO9MJ9efvoCpe7nkeX/KJbnc8Wb v/qO6Pb2T6z1YEzAZeiWfAbiKe5l5d6zOoOUrjKOn6ZCxuyemgyzD5SnA+zvl2lnftsDs7qMHm1J 1dONvGV/OsOvKjWZKsyIsO96sIucxUyh5QeeRnvtj25LhzbodbCtJi6rbCC2nMS825btnGZ7cYZp /4a4WdZE2lNwjJBRG6Xe7myCDrYVEIBrpw+9H8x17eB1u3AMd4xY41P24IBcOGDycunIFXlcMIPT HUaKzvXEVsAcy0DMuHpk/J6FzCG/fkhrnkUGpui11ta8ppXEbgEAk60PgUCKahTROxPetaxSFX7T F3UBWlQxLt8b/iwRBn2nuiToI9zgMQNVSDBA1R9k2/vE9imh0A3cfedwc2mzWMaZWgmy/EgJMsVF sEnMMi+RhjPy8cnkFGHUeAAAg4ZDUXSu2pltaYGNxxfNEWnV93ZgZJ/nDNUnAZceh6DrcyCaFb7J F/kqLW/XN+y1y4gPgzsfPRCIhAqPU41oDA2xzgd0EEifPCX2DzIY5T502ZuefI80Bb6oVFsV4l5S cdNXpBzldKPUGsx0kf2vjPjERrbCUPUGHH/p6YPWL1a+ULXcN4gNhqwPQr861Pesjm0uzSzwTvKB qtNdPoHOC7KMiPM+FiLL5c9EKCkDK6MZy+syRwjGY0iRrfEn1NqKrmnsQaskZT87ox5k3P4Q6nR7 q2YuNLBEhL/Dh2Z62c/r7l1U8+Om36KRerwNV8vsqgy5LETEO/Ub5v7u1s5PXPlIGX9HbjrQJXJy VeIdwS3vDa5moBCl1D0FgDWjjsj0ByxWPuWKpkauoWWCzS8NM7vRWu9T42vWKgbvWAgHwM6OHIrQ anWVKVj/GMl0omfn7vBRjbIzZFr+APWaDua9fDwnqxR6s9bs91aXDaVHBGswmoY/Lcm5lE3XJP5n J29Rf+AYTXKxiHqtdywMsoljEJs0dOleNcpAmZCZM9BGh3Uycy9AlButbIBBe8rzmQ30VyDt6V75 2XvU3SwTsCMmVdbdg86Hdrw4fado67F9wjVkW7VVYv5J9NsIo65SKoHfiDSxOVy3jOr0C0paKeSP LzhYV4WYtAJQm2svo2cEzUdWDI3yjYfqz3AG/5w3eSE0ryn3987ziXnyPgv3Db3BU5A+zrkJJXn2 6UXT2vnPcdtjR0Wc4iEnV09fj6LtZjigCpGv2W+t0C+x72ab6FBDSNkvQs5R2qeq7GUP739jlolJ vyGvX1lK4Iv/dB64H9oqg+gu6gVQ2LsEt0nqzJJp+Emgb8uO3TW/duT9uWk3ovMqGK1AZ6/PDO8d PWBLPia9WTBLTB7Fh2dFYUIitCk6iSWmdQOlrRKSafOmDh0prgU7D1H4EEkP/owGJ7mf7vC175hK D+JZDhFx3pjCxMgPBSV2VvhnUmTg0L7z1NtCiBN+ybKQnC/zYo8hfYSGc1Ws2NQ1Rw9V22dHDld5 bCsuilbh3h/JMq2ykAKI9yaAqhV2fAhxXdrGhJXOLE9wmyclm+pa0CnJnTBDsFlpxS5NdaAHPJ5k nVx0n3PlY7ST28z5kRcBxfB4YiylHRr4Y6OqhpgCdGW7v9lHki3ahHNVGaL/NOZ1lcuSyC+euHf1 LGavQl45pgVnR2qphS08NQ5BWzoxLGUHT6kK91PbOpbVis5+YeJXfxCDrI+oglmriLl1y4HsTmxx 0Z1G9J/LXAol9PF/MmDYDl5ltdDyX0G96ylVLvo4uShBXTNghSuklKhFfpL1PnaAz5+a03w8a0zh ZO1dCmJeZ9NXM117H7uDF4gulUFRPZQJ/ggfMbvQicL55XzI/qUWrxJixH7N/caRRHErtCNV1ltP D+S7yKFs/LocKTXUSpNQx1GHKEGcO2HuPRjP4yQQkpss6WiPH0kIAu70nIUFKDgXF0Oxx8DHj+51 b9NiSiGgR5X4gzgcIIHZpc4bDmSzYgVMh1Ds5vp6GHwey+6hQH8q/VOCTFVcg9XDm2bo5SqoYEYn TPrbK1+XROE3K5Mj7/som31pP3Ve+fYioHO2QcGfgVtS0zd+t8SW/SDawVNsL+cxWB/NoG8nmXpH yUtQtOjRAEukxR5K3LJnjLs8JycHFVJObe0p0qqeRCJPERtkaVQYuoFrJ0W3qP1C98SV6VJWdpPT okNcecLSKngYTn4b3G38naMFZE4S3yH5hUYt0I9cInzIdmo1JOT1/2GCMucxtyzjc705wWvFYouZ RsjRHVi18IkQxnpIcRyaLeLVZQWFSFAhfZ7D+CZeBbL1wCfgdC8dFmsn6+UjJtsa+PsnWRxZP2qq JX73tm8zreFHa4KzZc+3rtIzyFWsp+PJQPKRWVH/mPuWJftlF5dOAN9GFLo+3fcAgOrolr4mCaH2 6O/UsII2O/lwhZFDCuL6xs7wPWXz1IeiMtL95FAgGHS+TJk0C/vw3Aou99Zlju8gMyOpGJeW/kKZ vmWOWyT7kSu4bfyE9005s9rLzk7a/D6Q2tKGwnq2O+EfL9qyrs7WvjcvKiP1cDdzxQ5NtA307Ez4 A1fqq2/JNZ2sp3j+oupKX3XKTNcgbSspYnLrJg57O/Pl58CM9sX72r3HWt1paW8zeKXBDLZLh6w7 ZLXjQonRAKk3Z0YiXM6CZ/xeOJ7B00UVvK0dtyf++bgv2qnE8HNBdehsriIKEdpHY2t/wQfGjpnt bImWtPj5kXT79/Ld14zKTqbRe4aLWc623HY0hXonHfLJXc6g8lCfhYDp6pXI0GzlFpyoQJyxDe1E qDaIwN+b9L6NRmETvNTzUJFzMeWMXtfaLMBF9+pDJAzPXOprUzb4FsJ0IzSY9QmhOnD+Aq02YIL6 ztoXjcbC7IaWF9+XFy0twhBu3I8yiK62OjSmOYLASMSExV6JAVwkw77mGurZ30fJ5+nDitUoDAeX 9+0OvRK2/U2oCRmvo3j9JtZrcle1pwGHDsw8jOy7B7ceieaZjTAnCYGAhrlhb1q1XJlUzCIrsEBS DeG4GcJ969eHmjewFhTHWKTngxrUUxGiR6pxiRLrJsfiJUthKqSo+O4mbVSftoIA2OEl/5LJbSAD RbhhijIeGrk/e/y5Q6Mhe2y3dWAMMRrdV9GcBBnej0f8K2OzLdpOU+ubMA6/PmE/SG1Y4UxKYjRt kwKu+WOh+ciVfgoUfeKN83sf0szI4UKAAX6DDMdNna024j2tarRu4oD3tTuCv3BkMRbPLw5T4/Fl jV+8apnjVtKzMoDVnd8NNdCrkPmNnbwJ0zXHqbF2lKM3NqSC7lXdiNsIXoDcXUFJAGOE4QkBVS/a uPfC2lFtSYOt4NjfMH2wYOm6iXuriKe2l6Wm7QTnnrVVThD2tA49R7Tou1ZnGp2KsOQa6rzgkiOD iOoFMxahCkhfhbd4NCSKINeBZdZ4KFr6SRrbMt+9NeU4LM4coltjgmgp212VDvwXMNB8RRwDbAm5 IzuNVulobzn8j74lYmuanOL14aXpsF5c9pBhlTEnEFm47iNsYNKNzqJZTyu2RE0ROhu0ye/IREA8 t/4NG8ElzrXvcHseyQQpAE3U4yYuK7pwyvuPsAdVO/Z2gzO7iaThgYgGyPnbQSn91mpWAW3wI9ik yqjTxs3J0aMOr2nQ/iwgDI0gAnXmnPGyq/WCO+VdM3TUKUgJiH3keS4KD4jSw5wjBPpXvCb1fYef ohSZCx4fr4gUfgao12VDvMxGSyTJFRrXWhKVfz22fdL1DvOmpSXz2MxhX8d/ssbdn7i0QtLi3gBF PNU/3kU0uNqLvGdbfJB+/4mjkcaLQvVehiuG+BKHQo+EFmoS1n018E2MeiIxWNOV9smdp1zLEs2K qATNtdn/8lLXfFloY6oxTAwfO17CCwEAhK7abTPHCVs5+JHGcuEF1KL5ZASh2X5VPFQY/QyVk7jx xgAXk/hK3u1V5fdKoDYO1t4Kmoh9Jg3T3ckUEG3pX6oKD4sGZOk14Jbn4O88q+e07kaP+BNZHeQ8 pmZZPBlu+VaI4SEL1UJ3g4DvBs9J/bARJZwhtnJ9VOhjDtOcQBjHwWOk/9KWd2vFC5S9TnZQOo6a OYwi7S4r04krGEqjDs6EFQN/XEcGzfq+F/D8JdfD1cvi6Xh8tvPV9fLW5uxAs9o/12u+DcLCaSrX cLJmIVUwx84g1b+TRvUeEfk423X4vULE5EZtIF2CeXiH18y9Sj087I8vMtEawgc4YcNTujWVMQuz XEXbWoPih6cfDtLSxUxWRaH0Trxa+12Ix6uwVUpVFiWHBDoeDfi1uEuG0BBNTPOzb3WF6GFmiHwP 0wGPiF1ptluAZ1cPkQkL4DRYbg28G9p3TT4MucbHQCeREnghX9Lj140NaIok/z/FjmliYM0pLBxu /d3YFVqkWhTuBl8QRmV974UzE4U5dL7PO2c1JFtKEH3wP/nRroRxwN/YD0y1QxGwSeHB/Pf4W8LF SWzUZ3EtPy28zaGqPNyenhLRnCmxAQHHSt8z2JKTCMBJ+47ZENWkCxbHGT1H20Cc+igWWJGc/AJ4 mWJJ4cnvmsnnC67uzZSIKWTZC+et9HMy/JQ6lXiaS9P8f1DGORhrhi65UBNjBEXNYIhEIfYPq8UC bRCHbIk31mXfKJ7ROe1OwxwO41TCWanYELMCiuEkatI2PPEaiLnP0ic4n3bphOJfc349RU+9qZLQ ITLfcBY45hknF1QJl+GiDN2uyE7aj+7INHwaP5ryvZb6KMG694CQlFi8JgIBIKd8bsFlill5uLZX HkqELl0ckV0jdVQcGwOKjirBtJshWMrHoC8Mai6KLBO3PQ6MOAxIbmWBvX9qnpE9x2BzBL85CFnf K7XGMXNHvAqI0nOdBFUK1GcXqndTqEcNdj+FNW95N8Xjk7h/DSX5Tc5E2Ui3QuHfdACy3SUcDrqa Gt+ZRp8/0YV6h0h0LUTBxyuvhxJXv+M2CM8sAL9FpSwloHwGYxLP36uSrhPm2eZKWYChK4E47NQi QPFIw3tCdSYYf86hfK38m41ed20hH4m2DWk1JwxBfvDQyrP4ML220tpmQMFlamoaU5ATsDjNyH1L y7x5YtfOnGcJihZq1At6Py3TyHrLe2/JownstycbuIInTiKTexyMehKUe42zjfkU81rZD9wgM15O swolofGizs045LGYEa3RobXwBmMnixxCgdo0tCumRnV4ekFy9sLMVgIwjQ5ScsW8AFOy255xzFj+ c3xyK75PeqwqewNHhDG+pOY4YcKScL7B2s30bUiR8dY8TVerFolX9syg9qXOoA5fi013uvh5GNYa 93QL3th8+vdIbsBK414slDkC4DP9ER92EomZ+LViLz07n6dygja+GEHxJYbqTJHIpeDG/TzwawYN YtUS6GgNCzNfLsGnofudgOGg6zejMD5/LPG6mZ6fB5yLVKaku4nTyPfnfhiBf7oCj/22L1tQoE9R DHRK38otddfFMiu+dvUoeyARs8+PmokpG+3ShIN5jeX1d6IDEg68fcZZRDDlXqgqRM+yks6yUiPS M4282+Pmw7STSs8zeVSZa11uUgzd+SK+kqbpyRyVCIhM9lm0pPYrer3UsU1UK2b1AWiZag74mXR2 Nwjn5XIjXAWf3eKGwuDPr6UVIt08meM8EDZ0/g9fdDWYlHmDkB/SluQQidm09z75j9wFpnujpLsK YfM2WiKyIMNlRDRvEHUKFGuCiXsgAUqSfpuBoYQ7qI63/QJHwFWb1Jbrg2NgdX4bbe6EJaGChGyp ubXW7gl3v1FcWZnVCozHeZ9q6BwyUxUS8jRyqcpIChvaLB6nGtZ9KBxCr/hEs99+fUtnaW9RmU0I Q2u+atVgvC3t4AoPzHWkAZtCcoiKgdG+CEqP/CxCLGfHnUI0QZP9qylzmzdT8JeD9vueo5xvX0V/ KWRIgqdm7MOM/YZ0DnrmQNLFphfBeGXrCagIMZN4ZWQXuHxwfK/WLx2sSKK/hmWAR76OhK1yBGEY gaLrlscGlOsuotAt9yz22kGuy6SG5E9PS2BPvq/yRohmnbhtW5zc+0S9OiPP54mL38N/vPBi7N4A WAkNEER7Kvffec2yg1P7aJL2r9nwVFlpjpGyzSgc0ZtAAetDkkESYZnSnHSWsAfHoX8ZefdXp+tq j2ka8ghddKBL46B412+0TB9oZTrHnTvhHLCcSNqZ42RZld2mHCeSXqgPgy/v7OhqSHhY5UvmcxpS TNqadRcEuwL1MZZvObpb5emzpHttsaJYUiWpXV8a696/owy86PdMtOyrHYhUpPFBGkXG+YgpK2Aa b4hIh2NzxgPgwUz3ZzlFn+vRa+kikEZA8xnUlLrt+OU+O8/TMh8TCm663FcMv5WGOTSQiKEFVhnO kjGiXAlZOkJfsX6uA07OR+JZWN3cBEedc0iaKeEuBffYV4CWKSOBA9HRAZsBHHZ5Sobi+4fIIK0l jk/hsnAemSfEuP375X2lgQ5aE8KJL5PKAFumbURFDtMHkQy1vLx+axyWbvqD9wSOqHe09rHsnwZj oxH8fbtFKKn3BpjKXbgAQjkTjZsRZqtXgdWGK0XKRohqnfefxkdCzB3+BZSTp6Kcom8z1Mf8ERIv ULM5eD1FI94UjTqijhFZ1nXMfWHpHLIOkA33fT1EwH5d8PAV2OT2TXhoYPhWN6QJSQ4yUGyy1N81 +6SxtolD4/9EUQ9bnrtqss+QmM2XKpHTTC7Gj6/q56hiFttnLsusJBBn0PpMBz2Kor0O0oCWcALX 0DlXtAuiBpgdA/UoIkUQiDlaZxDkBABZCP4B5GuN3WengB49e0s1VQznjTESHzAtf7HNfX+uR5R1 14yZN1Xj8DdBS476QszvwMiHtUS/ZYWzPhyJvzZIQ0rquGatRHNW7I6S71nGue8bmzZe13YU29oh lZfywXFBADFstgZJS0TOyDJ9FRgLRQjBzsQw/ra5kiMPORLkEM+zaXg7DnB8VR7gmRplIt3QjsU/ cXt64oDia2LRidIUB1IJwD6h3O+uDe4dZWnOoT/VteyXr2GHINZDvki1jamyzTQXxAze9BefqnX0 PoXj9+lx+2AYstokF+4Y+XZFXb6baZlGvkJxhULzPjgKkatQJtl/XIxDZAEq1GRvLlby197wVzYM Jz5Dxnmn5Rn3dTcbMOIlszp7Gc3t9bH5PKQX8o8hPKfq054/OH62ENzjqq2xD6yF8RWGxBrffL8J CXFpQPclNI9ZVlk1lp9S9kZAWKO+s8NgxhJmgdvcqQ/GuGxZgiKSjwXpajB0Yby5WJzU0YuD5KXS l9I3gUKWsihILyqBBsnCzaPfuRRDZaWc3zX+TVArdyB3Z0bMwH/jqXdaXsHtuxSfYpPU9Bpr79SF qrowm3mpAGVl3mE32Pg66vTHPvmPANeRI3hlZz9OIlSOzuGkdqYksPupA6eW4G+nWf5V/KZJN0RK IZJepXGDmSZzCqUEWBQFQQhMzisce62XC2TLk9cd8NDGgeKNvbr1bsa/A4PW/jExZzOCKGfuWquX cXmY2McxYr4YQkCaTiX89NwaBpHFY97xwfFaOGyg4NTS5xIgqmuGl+QJct9r91lETjslM0fSDL6B nH2sEncOgiqm64s2yFqjvJcXM0EAH8S6PtfkmVPGF3wwrT2ggTkhbGhWZPQFP9jwmpOPLyMlar1b z2qeXLmx7fkzq1QlOryA3eiPt1yKvMepglt8WOMP2Fu1Xkqpe5m19PZynds3aAB+W8ciVQp1SAQz cInsdcaG0wYKbp0sJCQftaOBsTknL+UqVNOmxBtuWyfrj7gkJTdPQlxbN63shWSyTWOOoRoE2RdZ nr8WeljLB4pM5wkFQZY4Y3kjJAcRDF3YUzizAEW3B/RKaJBA2kBdBS2ipaxWsJMJj4IaBK5xycrY fS6Hg/6lW0Uv36UPAh/moC+/+qPuW5IIa65SRJXB01qaU5MjZjpdWpAlYpSbK/y/csiyVwFZuY0p SNYT7tv9zUaPi/9Vw9ueaiLQ/Vn9I+BhzDe2bGvlsxWjsHMx7LU17piKSKZECr7bWQhk0GiLq4Ic Ohjg9ZqZvBkYqRYXQKEu7+aWPr59b13X93a4pKHx/Z/sXxNqSvSGWhSVlfFOtHpw9BAy+ZZH4IEc 7kVvAoegi9HIEtloj+ATF3KXDR0o9BxzZacVdv+uGDESdPHMllobIrU3BP5Gfu1DpnSsVJJ3Ff+V L0BDrzTU2QEK0JpsEBOaxkHdnqslQL2TK1jg2K6ExZjNrY95tGsCvVgUX2kff5jVLVJCn1LEZRLi p9+hdVqHuMXHz/SXDtJkWvcEUsRIZih8G3+e7fBFdhU4y/rjK7CDoZAeW0xoCQzWEhPG1vX3z0xI 7tYsdaDJCcf3wUKzr4AJ9pBAfvAIsIOxI8L3wonTqil8hG8OZi1Tq/Ngnt5engFKUBaD5fy65LlB FejhxTOf583G88IgaTsVMCgMQWtSG5Xyc/R6Ikm43r7D0R27AsrIkF5hXSczn/o6Z4kdY6iQKSJE YiSrF+p8W9VST0/+YHOUDBjJyEB6QvERiibsTfS/pDCnuzVCBUb9glRuuq7Qiw2QyH8LzGWusPiU kF8FOxpMjKHRXamG08sMGnBiAWDKXiTf9RxQguzS59/AGjVfER9NRrLDlDf5Iu3TsOOa/OUR/PqM j7gTnA/fgFa5a+dVtsWMN0UB8IDCb0pbv80sL2AMVStJVIYoL3i6NFwS2gxCkDm5KDNVSMDIX9Zh owtKfNF373fAfdieTKZjOttJrc551Lo/LdugANNlwvSsYZOh3a37g1F2DGz/xQlyH2Sm+Vd3u/AO H0ZQPwtQkoOLHWXa2Lq4IgsKH6T71bsKtYp+DfUWhLJ0TonJJmurS+r4Mu2aIVXXvN++S8Icruos +Dmj0VNd1bJ04kSHVxXsYOKIxBtg8kyNQst1ErAl8yk3XVyddJtYOw0l7tf9HAtPak/ESJxAoGpy sM1XCjn4LWPdWO32EAkItGNWWVhmmBTe9YUXr5n28oFCuk7XVS2Abp+FFWLMK+WscR4CRrAJ9iyo u2S6lzIR1uCmSDjBjvzPtua02t7Ig9wgikfXDWuOZ86ODsKuGvLbbaw5sEFOfDJhoWYy2vgW3hmt fUcKN4T15SasP6tqcqa5GhlXORuyrsB2ldaP9jHNKh7T9o3WaPgmT6V9k9eKvbJAZlR6Q8oEpqBX crT/8/TWbqSS13/EhB3c6r3MZFLZtWT6DR+ibLXLmUs2Hr5zBzjISgJnXKjOzf7rZLRlQLFkhHMM os2q4XmHm3OuBH6PucrETQENmsNjjH3PJYd8JoNLDtWaZdLtuOdVMgEqvgy2n26kDG9syZsJHDKS 0mb+g8+t31HaUPionqMfQMbpzQZ5Z2iPfgBWyb1Y43QLsXzoTxVTmCTyxjYDrwJ9D/DcERm6Dv1m Y4cXobvhA69bOnvCmcHuzYICDCuUB8tTnqBLgY/Shqq8w5TbEbM66pAwJ9fMZsrJrzGqkunUqtb/ dbxv+e6vizzqtQ00LfW/EnraVPmzTwdzqnv8wWDBMSP/zbbAU5fGHISyjerEZq6rZrek7dEemZex 5vDayswGkzM3gIgXnVjeXgBBywmB9HwtL5qrMginPxgU8dH33BGxd4XGad+pv5qS1NahbGXJYSSB FT4p1Xe9CY98H7Lf6Ac5q4T3RRDOzRDXKWkRqNCiDVEuRZR+cFibBrrmT+zonabBsc5rvQqSjI7t 1WkKw6vKP51Zl4N3eEjurXFmeLxpUXtazmgCaDj1rUBsYNJTbJHH07iUM7oXgRSSH6+XRXg0PyuC KaMlctG4nSkNhVRNCeLLgI8hWUFpo+KMlgpMBGSPCWFUz7I4LZ/nnvL12yBwL8BlNi20vhAS3RO1 o84XeR65k72bRVOdH3KbiKeuLF3JeiDoa3JksOjrorpe6q3/5+xL1BzafbbWz6MdSEeNZZdlU79Z B2uRGe3plncH2PiLYFRgGRg/NRufMouJEyz6ic6Ge9KDU+2BYKEtjIhrYKzIY5+rrCZVjeAkEB0o vc0COSQ7Snqaj/3AwbJn/cHtLATE2RO/HC5H4wRpageDLtj99DS+ULT6i4tPwHCk0fzVdpEQX3LV UuFDcNMRyIY0h7p/Abh0WM3/IXKw3YcNGUZrCDpTXoCXf9S06czGHsuCqbSpcNHzz+Adx5oTkilY KyrGqBhOEfe/ZmySnWmY5dkYbvp59uvVxEg2VBECIdj00fBfvcG2MP+XBtfhC1yGdlQ5fcQhr21D `protect end_protected
gpl-2.0
e052b7937c81831f2d76c8eed8ab05ff
0.949137
1.824647
false
false
false
false
olgam-/chaoticScan
vhdl/chaoticScan.vhd
1
4,802
library ieee; library std; use std.textio.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; entity chaoticScan is generic ( N : integer := 14; NumOfMoves : integer := 6500 ); port ( clk : in std_logic; rst : in std_logic ); end chaoticScan; ----------------------------------------------------------- architecture bhv of chaoticScan is component random is generic ( N : integer := 14 ); port ( clk : in std_logic; rst : in std_logic; randomNum : out std_logic_vector (2 ** N-1 downto 0) ); end component; component ramImage is generic ( N : integer := 14 -- here 14 because 2^14 = 128 * 128 ); port ( clk : in std_logic; rst : in std_logic; data : in std_logic_vector(7 downto 0); write_address : in std_logic_vector(N - 1 downto 0); read_address : in std_logic_vector(N - 1 downto 0); we : in std_logic; q : out std_logic_vector(7 downto 0) ); end component; component chaoticFSM is generic ( N : integer := 14; NumOfMoves : integer := 6500 ); port ( clk : in std_logic; rst : in std_logic; Done : in std_logic; mask : in std_logic_vector(N-1 downto 0); randomNum : in std_logic_vector(2 ** N-1 downto 0); outAddress : out integer ); end component; signal write_address : std_logic_vector(N - 1 downto 0); signal read_address : std_logic_vector(N - 1 downto 0); signal data : std_logic_vector(7 downto 0); signal we : std_logic := '0'; signal q : std_logic_vector(7 downto 0); -- 255 gray signal randomNum : std_logic_vector(2 ** N-1 downto 0) := (others => '0'); -- signal mask : std_logic_vector(N-1 downto 0) := "00000101010101"; -- 341dec signal mask : std_logic_vector(N-1 downto 0) := "01010011011100"; -- 5340dec signal readDone : std_logic; signal endoffile : bit := '0'; signal dataread : integer; signal datatosave : integer; signal linenumber : integer := 1; signal totalRows : integer; signal totalColumns : integer; signal countRead : std_logic_vector(2 downto 0); signal countWrite : std_logic_vector(2 downto 0); signal countAddress : std_logic_vector(N - 1 downto 0); signal newAddress : integer; signal writtenAddress : integer; ----------------------------------------------------------- begin RAM : ramImage generic map (N => 14) port map (clk, rst, data, write_address, read_address , we, q); randomFill : random generic map (N => 14) port map (clk, rst, randomNum); FSM : chaoticFSM generic map (N => 14, NumOfMoves => 6500) port map(clk, rst, readDone, mask, randomNum, newAddress); --read process reading : process (rst, clk) file infile : text is in "C:\Users\Olga\Desktop\chaoticScan\files\puppy128.txt"; variable inline : line; variable cell : integer; begin if rst = '1' then endoffile <= '0'; dataread <= 0; we <= '0'; readDone <= '0'; countRead <= (others => '0'); countAddress <= (others => '0'); elsif rising_edge(clk) then if (not endfile(infile)) then readline(infile, inline); read(inline, cell); if countRead = 0 then totalRows <= cell; countRead <= countRead + 1; elsif countRead = 1 then totalColumns <= cell; we <= '1'; countRead <= countRead + 1; else dataread <= cell; write_address <= countAddress; data <= std_logic_vector(to_unsigned(cell,8)); countAddress <= countAddress + 1; end if; else endoffile <= '1'; we <= '0'; readDone <= '1'; end if; end if; end process reading; -- run 6554131ps -- run 2000000ps ----------------------------------------------------------- read_address <= std_logic_vector(to_unsigned(newAddress,N)); datatosave <= to_integer(unsigned(q)); --write process writing : process(rst,clk) file outfile : text is out "C:\Users\Olga\Desktop\chaoticScan\matlab\puppy128chaotic.txt"; variable outline : line; begin if rst = '1' then countWrite <= (others => '0'); writtenAddress <= 0; elsif rising_edge(clk) then if readDone = '1' then if countWrite = 0 then write(outline, totalRows); writeline(outfile, outline); countWrite <= countWrite + 1; elsif countWrite = 1 then write(outline, totalColumns); writeline(outfile, outline); countWrite <= countWrite + 1; else if (newAddress /= writtenAddress) and (newAddress >= 0) then write(outline, writtenAddress + 1); -- Array(0) anti gia writtenAd isws new Ad write(outline, ' '); write(outline, datatosave); writeline(outfile, outline); writtenAddress <= newAddress; else null; end if; end if; end if; end if; end process writing; end bhv;
mit
3244e4c026e4cff1ef62f25b2ad8c662
0.603082
3.155059
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/shared/compare_ne_im.vhd
2
12,747
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Uj4n2OLURdaS/TR0AxLYj/WdNd7UrZE7a50UpjqpsqPbS0SHuBrJHlJDNa18etDlfLPYVrnScGEo nKevSuehFw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block FXzA7/DVCrgLies3jGbV7OyFc71BGeBHD+3MbZf/+vkb14AlK1maU34UNVrTErBpHJSpCWe9WGP/ 7vvSY5B6JpHb4N0bfp61HSIdvE3SsdjLn1FbA0kWjg7PalnAawhMDuUtK9O7YzLXyMfysbgrwQWe zr/EoGEFe1HdpvsuSOU= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block nNc4Kv22fIOvU5oGl/ij3LrvLeC6eLtqv4BOKjRiADASr8IS7WyRQbKHRYMEke1l4SPEfT6mYpvw N5iGkcjyx+RXfdTdw+Pd/74FcDnkYZqFoi63mT3bdFfcl/GdDPNj9j3/yWXEZJDjCVj8xwn5OK8w Wc2vGn+MCKpZr90sR7cKfm8TByGxfijug35ytvpNHIFAyjlVWLjLfRziLBzlnfODuvOvT/Xr1XtE Yl5t32Bbx7NxqhtbaK5VO09Y4xViRjtVaWPQq/mwkYHdZVmmEm+Uj/EKxDLpEKG5O2EFFwZAF/+V yL5Pu1yCgr9/9fvg0xCwgzOl8G+IXZJL0Q17wg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block XwFaSSXC0b5+8eUvt4MFwWFrDGTNZ3fOWGo7HIVVBfaZXYSHrEP5IlXM+dqwkYNLL2esKSAHQDZY 1YzCrwF/J1NoHGdM/JTsBOMIYMvbGzN30sKNx3BmenyummhQptsHpHyMxWZvJhwHm6K0xS10Gicc iI+oPn10P3QJEv4BAOE= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block LmTllwJZluJIpT7XBFDfHkqSnpqjyM5mL0Dt19eRTAbdtjf4OtPuDkmCGUasUAm7hCQdmH0CdqJ0 syEdYbxubsGhW1gTx0JSdHdCudYw+1u/24QQ7GddtOrjKLFQ4wY2KhGCocXYV5DL42L8Gu6q+9n4 QHz1ZdALgynEQvfK56aTE4oiEguf7IaHIxLiAgJbscN+baJ2Bs3kQLKB//hBN2F9aGMi/IFuc+VY NovERkLPkTT7FhTS2/FdyZnkfgXv0/Gt2zYOyIkmlbR15A2tdnUFpSuBmD2pjTAUp5oaMRHTHMAo HIIn40+xKQ43G+IZ/mau4QcaNRAkva3jgVToeA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7696) `protect data_block Yt3puhhto1jWffWsS0ZFuHPU1rJb2tjzVkqqXq5RlXXEAmIppyg5C1hM18yi+unFOhS42oDdLEd2 OBeF5vvT63Fdw3t6Dube7E7xsfLG43fh0PmP/L9ccF3M4emhHfJpFxhGmu3DRBO9a2j8AtfILoK0 VCaS92mazBD40KGJDo+hynsYCIapNThBPiyz0+tV0ys4F6wmYwCFbTT/jsaBuwyiUF5ngO+IP/z0 uiEgGgWBKgheYVcyLNWuuUJHopQsozBikJVZpIGM/bmTg28WW5Np50ayfb4fnpWIKRtyi5lRtJdS WnkfwjkTqnmAPxaxKfjXlgaXaPTWY/+Y35GqX4XbCvF9Ijrj28rLoH/AunpOO0HYqgDu1GdzrrsM SaOwJ4nnn8TFGAJLQ6oBKgrkKKpbuxvj8AFsJpPwPfwbh9sPtdhHwjcP3ryxtvGFUw4hcfp9+aJ/ ldl5p0wI3DbQltfP1afVUyu8cxKi5b8NPuWLEXLZT7SAO1nFd00B7wULktnGozZfl+1MUfe0Symw rkz/G5SX0Tr8r8L03/otc0XoBHsT9XCalPpPvT0D9SFRtv38JxZZlETIJUcsxDyHzo0vblxtvM13 L8pZ75AwmBmCJ6oXe+1I4dIbf/gvE1y9+3pCKhH4xSu0jpAR+DbJ7c+QRL+c313PVOzDIPT8TKRn liVsD65yPjTbpFz95xUv60FHTOtAZKt1laHLYffk+iCJckpNiARPTrF7Z0U2hGzKHfDufqxZJFKb oAxJxj3qnEGLQu1aMnjbR8CyWnGFKAEmIJUDhS7Jj35QmE/20+16zAmsz0mSbXpR00VPW5p8guKc SJmaJjgZgsbg9xtLvQSuLaGq0OVoH1XKY9VLye1G7NjNNsk+biTdGaFW7XtcX12cSUDpJ+eRUem0 X9S2U/g28BX5BWO8VQ18WsNjpJhJ34MgmSo66ZtXdxvSkT55w1XVYAVg6T1YnX3oUtHfomWgzRjQ WqRgHNbz0tHUehoRWt7NY8RAj6Rb6Q6r3RA+4nzfm3oyb/OlqwN8tzOBXLY8U9PeKA0RCNeQNJ3p FwcJB9yfNYT6o9Abzs/11I1NXm8eGPSYGU/7GIimL/exhoyGxUnq6lfhIm9ZFlnFan9yfoRNVE55 FAH/peHke43ivNoBYUdgeP2HppM0uyPd70VI55fMDsz7DVTCBzZuhfHGXAEOk3xyB+AVx2aG/x6N CDkRtkvQN07yJNJ9ch0OqnsKrwTr6fcUi2rJbmpXIYxM++fdN88eanyrH27E9DNGcV+EjQZA86ZM K8ahn1h7moxuenMHnlSQJqqvlVn2B6egyrUdHtqdmmXIbVG17u7ElkczWd+0+KOhNpzQzlMNc4Jc 1LAXktHSxxxh2t1G7oNLiyhxKyp7jiFzZmHnip4D6YZtZl7q/KcO/gQoNse7NzwzbgqqquQn6Mpt eN7aGvszzGtIyrqSaiL2AOrlaHyGSAy3/dEH9PydT9IFU73fAxDLxKka3EOmhCOm3WCrkDQ7FMaN rM2UUJzvXwx+FxZHS3EOyeLsAbiTZJ3cL3qQwkqEhSsDKi7hOtI/oCkw4toeU8Gbn1TpoTt8S3lt c5+0+8gfFfvgMjaC4ybiAV90q4gMahpnSIcurq1ofUJfYIAaFUQv7adusiVPsKnsDmnCRv3qsOrl KTUYVL2yrz3Eg9pMmOZEQni9zxleLeSD5z9Q3nNLjEezauq2vUiIl5VWy6MYZ9/N3nSAf+7x/Y/c SAO1mfI1F/yhEoeiut/2V4dZfKi5xV46+hey8F78d9nIhMI2ldwI4brGj8xKJHquZvM2FO9iDo1R gpD+2vVF14g+GtQSidpPwdVfvAU9AfFIPDKNj7xI9O1dsdV5mOMvTJqfT2YkZk9+qXpGdkqgPR3+ 0cH7eEpE09ocbS4JXVuJ2ABcjkp37tB9oGkDFaRWDrrv/vchWK3Ts151VwhjInWP+0nkrHv/0xHZ bq+J/fl95CwYbnO9hJwmxPImA63v3xYsulemeCCM9jivdW79FQi04jENLDNQ9ADLOJtmkg9YYvwF XBueT84WfkkkyIvYZaBV4+Kwi+c26xMWLLs/IHuYe8mdbGPXT1shNFhUU0iDY66+e57gPr8Z02bp y8yTMrFdFK22w79ABPiehTXi1bhpRimd112zyP2diR/XavK2VaqlL2+1eGudRsGYsQaG5Pd3boWc EaDAMtxXvhEnQAAIYtjWJu2h1B6VtLF3xLUWadg9h/JnM5lZqO7wgaQsjSaLbj9yq4z//6QJycnl /i29JagbwrQpVyKh1cOWIcuNTH1ytjdj6HXGQSH3J4nRfAhm6Yv7ARz5T8jcdCt517CX/Bg0hab3 v0D/vEQQvtD4ZcZQpmtwgbU8/WrhF0wUkMjDWJZe0/bcJxjBe4xdW2aumTpcaNF626XMo/jbEyld etM7w35vGggZF8EEss9Nkuj5q7abhZUzR95jyy0bX7SYSSCXnHPe2ZhKfEiDQ+0GfTztS7YFTxS1 wJy2BeLuWiBqBhv47FBjBsQPkroTrMKOYIimeqiBGVox5fnEusd3raTNtRsTXF81vlt1xaXFzubu DyXLEwuio2Sie6s8EBAjgn8/gifQzo13Gp2rxk/j7iY9nHpFGVodGGw8Leg9Gfcp3m663hEf6+/Z RJt0fmX8KBkSfqq6/wPbmWIryW8q5jP7YStamK/zIKO59NWyWH34PkBbMvmkr4ov9HuUaWX6Foz6 RtN3yw/L16SnwBnIH6cGiNGgVJF+57P9sitifUb6uGMgQJPuW1Z8+gzyIQTjOaDpYW1BnnM3qX/z r4vqpbD3UNOWtjJFxPr7eURjbel9Z5v+zHlLUNC+Ky5/cG9s+6hmYvldnS79QXfcVt3KyBq020Wy uu4BtRv1faYMkl2Jk49TIWXKirpO51U2sc3Y3tXMDr7O5gdySxlwK/aWzFEzLQreYSVz12jqJvbT LXJZE9LVU2KfDSx9DGIGvMbiMhGwNmZYtbg3kTJJmiXu1cbBfe/pO1NujNeJa9/h8UEWrLsiS6wV NcULGTeljUkjiYZTgRxlYWfH8t0FDTy7t25d9bijrvQBtuKoMvekzkOtGa4cO/kTs3YuGQjuPiJf zeu4MlOaC4faw0o58o7WTyh19o8GIH/k37aYAw1CdyqRomogOXrV6GBbk/qeX8LqwVRk539kmqJb jKn1wyJw7upeR6DEY09YAakwE1nAGcbJdwLbIgq6SNylnO+YG0kbPtJ1GrEgDqlVJMYpaAHFmozf H4SqoMplfWizIeHXepBOYv1SWzyVTOb6RYOQIrK88KQCPV6AuvfebY4G/mEgwNNN3sRScSBym5dA NZSLy3i8cYAM6qPfF1CB3RPaOjPY5Nge2VNIrsxvC09rhaWa17PyBsz5kU2uvYJM9XYItcpnStBU Hph825yyT3vfWnkhAyxjJcpw32vVS7A0fwIfz1pOevcyHVZh7vIs8V7SyqR+5VOqtoCh/oxNh+qQ IXFuXCe6Y0yt6UzWYVKqPzAnbicPr8fzcC/x3srqNk8S4iIPXuFGn66Tj2ieG6U8DZ4trskNsrn7 dfZOOAv9HwBjK29VMg/7yhqAcBmajKuFTCnHT5k23YP70tASPEl+vXDQ1GNyetb/4B9VPcrUGCQd VfkG7Z0h6MDogwFbNDUlPZwssLJD7+z3NFEIchlyG84LKjDzBImMHMW7fYolm4HZSGjadDIa78c1 uzHadwkcoN8kdJeGHgXXF6DXinPFc3GT/+nM88wSngcvsFATW/hyimh0BnHjMz0wlKE2pc7sLX0T yZndl0lw7kLX7HOYb9tLAhlso+NhfSEm35BEl0D1BT0CqnrBJaZXuz96e1Am5TcsdnI7DYCQghhr neLUOAj3k/I0zmoYEYPf6ryb1wPWtJgLY1jAFEwHLSUxf+z4FdhsFayuFfRuaNbi0pvhzGTopjL0 FoYVOQBohyCq/JDCcZuhN4sv44dxEWMo8Wa3VnpKWAn3Z9IRNNqTf6h8MOKas13cfEQnYB0X2Sr/ nIXtT8aHk36J2jzP6h2rIxTYpOWGhlowo2hUKDCYmqEwaeIHTnzalBHS6gKiIwiWsB+4a+Exg3e7 occoije6ItwJeS4zsaZZnGekEGy2jMWAM4HS3+lhlndvuCPQcBHd9cEmSHXCgdV7MmUVnmGyxUMy QfJh1Q0osCYzZ0D9c/EY/RYRGMVAbF/3e6fCe4I4gtQloZSQwIjQ5bWK1I9zd5WEqMq4YAH+o+X8 cVXL4WqyMNsPtVwzW8qe1P2vym+AqKK9xIkTmSrp5U4f/h6Zb5HDdZyDfgvRHvxvhqgX6kNFlsbL v9LIisi8mVcH5ZLVB2ACD6W3zn93M+f/r3NyPib0FsT8L7rEChuVc8MEhKxVV+3glhFK4ntTuwLt DVlR+NsmUNrLWBc1uMitqK8wF92WnabzcV3FpVRFIC9cD8UllFMJ305hteO45v2Wbdbpl/YYFbzU cTq1y4o8FQqpA1aESHPhS3owqgooETVtSAt64s6z0G6OwjuNsfCL70lHGSSJddFJhJP+hOjzOf6G 1pizYS75hKmXlwcqLW3/SuPONlzw11GmjD6dA5vCGGiFIxjLBhSk2EhQxtHR+1R+ZR2NnYqcBS76 KONP9yfDKlUHGum8vMH6+IcbYVa649uMNag+5k/sfKIoJ5fgcjDZWa5yHwZL+5bZZQ4vroKPy6jM oidnZ8RhLsDhpZvdi2DyFDTXvh9mHkL+jKG2eQF7kxUGG4MnCkj0QFZGGA8nrad2uITIGA5Q+tRJ NLyrWr4u4j59PcV7KjQoKbj5VbjkscmLZ85OnhUD/7DY1T3YRIUTow0X1dRS2fpOEHEXo7Ar4yOL V8fhm4mRP4FWzkF4xRRZ+GtOzZenHJ7If5XyzurU+lOS7U9EtSdHRzX+11sd5DKJn4rBPmoYxk8L lGspExRU1N5J1rsMDitp0H8OLem8DNOrVtiZ/ezKqXy6R/AzPiqLaKuXKU9nXK22mEl50kAfbnmL 9h1iPXX1+NGagKtmGps060D1qjOsRa7vcI8ZIfTB6tQQmhBNuzfJNbUE6vd3aPJ0IcoQ9+9JdAi3 08D+A0dGPLT92BNiQFuwWcnYrpnFppZeext+VrGlf2R7znckprRwkKgN4G25Oe5YanHv3OvNFqFv naGagItHghQeoY9eTKOsdcupNUI1JU9WSr/RyoOIG3AX9SVW5FcIltPOKbusOTXOi65Dh/4S7qtZ FkpECaWObHt2GxQy7nJKDpEWrSBYtSP1SkLogO36yfUX0cBmNp6VC5Egy2iaMXNTC89U4I0sOdo5 7UQvuoSjQkDT4eloJQKFBucGzNQjdN+SG40TZu3re3fnim6GYpwHZqC4T2UBqCxk2KSJvs5KZMHh LBYlD41zwOpInYeabQ3YlaVBnY2jD7/u/dBuy1g6NX1az++6c6U8M9smU7m3nyQJ8pvOF9D3tOHi 0T8GwqqsPVbaR0vw1zGz+Ri7rNoidKv8iCPg+OL3w0DZBNNk6LeOZzkzM+/QzYLSq6gRq9MTD/N/ K1fxGZ00+QEQPm3lPdS/ATaw+3fEEaIlD8HgUDtcU/O5h+yDmEYmt989LLBD+9iYKv/aEZ+jWZRh xYTm2PIoyJ+l73SnVHULbBO6l/3Sik/tYCp9k4lW58LWYyJ5tVtPnLh6R/YHG7rkHvpkOvKKqUwt yU4XpBofxfb5RH8j2ZQaMcX2q9LIvnCmaAfs75lhGUIVqi6U33wA6QNOeshpeuPeSI7aqSRe2upV YvTiKKm7AiWDeKi/F5z/NloYCgpOyO9PGZ/WNIPevQThocbZ4Q/YFpO0zj0a+F7VmOlU32hf/wjq 1wONc1jIL+shpoaYJQ4SKchWaU8MsVLPCQ7z3/8IVtmdQERzDwYSfGlBDFQzodjTG6MbBlCusv// IlAgGCL/bqRHqvWwPScig9Zy5Tdiy9UhHdDcyv9sUEiKemo/VmCmAwzWGBpuBPi5jEh+/rnQ3Oln VhYcUrfuethT282rI0tsfyO3jbRbV/KAOVEfDDlinNtAvzpCMTqImaPl8uUd5sYIOQfq8+EVGlu1 kacYiEmhnaa5xhJxGMzu2rlXHjxKO9ruqox0FinX3NW2kUklieYv1tGC9CdchRPyKCFGalNVHm4a mbWIGNDxzT9cI4elbPOdS/FtdI2XdNXBAyw7tZLprmzbnH/wmGRAETkvV8WnGvW4I01GgYN5gLXX EA1VrVNm13v5t8xFgXN8wFViYNAiZ+bNExEWRukV0i0tcnHNjBkzlmjaYSwHWc5w4Ikl21yt9bcX Afc8fyNZkzhVYprGO35WN+yybjXKecsL3tR/927U8OZwSxJzW8iM+WZsdV9v7JOUqluu602PklXC qcYKdUDFcUmRY+YkehiVYJIMt2eT157IhS0huBFpwfQR/y90LAGS4DxH/xdtqza3BXa5s9akSCey ry4OFGMGjFmDMBNN+wrQ6N2kkbY+mAu7tMZrOplNaeo+r3CXrMDunMeBHRxqYx72IBsU8DGfTfB8 pMu5SwTCnb0xMGyROyDkqFfAd1xsBsLrLsd5k4TAXRxjHYpgOqh2lKSeAJ5wz/Y6PnXxzrHXB4JV TpB8luWzaFqpqOUh1h3kgWExhDcaFsDhBTDl1jXlKv+yd26MrgHXq6djPJ3uo7iICmnWPBh4F/1r k+wLCNnUixL6kRWhboxGUGzaclitQzPBSEcLJj14NeO2op+IFpS41YOLMZVNQe6mXYioBdpoG7UW 3FjyArS6VU0IgHgltBf6K52ah73ZcBSk/WYjW8fU7e+DEemR1avC4VM+ZMvHM8N79Bp7+1VlvHE0 gG4um6v2euVxH4qYuBJi6d+ywLFULA9fdu9tqLefUZW8y01Dw6uq3G6+zwhOCkCuJVR659wzKuvy p/UMTYT30VE3dDqweqWEPObmq/B7yxjMoEKfGCmh261eIvvvgVbpCuKVtjiIhH7VpnpEioAeSfPR Bjp82VV4dhBk3aVV9EfG5PyAE8O7x5526oS64aPaTcDeZyAnh5F4WDO6FPrBf6RCTddjgUyyY2tl 1Vijpg2HdOEk72/zRhCZx/h4SrLWlMi49lsbJUZoPASYrx12NK2fQuk5/TsT0Qq62jkHhpgRwiD1 C6gGJ93QshHW7/EeB8lfh6fQIaLpUKvr+x2JAx8lDy4eWGcP3rSE9NaA0zR4OBCK+e6v2fSqMTr6 PkwXPMUuzSfCxzopadt2oBtAOR0ujtjQykvVCBAe2DAf56np094epHcp/THSKbeyAY6FQXiUfynv JxqS3/OoXn9NIwFen7MkZur619EXtlNRy2Z+kk3yh4XuahkJ2nUKuYZysSPmkeJ+W4KFuG5I9FcA 2PoJF/tZp0iifXBFaixOzMrCBViuVsU8XDOYfU9ZqcJXGU5BDU9HrGS9whakZbtnitLyCOQ/Q1zS n/IUW4W77Ttsu/+5bnaKfoq5YyFudfNwLP8xo1IQ2hOB+VOOrL64M6rv9LkRuw3zoLEmKVLCMlUs XgvjUledCfjS/niwiocqV1GDHSs8EfAaAC7yncWMK4SVtsmDGFIVU3Kq63t60CrepLE1mcTRoZ3C w1juv19bB4vXMIwCIjBl5hxKo1/6VLsZ6nwbIEfbRedwctHv4RghrpTEfHO1k4LfI0nsTyoGNUN7 +GikOsK45MkPX2gD6r5ujReIi/hweBk3dF4lVCIgoN08j5x4hMxE9t4OHPbflECZwr/mYmAHgy/Z HXppOOSbn4DENIpocleVjEduv4wKONlTbt+Xwfmt3bxhJix27zjNZJr/aw1n56zwtUNtda1kW/+U S5ZZDpPbCo7U39g5g+wsEaXFQlm/OocyjdILk8dScBMtsFLY2IINLe3kTShwgMO0uGloXHXNCOQ4 6uanfpGxOn1Gy5l7I7zC6oRjxS5n7b9XzVAMWHk4CTdvmdAHoR4AdzNQzxFStc/fwePXzyL0cJp+ aSdDhbMhEaWic3S2R4afQLk9nKsfb+bIfow6FbxSr+KT5XzaIwQs27Onpt3hK9E6A9bKYkXF5Q/B QwmFkaEmdJa7KIO+WrpPbt5OCp/b0uzCKNfo/3/s+7mPnwvpO+dtpjP5otDYIth8GmUUoMXT4PHc wlxpGdLu71LgnYIRTmXnCWDwvWzfshpSj2cFNVoY4lCOIClmQG57zN4etLHix5H8GUVNx3gQhJka P06gjooNhmYrPcV+hA3Lrg61t0SrvF18aw1tr9JgR407JxgirtOROL2+a/dxpNUfxsnnNeqwlh4g UiSKePwn/Bf4VxtBCDuHcvjX3OuiEldELoIH/5p9BNIE7vDqs/zqwrK0WOPrJ2bf+ryj2ZJclkLY pDRv0DDJaoMZoVpxuobxpYc1IiPVcMutvLRMZzmeclepcsezxy8xOkoLBXSkwEfFGBlalXGiEfcL VMMUk+E4CQYJ6xZewbROXVGTHxtsH4cQewJtRcKDs0FGu47W5VVib48vyN4Dpi2qwjTcZj81f2aL 0mDfWz8eDNabZpdd3/lMaXg66kSE49QAzor82FK2sUUR4U/dnIDKDojalp6IfvZomcnGyqZW9KHb ep1MtB3KAyyBU2Hp7nQa93ScfuAUA56j5iRnPvMFybsRBWR7QA53BdPV4z+uaVMuC8nFQ8clTl73 3YJLapITJHVXqhWjE7OA2mZw/OuANSRsvxXj3hGzA/+1Vof37xjqYUh/QvPOjYgyL87NrbDib6WY IHf8uxQhQlgHDf1iMCJ6Ji6sPPyCJt1YIK/amj5CWpkZDYyW46Qy4bnfNAAzWCEnV0CN35RvKJ6o orFY9OxHGeqa0R4TI1uTR1b1gIkybJqS+u4waOCqdS3XM5xrP9paQ4Xuauv32AmJiQWuMTLLtioj 7817quid1Fr7obanrRsiLSvc6GkqEzJ/gqrL8d1tIU5drMOZipjvNNY62swiZienTYmRSjwrT+Wq ZYXWftmO+Gv8s/mVaBJaUgHRJdP4lFlC+lqlMIUR42EoJVXFt8C0+fPBtkd97RtmMFCIJq9jGDQb hY4cT1hT/yM8P4At8yuAELt4WDVGWufECNPCZKrC0af3PCmIDFdY/KLdYSEf0Q56f/zaqv8oyVrN wveFmIk8Olu7VXjkO0392dDefqQRFUOBowr5QwzZJ+jMo5lDY23P3Sxe4aB16bShDqxIVV11j7wQ MH44VXEEQzqtup77UtmA6z6n0N/xB4f1G9WpK/uZYtwQEqODgef6abMRjCT/tL6xt+8+dPCZ1tCz TFmLi+w7lF7vl81WNNFhIOFxL2WWPPGArsdjeMpTB615ZrNs9OVwJOp1NJJjbPU+VTDdmXsolDPe F29MsE/o0ekdJXHWr+m7q2+0T4+i6kcvj7TwpQUSQBwRoYmdGaCIr6TiO3YUPEoWBDA0jret6C+m 6nDIYzGD9Hx1zBx3b/YKFhKTn+0aXBHNghDdHZMlFytmps/EALe8jhpqKJKOjz3NxR64tNZF2HsM iyPCzpNm/3iIGU2+uywT1iyzcFb5waf94hr6kR07ZmrpG5KjWEWlp8aDxekZ2CC+EoOrMC4fiLYh dTB2NwzBAv48Gs1/wZSctucpQboHDhujJ3uQnNOCDHwYwR8XyL7FqfoyaIcPe/hNHNiUNBPdLKQl a6WAbZ7DQnsuSpzgEgDp7CfRK107FV39FkbhvJkpGCsJurgSVAqZy5ceqfj0cP/gcvO5JY47lbvL ewAaez/BIbIQSfuUtEthJR1HLcEMFrHse1Go2MGh/V1BZwPSspI+DaCx1LQ9CB962wXfsa32txbT fhFu2NkjklhATFah2fcx6l4IQCpQg1naL/E6MMTb7RmxCGqH3uI0Jyih9UE1HxfWCSFGX4pzpppt h+w42PAm0ZgtC8kPMkR9K5gWlpb3LEi9X89QQoOiseGxpgfotyJgO0q23MghLobAFjmrr2BSGXer tpaMilqCBWaAktmmkT5jxAJDfS4k59W3rpXbGm33ttarRs9rKHHNkHr2tzilPlFV8Bl77ovx89PR WhK63qiXX3TgweqatrJDNO6H7uCFS9dH1zKeZDibzN6t5ta8FjUw/mT4ww23DHp3uMdJIpjIUfo3 trNWmFU1alum6mprpylOcRXvlJONFpHn6fkRzpfoilvHGxC+I9afN5E8x7m3H9QoZkT5PWBBQyHk CsHZ25XA+HQJAON3zq3DxNLZUW0zWLLHn4jZMg3epP5WDSnqZV59LSs+BOuxdzSbt7M9N0wDA0ls sg== `protect end_protected
gpl-2.0
9fb9f6530e8457ac2b4cd6bb7de7d918
0.931278
1.886767
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/c_mux_bit_v12_0/hdl/c_mux_bit_16to1.vhd
3
25,562
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block H5LHjJJIZlEXzO6gE+rDoHKElvL9/xRX4HFCNX2IeZP600u3MxXgO75K8mBzD3AsA+ZPAv7XF8aT YoviDmksrQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block puM4Z7Mt0oCeQmXwRtxQifColy+hqTw5m8oQWQi+fsaiDlkL+O7yzL0xdyJLtXaWV+H/bXaf6i/B bLUPe+1trIL0v3zuaqKxwvsuwapyFJoAmCJfOhITnfgn4z/4nqHrBx+C7LCYc/ngQf2I6TpfmL+L zTaJP9AgTremrX5fDuw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block TejvzoSxZ5rkyoM2g+FLo0r3QlnYPFEMzfclFqlLMAT2Ro1Siua/HlISSx/BKrZzt2HLK6RWmga9 pjT1lP+81L90iUPG0ZZL8HONVI8WVeiJNl7HuSKbfkVa7xmRl1q0vYuZAuG5VCqoce8T3FCVtmcu ps9E/Yqxox7+nlMZHiCc61NBIIB3XKcGf/ysgQb5JNllRK+U2pI33P4dkTIhj9r5/adlidHOxSNS 128X1qChLbGqeTCnMFgw1fPO+z5bkpeesoHbVHhzylaxL3afAnUfq5pDcphSotYbcdr99YMwV9/6 kEswZly2zrGgQIJv7PPGlE1c5pW/IBdq2/6iUA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 0O2FCxxsp6HuTmJJildqAvSpHhCfpXy2IwIMRhOgHXo1ZkCqDpSlDO+4p75+VmH714RUr4NPLuGd ORLZ+9C8z+1nRv4HCwZ8Tk8sXREqdJzL4LeUMkpptMu1YTG/0Xdc+bYtF1qPJmf/wyONwU8AXmHK 8FaeIbY01oTxN7KgYwg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block UMqD/OMjR/3Ykbwieft33DuJhIKNGwY9Dl4rPzWvtzwqRmmQ8UvVS5pH5xb19iXfeBS3N6Rw6GR1 FJplIK4u4fGM1axYmMJWYo2a1An1wnLor2/g1EU+lqyB0KXGThvzwcMISWoJI0DnLYyW3PW3sOHA RIy3V78jWZ/ldV/NS3wmKW9hqOnPm902CO0jbdjvlQ7qcwLBbK+WPp0MtJz1QdHcLDEQYv40/FoZ RKIG4zbhp6scrqYGKZUy7UfQupOb/p4VFuxmFgzkqcWZJmntYcZSVv6WFCitrhQHMEozhQEtpSod LHnX4p/sMxOtbwqJB0JlDfAjsnJ8Y5RwVsb7cg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 17184) `protect data_block g6n11td1/Fd9QirCco/U++HYPUzVfbzG4r9/G8HKa//ZWgkuXkJCGRqit2YRW2JfdRPr/lKpSRF7 e8nlvCd71XxkOkxsQoPFcLmmQX/LyPAHQdapJgX0rmsJSwhtD+lNEB9zM0saTI5fBBjTUNtNoYTg LBkj7CEnW/vcRQgSNySOcgvpjx32o9T6p15maRNKw6//QbdH8s3ph5Dn7LpOOMtQkmFH5X0OMddo xtTorDboiN8rGcH7ANEzGJOmk4fHHQo8yRuly1GiBrybfkPpZHkAJ6MIDW/Yiil1tcLoWLOSE9Zj iqVS6wCY9tX/jvRtmVP/yQeZe0y6Zpq7rM8c5vsIFcjnAmUCZzWIU8FMz7lCdIQdQnuAHqQDnvLS PD72srdszizJG6qyde0lUbRgMqKNq3IodLdoHRaCTYw+L8giKunJT1kDE9XPFIFPspGVEL9KVUU0 1YMED9vKrZ8O448wSF37kO7STNXS/Kwz+TLeM13pzBFPfkFTVwWs8Zkz0HqN07FXNYpCtL7W1dmQ a6mQ+V86g2Kr23/2aR04cFSHNxbGi36F3VvqTJ4YhXor9dOO7Ey6BHhTAbwuoo6qzYBlNYz+bveM qLY9jGRWw0N0lwXwjVSicy3e7GTzqd76mFduqZJC05y3BO4KYet0gyGsK6k1kStEVklk9I8j7ZIr ZEYLx1AIYymYixNA+A1O4c/Wun6HWXqTVt11Z59kCDKPMHnZxf6Rd/snT18z3qu0lxDFVH+6s1gS jaQUcVbSR/j0WvwiaIK0G0RYjskEmRHBNWO4H6ZSgZ7ysaJkS8xxe0Zw6rEit+m2akNzVS6TaJwo IPzX0pJy9o/j/hNKJidWrsJDHTNojJNPMUw+w/eFLc/dp3wu+JRfzx4lsFmf9/gdiulCoD8W1QT0 mHwJdxTl6sYUBf19dZ60jj2el2weiDnBt3tt9dVHImj8pmSS0EokTEB8B+2uRGSDmObQhG2xG38c WgEqVi/KA5xkBTDTn/oBbCH6x2Y403dOPTsUUPsAhJqF9cu5gdcLbO90pPECOjPQRI2zSqbsqTUY X3rpGwMsdp7GTgzNwJ6U5rimXCg0QZKgzROcguPRHxuRMgbvsgV9T8XNk97EyyW9u6tJnvz3Nqwm OSOVLwHMjtKP9R9qM00RYGfTrqq1UP9qxomloLPXz6AUci5K6ZiDAYO5dqXgUR6WZaowv1nuunTl qTJuEndxxzNvHv8GYgcEBfB+M98V0uEnqpVGqEj6GH1TcNtW7jHiRWBA3B/qSc2fKQeDQKWujtob s0gvFEIH3mJv0nCu/TBMwMkEHLWH96+7lBVAzISzkBZj67fCyC4t0003PBwBLCEKQjxePwk1uVUg XaUlzomvhZZs7dn2wosUeLR9tjyHSaHSfG5f6Lc43PW2J8Jwao3Obj7fT3Exjt/b3xMq0WWdmB8U 8LHZdEVXaTF+6h24deMFELcDrldgZ3DTKg39PDfduYvQDEh47FQOE9ENwcfekeqi7bohtSfeUVKh roNk9oqbInfGEY2NKBcBHVGf3CLk0NsJbkkLgy7QnPs0hx05U8Flmhjls3IcbJ8RDyoiB7SnbCCK uZSvC1iziqxncdOOXHC+qVhM+KEb3P6ecJ+UG4MyideS9S7sGk+osnqAJ2WcmvMExHwdTXbQAJXx 2IwzRU0la26xNCQiQxWArw8EyGlqbJeqbKEPSeek0Z0/76kWDKYx52AIFV/QFfwMLfm2SlMEj7EM b9BAVpVGIUi3C7Ai/PHFBIvw4cTthp0+abg2gnE9eOC7dNgNIvMsD7W+uTeL1GARSs4FK0EMH3W9 HxHEc9FOz7h59Kwcm7YlReGojH2LnU2yfdcjEJ0tRCM4kXX2J1vjvt15m7WKN5cMHEOpUa/71rm+ dNlL5agVsQQV4ddLP/Vx9S+C4RHaOzr33cE/bZGWXasE4P3q+zw887mPfIyyXlGAqYWBprRvc+qQ zpMCx8iFV/8HDIZIxwieKoC7Px/M7UonXcbftlZGpczNEGEsOdqcJS3bKGXpjeR9pk4iiu0EvCgf pFOSYKpNHE78Q2igkF8w8OO7qAaIxbgMYzCpTrSmogoaf42eQEPPvxiIu26MCVTU1bboEZQwq9Fu b4tJ2O/uf4pV+lmy1VnbqudsF0FJpEnrgiUpZyZSmkLyeLHO2G8KzQKjXd+3dKODBD8cO/qBbdnC KERFfSD7cIqmsmPojSa5i1Nf/JbLWJB5g7C2MqLWolOu8zSFBGE9CQNGiQdqqLTQIObUbTPrX2X6 ZGtAk8Im5nAuxg7Zr09TlKbeDJqr0l3FBWR9ek2XHTPr8XnRuTGrf8lFbK0ETrLqEUwS1qS9hlJe Mu2BBUmwaSnC2IXSO2mRuxEXfh7YDOKx9r7Rv8Q7t92bWPT7WifTtRe/pA5rpaC4oiY0TK3Cvxno BnsGs7Fyivl6kUiUNawyGsKwmOnbODk9Ky2WeyfhuJza/KyDZovpw+sqNcCzImX1/oOyRZmAMuHc dILJ9EAVIFuHNmrfRDAS5LhCsnwQb7tLDYu0EOD1L4yMfTdtGjF0yrVa3n/vJJrunN7wwSyUrv9p vjyNuBfY1v7o/rkN0H/cnw8crdoaO2yEcQxCzYks7MvhNc3N/Y/v/UUnS5A6PBvizgSDECtP5yfE 3OG+GvZV+JvHVOMGyl6g++kS2V+2TG6OVAs0Kzg3UJz5YPljbZLNJWtoaZruvIhvU2miFWLHvTkl iz28984LKzXA3Jl+V7tZVbY6t6pBNMqm8PtiX0vwqDK7NcehmYML1cmfSlr5mZnGyvzt5IoZhP3+ 35xh9cqGlbvqd3f0tOWa+UlUDZt90BMBWY3NTLf1TfFOC5/0TPx/KCsIl58AdQvM8XY5WjBTOWtL xCk/QTcvDvPHVwrZBYmQsqGAqgb+eyR98rjYko86NxWuxpcDg43eheyjYu9+FzcoCU+6scb66I0/ CjfHk8px8wE+a76q0lsmU8Avv6j1u31A7tZUIk6CdCxnszLbQ6HnNjxuljHsJ2MmGO+JYC5HYWbN XzNi7beuq1NZiaODMbX93D5uNMVFjzM2rhU/7M7onSFtB6/Jc0L0/LICniYTrDs9PH6HsVKFqgj4 vwguogdklKGduazI4vVlb/6pH+O8Nw48fsisnTfr1WRBZOKWcUGLvwbL0ZrztGkU2dW+aqZgeRSY 4mOA2PR40QRGUyVedXPtRCYtcKlbxlaW7iJNRnoVqEyC9eNwGGWn6+yzNMd3CTOGjwgXmJuwiVW3 474c0I3XxcaqAh7V+Y5Wxydml0XkU9pp2iANbftTIG+h6SPxrqZI9ksrO819AQWhoAHZSOsEo37E nnn9hALbdoSZaxyl4j0Q7EXlK1FrTHmDjqtWNlxnJpuhb3TE6Ckj/6K4g9CgMNAYIXgJg9POmcpT GgE7Z2eAZaaEgXFD69WNIClz0YyxgSAFi3jkGibCTjfz0U9h2oM/MwenSs9vS1r3vXxnotgYAC0Z j3bmWIFIgxZw5guNbIPioSVtm7gxOzJ3ZS+eXlO8V7gG/yt9E9riEKscLnn9kkp5WTLB8+MyFo/q pYGQuG0J4NOT+JHinBpNW1mURCuLfmYnSK8zGbe/G/W2MoEu+Pohqfyp5o5n78NfQRzGxzHxeksv HIP1MF/QHgm0q9VSdHo8ebqIDRMom7F8Em6w+o3RymtJW9K7kASexsMH4WUiDueUkY3Iju+DWd6h TghMZbn+xyHBXmFGIUxAHJHMFn9Bq7nov+SYMrjEcD3TrwhtG9Ai4QuFF/yuyj4ctX93vt5v5fZ2 /VZA0OD2blKtZfLlZJCg4NrB0AmV4h5XvwMmIZW1sD+CBSbeydGP/NtBvVeSdYMz+BwGJEEmakrv kZKef9rqncWbqtXDcAEKXzQ5V6UM4E+6HG3Homt0Pt1AE+6sT1lNxxN/EKgDMpCzd8DEEV+ockTX kR17Os0ammjf6EPpuzygbmeqfOtg2lejMqdHNWr9pHLZyPHvRUn1Id+Cxgpozx/TJlBgguzI2Kag gEUclfAWTS+rbj22yl5D3xg5c4joR2MLXxkvIH+8j7nmTg2aBn/ICzsr/CBrG+9NqbTGussejWf0 mHqlhYQ3XfHCuUQxDhSRZCB7VNDpCzIpV1NqmlvuF20W5Gx/VCigp8wDFtqeVCBG988IPmuOhjGV DvSoTCJGfgQIuCiyoy3w/73Hs8oQfxDWfRuyDE62yCRf+zKuZgHoyUs714HMzdHkwXm/1I8bjmWq IfVO6XSgM2YptkfvNNshn9CtfILf5JGOn6TFjWmfK78rrlOa0Y+DX04Ct4BaNf4VnHzsRZFOq/wJ BLX8tFGULZqlxFdIZpzyc3/PMHU7cbxhGXARd/w8iDnkAYcYX8f0wIDbjsd2Ky+tFTxrxpRMFP8I EK6O0EJZqC2b7lAzkLFG3usqlJdnXiTWzT1TdoASanDYzYY0wHOCfr3gKqNXJ02w6XWrhkjH92ur Nz4VaQV6KJD1PIMhmnggMWm3IT69sGIbJuCoxaPnRAnFaEOXMas5cSg0a6TXj9F+rvcYAYoQByzf anUDI2wBCvONF9lCmBC6YaalnL31arMtBQO0AHZ05ok5wYErenwL///QhlXAsigl38Zh4DBfhJPo iQIh0YWwNBXFub4ess/XrID6zYAbr61rXD0BxcYXZGN59wTw0Wa+gZ/Qva7nsvzdARMAbP+cOyl2 lnx3aS8Lu2mVwUA6PabCu/dDTeDANbviJQZdP+Yqw0n+rVnxvGTVqXGCfTf6l/4U8EZwZmpgyo3q l02jWuxLRLfoLwimGm7f2aejjec6LnHTvTGN8MC7hUQVTvpX7SVkySZP3NvNFUn7DpezAVCeNA4p YBPubDLXiNp+C7Zz6sFK9ZvxutvSuzdwvavZ4nxATbdssPwoccTTQZ96KZ38yrwtON6tW6Ah4nT8 X4DHu4OfPWe1O11LeLOriquqdV+JDEpU9JLdLqsH4is9tak4yn5s8hNO/R6WZBAEcMnceYXUlfXj VTiwyhCPVXftFRohLPAFX6BOxNnvLJtKJyLnB+S0ZwkhfdgrNBYwYLDKmXnc2/qivf2IlfwCAoe7 fZM7XTWNQmRgs13OBr/YG7fpf2jeZkAT8rIE4Zi6Q4wicfp/g5ny7RRdohs6XQcNw2058IZYUVjw XhPweLjboddLhx8yC8cCHST2p9ms66Nyk9CO/LOb2wUfL1KcuuYyR3k61NQHLdsKVzfNrzFlFLAU AnivmXkBEgwy7EXnEGVC2o30E8wFu/f1EsqMW3+1Nf5MRNTlBdpIfDpvC6KZOeUOc8tskmX0YrRu NhAb5daSRgA5bovzMt3UqpIOtXETZ7yF5oLm1NJ3nQg3DIPxRJV9j8ujlCEi8gNCSWLz58GO8shH De5GTsUnjYU4auomHs7HAjOa1jXeU6ppRdG5Phn7RPOLbBrYMzbHj+hodXmcs2EfC0f9LDHxWH+/ 3/nPzw3zX2W+/ytZWQdGNJJsuR6s2AIhMhCM3N2kQmiAHqAv6EHC9+7rmh5zm6JAuLUwEJBMxMBk L5t7rJw/Zbs6ZklIWqZvcdlAeCt9Wv6pHKJgsMpglE/viBFfoeN1Wdd/XA7FhR1JgD3GAQtA3sdT vGVpkFapKhEcP9E/3INdIfQ5Ioq3yadxyDXztMnv9ttjzEctNKwOHBTYB3msDsWWif5qFPLfZvhC u0m/jezmRpGkxsTeiKf3hWV7SjktMQeR6/dvJVOFrnYgzVHhzJxsOmy8e/Fe2K0NEV+zoHu9TqKC RCagIRFqJ3tQ0zruxBVqTM6Vx6qimTelFTQmm9I3vCTKvUBZlpQuMsf11k7iyZRJZ44qN38j91Z8 J6xuhACwyApJ6+uY31arLiWEc34Q2uXWdLoF0KBYxqh/7PLMtspVvioIKxFk8oohb4Mcx8XhgHuB CGaSp5BwwYEWLLHM3hvnsvogMJoTgIIx4cXr8id2HKcxmUvqy4BdoY8cwUTvXTH6Xre5XdyEzywl O87SJ9rmlXDHxW1SL62XQlnK2FCxRZPmgH2dAylg64SVK/0HMniofTGKg/6PfRwoMxEJWtfOtW48 l/UgsvGNnJx0IC6NbsYJwjxewdKDiCrFaLhZTIu91xkfvb4FoGOyMx2c/OHxkUgBCVku1pHKimKd /+7hXcuNVyDsCkdoShclfWmqwm0hZcdY55UuBb5nD1e4QMfMMaIcf/L3/I+lKHhRk4dqAPksgE+G x93OPZGpS/lcQDH3GFfRnXJji5ECPwJ811EAN/AsD/fdjbctfKnBNQQI0sW+v3l2lz8OcXf2NFwl 3ujhgBxtcAndugoy9Sm188tPUQjeegRt8pFCX6+O+m7bpk+vzGMs0Wf9K0eIpxQ8xX/COFmBSYC3 HYaHiXNyMmbYY1021mZxK38CuRPSEqgMCiKrWG4lswuNJCrdQMM9ektnD6io9wCMmHsRoREjaLak u1oJgSUUECqoyX9zJdlQDfcc15qxWgx2oj3jafSwZCsETzO7fuJrwe75d103YGVaWhR/38EYM/7i inw7ylTG3RZmWDAPU8h87TDT3nM/alxTepYWOrDcm8Dq7fjghR1+tdu6JrXvFCIerXM8n3wFKhp3 OlCdE9J4g37UcXWe7b1pBPDMbIhgHbXKqEXsLSKrnIN6U9Nr7Mdi++vQ4JmZTswXlmdfcUwl2+MP HiiSAFjzKZR+on94tipLtybGrif7AcF6XVx3GehxWeINrL7OoNMPXueG+AJfEGWwv/dHJITF5fya gkMZ1zJ4h0CbB8DvCr18HfTA9mkXikHZNCus9e/Bfj3ry+5xQUZJVctBcHXn3amULqyZy0SSPfiV mK4LTRmhbAi3i7ashrAdOMeatj1NsWEhsPaA7RgODYuCT5y5qz2RIQ4HpM5w7WZejvC7GUxRu/1/ m79vBJZjDC9i8yd0htsMXRmyT7JfOvk1EsbO7a3psCIlT9cCdfrg6J1+9BS6QC93IKZLYr28Q7dM 11Y4g9gAHsc7KcqFqBCrH6Aa2077FrmU3RWI1Y0p59edagk7JDtyl9VoN+4v1ialzN1a+na+QG9p MdTjH6F/tUjkl/IiqQsvnMoQ43TtTuDjso3T0PDq6gkZCQNB3rnvLG5bkhGZS1SNJtkMAms4zQgJ q3uU9vdmWHsAcg8yi99G3dUGmPiPPfF6FkkCW9z7jrHnaNGXtMmYbC7eksl7J2RGK2OzfKNjefD1 w/dZTZjbwocPH1EzxPTWclquhjs/5fYkljUJTDwS7c7QHLNiVP73qCIitb9mHvJa9mQl8CZUohfn xwfhau5PiEW4qa+romAual1IzNhhwXJWarMbEhKOxJTFbHgtmn08NgSxr4k+vt61kHf4RnoAV2KL /6jWqQ1yB6MVOOEnoboxcNapIUKdSQZ8h5iHhqyHqYi4aRgAeejdMyeOTf/HViVknBD4D7tiPx82 pv00c7KR9khAU8Vrq65NCs+uXozja8xHdCCl+u2Uvs50Te43ThjMMLB9wu6pp1eBFU0sAPtm+J/5 IJoHuVZlnbH/z6DQJOQOHCP+oWsGx153wvS7I3hwWAjPAk8ENL/Btbikp9CBF3gHj3aUcl2rILd1 MdklP8w4Rvrl3Eb4Ob08qx5OnlKG2xS3pR66Y8dwimmOEQbE6n2fpv8a0p0GCYS9kILfe3PIJSJg +E2Ul6aSt5OfPvjP1D1vu+7zjTAN3uGpSxgjdX6hntGolDLlMVRoVPg6gkJqcjwQDmhfCHnMkKgu Gs+F6S5eLoaxVRj8sD5P/LQ1uvgQheri5qZEekOqslLApuID/TqFJmC30YYngb28m4HSiYyYZiz7 hXSe15rCSw1MFqGgr7f8b3tXCyZ4LeQ4XNslfsgxq9lrocy311HrCea4xjeZrhf6D1y8Ay7pnb3y WmfcXTTEXvK0TwJWBRfsyn/BzHKB56zSZdzynyGCup98V49nx2ObU+ISjg6YNGCThyhyei2zz5Lg 5VnrfyhLXz3xEaTljV4Wv+9bbjAMM3/V6wjH4VXpC49yEkXvVF/Is8brGQIO5E++utcE0KJsQwEj GOdmbQYZb7yYt/4W+vtCJAFUdRMZkeFBgvIVqmE5VSqNFAQM3XeK7IoXXQvrAsruimOeqaqICPYj m8AybKztD19ivhec9ZgJOBbl5BrlkNKAI1nahEky5ynOhSo/hnOkmqjHnRhsHXIv0WIvwaKWAeqa qxQ08dD+nkVT7z9uUTEOffhPu9I5mirxxzFvGKUEzt4Vna8Rxg/a8Dl2NjpXkokqj/Y4V77c9xrq GZmHSEKJYFWpb0Ak6VkKo/1FzKxvT5skCwTDoQ7JgEsewYFZ2uTy1dMgvv2Kht21w4gwchTJ60nb iMIpSwi+Qfafq18p1ILhMxZYsgfc9BcFY8mF6+612Zgam0c/+jI6B2IV34nPOilDauupqArAnCQ8 befD1GJkmQXNVakP9tuuM/HIzHYhxT7kSmadEzhQn/xPuUtLVRbquXXI9DGg1u4g4vTDsrZCiLfs FhcroPw7aRATcKbenJUGiUTRBFGxGb72dr2+bpK4JBaf7zLFH0IAYy1+q/3ErHh/PsIu4/o4ZkMX cXzbthYUmlNyBAqTaIibqxmdg/o+ztjn4N9YWQ6binCbPzA5+W00KYu2hWRe7qq0IfKjtdwj6MtG SKvcFyLKvpn/SyCcsTV9I9Gu06sjbVzn33POqb6JbkX92xfz5CLsYEbePd9K4BJQJj9fAKJuGczZ +mD3+wEbuLxMobphEthRlMZ5yjU3m2iVpNXV7ps1z8zifqSMOJPcuXcXiQTev/9EmFiLulvRLrZq hrxl+Jxp72vk9qv+shUzBl6KXSt5jJP2VIOiNTczXV84TFwihAZ37AglH1YSRI6VY9KIERR/FWhm x6I8AD8Y4I2sC9tLMcXWexRPjbzD5eAR7oHsg9Xs0RrO/JPGFlKKpLFVy8xuOoGomuwBceusm2wL jmFLEfKqeHhuI5Fp1mdnm/wfTeUJ0sviRPR9xIqG7uIQS3+xJ0zp/kK7wTZvFp7z+Jq6OkcS5yQf 7Cfll6MNQnib0h852autgMTkRSxk6TrqcVVNYwmhVenFDTkAmvcQLnXDN8t04yYqnbxHW6QaXIQe h5laD2HTo+GXUmsCN1wTPDDLr2EZbCljVim7vOqjnNIzYUQ7Z3o3wnpJbeZtlkqVjRsyBbBlEFLd aCppmZ5+cVcXKL+HLjtVTtzZ4agfXkVDKjr0aWiuvbreC6Fhj0aP0Xn4uTo544zTPZe372Pwgyeg c1U9feOO21kvDmamOpB5EXwqYEBEQG8S6rkO6VLRL6aXnzYaJiCeZq2y1DOYmls48xyXFvUStRfn HoVvHBwaj93u9XIqWBB5U3lTl7nHdg5O2/hFpmrDU+5lav1uGlWdy5P3m57XkDYMyzQoEeGnrfVm qXn7LudZGrFm+Id0B3UlInaGaVkPxQhpKvzk15evj75MXFO+jVPEvNKa6vVtgJZRfQ5CX6r0B15J jnMDqQZf/OjT6w4wMxoQKaqWBu2u5pVhbZ/BsV9y5kgjI4qFrJfwZb4pKnRiLtVWG3IdvdW5DW2A dksQKwVDJYdQFlVyGpSSWD7fxzQ8gG/wKtAfKoxZL5APf9bqnl8NQoLKKNFjpOYbzfUXqugWyPOi ze7Xcu35b1w0KqJj6+cTk3SdWAoWxhqpUM3gS2HTT8Imwpva1es6YaDl9vnEAYlCxZLeHy1fS5Pt hhS74OOwxFv6AWIGiPr37nMcHEinDFXhR7HsjfeRLLmIilQNLE+VxsUQzyoFfWM9+QPNsPvVZgMW w4A3gMMhumvhirk9AZ2BEYXiIbQdjSCnFvSfcytO3lbGZeM8h1xy1QmXuWr7qoCB1LVkv020/API qnwExW2wniX9pKl1hDrO6wQiTus8hWiBOARkjm00YziGv4+LuR8Oj+GDAeNfwQGQvLOCLEOv6TN5 OW8juiWBQk6HMkU9g5hKfavSLg/0TNL9W1nUgb8d1j+5K8JfYtyRWV6ZaikvOJmweigj4a2DbIJ8 fPy35FWRY9WZycwTcZ7gJAgcNyamqQ+Wu650rnKZ2rRX5Jrrl1L5qNUL8AfRI7JOVnEtORU1PpnR ENOfzJWDuEQR23rTNf2eaMUnlS9GkgGoLxGE0Cf9FEzRXrYmmKvqnEpoRJnOmPrDwkoIaORacOUZ TWicWT2sa6/dtWUmmGJzzk8l7zzGQr6Ok+VVwrKEd7ySuJwy5vU3c19Sq0OEuXDjaubEg1/MXl2f KjB0mTZEByiTnyEetNmLePkteOAkUGnV6p07Q5BTwlftO2sfPAkMzpEbeXkwgL7fPlmgtRGStU+z rfdrDftT89OozTRnqxe9CFrvoadpOLEtRlHteuE1JvItVXGWixKRtACcT+wlZixijTvSV/JKnzWE qWoVnknlwDLx2rcBWhlS9f1ozT11TErRdyB5XC2Be15AH+/e2U9GQtTjlY6yc9VxtbKEGKF6y1eY AK5HSv/PHOtoa+ldcHKX3R3fONL3gH4Tgm0HswPVM797qfHmrI7DCm8wyfxuDM+vqmvbo79cB2uV /57UsbQAiOomeb/ceGh/0sia7f1/G8/jz8eE5MMUdwEkqo7bsp0TjYNM998mLRrMfa3fuSA8be1B S0zstd+WLPs5D/xN7x+9GQEryWqTiM7KKulP3vqtwsKtWiZm+7kXvNFr62ik0Ca1efDF6TXAzRRK Dy56h+itDW15H6C0g10Msd0aAwsiEbuQFtML6y3+MByjmZaXf9o0DqgfbCcnJSmMwObDIXHPQ3oB rDQUKbT/GeHyzuPwQ9i7MBkpMu+29DWhoOsMrKXrkie1eBX4QV/HyJX+mtorwS8memwH76ysQ5RO FooCht0I8AwpTYw4kFKaDlLvqA4p5fGXCrBa5W7O7b+yz0qXcuASvbclpx7Wr7DPGM61Hv+4Jf2S to0WMaqPLPifJL9dZ13xE0Z1H0kB5kSRz7pHRSC8ChypBBauWCB+BmjTHfymH9htH+lATWB/fs2G BiybNxT+/oAP1AhBzSqz3Ry8TAwt1fPxZ8coKxljuzn2qO79hQRQHLf/YVQXd5qhaMCHDhfNlU1a 81nQWTBKF2d9M7yfOodOryX5iKjOSw+gjzwpRZ0NzlDViLLE8Z4xbg80iiqSOV4wgzNVQgTyUOnv 7g5H9qReb885EEN3npZzAc2oclM/+/h/U4nbi3hLXqIZCN0xucQibVhy0UBOw0vfDcW/AjRZXKmD zx3qM7SgJQcBiSEf8BeD6HLE0icp67QHmG3er/I1QKPY2QM0KV4WBx6mPOJW6ZhQWtlD70l6lk7u Rh/j8bSTo9W6kWoKOwjz6/xbKEFdvkZebQYeaaHWWkf8hT6Brbce0xK6wWK7jGS0e80LsVQ93Kgo vzhlfOSMtqyjP+sz+gzf2Z1CUD2hIHwUqGNVcE9e0H9ZL8H0gz2rcA0vVZJDxPMFvdBa6WSyeou1 mRGRzFQBSRgHcpjpTmSHDfwUA/Mbt0v8yHISH2K08z8tLZMbn4DBLG1wFBr7uvwfWQ8Ljklb2MuO /hsGnyUY2O8eOjlN3RfeY6LVTUGfyReqpFaJChyZMYKVjfz5BprQCUBDL5CTlm9eXULIpte0jLkN yRz1aR9O+zvWfXDg58eivrcN8yrreqRi4r6uLFM27uDs/CrZoOrUa2kPGt3fTZ+JLSbuWNOuc4Ro 4XXCoivuOvP29v/8dr9lNmc63rMQBY9/D6W7PFBO4fB0Jj13VlxTOuy4aTfbFQ1RSUVYN5b8Gr/n ptdZILgJf0lRIwwUI0Kcyc12706yaYCA8gRCZGVJPQp/Khs6VRiMC0bnzcEIy75Pj5p8PJUzvRez ncOnELu+Jcdjfj1cQsWqd5xQlrj9E0dgvb3UBwKiFjkyiO1gZA3hlE/9sDWVBMNC1GJLDw46rBfz Z0yA9EkreP5/ChHVIdTnUdnC3nS7/O4hxZuc7zJx6B476aeKKRahg8Ls3RE7AVGM/bJjvi1yZcqe gE8w4yg4Zzc8Y3PNKcKg/j5soqSz424LDLPI9Xuk7kOUikC4AXbUOy28qV+CvS1BMHilA0cLI88j Md6c1BVX+bN9b4ZR24zMpVVqmpc/y63r8sbpQPqwH0h6TJ12+MotrgOFf04uDlH9P2WoEda4flt4 IEP00w2BoppiY5xyLTL8r1De904DaGStBVD0ton9BpYYFojtHt/1I1OG/zIbrX/qiIcKTxJ+cOOZ Ca6kVCBJaZ+Caozjqo6PWsgAhIS5YC5TRQGhXlBTNG+odJtD11nMl7KEVTT9bHtNKX6XIKocWFVw wKx0U8DRhtRinUZiVGQx7/5s96fpah/7TgTGI4qvP/dOxsCv/xHj5hch36ZQrmJk0UJhdlJYFsLQ YTs6kOYXSe4D3drRa45cxNFefDSS/XIZJRdTrgH/c2L2dgIGaqvCK3v1gTA0tzJL9jf4XVrrqX2P DwsDkBq01RP8mxatpG/LpffMgVJcWTyryQ86wIkPqd64CcynFhTSRx0qs7OSbdNAaBy3SUSBAE4f ttn+aRbjr40e+uG+PPZq9pXGJ7tv7QFHULYR430I1lgXwjrPYl/2XvWpC+ICVIgXXxnQhRXy0mg7 HSuAvdsJBaVLWaSZdKto5HVg/7S07Fmd8rsLg19+GeYYvNDenkKrQH/AZa1TEWGeMv/2xITsvI/e Rp4Lda2FTO5c/f526onwl4wfkHNit4zT38kI+fUj2nge6xqJN/0MJW0x5fQdpH3JRRoctacFQS8z 8EpxCMURjyX3h8gU2DlqWsupWv1wZJ/514t9H+MTRxgjY2fnwEbkt71owfx1FGyv8YoICtoCQbiD d+M2VzztOad4fm7eVOxjHROwdBJf5bo6wX8zNcJmqILSaMLpUpxEUzbeZINQMT9RPVQQdrk2YPIF Ixx4/ksDhX9nNEHC8N59aY1lX3RK1pAtg5L7Wj0I9UEKBcnb0Sa9+WV2qH4ajzRXNlQ3OtIK5pWe SfS+2e7vrmbw6lX2EYTLVpe56K0PGBbPVGRpYQlmQXzkVX6nImOUvhmlT/zzF7VK0lKpXhQa2cHD x5FeYPR9vblXV/49SQKuOR0vv8j4EpzO72aPIjk/CYJIeOiwSigv6bj/0SRm7MPOMdJGE3DazgMg U2zSTasvxNX7UakzOF6h4dSHVUS6y0Ku/8L18tV47gCmgyIdrG/2o8+5k9BoPFxjbiXyoKNfd36S 7wB/YjgHU+2G0y/4RkRlijRewCw9zw6nl37+q1Pdbj4VRhBhihtixyB9DW5sppvqx9kRNg3bDg93 QvVTsx7Gw4SRZRxlA43DSykvo2gpfoTKA83ThyTtdmz/QoSq6nMCMYqw7sNY3MttPDbKhaPi6QsW nM+3l3LMb9yWKZllsrIJLlh7NfryL+mAM24thiVD9NHAwJ/vaFs0lH3tf7sxw2lMBGbR7yxYLbt1 QAnVtA4eyn0q5u2E0Xw7WC7SXVQ3Xcw6MkAf+7lms8MRoW2SywEEu8MxH0ragM3uv+TYclBDc0K6 gZyIejQGXNcSKSwqJLPqgFBmHvGx4Way+Z4TG+jEEJHwFz4L0hNP3GCnA0uIQ8rH4sJnqUY9YxQw zCtkRw3ocKwAyUv/4g/VojYtrJ3H5ZNfKGA0uInkirzN67YoKHvXaAGTMxm2CFdTNV2ovXLKyJSb cF1QP+BkV52qQVQTVIwXeyQeFd7cgZyPxO3DbYsfBTCbkMKwhrqtrUlBzMcrkWjsA6GtiYVbbO9z Y5S04pqAeqqaqkeuRccd09cpDpq3YXc70ZzIvb1AJ7jcsVoXG9HQyd6Lra2jo9haVayvD3f0t3JE o1SZ6YqeojvGMe9PSfPRMDdmceIwBK+tmyJu/YD+JbeoZ9NGXQusPna3AerGqQLbxdYP6RObxoZk LI+xEB9uy33Jl8+oJATHZQJhXy52aC7Lcy9IpNtBk7jR4OqNq/W4dk7T2KF1iicoXnxby4glzMnW zyBsOD/ECSl3U+0BtqKwJbet8Xp8plc3QW1mhkmR7WIkQBJ29fbNmrUSJ6V6jyju7MgWK4T2k0+v PpZGrWisV+AB0LUs/kL/2TLbDPuL+GPbr3rpATgxfnGJvssMzghTd7Baobyodt5zXQ4GJR0ikrqx 0gBdWOQcK5hmZlDhw1KEpQPhfnEIAhShslFmDdBUgIiasJ7civHK/UvkOaXX+SnzRo/nig29LK7T HfMHnz6qHILTu+d7vffVDLACNbwzt012yl5lZ6N7d2draXfQNv4DP2muIOuHFIh+DjTZJeiQT9hj UXwv4FU+VBdP6XGuHTUApLf1GnGo/FJco5bsqy59hrPerfIDPso2nfmS6gL9D7IYTyGgYR+z1MUc I34+8MQLJAX8B0Iw/r3W3AU7Eh99SxjJzaT5jJNLOFCszTf0u+IRGcNA2j0StWG21Qg2zsX4m1TL 0SJBaC91qNdeD5ZeeNJaEpkNPXQehMLhW/JYknWHMvwTG45j0aaibjK13q8xFTrFxGhjGkT7U3oy cJNWdRfWYIvA21wPS4C7HNdktjNWWarFqjAKJkB1Q3p9Des78xCcG/vucMzNRapF1q9G+fTc6q9P kVWxr6m/lVAndlExDnaNmrG8CGxle5/q0zohI7tPUMisNP42SROLSu/eyK/cWn5oDJmwSHoHc3Wn D/Gx5uJ13HQu0E2/+p2X5L1x3SzvNFdbV0LBqmpmfuMXQahg21aOD+loOF1sOQz/PG6/57Wdtguo bTwxzNRqa9iIvcKi5m4Mpl2lpGK5sMIgFnbKzdDUcfyq2uIl+VD+b/h73pJcnfiscAHnUxc2Pc7k iPRHUn2RlEoJRdvQKjvs7ZiGGAuSQvyrulRtQpLuU0hw92kK48QeyeGfuZ2c/fHTyXTGotoOQOeI 575MMMsvTYgw+PVLualCli6l8FuyQqCdYsyHBXSbQB19OjJkWWG2Ocxd6hMzoU+qYN8JlsUVm61W /1bAW2rWaK6HtOOtfnjlw+Abzb57XyFJxcPUzkwVKNjUmd3Qv3o/5f4MuQICvfTvpJn7bfNoBMoe iRuu5KVuWVb9pNEXPXg+MXMf73HQgJ4kGEQ4+YQK/F/jaksbJEwUJooM+d7onQqK2xUQ1JteI1oM LiFxEugxkh5ViPv3Az3CpE53LcthG7dk42egWoBtuTVNP3MPFlS/tLZjVzhAnuJJh/++dcxJ53Dr DSNZD4qprMCuhByQnaH6UQOj68OukBlLd/rQx1IXRZb4hoysau3ldVOscy7jvst/kiThhFSqqq5t hSLc3SXn0oXMinQKebpVCy2+y7UHrBc6HG5VELZ80m9o4oLVFcPrbcK1dl7t+OCjCwq5bAzxVY/J 5MCJkuLS1AJwQ2A3f6FM+EkW7K4eimVf4evAcIMX2rn4MoFJCxz9d3faF7QVvK4heyf5kzJ4KBJg Lzr3dH4walQXIkyh7AzEzfr5337ZUJ9IksGREZuAdkePl+UJs/OWcrndji49ksOW7tQdrqFFg6Z5 xhEhhVq68JviUjifnq/HdzDuPnnJ5kQ/RfXLDLgyH+D/fb8kSR2vMkS4SPsJ0ELFLiuNV3xaH7aj LKizC5cgWx6wTYXX0aZcLJPF/EBkGp/hV7tPbCegQJQikSNdNOHIAdYjpUr/xKKV8Yos8OkuTzt+ 8ceMp6KZOlxnegyZNbN08M6fMawyvi41rfVidizcHOeKWozG6pNHMWG9Ph/6+fbS/v7UC/MCq5m0 IsZpvr8vupt7HssguJyOtLguMvoXaLj5w43Vk6hLwDwFJYJUj/+ume+nDldtaBWU2MVaE3WqgO4I uqlnopAWJzzTq8CmgVuSxAEB7Opb629Bqi3mY/v5yKlrOTwcurMGM4mgxvkhMMaK4IO6FIjaA5uZ kmv67SOVCEswX0OOAjqitMEEiRbSeQuSYFSytwd+510F//I/coECeelpgsIhsjguBRr2IfE8+NXS wO02mHIei53kqu6mpfGq07Ry7srYC+7WuRolC/w/KAPWoMTK3hvMHI8lo1tQip3ROflhtEpr4bu/ dndQoi2PbPHWnXORG2jkXWc4bb1+x3KjiYA5LMkNysZytIVILCOzq/X3AQ0B+CgZ2g6LpnksJKwZ DaLPSHYVmZ5oIjLrraopMZjxXgr8U5Ex/uWae1Un8oIeYtVpnTEJaCJBgiZ3CbiFPRV/MKUX70nb BTNT7HxhUP8pSIkoqFV7UCe2mfbomr/+yst+SWRh5jm9vPJMhOsreP+odjNWWxY2zL3ZeYmwxeK+ ZZon8lgkjUH8DCiao7RrRk+E+LZDKXoMpTU0LE2liV7no94msznUxJXNwuKQnVwP8F/CX3rN6fkf OlG3dIhMVDp/2Rw9LmZwALqj8lAXbfPdbUytK8Zx/Qq6jxUKBMk24G6QgjCe8f5bnOATmwAgKTbC adwuUBnTobuPsmTZWyyWJ1EieOsdNVmAIP2sk9Bu950SK7i4+Ih7y8N0gBGrr+/IakwQ3F46pAi5 5cS74e+HGx70wK1MgqL+Yt9gGIcrQBb9rxJamYzH53Kqv1CQDO44JfeMpW+EOK2LdMN6xCTPD0wS vmP2nv/+S+S1XOzl7prG7hKNmGJToFGTrafwoHCPUV+96Krr0EYBjwNXDwnd4IbK//PbzXpGJu3W 0OWZtoFTPaNka0QGfzttSdDn228sHqplud2Uuy/GOKsbc+4pZBeUFi8b7P58j9zazKlmNJwfsDFC QN++6mnSxqi2bbHO2Dh6ccgOTObQpeiB4LDzdez/zjv+XaMN3Xb6mtJcPkdavCp4oReJgvuNgtLl uRsc+dUIqSI3zaIUnPx9VYSY0ZE32Jt4x83Y1ei1pS7Bf1GtwjUjubkaQfpegMVLoy53B2+5h5JT lbVjbvgqdc5R53AhHLklx0tLhAoOd6XiQ48fBQ1xqYjWrqYf86RcClNcr6nQfBp6buGq4CIwTlJx 3qy9M+/BRo6snTcGD+MNexRGXar1Pa49joqBkY0CYEI/fRmv7gwJgU2n/pPt2oqjyIEPLSjK2Nq+ HcAT4TExBiOaJ1lXwLmDdLZxXYnHqM4Wk+yRFzmfoi2cR6GhNSgCeXk2OB67+TzuAOMTdWC/vw/N XWllg/tdDIH7ZDuXU4KEY5ANJlIawry923bVVqx3m0FTX0poQE1qpjSWQ68Ns25+ZIn1XCjRM4Ae uHK6aCpOZEAfmH/xb7NAEPfWHMMZ8URuxNXyoj/0pCIMNnva8L2A8alY+PehqhAafnBTwuk4zQF8 vg3YHMFKNl2NiU+5BvyRVmTzPNLhGtVusAnRRnNH5s4uUp4dc328VHJe5Y+oMTMBVZ5Uk0BUKKwG WBymluzUq+yY69prmz0mQLQ7DRPbptty4C/95+kfQuk56EGri8zk/hGBblJ7+dqeYyNkryl7ZnjD stpqVSAdw4/Zo2LBTCjrUbgistAjZqV7VmXi4NyWc9RCjoOm3XY2RA4ssjk18l9m8DUUvOVIdq1K UKdy7rW5xO/232/t5Xe/VvmOfzODhHck6w6Y5T8BMFhPkyC0MoXH0AUx1c2EdyX0M62c6yHQ9Xrj e+agNZkQy77YvRohXUkr3MHj3cnHFJPvpr0T1gusZFKyI1SKkxo3l1XXVpp5fCULZVaE3P5Qc9t1 SNskKXjtRbpe6oGFnIICjIjgoe7aH/G9OXkQJCgfT7dI2qFWiPyTWiHgThw4qci1B997b6j3DH2m R5fVkUY+/YTzhuRsiCAjOanzkjv5BxHb+54n2asfifIN98kuTpm7PWKw43eFf0QR3XMu20sM2fKT U/jF1yDQksgfnNAif8sY+Hp9osgL9LwFx7S0JzgiggwbxlPzBen+pmrhdVG9WkrcIANQvPfmLWEC xMP80qGZguB16e+I5Pd7aNIscrpSco858y6NFgtsKgMOEP7CtFPimVjbgnVKc+mkbghulgFFzU0p kHvAIOw8txWSzCYUMU30eCL/L/OHeEHEq9IRs6z45disSKkSAAaHfr0HFvu60/aK63jzFPwQlobq OIB2GHiUjweT01CI7td42le6Hk2SDSeOYEPE8j1MXKUpGpc4LFEaeLbbFCDJO9CsGG6Ym/EDyl54 EMAVylrgC+cd0TwsUG/qQr9mwpXTGtwzE1yJbESQuwRLzg94V85Vh8sMkqY9+hSVztY/A5t5HWId n16ah7uMnbIqQKWfG6tX0gO0BV3RX8ML/WSZgl5CKk2FZuRm89lEWuoXHhryQGf4dd3wHQISVTND Qp7gO0QEjGAPNGyKLVSsltZIi8elMPYfe88ow5EL+dkx9uiKhVz4l5QP8lZTL9Xq0xlGtTgX7wGw iljxjCf2/8PgxeiubBVyC7Ro0N7ikZJbZbkOtqs+nfH7ydZsBD/IDBopbxMQcTwm/UTEWwM0Nszp sPC1PbSQPUmmjqrMKuZ/hK7SU29XfUlI4I+P9xrxR4ANNS8ix/R+K2K+wVrxBrGTfUW/ejWVqIsE X2Czt7w0QhpZZS/zq6MUsYcjPnNJQ4rE1MgktIX4ZzafA5WwUOhCR9vVcQIbD/dgF9HIptv5RB1x pgUGOOZnZYOKBTH6/zIVr1RcCeIT2twpNaXaDYC3WkmIioDBTxCgYK8ez0/U4Ul0BhFHzCRE8H4V +WRIJkWJZR924stMf2IB0+fKvnq7940FhyfqfB5dFsJdVT4Z2iTbjfBVGU2sjdcjIpm4ThJaX5qV HH11tGoExcrbOTeVEyjzxUDAP3F6ZVZGWCbx0zc15bI2cCSZjje3LqTrFpuo8pdx1CgNkQUcAlkh Qds3JP/TLCF8ceIoDlFriqSP9LPCenTtws9ERTRzvWRQtLKSU9rnW2Ke4f/2CnEabZ6Lt76R/9RB 7YJEE7MGmuFNZdBB23zPzUHxxWh0DHab1YXNdVotBGQDFo0O8Crkbp7J0kffrDE5MF7WmGRAZXvf iXgl0PSNS94E90YSjXDBrohQ1yakhiF/rp4bUDIuzb02QyFt+c0urOUtIIUg2Sk/adjnZE/qeZRc 32wJzAAP7MXwPOYklgzj9fV1cZBaJWEfPewcE2khuMov3eMNHaUDWhZ2bx05H/4q8exn5c228tJj U4FVs520EbPSQLK1pamqoU6H4H6c5esukD1+P2k+Dzbg8Cz+tGcgjNBzrroNQ1OdXXAPccHAfURy g7/L1YZy4PmmnCpdpFlcATAxkcsncEoi3Y+IIuY0RM8MUFtp4XIIBEVSiAwDQFwcN2Q4BK3TJxU6 8GU55IwRwtEH4RK9JxGLAFjxPGWQjxVjCub24JBgHqE3H46r7w/GefvEoBq7uDjETSPS/C71Fhyp 6zmwAFTREDagB+57wpUE+H+yWKZphvDpaLs1Fc4BJ+Boe3FVvC5e/vHjXYBMOH4uGkD3y0t7QAmp bMWvbr0u0jwpBw6i/d9iPJbKuCzYOGkvoDFgVWiRAmMiU8c19XPNfMtwWEsknN69c4Bczi86XJ3J VizfpH+/pnucOGoaDWQuqNRrBBe727QlEuZcdbfIXdUDWfmERdXF+Ux4FD/9Bl1sI6SCMYKdqCIk VU2g9InBSTTugFqejtzFADw3JNdn7KanDfDrGqpi/1S8bzZLevAQv34rnycEDzZjp2zN+KYbbklJ GwmoKE95d01wWjMDehb2mDFzg2egQE2K+PJA1j6zdxQRhqhzHHIaDmjgPzvWHtWlFvayOekSina0 tKMRDiPXMq1MEW1Wm5RXz2GT4UxlKM/qmvPVgUGAmZTRn5eGKjtmeSwSu/+Hk1+0bdYr/43OMhan 4WLtguZ5jIteEtktvqfK3/xsvf0TXtow2/4rKznOGjRr5Jc/eqYJ5ubnrNioYw+xNyQwDfLxHjG9 8CiaEd0THdUoDKPOf4cjxMNaO3AUhvLcGNSEUxAVvyO2jYJ4VvwUjKwIe1+XMKyzyFHWBtVCPbh2 pvU6BAMQdV+bCkatdHJSGlANq+SkoJFxMJr53vWDtIcgc48iqq3mdlKklpJ57Hugvl3SSX6eLmvC /Tte7kLf/1l3vhppXf8ntbufwvpbiAhpMU+iAxtUDteGgsU9p0+MMBj2x95mj6Qx9onkSxgluIr5 kYbIa9zNBZ1oR4eDh/OKlCJOAnfb9OpBCMxsgikQlHmwu7GU3DGd7cG5giDD58EBPel79BqdJvXY YLn5c/7zYoNuNq8E7X0X0/EuqyVwL1UAmYuXQOKOdL7m+A+NvfoVTQS4ix3R5Jy22Aqf96RdXTyT aVbg1Y4dRCNqgUCod+oJQKLL58Lm0+vhDyNklZhD02mzXzgN7WTAJNL/JBbUO4Jvx4j2yuAHKFZW r52j71GKjU3KChDyNumg6ECdcPoxnc40Ec8O2kf/ZZTTV3ovrf/bN9Q5FWATHszOdgVc6q5nA9gq bbb1sS0bj1CkplQ5Y5LyLa1R2yV6VLna74XSPcBz3BMz5jdMBJPHgUF4CgKmm6l1lIgp02QhP1bS ujq28elFo0z2LhQNXu4RO3FeO2h/dX/abW4zHuKCxEJ0A2SmTANmAr16xez+5/7xjeS7QqVipYCD VclnNc/w8cmD6agTPW7yandNy02gG9XWqe949KQ8+ETpDvbPfCicHSn4uqj7olN2YlA4yw5xhfwn ixM+5ETXO5X8EfuRVtfLswt2rt0DR2ds5gnbjrCqpeP4mCSgxilPsac9l3Xz3v9EqucflJBX+Zwx 88vENcXag64QPOgQVJw6tiRkmdWQl51ZQMQ643OayQkzm6F9oyz7PFhbd6AgR0Tjlcw3ZR3+GfR6 SkIIYbNOW33MGIO7EKql/mzZ37x2gMatsuaVSYqTuGsTvgA3PyguuLao/EXLK1EmqU3H7bxhum0g OIgE7TFqG6qqtSsdjwjyS0LbmGpL3OWrU44nbK4GKNa0qHuffCBzv77Uc8uPhRoaLLQne0IMf8/h joWVeeovA/soIzNJuDNQmnuMQRm08g4bOSjYG3qHLlKs+X5aLWdrjUfzBewUSxHJnsY6pElQgy4l JTKeIdkJUAbf5JQyc/xPqRu5oZ7onZyj8ZoeyGadallO6k0fpqoSrsudLJaz996hCbniX7JGu8Hq BtKyMUrlT+hVnvr1Fgj7nkW7MQh5xKMf1Xm0zRIF8YC23HEAO0yqsw0zNfTYY5V1XEVhXuueTbw5 pXeZoRRuxMUVyloG120mg90O7jGKuZQMXjT8I/bYJMjNiW7oiD2Ha5JU2QiVvKeF0JBfUvk/df+k razaCaUUq5eaTmD6woCwmRUW6br48hiCBtraKqcs0ejKBiJGVIC8Kgnkn4n22YuGjrT6yHMmZHco mH0lGNWm6HbgyWo+9j49EaKLHT0QxOIq4xMccQwMffJnTycltS2mM1PwCw73OVyYxzE7ANCgtv3M nznbicKcl7tMuDXfx96rVARzv/TcnBjx3/oD0PKfMbTAtqdovvpDva82eDpzn+CIdbaklEkITxEW uZHmdAaS4NNJIs7HDyxBUgXoZI4ES/XQpV02t1aXZGvvZUEjXqUJ7qdr4BXq4FIPX0UgBcHFreve gL3VYq62QWiNqWmdx8IWe1y9JHSkmq2tYhcn+n5cfobEFwZgNyqywq8gbtvOSC8dnt37uiWcUnJ3 j8hOmavD7/VVvsFRech/UrQXehL+GjsTy4JbT6LEX1pV+9scUzHoYvqZbJ5tYT2pCc05K5Uyysla zsrFtAH0BPRkqcwefw0rIPNm+bPli4JG9BrMlhwZnNelZ0sJVanytN95/6aNFSQUmip1wP9QD8Q+ UD6fVDrPISyru8BhjX7Rag26b/reWxyn+hhc0viqaG6GNTfsOoYyfsAvsS853UivFsK9tGxaHXnV 45bHEdcCMlsvafmnYUWf87GEyh5D+Y2dv0LVRC1U/1kKk5eOYLU1N03WLSNNorHHffaj/323yDRw GLjkXAzaSPOjcmgyGarW9gTUgxaPl/jT6qaK2FwyydReFnIFg0trivFdor26b34jgUgsQmal/RC6 NCrxr3sLXIKP6yfMRBogVlRNIIMwUrA2ohHDoSoOE77WEB15tK4qrpAmqrvXHA8Ohhlvf2H3DtXO ZsG3P1d18QVz7sQi2PuDsNlWNDjTVKKPSyRsHAGqROAN24fIWpAYkaTnlemzN7ziLET4WRUkHAhR 1ob3MotTuCU2jZExP3elNZ668w0BE2qY733+nZ7R2IpUQXvKYVSEWOmzGecmxcE6Zu83hZFMW/Rn Fvtd0bsXjuIFh4BDQBfmIX/Q+unJkgv2HBIFU0jdXq16RQI/STXrKHc3JXP//ckLjyHUyr7QAXvg /GBGmgsOwU/9bcx2198sQcRmlb5HzwKgN84x9mZwujpgfWhZXMeHUwV/w5/bE4ct71O5Y+fFqRbY PVLIXcC/YDRM1iaTQCl+HckFkdpc/HQQ5JstGEurZpU2kkvLzK2KPHpsIgQoJzHOZFIPYpBHCk8q ctZik31rHMhchiOVUxbEqQEzHA8gCV3t5NarojYozQV/Cv+QznpHNWM1OG1CiLFSlpIO7yNF9SJN IQq/EDBjduCGYVCDXlPjpJ3hAbaX32cF228paTj+GpCvws4HjxOUu4QdfJhfWmAAtECUlURUPHOs VUIGr4an3vDFB2/KEURLpkmDo8CV4iwdtj07kyrANQyieQouTx+SkQ/0jrgHK92RLLjmIpvltVEg k5lIYpFGTReE+y82g1srnVBk8mrCcSIIG3NC4ZPkPBsOBb00bHU0otRJXfkNtD/W6lhXAvSWtcjU XLaa1mVMWe6m5hLTL6D6dqFyDSOB2ndRfrE3jUqeAC78LPMQQ2E+JvUUS/8gEjrPqoiWBF0Qy6Yy WzAGUpFRI3FYKH7hDOPxrPrzu4iAgwee6fA76RhfPfKckC8pQhB0ON2VDRL/r3PrRqnyGCBxsxvA XhBTpY4c9mwfsQhVBKemFeH9lABYfQzYPKvm `protect end_protected
gpl-2.0
e385f59aba18a432d0d595fe813a67ea
0.943353
1.842838
false
false
false
false
skordal/potato
src/pp_alu_control_unit.vhd
1
3,696
-- The Potato Processor - A simple processor for FPGAs -- (c) Kristian Klomsten Skordal 2014 - 2015 <[email protected]> -- Report bugs and issues on <https://github.com/skordal/potato/issues> library ieee; use ieee.std_logic_1164.all; use work.pp_types.all; use work.pp_constants.all; entity pp_alu_control_unit is port( opcode : in std_logic_vector( 4 downto 0); funct3 : in std_logic_vector( 2 downto 0); funct7 : in std_logic_vector( 6 downto 0); -- Sources of ALU operands: alu_x_src, alu_y_src : out alu_operand_source; -- ALU operation: alu_op : out alu_operation ); end entity pp_alu_control_unit; architecture behaviour of pp_alu_control_unit is begin decode_alu: process(opcode, funct3, funct7) begin case opcode is when b"01101" => -- Load upper immediate alu_x_src <= ALU_SRC_NULL; alu_y_src <= ALU_SRC_IMM; alu_op <= ALU_ADD; when b"00101" => -- Add upper immediate to PC alu_x_src <= ALU_SRC_PC; alu_y_src <= ALU_SRC_IMM; alu_op <= ALU_ADD; when b"11011" => -- Jump and link alu_x_src <= ALU_SRC_PC_NEXT; alu_y_src <= ALU_SRC_NULL; alu_op <= ALU_ADD; when b"11001" => -- Jump and link register alu_x_src <= ALU_SRC_PC_NEXT; alu_y_src <= ALU_SRC_NULL; alu_op <= ALU_ADD; when b"11000" => -- Branch operations -- The funct3 field decides which type of branch comparison is -- done; this is decoded in the branch comparator module. alu_x_src <= ALU_SRC_NULL; alu_y_src <= ALU_SRC_NULL; alu_op <= ALU_NOP; when b"00000" => -- Load instruction alu_x_src <= ALU_SRC_REG; alu_y_src <= ALU_SRC_IMM; alu_op <= ALU_ADD; when b"01000" => -- Store instruction alu_x_src <= ALU_SRC_REG; alu_y_src <= ALU_SRC_IMM; alu_op <= ALU_ADD; when b"00100" => -- Register-immediate operations alu_x_src <= ALU_SRC_REG; if funct3 = b"001" or funct3 = b"101" then alu_y_src <= ALU_SRC_SHAMT; else alu_y_src <= ALU_SRC_IMM; end if; case funct3 is when b"000" => alu_op <= ALU_ADD; when b"001" => alu_op <= ALU_SLL; when b"010" => alu_op <= ALU_SLT; when b"011" => alu_op <= ALU_SLTU; when b"100" => alu_op <= ALU_XOR; when b"101" => if funct7 = b"0000000" then alu_op <= ALU_SRL; else alu_op <= ALU_SRA; end if; when b"110" => alu_op <= ALU_OR; when b"111" => alu_op <= ALU_AND; when others => alu_op <= ALU_INVALID; end case; when b"01100" => -- Register-register operations alu_x_src <= ALU_SRC_REG; alu_y_src <= ALU_SRC_REG; case funct3 is when b"000" => if funct7 = b"0000000" then alu_op <= ALU_ADD; else alu_op <= ALU_SUB; end if; when b"001" => alu_op <= ALU_SLL; when b"010" => alu_op <= ALU_SLT; when b"011" => alu_op <= ALU_SLTU; when b"100" => alu_op <= ALU_XOR; when b"101" => if funct7 = b"0000000" then alu_op <= ALU_SRL; else alu_op <= ALU_SRA; end if; when b"110" => alu_op <= ALU_OR; when b"111" => alu_op <= ALU_AND; when others => alu_op <= ALU_INVALID; end case; when b"00011" => -- Fence instructions, ignored alu_x_src <= ALU_SRC_REG; alu_y_src <= ALU_SRC_REG; alu_op <= ALU_NOP; when b"11100" => -- System instructions alu_x_src <= ALU_SRC_CSR; alu_y_src <= ALU_SRC_NULL; alu_op <= ALU_ADD; when others => alu_x_src <= ALU_SRC_REG; alu_y_src <= ALU_SRC_REG; alu_op <= ALU_INVALID; end case; end process decode_alu; end architecture behaviour;
bsd-3-clause
5c989cf1c5186042591d5c0f9110a016
0.568994
2.72969
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_fma/flt_fma_add.vhd
3
9,221
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block GiXoB0rea1HWk3zGRZ5UdWOdwQS0YsIjSOIF1NW94llt0aPZJE8/A82cSwOPERTMFQiuyYzzNt2y xQl5sbrHKQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block G44AJicWsQRbp+6jF04u5eg9aKSHrXoA+1aGxibesHlZ7lplKX7PgSoUjzm+IpPDOLDOFyDHDQOv aquL4/E8RGBVQ3LKgTDl7ysgnvSjCyvJCuRRcqGw1CG/DYJHkNO1n6f4WRDzjfRFuit8IpUvr9tR TEO0bFYFA+oalqehbzQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block M0G6y3jDnBJESaTcNsQt8OiAc16rDz7rxo5p04Bgul9RKJt1LWLX0I42VpjsELa3yPw+ybmpy8Lq ajvCioIAK7x44tYtTJWuqabq77pHyO7CpJV84YpSdxgfa9awtorYxGgIirCit4h3NgJ9sJo1h6qw 6HzQejajtg7lRghzJZzwwIh31kUg1LInlupXZGr16L/VcoXho6H9iukGWZsD7aB9tvkDojtzqlxw jiXi3Av38sqj9k5Nd01EHecjFFshJaBJ/zRflDMfKiCkZ+xaP6E47+iz7kAmZ2vrlYxFvPnnqRn5 nWAfC5AByLIIZet21Kp1yQefc3GoXY+f7g/YXw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block hcBhw3NRy3HLJq8rPQVtvUwCRUHbpLOyO3wAsZDlL1qx0vxAPofMB+VM8I9b3OxJQJy1KUSz83/w ECJRw6BH01yZKza4P20AMBNVroxVzd+bCPWsTZDe/xk+mc5WQnFuv+5zRWJy4nWYBC1HJoC8bzXc ne1sXmQbfXijlHsFDrA= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block LHACgEvFCRFqTAfGz5lbVuvDxlP8O6XGRiU66eTwXOXCfkei9wVnxsdoUgaFrvOCCGPaDUntdPLS f/Od0csS51Py5KKsPNovWM6rVR2PUGt9Fqk36iah+WisV8D7Y7DUpdRr59RYYXE+8Z3OXwAfmUAR 1bire6WMWh3eOpdAtiWDl4hqv+GBQfbIUDJyiBUtl5CJnO5NawT3JMe/dQJSE3IefsT3qko0Aq+M gCp6IrQiFk7n/fH1dOEUH/FiHoK8XQTKDKCs1+fkfdgLAUFzFMBc65pm2bMfBV0IVtsb8QSMXkKC CW3D8v/D+j1Aa5BCXcG8KEwL/eyddy6Rr/d+pA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5088) `protect data_block L8i7sn8+NceqUa52l9xgSSNOwxBJKSFKxc0kbed3E5SpnNFvDesliF/WnwV3wePea+YnJ+zV0MHG 5iHZx6L2D60x2Btb/HGxntr4MNZLlGs/vMYRjWj0SLZSLSI6MDby5qAhKx1bLYS45QJk5BJwmIm4 JvXxWtfsS9TZqttqnthPMD9I00gLiXtVqlz4sZHf4hOHM0WTaLpAxyjyJZDqEYjdB19dXBT/Zrmq XUl9WyQDmr8dWwbnuXpQDcOwcsIieY7ziXgix7wcVvrbYtgGhWUZ9H8XbqEnmmqtC9hff+4WBIVe j3Mge1PDlVqo64Jamt1xj9rQjh5B4FI5KLONNU+nI96vZT/oO8YkyKhpLj0ac+U/m/u8qD63Xc4K /dvhvQJfaFN+DJ4Grz1vjAv5kddokhbP2zthW6ZtSRccVvxprVvUFCSGbETX5/rRXFuMABRNFF3D ZAlo1QePTwcYIgQjlqHSFjIIRlhXqR2wHRU1D7NP2mvuMSbDx+OND7Q+S0O8wC3mz7L7E3Rao82z VO9LnEYv9rkp5xzMwFG9azPmVIssJBiGfbdZwI+1ZZNQHy9368KVmF10uo17bZMu6EM7OrHkGMjM b+z6CCPF22zp0O4ahkClqbqxifVB98zWgiQjwMgIGIWDGmgcoyuOrk5H/2fokH0JjEYTHGtsDUYm 0gg4haeMSqQLUryzqtxH9eINeRWrFdO6iSy4GDxxP7yKFvqs9ShAa8k40BVkgjgqTWjnxlZQ6ySc iNBESVWZ70lYUyaMM78Nw7lRunt2TmezG97CKHoK9Zq955D2rPLySDAwzS8px89Fvdiib2i5Zl7x Y2JHMQM0XpgaPxM6es8ObYo9dsjbbY61GXLq3W+S1CWbgPSTdteU3K8R9QA3/oqYCXLCtI/5upL0 0IDiEI0D7me2NkmbWEgdD+oRapBl9ZugGaA5RZ04q+i2wseah5mH0wTqqL+MfANqAsWfbPCXUBBL a+EHJBi+FPYL48zC9n1SBzwjqYfQs5anVrFiDz0heYLR1iTVnYeqg2iiQSrwRQUw8vQgYp02OigH ruluG/vMsGyeOJiukOI2D5MWXnwlIPh8AYqq1eWydIdYnhZrGAJQyiZJ8ItaPOgh0xjyQYnmVHsF DG69lvIWRWv+3/ygEZMX+Z0UyKL2mZ+JdqMj/AK3x5DWXOTxfW7IQy6tTLO9n5g22MGt7sPrJuxM XgTrGdStwmEvCoFgtqfMmhuUjpV3oholvwxg5r6cJgK4QbmBC6wPza8zYnst+15CzPs6EIpaZmcG Bxz5TZzZF2RV4DnfRTsa2DWDzREtfi4F22QT4NRP+Yg+Ty4GZ+oe0j8nX3CrDviKFZ2GlG4DkeHt cY1gYlq/5LJrNHKB76ndnAny8sUIkxMUPcru8OrkExT0rbW0TxwS5cO7G0ssE54OXLc6S/TKmD8i iTZABbAWYXPNkG6WE+CFHp3cIJ5nW06VkbQMFwIWc00C3ZaLvT5cszYT0y02drr9RrNNJwXeoCHv j9Zpc84PPdM7WmfSE38mXz8441iWE7ws6uY5y1Gtk4V3ZoJ+WJQUdeFJd/GzWePJcokDt84xaI6w ZOeFh2khTiCrjTlfaFYkgqHK38Zd9Rb41R0CVa660y/uziDzz2TZCN/eahobRWm438TAuoZCEHQd yZi9fCSoInFIoa663462q+Pff2gg9dMqYldPAx5w7uswWoBgrUKe17CC1GD225/cA4kSHK9aeUeo EgXvP8zUm8xo5CoLCSFhSuEE17VipqY3mebMNiRUdgwTdklSZ7DPosjN7cx7nD1zL/QB5d7QKKyz GIobtwIVYVQg/ZbKf2IDAqn3GD64rGLh3UPtyrTiYxATQaXn5ijby/xjJwdtFBNmlxWttO4zLRXL kgFpwno12/zVNAuai9iu7hx6hTDopCTCRxIR4lzd9mazqi3kUO/E17ayFXzAkPlzNHLKj3F1yffA wD/qCRD2BGSR3KjTF6LOrQ5ZshVPkHhTRt3X4ExAo3NoviTJ+gWvSlr7dlI6daGZD+mRXU5Ds6NZ dofeJNKKUNcP1qpCtmETcI3oUNtiRQY8SdNE/RAlpGr/+DZVw50VkiJffQbpavtXfb9E6p9NwVhV xQ/9gx+E1fEIwlrmZZwoH1ZmpdPXf6qZ75d8aqLWYSPaKXbtFIf+11CnoDG1mPMjOTxqAHWOrOjN /CMjfaKB42bW5wQGTYI7J6fD4MgTIQqQHF+JcHHVqcWzZoO2w2Q5qZSB9j5Q806apOjHacj0VbR1 BBDCAXsCXEqB3+vtQWU/Dp/V2IvPgHeo6MOKu47IFToO6Xw1KTouzWXyJjWsmcq1jYqZiK+SCl9x lUSGQj+H0LNfYt2SAfa20F6fHJK48Gm+MmrWK8WF6jmYwCYRfM/5PzmNzMrVoP083kHLdnQxVCev 7TzB5Pv7kRr53YGhVCySl66HONsuwqFQbfjy5GgyF34YuPnCzIIdLeJb10BqMJSUWsVmY/mcav9+ fGUGrl9Mw2Xvq8aAnfgU4y8g/6Q4xivMq1jGG+C3ukE8ulcWirh8dNJYZzvAH7bW1WSbWzTAlJq5 Y/7rQbcedxRl2xB4+kKwPG5CfhOj7Vze3uWL8HFQuBgEnvfhAAUnayiJYF5j5yOl+f5IPjTNfH12 DyUIWwr9o5+ZpaNLYHGD+wgoBoWDt1PR6VhAJNPYVyQF7Cin3lnC9n5la8P7klJVOpgckxNJUHiP N15toMIhgnu0inD6MG+7OaCRsP4be7+O7mSQRo2T3XjLB7czV/KWLQYJ+pdyGT3Z+bZhFYOKkJgL pFNJrgjywsGzsIwCBMURhYOFyQgsM1c5FWpexH+v917EjTVOzoU6tUKytusGB5JtqqnN4M5BlvsC DNO/XRiQ/C/z4oatjffRsg71U0L+YJ39KqFz7IeIjsnl6olTjZmOe8w7qFdjzW6EDnrCleIamlr3 BInPWOFMX9MRlGHAiMC8IqLLC+jlYfRCgGqBueA3Suz64AByG3dp/gOG7B5ofdffe3POyr6Ekg75 jbtbARG5EZ7tzPQamImf5MNZDHP0Mqo4BLcFFTC+6iWPggxdxOOWUaC0vlmnm1udy38qs83zUI70 LYTy8bLoLH49JZecy+ybKP9mHGwxzrHsOBFzDzsE75YJhN3tTAMvMG5Td7aRJB4bPscU5ZNARaCg 8SIJWHaLPlulyP23W9ti4bIQEmBHSKDDDhIm4cpsApNGlYX7LjYgl25gFw4d/KFEH6Md0wb1sE9A k34SsWeESQLOKxKxOFjksF1dRBi+Jbiphn5gHfZCAr5HS9t6/6kMWzjkn1ao51CZZ05nzhz9Nr9z ueKb+xtE7U8Us/BWlm/Y/yoXCRCOWW0BnuXuVPSUtaO52N/WXBjyXQXhqk1pcpCbxb37RxSk+VDE JI3m7pvbaoro4q1XLaGLMVWF337Dw8AkzYqNtslczNbP6IlxY7tMwbEBjLTKTTRxRJpAm2iBvcUh mG2A5NDGmNA9tJnbP4qp075ri1RBedQEeJFOdNCaJRmYDDAVXleOms6j5hfLBeGMx6kaWReMGuOb wdEo/bJ638VYeaCRia2pwHUBP1Q2IoeSdRamv+pj/52jMgslCEGhELQxNAtwVpAurI2EeaErlvND U/Kj9CbHX39CKYVyqYdLuZjUlwL5az55rl937fTUbqYgtD019MlgaoYv36eYDbTmO9GjKo6tfRpz EhpgSDNIqYpGmqqx/t24Fyt7tQfHwCv332Esz7Lol/vCFaPwRMhbsjeOkry3OT2aQVyNaj4rczlr IYHw5lYuRKr9WQX4L/DCP7MTauQz6fp6UvGHBi0KgRhMigcSy7Bd8lfSaEolep1oLfDaZpBgH6LI VL8cfEqwIXw7+56jhhVmYRjww9lccmL/neOin1tGywBPm2vwQpuildGfrChQgJD/V5h7KqnN2frQ Ae3J3+YltKYILEAsdjI+UYAboeCHk1JP7Jrd7KDzG/b+SxvoOGKAn0TsWaHEWkHpxGHGPAwwvApJ XuH6bnii8GG8T9zLS+D0Xs+aBIKkxE15iAkzd0CEIn2UL4gUXFruZQjnsrzTtsQt7NNvXHO0t4cH N1Z0IiMog0OpRL/czyDEOry5P9iZwjjmEwVbDyHS02NZOWMuVH4HuLaSAmRqYlfGYInmlfL59/e0 yZudWUe8D6shjlhZypOnMFkGrD+M6zZN/C9OBzvxMh4WAKM9ydkNGBpADivTlg7+o8zNDTPEZf+9 ilaLUab/NlvKKFh33mxk/gvkxrqZZXUH4RBvC4EEXkix/mFV62a1WYcIrYGNEq44y9qsTGDY7rSq G98SbmAa6biIcQZpSn40tWFBqfU2UGvo6ImqoHglLNKpNb9yTfWEz9CNcyipfp/eB7MluywC5oY0 CnR0rOJE3/cgZhqfUmSCM2SfFW6+Ty8iSfEUSuBmMZKLtNYrMzvAPq53xEZ+BEaM82yplCUBOV22 mOdCqPtbFmvSORuqbGAyq6KLA9e2UdgyxHMvyR06oKW1vEV+zKXpS6qhtxMDIkAHqylqex8FZkCz PbRhWpO2ngIHJ3KHtCS/5yJNWqDz3v7uJP/9BF5EPeg7rD/7EsqMY15zQAGW8HlpiXmspaoEOnen StRq7H+JSfqooyNC65w8ziztBBr6Yi0C/+u8OOexCFTeMc2hkGaT9AQ9+zSLWzZmTBEjnB94Oo/I B0pGEyeZ9If1OXwahrbMv5+tw9PWUj5REoFehga0w7IBSyvB/qx6dZD99P8uUYf51PTllan+yTEb sntf8cvtFiW8ZWuhzW8DbbL1cnW30NHJ2lV0ju0DmwZEQ2Ju9end87rJHzInz+v1r6ZcdRN26dOH xKpBDSBAKm+oxtjpGDlZnHugfTJgTLdPElAyHE1KQ9AHa+rGeSEB0ZxMVyh5dZ1RtqmGUAAonZ4v sCkcyE2wkcCC3s1lVb1aNHGO8zoJiR25WHLmPMB6oKYioyEAX+kD0Lgrc4uQTVvv4r20GPmA1t0v QHwAg8B75KnC9+TG3XtXBvAjePqBY5m3cs6Au4SnnxNsoFtvbKDf6oF3Z0rBtPLYH8Rz0qWd/Pjk HAR3/mVqrY3CmXOBh7QAZoGjWJic/BLk1jmteGMQlh2d1x35HCFYZEHwWL/B2S6FqLCIc+R9ut2D 71PuiGGFkGyQ2E9gGSpnE1iaC8jXk8JQhosC1u52GYQ87hk6HKWm/Qx3GTnICmBVRH4pmmdtIzdQ cj2diJykfxH+zAW26txsMJJ9XG2gMtuWwZ0wrThol2n5fX69Z5YyTIDZLP5LWVBjFdac7vTPN3n7 tttpCSQKanq2kijKsgVfIcTyD58XrnOY3gtqgddHoAZ8slc9DJY7SCoE/LnTlGIYTGlyiuKTFwtq D2Kd0aCsgaF8X9EpTzZ51R1SvUOz+pY3If3elvKrJMlsiWPjHX0ri77FC66Mz004OK5Aoh0W+LpE h0XuwK67oLttpAJmyvWh4fe4ZS78NIRS326xg4/RQjds5AM95Mocb1p+nNCgNVpV350dfltQb/5z KZwC7JxkS1Gn65owtk46nvpKPBdFs/xwFMzmKzWtGcC5H9gsnV38MWA+LLoVTkunQsWhOS8ojUZg EUcJvSFwEdmbvL+ry//HkwrIoO/cySL7LEaYT7HuNq0uXEb7BZw2cq6h2fbwe8mwWFrR/rRZ8ARl Mr4VB1Fe7Uc0mkFBnS1tD8Ql2oUw47MpF9QLP98EUOmEDmASekqllU1RFhZWLN8w8UfwhGEfenyI NpnlG75ivouVIMaMdyakp8JHyHb2/GmJbZ8z2dnCyK0wdatirXmDxF8VHyXx/1070rymIu+IiI13 IBQMnn2jA/GVutYIcK5qXzMpLTXsuU+gmgsTJcqRapucpxjQ0wpfniz9yB6xcgRQUH2FGn4l46Vl JkydAZAXms5IACXixfG7c/mM/eRKmbOUYL+qPsELsToQHQEZMU/WFQoZq08VDpJZatonsa4UbrYl PQ73hqgEZxx/9PPk4JfLvglidfodFguldRl+m7zS06gC/8+mEgio740O081sImtaeBtijyP2lvBo 3v0a/UzonzdXE3nkY9iLYAtJGHaRfrRdiXI3n7CXpICCSfryzyUllaTnOQ+3AbqO6TDY0Cc8tNbg A9TFMtfSpRWUhSPFreItctpkFWNowhKcre17EWGYMUGJMv3z7n7UWlS5YMqFXETA+LBx6qCJLpaa 1yqUB1VDzdUvUbVUIna3SP+Dq9FQd/Dd6pYpL3tNqc5ZBfIp+oyBDSivnOFz4k2wNoo+ItPwSQDY v7YMy2xkspUTrhRZRa+K5JBkmcx/VcwIhMvsRuLcCB5TwS6jjNF34mH92GezJwMTxUJ+M964q027 70mnELJMv0tye8SIsnt86RMTAzlrsbTK0oY36Wok8zP0jpq6OCGy7dARED3eUYzGirgchfaZ9DWj Vhez/GJtH00cCzeuzUHQOurjULPJAF0hUa1hzz0IqaPqfP8hqnLcBJBwXrVX9B5UlyH9xLYC45EF dPJyc1oAWstNRQ+qnLHQWuiR64aniI90sAor1vO9Bbq0r2/TxdJ0svMYC0uuj3U3BU2wDHSn+JBj jcjRlJ4ijPfmJl2jXYEspZtEbIDjMvPk3l5zXIrUn97H/nlq+W1DblGfixBaU15EFlXqrpZRFOFr jQVXEWgYk+6DhUqx035CQRMy3wMsjSpfyu3t3V33lPYdreILMsg4mzJuQMtO5yai3hA5Xf+K2zBD NV4dcMvFU3afCCdA3SKA `protect end_protected
gpl-2.0
f31e2ebefabe71aad76c37deeae50eea
0.923436
1.928273
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/cmpy_v6_0/hdl/cmpy_v6_0_pkg.vhd
2
87,057
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Hljj8NYGDJ9sHNtGVCwJmhaXu3CHNFAUI4PPZKutcvthYMJ1V9GznzkjI/963nRJ6fxoNDse7ZCg dAKeTzb8Og== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block MP7VBJTMBzSvL3xuGUFZu5wi67ZzDEKG/SCciFDjuioEH8mMZF1ZpHbgrpzym2ZIjhtYcU2UlvFa NVBvk/bsrQu82H/+7/mJoL2tRoRdZnuh0lc2qVMWrXdsxZOiW/GZnKnACMvqD/FmDTvXRxG9j3Z7 6gH2qZV7SMyB/wkfsxM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block enOxm3nXC3ygzKbsXkC0bvwMVzIoYAcZJ55FCYDUGfevoO70zGAbvJdVhYRdEvhi0ezbDN6htuOU Ln2XdkkjF2toH8IOYFgI7gLFW/jF+y1/a64/5g9r5YJq8JqkAndYNbXHyeuVCPojQURvceTqd24a aheS95Atsj/O1MXL0qznDs2CIvFshsb+r75VUYHS5aoAFJM83w7v3wqskwmhMGXatrfKrg6YmzdK 8hpdeDJLFvxUWjZV0LbHMXx8PvF3m7hO1TPXQPZUcIPn+MuuYxzF0t4ag+bR4Nb8YMqp6Tyj0iyE CAkqlIO0SzgVuZYGYCWMvIm+TOhsj/B/1M5y+g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 4U7FFfdCVbSN6UvZUSpH12V59JppqhrV47g3p2oSb2d3N0EYULIo8acslF7AUQ43vzS+oECzQFCT wAP39BwfGK830f8XSXZ4CLxwU8HM+PfQsF9VTIhH4JMxOq1dH6Ab9u+V85t5owsx5v7i5Hs6Bhuy jA3qdZ3kTudctFISpWg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block YFNJ3Rc087J0J+nArBFkh2gvOHj8zOBC3nlbIrNcknH6e20cgSh/SrXYSgyESaKO2Vd8BVdG0Ww4 LfFBXBp2F7oZNoyaPdYc6aDNqvtGmfQwT+ewpa/x5Xg8F0u39l7U8yWYgFqWgTIOShPSBhj37vjh rGE7z2QIskMFLSYjosZ2WvfprSAOfan5AXME/I62djVHLh0rxuRcTz2c0GYmNEzX0N5oTiXxbEMC Je0RJI/bq/WMHG+9tI2SgrEo8Wvks33jzNNGEgXW8+c1FKQhp07UYtsAxVI8qVHKSXvMoirVRUe8 +7+b4mhQ/tK0PajIETXfgdrJMw9Dq2z49VX9gQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 62704) `protect data_block ypUgNvNoLn/vDY04Gp7az97o4VxyJq5JOvJhPM1MzcczRy0YKSUSiI+ZhezkpMf5OhY0nVh1vfxH dEupIBwHcxumf5etp3GwzzFnvxF4CkvD/35h7p5hBt5zKrs6Yq9I7TFx29DV6y86idWSdzS872AW sMY7VUczdHhnK+kUMqVIcBCzeROw93RehlcXJU7bBs1lA153dnrSsPmHWTZKGsZUl0sJkmzv0twl LGbg9kd13lpAfFV0meVntYmftYCw2v4nLTrs2OdlPnkIKies75Nj2zV6Q4H/+UQcUBXTuJ6xKu82 4wOAQ4stvN1BFmwif8+msQgDz9y8OOL7fDF5aTVamn/qyoLei5E+28yqp81SULt2emjMvwNHwnpI NgQwKjj01I64ZTcyR+tBhGP3nkgLl+iu4Jn+/H+gTSvOCacaGudX18610oKGO1IquJB/g6fg2K57 sU+0EksE061Jk+lp0sF0VWpuR1YINIdnwB9EU54uozjCeQnDN0M7et+UFPWyelfEnJsOQ78X7WMn SssNTPU/Bnx0zMtlWM+jGuZ+HPKGWk+W262yssZDSJIJHQwmz9ZvNSZxKIFE1YNh6WxJzTiIN4bw AK+60oPTUUvIfMOHYgEU+AaUt5N4IaeebkynkG7Y3vPnmR4blnZg4a8rKKOnd9payo3NCoxdmfET i2MxwWVqKuwJpRwkXCXCBfvXrjTAXA3FxAp00it9GskAZ0av/Woq/NyZgnIjO0nAW/G9g6INqW6+ Zk5cTx19qul+CQRxbmR6mu3diDVQShrTunKRCIsHUgBoN8YtRL4Ogxj7fZZ7hB1gtVa+d//iOZpp I7clhxu0M9Q/yoDmZ3eAtwZdOizrcPMIKCaUidcuxw8K7TnXi6WibnpOivliBzfBFYkwqAqmgZuH rwXteMhLYaiUht4uc1tojKGrklvIU5oJhPe9s9AU0/4ICbKx1f85F0DzNE1Swvb9lUutsOMH86ad 4BlEDuKA85jF47OssSAgyVydPGiDtJ3W4sS87XMsOsXPVLMdoQwrcbuXImVLK79PMXzGk0IpKo4r VwkgNAT7Erts/jw7YELQjRqveI4yvKtqb7Kqr5ZMSr2B4P4lmV/ojuM6wVj0KaSMu0SM9+vxCsE9 xlOENmdPxhsAJmilV6S5hqRTYyDJPYXw5uPtgW1FWn2MC6D5neIWSrxmbB6c3XIxWtDnD2Wg7cOk mdxycgJYVohp+NFIEptHziD6qVC3mvxRar/nSnpRscgDBBzKmxAiOHHWAlMV4odt4cdtaGo7Vwqh kFneLnP1q/BxEHYEGGfxcxmt2VwLAqgWLPdMMmP9A63oZfBL2yWjrQJbRMGrB4E4nxgTsndzKrGH i9mbJ3vS8apCOuZ6N2chwuamB7RH0/yh+pfoCLD7YTPRMWq5HMSvXznBMQiImdeIvMDh7xh+I48R kbcjlDQTW5KhgCIt9y6L3QMyqC69KnwADux27jYgq5LIic5UFamv6v85ALb6qk7WNe2nQ5s6tL9H tCYrrcZoH7dZgU/uqzW+yuYtA4M96i3MBYvdswnAY1RFz2mFgdo2E4yUI2G9pgYoEx9QNr/Tq6oB 31oOcFNAYXgYRyqWzfws168BjVjRqIflkNF562Ayda15smRPZEJ1sFO5GozYhxhOgfa3D46r4gyg kJu8kMTH80jNcEZHR4b6B2mZ8yxEqhdwqqLYZvwu0Cnx9mN8Ip0VUW5xMdL4yyEBn7wSaCMjajXY es7YmdxRnsxbnYbUswU9T4TdS9DswdBzPPmCu8IWcNT9C0P0l9XuFKtI8zyfbXUWcBjbH8uqcEwm vIVuoTgQIAbVstrZ+4lplphBgV+I1sPUkJlAt9KeYcwEZWqxEAmB8u41vOnrdZaj3kBqu9nemUfP IyeoO8aZJCiW7Bk/UCmoRh7B7wntS1brD6eBl75fuLUL/wt+GL0sp1VKpUJcTOsrzceZxqeXTGyr W9wKOijEgOL1HvifEgSdmzHO2S73ctf744nQSZr7r4+W2RemUGQW17JbY2nHaZLMnpc3dm5eXmdm i5GvLNmRPaQJiQHJe5BgT0PHF4G+nK0vJN5UGk9mcn8m9jJc+Zog3yuwtY3Ivm9Dv+Y736dZdK+k 6owjvGqa+oHX73oFo6SkFH8RDaJhpTkZfTuH1d5SriaeiP6fDqP3gn4MnGCJvsIL9M3wfcF4z3qq vjUvY9H756xYqznHKwlWzAFhG7luy+y+JcxH24dwGxifQyLr+yBatz7OmCpbJ/zD56CaaJLi7PBg decHZHR2IQ88NrCoExCecJVvR+Y/B6hEhHF6Yz/II4OCBHcEVHsvriGzjxIU0EDJm8C2fYDB//tu FZfRygju4J42SMb4zSPQnEldvd1oRZjY8kpJXty0LAs2Ajr7JWwWtgN8iww4EZMpuGe7kFQkR7w4 kdeO5lVH9K1dKhvalrG8rH/Qi9sFWXHg/gHvhoF0sxdwqgKuZR4Krl28l8GcnzdENJrLFhXB98P6 DLuTHgQKoEd1eNgRm+U3XKiMxMCpAAtx/hbMsqi/kvZBfL9l2dvilrBZka7Xb41Heq4kXUu1b2z4 QYTS9MGkJGX6VyRymc8J/3Zh1Cga+Mh/+Byse81mYE/Iiphb7PWJcqmtshvWjMH4TprUEbVeCHgH AA+jhRbPK9GwcNZ0o+8thtQTskU7yLnetNGJkEKeKRyeERMsF4NiLN2B9kPSCrAbwvHlXDIvH3NJ xNk1iNl9OJs3FI8t3GJisGAoi8tNl73GoK3eYhMX9C4hzYU+42iUR17AZmum5trq7OFht+EhphDr YX7iF+TyCKQC/AjaGIiV/U3BLh/VBwlHqujUjAl0GgXCKqkTMxxEfKXdcxYM8zp6CyTiBcdqqEy6 lf+8kNP135GyV0NrqvJperqFwW1pkRkVRShIl9sG3W27W6eY7tBRalbMeM2kejkYQ2axK5QeTdUz +NB1FUawtVXLdaWy3PfR9D9CdxdtOSrTTjoxy4m0OGO6DQEbnBHi52mGcAEBImFHqNMl05R8b5i3 0+z/Wvs1X2b3KJDBBMfgwclOSEafTIgjWR9hbiNBtzCERNFUUEsc9OS1+7L633WBBTQuA865LaEc Mmixr+gKpqIe0pWqYVmJJql0hUOYfBEtoFThUo+Zb0xNeeXBG25LBMRd62pBbDP/KrNPyOSzv053 MfXD6f5CY5lFcgNNN7/610sFU2xJ9U9PDUHzEWfnbB1ICDu/2MIATNo8r+oT5J9oh8vL34gNdmLR Y0D4E9GqoF8V9XgPL+owLbNbmMh7eQDHcV6pZFwe3HEHIek8DjZBwXpj/hVGxxjJUUFMewk2w1Jj jLT1/5sXXazWzr2bnKzqCsQ0Sn++tFWcqlPTIy+IoOPvMfS85IlNdIfIkrs48Yta3XEesk/LcQgD Rai/XRyRdQGoN3hqcL1w3hQFFEQZM5xZGJw6+WFFGf0/a+r77u8Enh2Eyg3bh41FeNe3+Sm9SEPe ldfTo5Ar6n5Fy3AEe0Geh7wJZLC3q+7fA8RaD5DYUI0m19h9Yx2aTYEEEr83KP2nySVykNBA+FFy /y8oZaTnIt7MuEKOdoiMYc2lzVfg/rRwyueeNvW4+L1AYDe3zc1PI09yMjzlLGvlsY2CTgolJcqj H/umljP99FOKDBdmSabdhVi/xYIlouDZt8LjYImR+cRka0i1gTCDNtiMVsyjV6xYxCe5vb8FLkOu H19Hz+dGV2Zo6ITbBNiIFr9wNH9eOQ77DhYpEPVHw4JzG2GrRGknNBPYDBtqIEXgNeljQ7KJWAOy e8bCBCZz/VaVp3BMpFRsTwrHyJYWeYtbpDEsi3N0e3IXmLUmO4CYXS/9XPL/xxY+KLOr7JcD586V 8eZaOENqFMIR6SlgnEBpUz56EkwccB3w2GFROToKP/mbJSqF0Z9pQhZGINgprfjelPQR3bsbRtXA fk+9V69i9o9LHoBpph8iYNknCEqwNnlV2zoQ774lU206mXV6tBZbt17ACwLauJ4ycedhsQ8yetzU Ud6fyDyT2wcE1zaVq1fzZ5NnTVZtZXnmAG4d87qlxsMVp8PrIzDTU5zFTPFg6XAsVJ6iE8x9g3dH 74RHs9FlRX/p75tcm6iwznPYkf/Ly18cbl3TwMTMdvou+LmdhUW9eWZ5n4eiW4+tO5o/4K5+1NmY Pl/VurZqFsUrEwsxhzpst8FO0v4E3as1TGR1hT4HITEyyP3mk8V6y71qsocWJCcMcxIueaksb42B 5tqZyOf95wo1PqFGbPIfKZaAH2Lh4PzKHVcgScVyfoeCQglfGqNLrznAmG96QqrviENJla8sP3Yb KEnaFwDWHrL566uJ98PSrMJblxpxlGgPm6/TgCGsHv+B+WHXLRTTuWCA0HNrSD7tWEIEKzVbekXM oEpkW8zcIn5YSWPuwFZ/R1bnv11u2KXS7XYkuZVthYiOXfzwzphPlM0TREH6K9lq1pZuggeH8GR1 R6XGoOtQtlN9PhUiRMlegWTpG/xT8gfB8olYtKor3G65Y/AIrFROVu+R/MlyuJx51/RrgVkTYaQI 0fIG/U0WbCBGF7H88oP/Ljl+goqU/TXxWqUKlh3xfeb5QxZ1G0oKNZfqyBSc0heFVtoxfLRY2hua RqFimayw60/0iqn9c0mdGbRS0L1HEzII9YodC4MHSTxHbmxMIkSOArqknM/vF/ekXH6IgKePOfuj SDzdHfwmITUbDMfCGVkDR9QySyIunYmHoFP6f3uBWLsLlgCfTK1MAA/MCXrT3MD0CyCVXkt1T6pN idFhmdc84zYCfhJrxo9+GP9Hlg6rWMzVhBqKAAvu0QX9eviGabcr8cbtbq3zXT2NePzzbHG2tkIX uMLQ6gxayvrvlMV/66vURsQBX1PjaMQbpdaweIDrif7xUy9XqIDYKERQEgemMmWHvODTEcoTU22C +g/6fsbjo+R1+AX5H2gH9mXgNS/8kYhMWsD6al89t68lqM7ekwPJrqK2RbOutaQUL6iPX/3wsQiU QD2cb75OL5roqpNp7mJ3Drkbbmq9GX9wxYYkeWMkd4HVzbq4kFkVeaRF4veVQC+K3xMJvnKRZSp4 SuIaL5QTzdeFuHE+leIuN5IUOaGsw2/hjpJMJMfoebhJpZw3mPJBUL6YB0ZADRo47sUQIRJUTMhU EUpa2tMG63u1gIu0+XGN4ZB1X1ysLzplEgYIQNEn9iRanwGa+1NSqjZTTIHA0ZzIsxtzcsabTWDt i528unxk49fh9e5bG1ZYMq9OlA9kk8qiBuyGibcRkfezuMkpTt1EGkoS0g+S8oKbkMZVAF6YVElb txkW8wSOlaPZ5aEMVC/IqergTVFJoNwc9bkdKDx/Ld+xS7PiMUBSOEMfXIrZrDHB97db0WeivQ8F JaqPlimivUvDbu8q80DqveDZ93hxzpa22swIOksr76rq97POYSH+05tRNtDBBs6aj/eujy0jOe+P gY8FUqJZO28QkmNULeQRh+b0ICi8gw0JSmjlFCERVfcyJtbk/hxmPOi6V6hSjTJMPSs0p2xrbOEz xk6CQ7wVfjFLfeelWOVJxperiXpPbXk9AA6e36Rk/6yhMa7CfnjOuJ7oHmM2aCv2zDdYL2fnOeil C8qDvDUteeb7INVMHIVds+JhHJMSf6H6boNiHnDsveC0sRZXVh484HhCEPljFJbwlOH6co/+h9hU gUwK8S4ThT3XqevcTj/B2UHfJkpt2GgpTAld2TKJ7e0bghBtsBBVDifYoZ1dGx7GHLoR5o1I/TYP EQM/M2kkJoxK8KJvP3JH/1hbX1oQ/gMPh9K+gZ5HlceLxT5aujTQuQkpAiYg/KD/M7Nif2u5afQJ a+7Qt1jNbm43WrzpXaLkV0VL7ECZjMh9hWxtlmevG5A82w4NPACGpDVb6lrYoCKHOeVzaAtLKthJ eSp3mIDVn4sXQoELB+RBf9LSoM5qHBhUuM/CNuQfi1B92zubCQw6mpW8Ehh0mV+KUz5xauI3d3k1 eFM64VCc+DoOQGuTNDbZytFSOxG7lg5QHTFHuDcmAI98RrJ2JWYvKFxFNB/f7bY8+XVN97dMoWSg Qy1ND+cP2qmFr9rylpjd6J13RzJBEVOGXwR1ijrIo8jpvD9I9NIerZRM0PfvAIp+6i6XN8GVcP9v 5SNHAJ0dRhG5CTVa4rmCO2cRNsXZBLTbT8hyanNHLQRwnwY04fBGn6fy5PKQ6sNtjmjMJm3Ck6uz l09nhk1o4UDX57Xlls7Zj4Ki9Ksk5fXqIqwXrJ56G9Eap+ZK6GLMCAFClRAtCQazEvx58FuPnMti obyQB+fEttGOF+//neERLe3ZYCom3Je/gka7GF1WfCGM3q0NP2yDn+H1sJps84mj+PITuK42oJIQ UuPAqY5BD4WZAnsXWGYy6Xf/EBiSt5PThN0zk6FTD/kwFTxFE5qdeNdMMcs7YMivlSVjmPmv2HYj JEARuZVvVHrWMj/JYxWOvr2EvSPynNSQT5xy4WRQ+Ngdzx/nAAF21UdKMRRymAXw71FsolB4Iq2o qv0IqQwFJ1Rvn5MpqHyBYqMP1CWsWuLG9nJdHP2WkC0d/5PCR3IDHvUuAZMU87B/5qGL7Wcd27Ge rbNsAzBo/zDPLu2s/yt7JR45gz5lyPcvn5xc7LHcJsfFiGMSUcXNCogP6dn/4VyH8lRDbLWcHXFt D5F0c56FnkxCGYP3/9frnvA6XSukE39Ru8s2oMil+4OyRvP3yWgq1y/ZsOHwHHlhfA9mIgyl3Q63 tYpTs6nz+epP9VcSw3jdlAtULYoxI3EVhaSKsCDlY5bcx617C5iCry4un5wYpmYpSApICnb7FK8g vmAH1aI0MorDg1LpCrgnnOfHBWw9Ilm+DOjiKiYqdPtPfGP+s2pXgma0ox19whcKVUywqWP/drPn AIZ6P2Z1AUHzo7zJtg6jXpoOB9SJCHtq8vK6qLXwgdG9KTfVXT8DUF2DpdInqmIQE91vgrIR/oqm c0gf4/2AMjEwUsyZ8ZUTwwVIiVcTtX6GqweYhCMe6xAOTM7hhjmKBf5uTAjpiZ7a0467qTmenTKS 1TlMQF5Kj7GjRDXf7KD1A8PBpdMt+GnDbcVk9PwLkICcoFKL464T53WAuSfKbmlJ26sll0+7CrXD nzXu24K405vhybygS9dwUkyUsYg2R/qjrwW9FlP72JBfHnS4JuB9pTyHkOfUI53RjVUVy0LnGN9K puaDSvH1yQQzaeqnm6/GnYoGCfTetsL5Ss6qzZUjN2lBgPWYR5Ef1dimUgW0dHOebujaXn+RBFAS TuJIlKM18RnVZpcK4uQTBlgNeeMhaAIhJlfOkV5VCEtIfK0FnvwWNjsoD5asSUfONm2BCyKIPSBm 2L2shgN5CCOo/32uTM8O9u/AmaJq5dqPpY+iE+gXRGbEzWGK21CptsHE+AeFItFMlJKwJhvB4Krc aJ1ZSG6OCD5OkuVLh5eGB8ES3EFeDs/3KAFXmuJoqPU5Sri5/dnuOFXfbmOR3DCmvB2QrxdoyXdw qtr3z7g5vw9idqb1fzdRNeIFU+FEWyr4a0GG73h5GrAMgv83d7bvbU2QdtGCvE7lji1Z/wdqOAGU a+tVOeGqDUjWnySbrdvR4IObL7jGLB55KqzhhjKC1epiti7chGl6oTwvK2xXJoOtWNmFK9FA5vcx ij/g8Jm5roEB9HM4zxrb11q+23PQJ3AciO3lXmzccWIiplxSrsLtmXYXWx2xl+JwlIx+Ycas3RFP MQMSDtD7wZssrc7ZlnlePSs+ilhaYKf5GN8mKk0Xqt5WB+TwifoPuE21gwygIdFlKvMArFLo6pMJ 5+u5OTk27Ahc5sfIAGGxzBb/RpLUR0TYIhkMb9Uw4r1YJcIrMrbgFq/GquZLkboPEfMuwnVn7LkR jAC7KNPuPfzfJ4kUR2SVd/ssuQyJckPm4jOBOZxCplZwmRlB2PoVLJ8+JJ1FSXal6G28cgH/u8T+ cNd7ZEiSQR55cGrVMJJFcgPSmzkcKURVpbvkcdRBPyDNgQcrrBblUUXbgF5eXb0asw/d3QDpGKWD TJKK7WWjGpl5SnxKqXu/j1FH1sUautaNZLIarPIe0Q18eQmnZkb+vDFQbaLE+KQZVCPqEo/Q8FRT NZrOVTvGSI7hoFyW1kU9JVNWck9f5hyDdZ8EaslyZz8NcNT30XG4zz6MyZyix4hZpPOyWQJBUeFT coJyGKRVAy7CCCwULKjnPI2nNcy3SzJ4bOTHcgkfesM+bllNYqvfMFVfJr4Ilpwmkv75SSA80BuO DCRRMkhEeAhM3jsR30qHGCQBQH1zLN8Su8v0LUo0mpewE2+a88dWRLz/4sH1H/1jJU+ieCnizIIX k+eio5PcYRCYwethc6TAy7zY5ZcDqT+d8bLFY2CnzGEVBuEtGvvosJTKmIJ6wGTddfg4+dUdlBgE DttTxWe+hAQrMCrLFqNtDIeag1nkK6DQqn/uoKfiTp2D+XJyUBrHG3dAPriGcC30GA+Yqooo1e7q 1ticRv48MG2t7aWX9MdpGsius6ltgxyzhbxWuf0QEPJV9Fc1ARRhUxlyjckRX27Af1ZdVKg/49xn E3pwXWjUgaGUUduyuli4dTvq+Rk0d0d8lAYJuCv75TTYcuG/opjuaF7OVtpCsYmz090FeAghfpP7 EfzshHvJvY9VFYV3AnU3FSYvDhNTfwcEwRWachrMlTVYh/6GBVx9agp5udgyy53/Yx4WlAcun8J2 iNzkFJ4RGgOgYLKMGTXZUzymi0MkyVnWaQMobuAO0Hnv9bUlQtlszjEtUNd8w/B/U5lsgHK49AZH imyz6IWmn3SFcM9aUPLmPqS7alaH8+DPsfzjOZg/sybiQpG6bAOxBPKpRWuXyY+zzMGaA9X92yOq jua+ayiawx5UzHenzd5TyIYTGQRm73EAn8w1DTWFfxYHirw9VgbTJffqidTrlD1aPsatUYdwppBz TzbHru+W1mauCD+cNgd3JdhzP/N2xmyEKGjuF2V6E6uuhLVobv+8aqlKAJQHYbZtDFezGpBDBXGB avGvJgSyx89tAUuEVJo+bkQi1itBh+2j0d5HmiilEHNxlJ08h/6EwEZV+TLG7uOR1dKR9ovSib3E c0qW4gD8Yb1CeoN2rovsVfLtMF1+9DUYvWLQ9xqxSJf5xjCj20Zoq9f1RfKoracwsx/28xWgcJoh bIXqvdfflOluciEvT7rr5ALaiP6OHNjchDC5Y9r73cH3CzDkFu0sLxnT3e3Hv1YNaGlBeAKCrEep xon4owy7/p4G1bDjCpB2S4xAnKNWPkfpphDtjea48G9FBAFvpcvtYivFz1yiQUqGV8+Naybu2i4S MrEQy83mKux7GVLs0MkTOEQkLrl86FCrJMyyKNtpBPiNoKbeCXmlUEBRo0l8s2JuHBsOajvX33Rc chrCcsRpNy/J9h3d20EAeM5uWwPwrCvGHI29uZVaADM08Gj9mwMzTnD7JeYhEs3OtjsrEKKcASPs hyo0dxApkv3E386GL3CciuneW629KGTpvA5Xzz2UQ99JPdZohMoWhXto6v2bkcxeAwmyFzqKQ5iP 2Lfm/lUHLP4fXz4vyezyWZO3Wl1d2a7wl0YI0IIULjj7iDs8ZxTqLiYLql1Q3nGuVZYbVQSrrZL9 YuYINAzNDmzXCWhxaBrnf/BM9JZMuRwl3r2qTxSnpkcTZlqD3cn5HEcYhyfQSt7AcQQBk5+o4VJD FATRCWnxLX79ElF+x+eiKrYAqLaQ1exxda4/9IfpSyNnWOWa7LSVZW8j3/VvxuK291JwWH4J/E8A /Yj+s4wjBffas3pzAHNw+2/rPJjnB+SJ1eZ+sHAXLq6zv+tKHXqMsFo75fNPT1dd68xUsmfMhpCZ A2Pv+vPbhsLqTo+rJTfiezaPR4BBWteRx8XxXePNNQkzjzQ/n79kRnFtUPYGY1CktMtPlFiXqGSC p3w8yRyCoEiMl/ilV4vQrJn0AH9x9cxMMIb9wwYNhjAq1NHcZMh16XBTakPqQvQrGZGFLPtPpeRR nh5fDhzYUz6VuCLnfkQdsUDw5dtszu0/0byKuV1VcpTWSnQvsxreCy1bd3J0ZmJAIxJMvvMVl1pu 0brRlkWjhw5/JM3oNNMuxDz3SdB+dWwlk6uyYVaCFpyvLr+AnyUbmV09RNv+MXswgw9UmErlrS8r 1z7TpSIATosjg6JIW/76JtYtqvYv0cqJYo1/tekwsZfSItrWHD1C9GG/c9JizHTjgTwHH0g+XDvk FK8SZjLjimnboDNhJT9lYGmDGbUKm1ORmD11deJNsJefPdGN4oLxfLV4mPjgiO12UtXUMPMwS9P+ w8DTvtXhoZ25DiL0Gz6f2AwXnMbWmmDB5DmAv2Xm3QW8tMXUTwJjLqVS3feuusLf9K2H5cwHZGgo vgRCJBPCbex1JSfQyYrD0BFjJf/LGZhzK8Kj5KxS3mDtRzvgdiB2aTYUUdx6KvvqEm6nluvZRE2r +QpxwDH5GoKFawY0VsJx7xvaBHr+5KjX/tyD2eeQwfcFZNBeAU1TasLKMJ+lKhvQcoSglenTA3rY 8FMqLIS/uklrep/Uj49Zrj36gqSPBDISYO/KrQs8fq0dH13K/fO40GJ10e+xLq6mZ6k0HtYJsyPo mlkb/7fZS8bQ7Jh3reYxJeo9ypjGY8TYuiC+WsKwF2K7JJscAshYi60y632cd+qH2wrt+gZQf3Kk DIr++3lAWjW/40OtGmyYFRYHVjmsio3eFG9j8CWSl4xPhGanE3wU410lGr3hrFBOFy2npr5y5CT2 YdbxhGGeLOsJ1liQWx5dUpL+k9zDXznSm2b0AqiNQZ5ABbn3Wumq4D6aAd7MORoN9qGToXP8H+wk uacenpc+cgIQ0cBBQ3iEan+fqC5Vpq9I/uAcgygIaS+tXisL7ydCQ4eq8A3I63fkFQY0qALPG/8+ d3pNooYTWXhQWCq0huqyLJ1DJJJWf2TZZxZ2mLGaw7s9ycbcV01MrrIiNqya0qA0ztW4+26CE+5H pRnCF3y1wXXnQYxuIMKpXi90T3y9VPhPU231jJrtP/+vi/ZvS6HTcG/yCpV06OO5hpzQtevHMvcc C5JpAaF6wnquEXg74knD/qYSyD5HuECk69VMaDOy9bXxs0L+EJFJD7+Hu5D83s5adKI9YNg9J5N9 UrfBK+wd6hzzvt/aMsIahqzSdZK7G+CGWtMsLKOYG3B4T2c4P42jysPpu/pM2tXDNzlzX7/4UMAY fqpaqLaJwONCzELnVHlRatORVkOO9MvmHo1phgMbphDgIaXoGbUjMRGjt4TuxXpR+tlrG+rMHne7 lx7yGcik81nJ5YT/MpNjEgfJ0Zt/Y/PI2Zy/t3TWAKUWXbW5pWB3GdTILdm7fnyalRl5jU0uO1BN Mur4nfPr3KfjkwkU7UN++oa4jQx1A1zjWsNdfNPPBCEp6IJSj1ZQVN7C60nNK9L2wk17P6eVKAgO 1VD/w5U98JkFssAI+VHBRa36gw4PIxChseVfZbbsj/kys2OiPoZaeToGBa4MQqxZz8xTJjtzkUXU gO7R9WE4G2bqbijVQDaiEtNGI1bdBib0FifWmbVpiBxe05Sn2fR7kyvfiqbf90NjACT2bnGyEiNb cthm5VuvpjEAgfogUESXESIgLxTbs16jTnohJmdROm1wPtxZ0qwjuJIyy7+qd931BnbdLGQeVDwi JFIfYogVGUmi9sasjUirFjt45O9QfomNcdBktlbXdPbuvLRQGojy6GpEowccdL0TwFFz6aHDx1mk jO7TpfKmVsNmGVeCt9PqH3vMWH11nw7/XUXQaGStPJAyH+a5ZCeiqAXubRVt62XP1fZiFrm/iWpN BZnPsnjjuHy+AjKYfIopQOYFgjFER5ak3ksnHaDxYQNxGJad2BPo9D75dChfkwmHqChugw7t4lOu OhzJHyU2MSXGMYpbYJUjsUpP8+WWRwxyAYZiiSrpdr56nJ7snf9p6jidtbijuP1zYQFrimJg8IO9 8fNKNF46fbNeQdJeM8w4WBvM/bXUuRlymTIp0ZiHRzk8IqeGfRcVD6LbeO1FyPR/qX/yeV1Zhexa /KWyiYsBIP18YM8Glk3ppvAKSvVYAuiy+vhsRJf8XFTdrgNDJhNcVe7Dtls3FmZV8f5sbGn6Wcu7 Mv8xRHIXfnwxyPMjtqsXyvwPaNFkNW2Sr40/8hjSkOXh8rea3zKIpEZGVKgJvype6oq6vKTkDluC TF8G9tLgc4Flee4smPPt+ITfMyJaChP7T5J6Lr51UvAFZd/TlX/JiqKtg1EUiepEUYqvlpJ0xLKN kxyWb4bxivFAGHLEi0051ixz4hicOZDMxcQRq9NcLOTvTvG1clzyvuMttdLg/rHvJnXiRTHn3CWu 8BL5o3LeAk7o5mDHGV6bPzIrm3LLXQNZ2Lmk9U/ymshKYbKm3ZVGkD7mX362YkN87w95dEq56oZO TL6gaye82lWwHWPngFlkzXHtuY1hB6Q/LdC9sumC/ztTDJ+euHuFL9tJYDA6anD8eMtMfEurFdUH uLBGzhxLmx75aHw4tOItSIJTTrW3X5a8kSbPNfZFKhlxBD34hrJRpMEEOCKGotXZ6mVmqd22PCWI hQyqMba5RkdZL1ZzTWb8RRLsotHELnhob9elf5UbDlGAVbQ/4Z9P7x52SRG+8q9hHRUsW+/3X0q7 RqOtP/iQ8Gd46PgqrFVvE6o6lYvaLrqUrESRTsUGU22vidYbdbejCO5+9QlzahTMy2lAfwghI2HE 765rta0rLz+Urd+hkKfIz35R+kY9athZLAyZsCSLzVtrME9od9k3wFFN8f9n4U9/XzA4OypkfB+8 gr+5CqZVgVDLqbgJp/tTb+Eha/h0Wr1ZRobeDpnsPQwGAVG1WwX4MA6ROlh1Ibe4jyl77Suxo19t OvVhfEqfN0ypW4ukJADq0Ua25UxNk3lZwENyjpRAk8XIhrRhlUb66pDXqnLzei8Hu5MpcWwF9YSj ndJ9i78lL9Ft0Mpa11HEIbDLAlYhbxH3ZfQ1/Msw5suSbezAKc70c/JOKs7C+u8DgiNh3gRygb02 K2h2NkLvXztPHPeFo3cFAdAIoY3Bdh9DWRH5QUVhkJAScNJAesTmjTNDsiTSqShR6J+jNxu8Ex6W sr3wdR4veEWLzOn6XBO3c7E7do+0e5TbgEFqRjbtolXvKcFWZB313B2RBeAHmPSdNXQoCaBLKF82 WfDHbIwOIp4QOCgN8MCApTvUhViUIJAMi7+jvb0kRuK9it8XKTfAHqlGoU5ajVwBUZ0qJeP3AdkO XVajLRthJPrb6dUyOuP/7Te0HGmy9qGTaXPlXh9pSlbBP5NgZt4MUPc53AOLRwQcSUMRnnYQFIqF gKyZvxEixqDHklupIxzlPsV9EbZ2En/x/kFY/TGhH8/t3JUrtP3zlFMzniBBl68X0gRCCgeNOtT4 LhM9OxE2R515ILv6OTasoGLBuq+/Z81PVKZvWzt6QF4H/b30R55eWhK2CHctFO50/nxw5RoWzZ43 v4hxECwA37QVa/rLRhwX2CC4s7SPUEcms3tWuSDX/DPGSg3yGq0Si9haz4TzEZBe1Yh7K/fRfzh7 Bb3Z8vrLjVNcXyj80G0mwHuXgKYnPsyMvyz9OH2rvzD1poI4MvxLdV9P+MwrhOB22w4L84aWJVQo BNJU/MA4efS9lqo5PRNxnCrMQFGu3NmC16g65uw63IYNV1W6+PDsgzBh3A2dN4Ic+AMMf1iKYw+3 MrOAK82vWhg2ga23G6Mg/EgHMahcbdnpXmiXzJl5hF9iKIfHJ5RGp8U6ZqzYkc438SUHKrTJ1/UF iZAfcvzMfj8ZDxeG8q9a20Agqp5oXvsIMbwGOkF11KFBRm8gGW6fwWd9vuuiql9G2XAcjBgUGNxb Y7NAj4qAytYWWq0DRamFUflSuW6j1AXMtoZZR1TsFsat3d4fJdcZLCcJkHkgxeGQHpV/SgpZnJjr bBA6OT8IN1kZqZeUYwvsneoB/C2/X0K6r+NaWmQK3e6BB/u5UuymE9hd6BBnC9YEMR9mQQUBsCmB eM1rsZMIw7vmZ476MLQtHfPJgOVKSGZwy37ZwRUpeIZJkmedl/ohXdr5svJlhRzmCrFplK9AWy4j Xk9axaCI/LqQHdPurQzsqJn6+HXNb38Nqcbv8QYVNbO1WlOqvIKKXShCS2vcsq0v2ON29b9lJijH tQvwtNiZSS9/RLBe9Kb85Y9mXCIYG2K35Zj0dj0f3aLRDSy25YIL8O/eOj67YghaFX5UWuTwqO2B jUhpQ7nl466IxeHQOgSmiMqa1wIBNZu2Sv8hb/2NO20VY3nkOzR6fS9AvoKsjh/4AIhjL4SE0XTK wZ0iZxPEs8DUJr+y95zC9pPlIyJxZongMmZ01nYsFaFASAqGXaMd0/YVyYA53QCbaeUkHnDKyZNE GC0HcHxSuuqiEljxMIQDiZ/s+npylFvjeooeFxgLMUQ79AZpZvrKGcs/irNOvlUAukLGpggnapE0 1Jb1/M0J4VG/5XHGxvSG2D9jUuBN6tfu/VJcUqTHXSDKwgTgNwT70Y4oPkHUEyGq/g3aNIT3nQVU 61bvlDV02t0hmy2LH+/O703NWZIisuFM+CQcKjz+0CChAC+S5wkH0WvNEjDfVy3wsZt7X7L4SKj1 4W8fWxcRD/2FsSZeBPLMD24e36sdT2vTS61UyBQozdht08BRFp7ay+GKXI1KnToMXxtDDP8CxM3p bCQ6+LNUJc9+dagkOLPiswOudbfpSFH+kwKceS3lyNXlY8aSQwam7Wr1O0C1ZuwEruuECvmsN/We m8Q9NJRSnL39gm9mfJPJQSmzPxyr7V2uTTfZamHpX+8XGIUva6D5eZ6Isl3xenrz/ftdKPAIJCP/ HrWbvFO8X60b94D8Yf5tIXlDOQt5bYFdHXsOxuBjkzhj8bn7hzdBi55rbWJnhIqZm5Bao9f8ZkVm lWgczn6T2ULbiI1vSKNx9Er3FY5JsL/EDQ952/psFyY4D9HvAhRrMCrrKAkclN1nLLXkOn0VVsE1 Gx44ANN9uGTQiJfgh75jB5zvkyctSane+qhDStQUVco1QXCbi/F+CtQkuM8LO+76XIstIKdJcqzN 0ZtRrTNLc32rcy2JnqAk6FGLDrR/cRDQx243+TdDxvlS4r7Olh4TdiFUJavll4DpIp5te7V3uPHR 9N58XTctaKu0paCDiN0FlZ34w0US3X+xuL2ypHdb5sRtXDU027NmPCU1N1TKfS8VbswQDys2C1h2 XgBehtzsRxRS0jK9GCMI9UxDH3EUaeKVX/BM0yslJFhHa3ftZ1nK3v1Q6cwEvbIIcP6u3oZgwu8t +UmZzfBVH/DK8ks/2/xOXavmeSnFiaZFUW0oduykthe+PKzFFZ5fS5orM1zlAauyDw16pZzjqhRI 4KkVvZ4+XkJr2GoATyN2dlwBwfTZJFW/E5Bvtl+C9R8bn1bWkH16V+LQLq3mhtF75slaXpg1m7cQ /zYns4jgXJilcUMH7N/KglOQ41efCbFavbwYxhO4zxfaW8/dggDNQac4U9+wzyfKMzMp8G1aN5gI VGDVGHZy4BV7b7wyvml6B432wOr14S7pQtZSUX9KlVshQhBIgmZCNl/5WMRMJZdY7h7vQQr3syPT igeAOJnijfpqGRBNoaF4gJcN1C0EgvxaE9pNqWXlVHbh5Ywhu9OF3mWXkbfSL1Lg2DyszNYZ0jVb oesPONLyc+6MKB5XuIyelDiKFC0gEkOskAIKcTYQHEzr0r9GUG/EkEIjpRSHaZ5oIdShp0Yk1+nY eGBrRH5sEfK66U0KH0SbEyTP4c8jAm+vQJFOEnz0iTBp5e1UP33x2YWaC2q6L2/TwXmPxTxmfXJ9 YnuLF/6WJx7yDCvRzAPY5WbzwtKlzVjPjPjOSYTP8GfVtpWzZoyvepN262Zrb5CsFb0eUULqTUu2 1YADOqNB165qO+OWV3kTqWn+usyJbFx1Caz6Ph8SKH9XHPNsXj356//1COQqEth+HDjdV8mKUVPO tuRaphnkmoBXru0fF+z55zTFJEqHRhV1pDjSF0e3kBjXq711gMdLDrTMoSyMk/tiLNns4DyzlC87 nRc6EQob4DUUV0DC02oh9j6TFKs002/qDZnWCItm+bqK92mvcoVOhMOQ70Y+jzwQTpD6TEYjX5VE mADs5Iz7zGyTh28x8px+eOZLwd7om1ZRCgYCUG8XE3wWb1rnhsQ80MY1Dyl3Z2XI4h+DUhBAa1O+ bYSlDMdWB0nOogviMLMMO6I2/VPcz0BA4irc+0gs+t705vRPsLI9mvhVqyDcOiTtmArBO3/9GN+p bQgHJsDRskYLYIgxSCotP9bQapTnpa2zQipRFy7pbaAf/OUAHlJlPd4gNRtx/xxQeIbpgDdcNxid H6SSMMvDsf7yk8uxb1dBWLnBjrjxQOrLoE0J1pUfscA/ubalqpkk6Z5FlO4g7KDLbA81cvEcgn1/ TTnHUpbT7Cy/JsI3CxYlt7b9yLCMH//CDmJDBHWE4plcfDQXtT8O7tFDYQi6bZ34PiUDAQzIwSY4 PmkstQ4oLgRdtoXf3pQDgqu25/BE1EFagcNxNQciMjVt+/Jo9gTTXqXzyixzExPsDOWtZF/iA0Bc rXj2RoOkbLvmFDq6weAYK3LLt2VOvuyLCywFf4camabjYlhoTovFTcVtNz1MJOkMN419yIbutQcQ FgkrRZ6kP/7oyk7uD9pwKSowDLxKNOfpcPslg000X+m3G4Ko2HFHlNOfBdXT5jxZM0ThXOsOCgaz RrOSm1Sjy1z2mSgOQmpJOGlFw9H+Lj0O5K8rXO2NOKwIDqIjGB+Y4A4Wy4OjONgChUHAI9YVuLt9 CczDVbrE3ToKUjCZ8rOPUDrrh8N95Z8YX+JGDH5WrXrX2V3zDbZMNOz3QOT3ad3gfO4KvY+vKSmk mbVafiGkZWHFLD3fq+CcILekFquQKI+Orj0XcMSfyqpl2yg7tVDmh59azMmBRsw/qtlHK8rBByoj j2WOqaCKTvXTkDAJ8jPBuvj1n+uCLmAtnrppIfaavQ6/2ZpNnkRh+vCvx8cOPHP36/8ZKHp52VkS jb/r7Ta5O+uQQVTY6NyV8FyGnnSQMSyu6Xvs4l3eBhEoCQpXr3OlU/fd3muUqBXyCsNisfMYdNl8 SnWGLpXfO3MX2gY1QW/YsTxnb7Enod+qag9KsY90INtD5J8PQq5NLCWsPDvDcOFqOKSOWTb2vhyV lk0Kmu4zpSI9lEsJMvb3xuTzZgsCOpB8nR0tN2C/x1b8iVHxOmjY7ZZI8akUGL5PCBXoaRPt4wOp +AMdADqZ785czwveRbxPjYDEHdr+aitCpuEqIWVgEIR54KTTKfp4cAB8IVFEN/iPzmJnmi5YOwhg CIWSBxCv7WyUHS1+61Qf7lMCRLIij3d5nZFxv2JFoRTcitLYE6kKHxuEf/qnqv11LRrymoMhKOza 4fUF5QTrDZ2DfL1Kd2Mu5LBBvF6fw5GFzAMCMQqzMojWUgDSge9XZjTrcfz+Q+ZDt9+MkznFmQx0 6CZb46Z6bSHYL0H7P+8P+oE2NPQY29/uNPurB7NUGDwJjmY6wJXZbNE/1G1nk+O1CYednIdtW/RQ StXaatjEjLFjebUbC0tBXbMHQYyWEiHwqL6TNlHSjCsfgbX4PKWX9/yZh1Wba6c2xsxV1O+QHqZ0 WXY6zvr67F96kbWQ4784/JJp1vWRYR51Pha+W0kuHGavONfxyF6AbqtBldgFeQCglYV492W+CnQx +4zfimnTG6m6Es4YN6UE6iHyX5giAHQutoKKGTlN6CXGlWnsOsU4qEcyV5uc/5dn1OJ8OJsNwXFO 1jCtfAgPkme0SAaVxVLt64p/T69mXPhXnWi5kXLAdd4zjd7VkvRQoFmUUtLcnu1w+pg0P4B/KwLm Jx0o5Q8D3LulCdNHPwYhzm9t6kMvqO4vJxfFbJc41PdrefLzCfTRq/Lna68D8VsCVfm1jNOhSHwa klfT/uQgdvgftXNXWdNEn4CaQ2OUBp6mp1+UQraz0AE0D71WeZpcNALU1dTutyAk1H3E1UR94inY P173sO8LYwRcrKCnrnQmVsbtLKvb2cqgV89mzmT1bWxJSLhlOJBlnvKbijv0ZPZ4+TsDMVX5vPoX 1aneZdCCsJEO8b4S8XaZYwM9P1y5cL8HUyeP2+evl6V7IBSDXbS2QTYkQ/cCPao8vkA54dGBDBRC XIrW+WIGUH++oFNTjOuPJP+4cH5du4KEEdP74W8jcbgoC+t6mKWTqWjHyAUpsyWl/MJOEQUsW6Lv xzkO6dBR6/y1GhX2Tlre67tQi9cttYz7sXWUa6asMAlCWHUHqKWUUsclwA4SkIisPFiCeCAhSjPI tKuLYsPJ7MseqY6XZRT1rZrKkhY36ZwE9W2m1GnYFXC8L3psXqAUoznwT1vd9zYJHoHbcAfjadde qCbz1XTlxjG3PL4NtczlRvAFtTg1PHSA8S7TWYaVMoG4iLvXHgf4L7Z2iNLAY2e4pd7LFLRaYm0h aE1Q/dudbuXfd8QMJX5PS3fgL1HQJ9HF2yDid+R7EqW7YDEKVZADdUVs2QYwJZtQ216CaTuPPp5J ipJrvbZ8DeGnnGgW+Fq+9GR9W73YyeGHWqhX/PGcigLnVUL/7J8TUW0j+uBzzrghp0el6UovEgNf qPNSbBBTn5QpKi+ukmILZqdR+z2n4T6NEMD1EHRI/yl8bosQ7fND25XAg2nkOoKXhRUHB1lTNgLA S2RX5n3Vl5CbQHysqJyf2nVgj8gojBYgLMuqwF9l2MVeDSA5BYKbywBnKSBaEfIpOw/IHaQgTqDL DzxWSlRSCzP65MkMf/r083DcU1oz4y94TW4BW10I0eTlP70Y9tVfEtyqix0W66h05TjZe82NB9H8 gKDqBc0Cb8iVTVYGLB7t0LqhIOalZ+nMJYXVLbAUzbEpyzE+vE8XbtUC7/FcwM9unowNjU5oxlrn NKugpnv8aJ7d1DK0Og7NkULXEx/HsPQIdO6RSNeKTzkVn/jOwQVIGj4VmJGHVMSM62XGLS6E7TOH 5sgh/HC/RUHhFXNKZnBKeQ5Ui2Zo9VB6nDt1OMhsdliPR5eM37UW/8HCyZJ/R5kI0nDbiEDcuW9S P1/w1CbtMCxXjWpNAiWJtVtdH1h7sW3RqzqyKmwBnbZnHp9CQ+j8AlahsXnZT1xtESmhB9DQirEa RJFYCHSryE5LECvOBEBri3yZ3Fway0aG5pozOu0cEQXzLQXCpbCDXWejVj0z/d5EZt0ocCytUpu1 i6eLdtPOUp6ALCX9Itv7yLuJPIorBZ+S5wKYnuxHQ129aInxaTBW0rqJZh4Nc7ePie5eS7WRXH2s WZ0pNCroipt1ftjuoj/ShOXIGInEwJo59DDow3iYzyyY9lbe6IsLudmzxzbEyH9xLmf85DWTb5Yr JepBb0Q+/AUKDhDgipl/VLe//hnmVLiRA5nCpzwuN6H3ZWA6k4oAGTgfUhbXC8uE/RYDJde4eaBh +o27muqGSjbMZQJQ0irkCFKhmtd4Tz08s0dk7LWoGXQ2Dbr6X/x03rl0zfwgJHgt1ov1gvI6UrWV REEDxNLh6sXv/XMCngnT8x5Mvc5nR9JuZir1VeSyV7tCc2fYbwn1wZ8Lr+4TV8uW8dO1qTuHA7Lc /FPW/lzCHCJv3AhLEks3rhmDm6umI6zCSv3w4sMXn65QUtuYsiubKfj5Jje9crzLrV8EEwSqwc4N aTqgYDyDy8/+KTogtfdMYl+rsqCIAGeklW7zjqq7O6vI5IF0aoerNWha2PkcOUF3ktvQ+x19kyLg qCXMRkN2CHHxIdYNdfvdVrc4XmaZBUs6oFq0o/5anwakq+N6aWouJwfP/dJ0AnDdp9fgQ/JtUWaF 8Yq1zzpPn8BIODRlrevz9w3/km48AAHVa0Aq6mqK5/0j9TnIhXU4WH4yARMnqUGZBzqwUD3mAMLw rIdlzgoddrybNo7JVUZxDgg76eto85Wd8Ql+9Zco2tt1ww/Lt6Hi7PeWTyMOAEX+yY+3mKFYUINa X4SPhbl68QBL4+LMXZQjfWWDwaqoC+36LgoGwcIOKwGtQfS6+xA4NB0RVrWCCRZoMJQAq2quW7mD Nw9x5STuCzbQ7tjbjgo7SfVp4isuXcoJ67b68IOVoM+FNwXTx7+GA7w8wyWocDfUwtITkeVfE0Nd 0eXSm24mxCJhrxRDQ/mdO6HwWWKeQb9F/L1EsPcGXdtlygGJ+UBi/qZeW7TDeWRWw8/h+wqh3zzi ua68uiuHULxxWBuPmkKZO/3ElVzsBMLkgW0hS5p57tFcpnRvT/7eXuREaUlBRVQ9zpYWtuk8RzYt 3AFsDi1FN0HvSXaV/FDLGjN+MPpLo57Nd6eiMeWgGH5KYhMdSk3cD40LvB+F6KIkGr9mdcSVbSk4 Tdsc64gKt2SVnlcjtehxDU3SOW+0nBXPI+jQg0sKoME8xfsox19aJnxNu+uf8WkE2ptKJI5BYeb0 kUgHPmW70aOl+HN4z7y2sx490CSKdA2M2zbpPK4Z8UqWMWx3OjyoL2cxHlq2zPmGRkKHwejgCEnR 9UxCQiye4buNCPSgtghgV+OYMplpPVJCuTb4zo3zLT88xkczWFEWkXIR4mGPPH+232Tuz/5tkihX YOMgfOAoaN1ilk8zSMDlZAczXl7TW6TGdoeVXq4y7vdKYHnDsmF/rnSe4+Cj61eH1pCZUnmVsOnm FDIyxHigP5MG8O53hbeJNLPuneATX40BxwueDkhrwT5vJr7dSQ7XacPAzDBFI2k6y9S4dx1uMB6J 9mor6NXtxbNiBdggt4kk80b7HPF5AgUxH6ENuL+POVCb05w8gPHWaTeV1yMbzYr5rUHoOKQRMzt/ V958bPtySOARGvWoBD1YwRLhfsOwsjsJmHdgg85w6Yn+SrMImcMYEB41rLB9iaQTfNRQPAqlQaRQ 3c9gciNZ+VRehSaQf+jpmjlk3jSffwzOwyfoLmv2nXiPcbFbbQeAUKH9Sh0hpL9DfjiRurT9MLSg orwpFe98kJmoRB5iupoKxzvE+ajRRdHzzW5cDJC81cIRHNd22HuQgCt8NimBR5pN7wFgNexP1qci Vuga2E7D8xOQzI8GbRZoJXtV3MKONC1JXBN2ILAkPN8H8AvUr2z/wF8Yx1wKW7BdjVty6+45Emm2 rlm42dRZ0ijoLhqp7dDDbdj70+JQoOM0U7EREbKcpksBM7AzTBg4rSfzNYZ7+90MQzZ50pqzuMDZ DX+wMo6NIqoOVT+qP4E607Uq9O+c8tRxjhu+ecsbPZpCRcIqOdGJULDmSGDb+8fyI3a9oBzk8fzg LkR0dn8S5clxW2vQxktBEYl3RCjTi8r3UBCg1Pgf9f91QFiu2FXha92/q9jXa2V/NRtjd8s+r3bs kU+6lqhWIBbZx6zU92wyY/6CvZcZIhzBet1uakf24dMdREGvEeOBQQu4HPFib1GpgHZMwVFOK6L4 AWkbkLYx+wgZNX9ivpI+R1eUvNKoKN8KgBhWf2Xse9HpQ6qLKiR0xm3PG4VMEN2W7thNUSyhw7dT KT6C4mVwiLbqR1hAcbjr3QJuPmp6vqiN563+Movt/G7GAakrmZCFfLm2SUajrvkzU3bg1DvXJC4o h4xEIMm/SlNHhphnyrS4PFRvQ+kmYclyBUf0zUwgFY+BGtRcrUD9iTTigo5sYqlPbGELgP/U/uTD VMtR19fAPJxBygqfnaAaXz7yBQ07GpDbhaBA1HcBFgOTxI6mVywogFeAV2vpwKpB1TpbpCgNdn2o p35s2NfweO8TTgAxwNpszJQR3l4q38XAHNQUCoHHDrWY4sDZYfuzaBedpBmzKQOPwBuTFpkU8ZKd hLWCbmFgouKasH2jRumppEWPArUDEkjaXKrRb5h3SQjhVTVTAtkz+ATCZ8LKRsOGMMEhqfBiQb0q 3f3WucnL0dnaA7ePQ+GkarWM8DKrNhMso3+IEAzA2HSXlNd6k+UkB+iBRCYyWRiDuDmfOX+z1wsr 2yOHuxlX1F+pCTryHD6O9jCidbc+pPrI+05E5UTsgosXe/mbhFFqWKEl4rwDdixU23l6/jjhR0TH YReF1hg4AFfRAFjP2LskxOClaW9b8Nrwu727iO9q3S9vccMDBcaF7U+0uA4gj3A/gP0LyG2zDjO0 G0v5LM/qHGYg3NYA6hCGfofre3/cJRj4QkLPzh+0/wpQTzzGggFeUuG68hYct/MJdiPjqpDWL/KB 4s15AOxWl7JQihc+4lkeypYNVsI/vXexzam6oNATC8nalrUdGYFkIK3cKI1MO1ryVKlOwrdHlE09 HoJco0WTX43xsD9JsJp+IfP//2D+ogXHSM4WxiSfWBOOXuPPy0swZ0pH8JK34AwW9MEKl0ls6cY0 C3xcLKYZljuPjoSLTNc1qj/E8erWSsoABKFm76cM9zeGsi3E3y/sMPxxEWq7vIt7tVQDl6/JA4NF GclR1aWGyEEzXj9ZXn2+fEo9Ojf+mqPHDYw/gSKfRGOaFNtaGAa+EkKs640ugPFnX7HmIw6vn7Kc AI4+EtQwTVwXosnsDt6bfl+gWgwJah/46tD/yRcsC1umo6kywfvpZfjx/PVVIN2582gsdpbECFtb xuWPYIfmrUruf1PO7M+yVDQpz6ICXa6HkZTLmhuivhI28PE1NWR5LfSWct1DMxyfjVgNC82iKt5Z PMPJ8I4qsGmfqHUNtGD10ydllluxTlQ0LJBNuo2FdhpakTbY07yBT/YLLSNMkO+c2KtW6Cn7ZI2r Uf5QAKSbjaoBcQ3nMiGLIOXqWQBd9alTrFP2FAcHixmwJAdxG8hVS8/j6VEGjNoCbH6AzD+qoWIy 1P9AhJC0P8y7mUCgedogR11kWNA4nPRgqZJmWKYtd9u8FJuUL/1ntY+2ZJVTjz1yC5j7NF8ltdIy L2SnkAd3s21PfapWJKHVzbf/m7hW4ULntibPAqznX5EddxaA5BfJ3fn/yy2Iw+N6azqD9XMr0grK Nb5NBw6ALcD+Ga1ubfFTeVgiWk10M3bXYZEjb+ciWy7T+tAB/4wSY8O37Teojb3auu0V2cMEnDfD DCHSNv1braZV3l0s9vvWJhqhuQdv4SEbk9UPiFELWLstFYAHQ5zu2+0OFjHnicXhiCnUL9r/mo8l Y7cwQyw/W/ZbtxuKOw1xC8tPWmfGn+++ZdmkrdJah4j1sOoqlop3+zMOpM3C0Asr2JhW3PKznDlU kR5jHJfHvDAO8kofqHEC9xJUSB0KFe+j2il6XQRtpOLAMQgQy0WSrLQMzEDS7e3RzrIFdqf78Es/ 4XCALWSJZKvp1hMkQFVUCHib4oIaGjOtyRMKcybTvBEma5I9WvB1jYpC+IuTF2s0jfOppKZb4Vqj Vsg02/qsXowJ5H04loxx9zPfjTZXZb4emImrtSLbzqg+ExKV0gsLnei3/bKblviXg//2dQ8aCdLj B+lEBw3Kadln8wXDTY4CaarMPf+YSZSoSNgMwnadXiYMvg7O3CoahyNIQfmYkAxxdrYdTXJ+mQfs I0l8j+FiIvZoX/U5TGrzMgP80STW0t7lL4rMN4p3n1v1JPZP23qRmk+jPZ/GxzisCT4d+su/R/dn EubiwrcqeOQGuBQIC3TLd2TXVpG/hF94pSFroKMnnRkl0ChThRSS/upx7Hn905uEHvqhUPUzX7BO iD3JZQzbFWN/LhLtthBp7nJeU1uzH4E3pU+OavsCfd2kPcKlNwciyP6Xraw7L6ALR+F6ThbA5O0u XgpI0wAyGmZkGU8qusr2a+DyHlQ7U5RxmeEzZXBpO2n3WiMJps83LIcV9jmfnhssDMp37HqiPYWe WOryeHoIiRlWJt7r/koZqBsVp5GtlqLRXpWOD7R18jjeNXyCyUZjT3D4dL9GxjUKpGRu+wl+FB5w dwHdzxECvoW9BpKHu2PzX9GyUW3LIGR7cvkwnRtblcghrj2RdPDK9MU7oAKcOQXw89EW4phxrgfg QS3qdqw81UXwlTBPsG/rpWI9+sf0uTNHo/oH+hE5HmJx3Y/RY9O2zBn9Sa9YKOyK0J/UleShej3r +PA5CXCCZmqWpyiMcRA1ApNT8n0k64j6kynK1SzX09XaSrEI6AGVWtZljlmSlBDtFgT9Q0Wmnv5Y CncjBMV98Y5cSPJxx5WGJN6gEoWctS2ok2ar7xMkCc+VzTUHi87kCCQU4GlyUXcLgqz+SWKR/vgi 8uA2pO2qFof6J2B0YOIHUVluQiR1dB+aVRl9XS5ggD+d/uv8denxGW86s3QhAyW0fPuavb5F/oCg RaW9QQUWOKWJ5JrOEHGfIXFfF1cisKMTO72ez2lxr1RyuNwABn2GNnhqVBm3wLgWjpJ4YBhloHef GPCyv5yNHLp3kn+CzTnzI8TGkBT7NH8Mop0ENmel/ihqE8Ypa58u6Bb0dpcW4PNCcTZ2BnS5fw/R 2OQSPpOEZZA0Dg+hmt4hVWu56ymVpCGm7gyhVyhEvJ25M1iRl/0pLfHImoZlRA2XitKNwvKmgBPe yDAbbths2qYTGhtjdwUQNpGPE1UOZl41wIyk/tISwAsm+szjnRF2A8HhQUyaavqUbv1SmtEWWko/ MuToguWGR3nAeQ7+sfIZKnkJdf2h34zsP7K/gf35zuqDPF/N8id1A3uZOHg0VIl0lNEqfBo+FRm8 GsbcG3zV0wOTr1fEPOPvWdtw4VSvn/Us30+C881c23O2OArV7uK3QEMvNvzrfKF3jroo2D4Dyctc wGVr7QZFKooSBIzhVCNcpHdkOMc7LVzRxnK4Q8t9vmH4rIC7YiaGffbffOkiX+eCrD9/bOC3UoV5 D6R5FmPOBnRuycrHzW1VKeGVbgZa6E+2cN/GLDnmwXmxZAx4y5tFCtHeHj3xPtTk/g29HRTmblvR SVN+OX5kzEd2oD3tL7kb++1O2U4e2gnx7+WCuar1REI6E58MlopmJb3VYMaURgtd9sSYQKFk/Ymr 5z5m0ee348jZgWZVxzeliGquOTWcxlRZq9kmvaR9xeEsoVX9Fnzj9JV9VkRt0O6yko1g5r9zd0pQ VgRftQrdm9AinIAi51gr1sO9vfeIz6Pl3Lv0nUAGuQb5V+21Rz2G+kxJ5Bsz92yDsLDFf3L1TBWA 4eEevrWK7RO03lvmFCzb6uecFxjg+zKk5by+xHH8AQowoqP5EnZYKAnvpGOsmBoN1okflK56ZLby IiEb0iT+z65WzjPYgJqqsg9YOBHrKScM1AcSMk/Qr8gw5vMRgjCTy2ct9BQfAfxqJQpyZ3TjI0xv sAnakB2m/ahFo/CFmCYiEekTTXl1WcYwHK9s04RLEGQ2KZqyST/NXS/PwN2W9pMdJsIpcB6+/j/u b3mWsvWYwVLPiWIeLkNgsLQdgFSvONtRlNqgm+t1AejrOcf2Rz5VZe39xkKS8RK08w1Z3aihEygI fD6rYit5em3B2gDT58hBm8wbrRkGkFZKT7B1rrV/H+ZS6cFNwKFA6LxZRyWtaPr4Q7ex6JXi4WRe 1nMcTyAItwyrSHNyJwbHvA3TlUGN2A+Twy3tGh+iLHPEQvpwFBBn1Qr27iOjmCybXDVk7RdOUfsP r2P+9KZrMV2jkp2wDXnk2iAZ5tvSK0srBZbmT8+ns2q9+XSkQnjfV7qLhwYiz+CpPWVuk81/NBiT fj6q8afX8DDLXaBxB9GJl2OolNlkSLeWNBEYheod1XEpL+CV0cBaNB3HtHmqdRITkd0SYWwoVLFX xGqnqYmVvYkanfBC/n/tdHdkSAMtqpHU18yazCq82IX5wjhNcmMtt7TBZK3WBeN4lgGXO50FUblW P4QXQxQ5Nj8Gn0zjRfsa+tcbJcj4339AC3/LviCJiW0NtziY6D7An9kGNNjNbnQA1Q0q7TGIGc8x M5YxJuOQjBctT7XJ/pwBQWBZ4ZahTfiRmcll21hK9U1gmRJPyo8J2pQvM0zCd8mR9msJP5GNRp2Y U0MIozcyjFyVuimz3B01b/cpw7Ct1tD9swdyTHiSxDNNkVzdb5/ktn6y8yqr+dR120v541YUpGmQ hKJxoSi+23NGh69Ks/nm3KPe6tUc5wwHfPogLtrRFmB0VAKGPNfLj3EuJZvFUpd1DMC97ZyGp04C radxrvztCt4iM/ttbcRBE2jKvjuBX+r6wI+Ui4N9Of9skbqJGnImW72xznuaFaVtb15rTcoKvk/o gCs0X9eO6UzWTcoAqx6Gr3g2lIhMUUIlD23MB29M7iIdUxduc5fHvjEYarnOWVX5NwOG//wLKdt/ 7y9fqRO4a4rWgLRYTDE1OtSUexVp8YROf0W+Q8AO0kL5AF2hGDChtYqaY3LmpSIK80a+pB/cw+2G h86hop13NwnzbFP0VAH+EIqmILsTJ69dS0gwkob1SLUv7ymusoIVf6RbmRcOX/K+6ijSiLaJw1wU ZCxfZXzs+wbstFZ5Av9TGAnD1N9pIb4e5wVsEBUiuS7hHxz5gkATN4YoPas6KvmMz5J3mO+NMlJj i5/K9cB21OuIJuG6m16BypBse4IVbGzPVKmR0NHPsaQvMucJhlkUbJGn5yFmqd3inubyQdddGq7F 4Xowjd/POlF1w4InvrpptcDWukVbLANE7tWLbhuaE/JnqpnI+V9Ek59otMV8vOJxKnWBKhfQDFii yfJFNm8lQkVV23o6KNaHEUzwhLMtQAKcmC3n2RKuPC2tpGuLB9ovZgzdFA7EonTkdzrwPLhfdyBl oSSb54sEb9UFLjP05hw6bgnshCf1xohRJppbhvMQJjTBG3k1OtRThQTidE0nbqnnTJDfsnzuCzMy dato2PESl7jIbEKF3QrILdgSTKiBBWtSZDZ7Qy2WmazvvboAW07Oru4/EbeCuuXM7zDNaaisxtbi 5AMiS/MXCc7kI0eSDF2eRLwgM/sXkxr75E2NJy111PGaAJ+PLLLozAiaFRnQvpYOa9deHkLrAJFL NAwpP460FItiJyPTn3kP5geynQd+50eqXQ0LX55PJDU+XzyeJKcQNgrvtnputFcb8zQslrHws/bK BHOL0vnYG8K7b1Ea9v2u9lWUyO2j+an9KNMkQhlEk+7LpGyx4MF5mao6ah9jAA9O3vAhDFv6K9zp 6P7E9fXFVp87hCQihYtuxmmk7+B6s+4zVsq/mhF5rVFmCn6Z/VBVTd+bpZCETEbA0tftCAkW8kzO IL7wXyjD7sbPGwtVsSGOXOVqEN4rVl70eXbP5O4HVL+OovUO0lteh3TjU7C1hhPdCkVLwtqZxFTK f+QuqeQVu712604763K+CtmYMaRO6ANBgvC9YhlH603pxBHG3lb+ylsz4cJ95k5JvTVQojI79hrU 3nZljB1CVjXkL+9NHYYmlAaSZbW4o8ilSXSW18csD9o1G5j7IXMqMDfco6rK9svbZQfsXBp9MTN1 E+A4mQbAG3jSG44cOqw6TaFjdU+Y85VDZZt2Q1pzEAZYZH/Dv70y3Pin0OaeGdv5Yo8avhHK5dS/ o6sY+6lB4XHG7eUZ46FA+qF/L3XJbpOwHDoEa3ToFfIcQSwPmvFmpaD0xDt8xkl0oqyy990zOppn LBCCKzsvD5eTHIPnLaVjnfKkZ6FeFo5JjHOPpT37pXdAOK206O8LVAU144POLxrAhvMoyFeQnWSh drYlupGyo7GOnUuZudJ7FtzYdBN1BWZs2g6k7JGU/9BYGu6WKJdjtwbCyKV5l5CbhnfDjMkSh6V2 nM2sDm+TpuNy2REL6Orq31IIRM+0XN0an/PnAzPmBWxZ77NNOy8D6ruNRZX0vGlfgyPFxmvVNXS7 DArIFNtOFerZre3AKxJlv8mMci1AQNNQ/BHF7uHETaFYSvHsLbRcESDeN+PZtxnHNAmXPtw8vX1z RVPzdpJeRjMyWI4rzgRnWKGsuOlP5WcwELeYInaYzurjwDgM3C1jkKXcrqcFAo8WUu3D1TKDWB3d Mv+u1rYOjKdVfJPCLTMkFT71EiqpumTF7BAKk0PZNjbsjA+S3AVwVk9iQlIrEDB4rFMjciP7jzIS ZW2lxw45Bc0GOyUAvisqMf359lQq66cxUZ+zcWK7fbqcxuB56lTRwtE8Tie02BZZmKl4hY0NigUC mSwJ7jN+yvNQ+m50TD4OKGpqQM9IUrT7Y6b2lOQy/+7V4Br5hfHFaCb0bpgAb+0Vw4QPL6qksf/K 07RioVdzyzZ0BbmDRMsfH9Jeb1u3VDBXsPGxbv3Aw1O23uuUfWmp61hPV8BOWxEe6vaUDt0s5V3b 1/ygc0Dubti1SmC2V/CySmrX5hn28+Gm+ydjrVCT/mwTHPhltVxDLelDvncXI75OpWrFDXfykS31 2lIZ6v2DWEuAWmwqlQZs7fTgxppNZoeStz2eoGizTBo9OK+u+11qVNPCPPddynQobuxJjC22oCWO lBHJ1E5kUcMPXq6AEBwbgs72Fr2omUK0GyZb9Lg7X5c83vtPKSkMAZcm3WZlHO/J2wbs3zcQBxZA oZMF145VLDEgbt3v+SzRG4wXVssGD2v+7dRbdZAWkmhTPYtlFlBeLLuhN7ka6fqQA2JY9Rxw87S2 y0R+HDFuYuqOAPvV+iWtu6/NMKBBeoG3CMOOPrAwKpimR8WYR9YdsfO6FVS1fzV4hJn6v7iJ6G3k RrKGbROxD1K18mYuBI3zmdeXHP7AwcCAb4aFxjYQKZaDjZa9GSgQ7fkqdeV7k5Ntn7r1BNyF4hWA F17EeNuAQ/sNX4bLwNsYbS3BfQv7qQO46D0gtT1yyQ1a88TlnIEQ00p4hyXnwiFMx099IGADrtIw oafgqUw4T512+qX6ScnQsevpLOLDjnpjd4Jy9/gFxLDQ5yZYd/dN97XVveil1usFTt4FZmW9so5v V5bLfFmkufwbAXXzOFIbtdSLQZGJq7zUWSIL2pGoaDT9mI3qmuMEZOKpy5pZqRjjSZ8lpyJWnKYr EcpI+kMRdbnFEQV6PRUKDyE/N/c46Fpkk1b+udGESB8sJ1ohytOiW0KfpDR5qOc3OHQka1EMFdnX zLQTXV9ZR1z5+9tOlN0c0ywhewmCiMschAZrx/+sz+DozZ1daCpau4y4BGcJDl2W/u1pUToYOFK9 jVBq+mtjMe+bH93GmaaKpQUGUUziaXokiWseEDkTY01I9W+2tI7qoF8JHSPofOC6WQt8FRbZEXRK vwxj7oe6oWftA7M3GrCQ6J6ADLUh/Dfx9AeV1jMv35aQ+DGW6OiVy7Vti/Qf81U6L6g+T7KcunSI y+tmqScR/rtxdD5hxhgwPQNAXa6z/KbzRjuS3pAeCAAtXZ6OmJkHldZNo/N2FhThWiQnLGI6YLTt 9TkeNW3w0LE+TgjWg982xmM6E10No37YbS5wKOAPiFGUvSQsUW8N3m24dpTMVnSzsdtFW0U9JCG4 v5TATBuGhUxnAbdF3cUo0iRixUE8Ev/1aSDycuGIhm3ejGucP0VcOIc8mBR6x0261QHmAxMFfTN7 S0oE6VGqdAijPF0on0YbMkjJNq/RH4Kbwq2ocrHnm5Bl+xqauvq39IdswjkfvFmIOJjsuHuJH+CO RYYkJpXJ/v10PD6BOrRvt5LgBXHKm2Wkya1UlX15Y55eftZyjR4AynjFtjkoemWfM7DnNUaUvN9V FaUfmqbrO/bnCi9xz3WVJXhjgcIPzO1dK8wlTRzAogDPVrOqwUFH/yOVNOTD2wIxMv7te1jP/1LX ZiKptt/gQmk2JzKYA43kGweQA5wdROcn9YQL7QatE+HDvH7qrTu8nj4JK+ZwphR+Ad5i0gwKL5JR /jgMeZUwGw062kC2zGHB8u1mwGZwClNgbIZJpXFs6CA7CT19VLndpPCz4achQkvASFI5F3YQJ+/B 7a2TZ391lPLQaNfppYpcVk88rKIMosXGqarpmPji/c57FEFtZnJ/bH5dukkQaXbuiX9/2rH3GZrO oHN6sZotmQmU8we14vjW13FFOXoKM8tujqijIu8RSnwE45nmEAjByUcHzO6N5YLjmQPXZkZ3JQXX WX0WGTX2+1Fub2n5RZhTWZ7n8VBa6qVf8EBBeDnP6xFMIMAlSPJJ5Zm/QKRByFXqOmVCnFhtD7cU 5h9obzwgHmV0Xo6XurltPG9c17RL6CS5QaGtHFVYZLfISJ7psZPXY4JzUjJFXqFbWBa3SyYpIg3S 7qv6sKYDw6vIiu02fzB3SoAfSZQj1RgT0/hTu5iYI0CSMXPl416urtUVBad32KyTF0Jz3aoCUEcj WJ8PEUawe9/T+idEi1xhPwapwg1X0+KGyRbpsJhjSKizwdnKJGcDsspN5TFpSWS0d8+ryNJYsFF1 OG66zHCE06TjDPVnw3ygHkXSgaAdFAImF12beyJhBZbKLRF5nIAhR8LgEhUJsV1BZdIjuMgKf144 hPqYnK6Yb8BFQz9yuh4HdS+W2P4YmS7OTeCIEK/0u6efOkRSqBUB/YZdxtpdbqIY4BfQmKgmrU5h NFS1cOp6P2WYx53zxUL77TyshWRt4B4T4Ugof1DQd8ZL3bQU28JXLkqMLVy6nVguyo1wE0jLrQSR Tw+Bqh78aQoj1PvmvFUeb1hmhBWXFoypE35BmIHMA74yMf2vzQGiPOks3H5UexoVHAJCmfWjDnHI qPSVoogtMje2XEnI1G/yjwuwolxRND3xY1EvtBsr+0zKShdpu9Cn71DE1+RwreF/0Imi9zFf6DdW TV1CiNpKHA4Mhl4U+NcnLQQ+M+fXcc86oJ/DzUM96n1hIE6FsnshQQBhcXQhKLcNmq/BYuwwaHvB 6DQ5MTnZqHukmSW/bgDOJqDPOqO3nxTt46GfkK214ebB2YuNXzmLZ7QR0QFXT7TlgQs2Zp+hsko8 gVEd8Xlm9L+wGHMV+NWxjmlrIxI0+zJLbQkiBPsAJqqNe4JH2pnFy78HJRQDp387BEhy9sNlZUgN aGd3VLaVnEOaydNwazohz+v98Bg32c7X5bElc0dFwLciiANJKwXh4ppcUu1k8cM1oDtboMU+sfBz KkDvol637biJ3MB3xWgntZJBwQQWhbAAPOcuETbBpGqNkLQbzSdXcomXsDWcrivOIVczaHsLyJxN Cnp2Byq34KdhDOgCAJutlQJa1+wcYGq9zLWgMAlkWwpAsZeFaLztygJitZSQw3tkYBPwMgMMpOHw mTuOx9UD5YOoo++1UqKBzRrKiRCwZvEfs/x/jBTLt8jpiOT3iR4xm6x0GWEXZ2xzc8lu3niYRdmG RlpTbBt1tLCYyOfEMtWkaMalVlF1E1dYag8ZDk84ohjIdr0uwp7KP6QRMhlMiRbcDvz9PKqet0lz MkXaaAbfK2amkQuFXiqv5kDLormsfggYpb9+t9tBeV9f64itvrmDFQSlyX9q6dp6FNi01g+kl7SX rB1TlO5htSd45Mu8NdC1RwAiQntz6qd+Qa89KOBPm8qEXxHrgOI7smivsxS2dbf6ufybyPgpjklQ k02h4U+3GNvkrd1njS1Use9YMnKqw7cY28qSKUm9MCagVJIXTJoekdgsKzJ8qHkTCu5gW0jrd2x1 Szsp97KeOV9ETn8D6M2I79+OKkV7FVyI903HzVBDG6GR0RF+cb3wnX45NIwEHp5HPSB8J3dnXEKM uMfVI8qEv+dx5xsCYHHTxtOqv9Q5Vo1piWolvyYUh7leeeGFCAjMv05LxM9wDOQS5RnMHZSfOh9V JWm9mZDhV2JMk1dSd1gG/9Rx+8QCz/Iq0QlgZEgEsYZOiPq1gLj8Pa4HGySU3SR5SLDJjh5WTVd+ I0wBgym6Y3297b75+SDI8+lH6b3KAMQvxb9stJM5F4c+B8jH8ZGwOulE7Cg68KDuync7SRaofpzq TxlV2BUYUD7HXNFlvUjBWuJ1/bJw1HtIw8dhCG31hLN3x/QlLLGMYDoreHfP4brMinhCN0JuDGW2 GbyJpBldFpK2WMmrju76XCruld2BsGgDk2Tb8GBNlqbDLwtQ/EyAUBWi2Sy7GD63Skj7nEorrW/Y IEM0TOzQ2VDHVeMGq8p/Ou3U80PecSD978pt4KcC2JdyMnrY3dKLiiYPCJBuGet0GgoFPi3r3lGj wRVGGfu6u09tzKQi9y8+jgRgijagf/+pzQroUpmvZlAQgdpMX7DTjks0/U+Xbale76/afvuGmJNG FunyKs90REqqhvME/pdOUteaHE9jd2dwJXDHl5Z3FCmAL07Vqkcypo17+VTnxT0gsXvUYjzWuSi0 WpuhA9TBKEPM8XTxi1iBBRQz1AeeWwYrBfjQOX0D2QKSKZh5LVnTcrgCWwodPZEgiYfOirVaLLxO OTGLtzlQ1dc4p8pLfl+3tGpwHVG3gWhDhT4HMDpiJueC3gsNIIVFEurQ/CcKdIKaqOc9Mjxpqoro 8zCTrfDAV+RUOZ6EYIhuKFzj7D9YsmxBJOBjkH7gqI0Y5n12g18y9KATbXRQF0rGUpB0n6KNJaIt l25xSR2/JOxs+3RbGOeJuU9pFpfpIzjTrSmygRoOSH1ODxzJbC2rsKov7mAhHFfSNTY25K+uI1xm yjePzIny8ITUy1F2HN+oGXENeUSgZK37LjMB73lpmrvF/VNmjWmAbNXa+stfAAtUD4niR6mJgNxL vmeRT+SSEUL4NJMLxYuXAyYjCB3Jv8cUGsGNPGd9M9SDHY3AgDL8Q92vHlr28/pv99InX34vZib5 Nf10EDiR9WkrirqZ8XCwTFrs1sJbLDwsQABLbwE6eM4ZrKdEUDuTIlkcBZLGbq4cB6gva+xR9zf9 MXrr+DNXBMTM/8Wkz2e6yJ0I8Pz0NwKzVKqtpuMIJbnrsK+emGiRrOHTF00EKgBNWJr0OIL2rq37 y0Kusrfil8/PPDBRrhG2dxfbqIJxxnuCHQpkSa4DpuFrqHCXi1Q6A4woqGhAEZuE6DkIjLa9mE3z tA+BbAc4I1xBGcbGzfIPXqqbUmpuLTnoZqW0xGUg0stmHvLN88UP6rGe7n4DvjZOVJb8fhXRDmiI wdwQmYXejZTJ/XJHey7jETTky3J4Zfbg8UUjCdfEXufNaRplLmHpGaWt8S4FNGxRphBKT+Pivsx2 zA4Okj3NRGtBXUeOYDdQS36d0ZBY3LW8fv+9ULsY/UXFwtkPU5cOjL+4pnS5flOmca6ycoDZ+mlE yqgJGgc5d+guGQ13/4rFYCORNPNSuYXJVqsRNMUkdwsTQ2Jc6exbP1wNJ6ZbLsuEIx5Q5ptKqwRG cW04VK4dDS07sNLaYaK9dMbBaqXJvThGpfv0BwM520lTbC7CIaPC2BYbUyYquw6oRfqass3yQEWU ReXSmvsH1cRQG4nzUYDPYWIMZBL2AuPbstatxQ5BzLmdCSeosF/DuqwV5fg5GikCqUQDsoKyAoT9 QdZyWvNHb/XJAk1Fqd1aZ2y6lQUMwas1BX06/zh9TwT4UEqwVoaCJ35zfLjYFYWxy+M09sR5cY1m EkFhpIZwTPMLMHjxdW/m3GMuVRM/dAwhPAlpBCdNJN4+tv27Xfb6QXWADnEBThYh8dHE228RhMRI xTh0a0/R4GmjF45k9U8jMqCYmCmfoXNoHq/Ag13vFgipYI9D0l1+9axRt8r7Dawc8bOxLbTcp7gE utUvqcp2Lw2BQxsw45XuluKcGUkcdZjbO2sXET8goGxWoT9+xRRUXyhycJJv7kE4PterwWDvmPIH TrSwIssjM/3ZYzCrbV/OpUaIXWVPr4K4Uj4KAp4f+dj47dF72zNbU0KTRnFMji8vw29JNfWlQs2K dBKfZheiWC3Ur9pbv9xfUPotsylEXfOvC7gYIZwLDOfaAhcVedQcu21pameeB78CkK5zCp0bG3oM xGj5d5SRkhsVxS8fqWPeCNGWW+cnpcAu3IdCRBRhKwC4JmuK6Lkj8DxgDLcWgOsvut2nLz2iJ5Ye s0CloQDHq45gAnTXh5O8UwzrEEI8YE88F/+OvWt7wEBgkDTkMRgWIDpFaQ/cIne6AoFYQNQIUwKf VXcZNG81lCqHD07bjQjYCQsX29W60pr6WQlsENZR99gW/3bwWVOZUzWudUYFzcdN1k0GCodO81fC Gni7xZJZ5O72hPhdtr24KAlDG4HMXmnIA8HMydqtwyJhyBjSSZgKAAQmXzoQV75971dfrPwdshFN iMvo6LmOZ0vyv6M52m1Mczygk+Q1AA/GoQUjkbosLGF7nMcyLeasRpvVFIWevzkMeGExmxPoQx00 Mim44J13w+ezPvHXuZLLTSpxceq8zdeNSmRoysZmjIyHIds5SVE0JJQXaXaHKkxK72cPsz0L8y+Y Sc4nR+di+ZDO9MY7sODlayRQRXdssZXZQbnckBavmHtzeYOVXS6w+L10MtsuryY6mGa0ED6Gayr7 G306wXSJzjD8V2k6JFQ8jTmMr+6m8D0U1Y6eiSTXlBBtTKUetQosbZcMHWCjS6Ze7vve4CMpAoEc /Bj3OVruRMID7vJ3S3SDeM6pJcJFCIbXGLIhGD52RoxOlWW6Zg/9yZ+75NYOE/uXiKNTappXgOl5 JzIxUVc/lzNnPxSOmljS0mjli+YPwdU+wPzvoGYmLHhN7LNdWxRMwjz6Ocu+LK3fiytpIkt88lLU +5UEry6UsIvkeMjXoZJCfGX+UmxY4pVRQI9Oii1GKF4dQBHNRmTJSZNl6eJrtIweEiscUW/wN4SO VPe+WzQ0e6HJh9iJmo+40u406ZVv8VJJGlqYtdeyMdStC2sT70dZArttqQraOGR5kNFJBAHrmqxV mYo/4WngYVfzr/jCnvy0dmmTTB28fA4XIla/ftmctEPQy/i6RBaCsw6EYe/A+aMrSa+auyqAX1Pu MLPd+6tDh2Xkcu8H4LXZ9SQhB0ZQ9QBTdCg0qfnGrnsErGehO6Xk1UPHuEkgEyKVlR63BhddldlI /W2IekbMTFb3WIOcq5f28AOtcM5afz904MlPy7VaXWiZg+zVnhIwGqtz7oIPmwJ24l29tBYJGEf1 1Xr+r5T5bBqANNdDX4787V4zbt27Bxrz6rLchSyWT4urEVxsBzYKo3Ed4XcVeD7BQXHN35sMilxs 9dzdo9bSalaedRV1OB7hwZEgJ8H6IqhtiNhgAAmdqaP/Olnbo26dOgbUk9gbsJuBvkJCETil/Y4f Q93PzT6fjcml0Od1q4M69sPRGV19rkcuiSUaUKcnIvP0blIiH08yfZHia+a8R8LjsEKYkXA0y/bu ITeGgfh6Bo13mtzRulyGC9U9urnjHjS+juXZHxnQ6xbhcYHIj6/W/rmKdcPoTiXa2Vuh12IXHzVI qnahUOnPNQpmCgSp1ujRUL5MEJK6eWJW7tSgMZ3VE7qg8jyMktwXOjf/DnurW9f8Uorktd6v2PCK 1HO2scM3QNMikoR2adbN312SO9rbtw7mssOnS+w4x8dB4oz3qbcM6PttFIntTUUH0Uif/UyN4mbm HLFYjM0E+GICqVxv9xBvNYnh/WAbaKO+728hx4HglfEL3aFRlGzJ5Tt9OFmaNBXVkjlcl1664NPV m/o2oBZv8F0NfPTiAWEyNHhBVorZa1dXcJiKaDMbb0dr+aaF2tDn/C8a05dfYPQWLBMtiyBpGjVe YzBjAykiP1p8lFTlfA6+A+HtF7kaZpTkmG+R6AZaliwh+/hBxC7lQE/GM8/3waAcfisK7AFj6tC0 5VBDUgXiH3OZeDJd/EMJufDW4lPJedKUTrU7bpejq+zVbDLtBwG/qzGpVz70DlLgkZWhFqBAQFG+ ZWH23teO2hqCCvmc3Dg8wRTegtWaRR8U8HD6+MDVlplXPxmQv/IP2UEknm57GPuSP7dQ2Y4aFTE5 iqq2nYzbg4j/whF8Gy6YS3DPGBSdoCyLVeG5CwoJCu00lBFt9y7JvhPsao94fjkyxhU6LoAm9NWx AB5moCIFx+N4kHHS5JgIk4/7v9R7qjV3MCSyodSL9yhmhaF8mOCLczG5EwOnn0pyOxnk9Ib+ZFvm /ynjVmd8TBPjMmIQXTurnOF2UnlOeH2vmsMm4XYgBgpNCMtSOdNVfhcX6YBzMgdDuAqNeGiJH0iH jRPf2INwJRzSVsJUJwWj5gNjZ+HdWEZnQu7g+EM/rOORVlQkKNJ6k8jQon0jLm7xYeJ1bIwuAPPo 8q2c0o49hce49j/Q8apAXaRtwjd98nY/vcEW4HlLhSc18R9ub+SxM4DyTyGFKCyGtNx4PZ80nnTq i6WiLK0y/WeCSHxpQ9yHvN0sILJd/gqqmTwZNkqVlZEml9RKyPsdEpsB0t/w2Z65w7XXZ4VM4c7c qflED62Npc1w6VXibOoB1qnPqyd7Uxz59Ovk2yg2iUagGkvwXq0HVATt4FfqThcob96Co+gs/Z2l 1bH8bUa+Jt7TpZKEFEQsMEESSPO4JFLLptp2NN+Nar69Hcc2Fh+VAfEL28CklHvtJyhEGqbpuntU CFR3Q8YTd0Ic6kzNmKbnelmnR5//tZQiVjeHIM+5CbP7zzZQGYRsAA+1DgKwxwNBLRkZNxD+fuKl JAzcqL5//vgTffbD/NqpFkeRLYpQO7LFJKh2UEJ10Y5yfaFMJcLyl7L1bsfwHxeVZzhGfFeCDESn 3H9kzjTGiwFwV7ppbliwOxZT4PsJwCNuvbFe4ewAz6ble0F/Yrp/oY0fIRNeJcrYuZ5PiNmqfDf2 ZtAzLG4sCWA3Vod9zcPWxk6L/Fc//atjv4nZwBp1mSfUamawz0QIn3q3jAXti76c7FHzJ7INQ2KJ D6LkoXpqdIXfBOM/NuVLdVuLbDkZycPNBHx9mNjnad3jUbCHR1CO9WNDfnw/bHe8cmXFi834ecJ+ Cj81c1KtngdOKkYBEyw+Nece83zOycUcAJr7GbhuDBkNPngAufN6qKQ7j57w3FKyk7o7btxOsPKG FpyHWcz84E5f5a9LoMsZpzJxex6CfRO8vSm76g+8vA2PPRh3YvUVVJN8zfSDk2wdc3FmL4lknDMw WxI9k7kG6i4vR14ATdisBPOpLdCtYPLwn5I5BTqEf8qZ4gEi98dfKkfOy9tXktQThvuWl2fo6hUA UpgZoSWjGUt4S15kWcvzVjcFYDlZdK0ii1BJ6Y2silPHvYIKJQIWXq0sr2FW2Qg7aIIlnOo6rm5D vqGXnNSbdIFU+/7fQ/e3Scg2XN1zPOVV1erJsbjNiWpcAIUIXevOqqa1hLunVocyp0fCPYcNrENW EpgOxlVupHXgMkzxk33paGKc9YYmFYQZvv3P1pKtlv5OtNg/ynsOhhGqVIf629i6MApPp4GXKemt DcA0eODdXuAYXGObIVT/A9FfjBY0/gGNR3XH2OL6LTRET9P1TqXSbSIPWzKU13DHW7XxtKNrPZMT rNVbP6XI4PAK0Uwt7s51NLnTfcFIYz+xlu0v60nP6guHdIUcIDmPlNiu/ecrnQIzanop95owakVu Z3UnjGwhHJ4UqhulDx65ygJdGIkjsTX7ije0jWa9VSq61az/3CEO5C9vbgrnra0tunQIh+zmWNBZ BakVK7gapNbYADdfpIqpln/yLvrvgnrGMrdofLOYVhAn3FG7tHcWaU+KElSoXd01/Mp+AeA2h7bF iLhMCFx76ui29r3JJD40f7667lRl4W61lMrIJhrVZX6wArXALoZ9ojH6KE6EahBzFq4q7coxntsr C8vVfHcU0Z8KIpofvdatml1z64mJO0ePy0NRWT7yZdNS/3OntbsNOfSlTwE9XOq2SC9/HHP8kWdB 7IzJQJf9uDZjlNNS/gYn3F/h/W5WdEYTtF2350cj+nemoCYxt2ZzjBlFEbgwVHxgfYid2XE5VwK+ dmvzx51KMUwX90T4BQ/0qFwJXdGKI68GO/A1xrR4xrVh2elnSEFvT0d3AWD3GdmZuNKec+rUaWbS 9g6WgxXY3WzF9mJjVcwnLz1v042zHc0DeAM2Jc2s2ZCT8KGhZFkBljPomz5C0Fq3GQxAN9VPQQRU WHbKcfvWU1x3QS8DHVhR/pvwF9/16Ye88q7wN6hXy7nRO2+LzKtbjIu9LxvL4XbE87iEf5IHbzGn cXTL3maMIQRpw2p2fNTPWyMvszqtlSq31tYj+VeNnhTsG0Ydu0Sp4gthpAYffR4A3JhsyH3xG+c2 fOpxgdQcTXQS8Kp/O0cD3TjyDVKFPj4XEdCZD/5ZVFVl3NXOdtlFwCrJSt2qicKFICWM5HKRvzo5 p6J4sHe4s0OLQczv3ye6Hfcl9Lkgs+DMMiQgkNYtwmhRmgsoFZIAyRe8Ohi+3R6eZPvVp5a4N3Qj k8Vw/6OsaCk1jGwYn6VGSXToZnMOmRZ6w4i+QEP+c5BuNbxDnZFxKycsVCYTBWe47j0PVIDnXGCq HIsPKzDiaUk12lsUtpF50aTHSIzwZn98Vs1jrC+QUTDm8WL4wJ0KikpVIBlyjG7vOZLw+4EcbgCf 9XpW4wbmCD7wE4m/j1zhqUrugz76tLOCALpN3gxHAm14muIuxuuLz/YiKa7Lu0ww4GneoBc3s5TY bfwxkB91Xrpe9KSMKssSpL4az0WkZN/pO8Z7aLDUx8G8Vz8ZryoTyrwkk6qZ+LQpg3IRFG5nZQg7 Yh/u+xfJcc10S6We0mUR+JQQhHqbeE3Q8DrvKoKnbybyAtorEq6oQETWntzwLnN9l2cTSwR3z6yv qZ2nxGEDNSXLRo4stTJeYoCSsaGoZHnmNdq6W9GCPTRmiMcZwv59IPWJdgsRpkN7Zrnuc7yj2iu7 xKKZbEvbV3KAIEl0NqjpZOdPNQq8vuiYz0SOxK/18ue2Q+g5tckEFKvwizZzSxgGEf0YcMVb0MDD LQrDGv3a90BXQD83il21Dm+ZM6yuLM9k2ZWmdQ79KRydLVJfj4MJQ47BDYV4/OgfF1/5DEI/ruJp KEAS1oN1hksXQj7+zmOOjlX984kwYBw59v9yfD3Sz5E7tEX41TKE8tRwjY//nxKeF636n2pDaMkU /QL4nrXfFaDcio3se4e+3P7fbIm4bQXKKmFQU2ICjDjarbA99hGas0jiK/Mz1nPjt12AoPoRLnY8 Ao6B02gy6bHV+R2zpfgiOQbGiD8TRFbmC2U8MF6k3WOJyOaSlHshDJ//Ns9PcfeMaZH199cwCLUB yJuwYI86m/Ia+SILw24Ubgc3l15IPljNweAgSrBYUxae6Xi+1hILiKnpZZNXIKXEsEOVCPmssLBp ZRl2/bklsdxumjBZTPEaS2z0ZQIULz6CyUO9ns1cOAGMG9e0QIHyQg7ahXzLQPrBfqmuWfDgec4K 9gTSllVDVFEZ+eHB1lZPldB7NyLMRW6IhBW4meYqjMJMzEU5dyPsursFZJr9+Zk3mkQCc3EFM+M6 1Xos2Zmb83ezj4PCFlJ1VnQucEU5Gn0tMtrVQbq1T8gPQeE3JcwJKQm8+2Nsm+lCRS/6wGI3Z7rn qZtFD1uVyyt3i6zfmP5Kj2GFnu3eLFjHNJWJG1/UsVBd8T0JqBKDuQfCThu6B8FLm25VW2ZM6xQ+ C3GAL+LFBCItGW8KyiSb9EuFiusQf6p6jnlJcslaSuf/Unr9QVJw1AX42GAJlMC6hxJpACMGh4yE 42M4qh+XFfruTuY4jE/q/tnP38+sStn6KX2jT2KxIfQuHR5qHpwufEgDzlPcJmBHgjSitQpNZFZ5 1jvAtg9jSAmPqDjS3cRHTumfuh1KaRuMOJlySIJ3C/nKlpD0PTxGZeXjLXFSxekcPg4FqSnvQeDX 2qc/7f7O2lghkRvzQkKA/ZXoxCpimfY4nb3LHerj4IWAEioavwyl41UTN/gUsaB8+aNqky0nZSyx XZc8kBHeaLihqOG7EldKYjZ2aPKlo8Az8i0macpjC3RZO+oay0RiIv8Au4ECMeKrMS2KO6wBlmQR c4lp94+tg1qe3htBCKoTLB5v6zfEvuSRaPQTTVxOlWNZBvmHKp6bXwZoOGAW/cbQvGgnOYrn6hAt UnASN3kyrVYlw/p4+mOCNWB9tQlgTNt2fWV2nvGP/K2rcGRLd9UL+kRAWAVdUtbEFj30DYRsFNc4 YJHZzAZX8OxqWnaBR9VqMnKhZT8o/ZLKke59JIEc5l2wVwEPosXi9ip2Wjtn1yVQxDu9HpDORqHb w7Iqwy7CBilE54lluGBowR/QQUxmR6ckdj/jeomvkyqS7DYjl9xg4BuDv1XImvGpWjx3eg8fB0Ns l2gCp5gRmRHiGIkyANPxpU1XB+9VStCXeUYzitwZYQhvhfCPI9xoR1O4d+0gWPrmpi/hcey+jEYV s9cU01Xrgp7Bme6IziDJ0uUIWHUaUx5sUw9EA994tTs1vC7jgVeLeBScFvqaaYcFvdvYWfISODBh NwqcG3VdP20LcWQFeqFo+WZqLvjDyu2lizPwfHNJ7sECPa5MK7YQWsAQwbPoSXNCDWlG9qClnqHO lPg+7EDbPlWuPqRsLOkyN+EZ3zoE2EZuR8k/X1ffbxwav6vYflIdZNVyExLooGznj/8Nvippo9sz evPLL9M/HJkrmRITfhsbX3xJAWbmwc0vQ+/c6KXrN8hOUXejS3cYjxxupnxPZfh7e42rCDvQbLsE vb554rUi+VnjhV1hxnNMbKS6cPntajAXT17n33JMjXdKAcUdryXZJgwnMkHHM48oXDOCfLNmgBSy D/2YrxRisChXjLVgU/yW/zCRIhd0S/zTpOmPCFCaqPJafDXKrITzxBM1XlPmXCKH4P4u95WG10Hw bmlfGX5WVgFSvXPiCeTuTSzOII43X7Dm+BhwqxksX5A3qZZXHEITYWQ9YWwN3nscbr6FZqfxwTzo +S4vL4Ebi0N0xn8MG4BEEiSSGxW6TWeMDVKuEwTNdXu80jD7x5fSya0hE0sfPtwYk0vTEbYi538g +p7+UQWqOJPl5ZPFJDh21RxUGYN7h2lcbBOh2XzjrNHqjHyW3I5Zvv/thy5SiyA7rcsF9v85Gcl0 AXRKtv+8oZrA8Vg3zT3QY1Cfn5nos+C3Oo6SYu+9lKtxAFbTsIppkhghG/HJRa/Pj4pccHC+wfWI WVJTDilclIqkDNZrq78dRXs/TUG+fAdUccKcj0Kz8nJtQwxhQIMR8KgrUKW5Af67NSlzwVishLsT f/2dSHKzgw0AmoYUbxqM4xYCg7gvNce5HiuPBIuneAX62VWz7ghBxX6S38c9yGr+4YqlQcfXZnOF Sp7SAO0gVs3p+osrNBzjKEUjwS6bxL8SeujEGOc30EJ0YlaxXNPJIjO3qRIrYSUQEmPk9A9FmZ6+ cYW6eoCqdL2bRGNrh5r/e0ssx4pmd918MealJwlRoPmmgula8ujSV0FLDWc4orpU/mhk1rSySNJ5 g1J9PU3YoiQW3N71oXzVoUZt/KDdgE/eA0fffJgzFqCjWN7GUXGIQ4IU24SRjILiPKLvsIhHMB4e v4lcvpTqxgm3BAilz0RKSm7kMg1e99fWV2Auwm7zFYI+5vmMOzAKmlwMUEnvON/99meX8drVsOwq sjkPFO5MmkoHDwJwCiEfWR5JuqqBtBOPTtUmQvEmlAaMXim/cfvqC7YUws057BvbCGDsBdrOO2mB 84G7BsOXUvg6dWSR5z+0FT3X0yzkvHjLsPltZMy8ylTfsdAkrd9g1x3kox1XCaI9iFAmJkeV6Eln QKhZD8f/chKLRgR2EsW82GzSrPU7GdFGAUATmgLYpMm/DBi85emKWFoazDw9J2OYBpUoVfpNUXIJ 2YZboDdQdqut5rEaqcIfJvfJw/fxqFkc9bereeNVEwjatBr34EDxRPgJ+bJNCrII3KrahSkz/qMq qJoGmdNQHuBbu7al8enDNVT8S0VdqAic4aq6oDwyeXEuEEXaEuS+jyAWWBOpZwQk86oQ5Yt14vGB ZpLBoWDNpqjP25ElS8MdpyCzgbPbzEc3HjXvLlaGQfKPnhkOAxY2qzSmoakCQgWf1QJu8lNMKngm saL/yARAvAKNyXZ5M8SN9xu2LHSQOZvOnPo58N5lloPhuasAfKWOdoUD38d35b7kq7gm9ZrWPpKP RuxxTbUaLD65ecGwt9URktBnwS0im3ZWDbfxT3xtnHDVIlOSKKpkC9/WGXvoUlE0yVGLSAdLZAtX tHZrIsGpI6k01C1hCAbpu9fUg0E1FzhU27KI90X5D2KTQgDyX8FugfmMbirURy26ryWnsDgLRsxb zsDtwdnTf0euMbtqetMDoXvMDzmsLXMYwkvWSifcvjx4S19sf4QgIhnLpUNIjpHfKTRr23bPmcq/ dNbx+hjkyrPCDwK40Vj046Zu8P2T8UePhqL+m3nUXDNUu/C2j7ReSWfGeZvnwjis66cS48/Bekhu kvB0MHznWxcjlHu6D4YbGZ/H7tl3195FIHQEDipJL6hLl82xd7kFbyv5bzQUPKlc6UVzNKzZrmV4 ganamoXdp7tTSqvV1vQIyS/vOEAbcC60zuVfkmr1gh5z0gyRCuzqB+/K/kLesUqwINd7TLHc8pGO ayH68EPKfQC+cLsiqcmQNAAe+/YC1sCndxCI4QCH+XueNF+tWJ8o4PI1y9jCpS7Kv3SfcCJEB+iP Igjs2IQx0pIizl2Tf8MOBrgTRA1ioEKCFa09vjMl8zj3KnONAYS0hSIvSr1EgMIkv7Zr+JYqxnul lPCVIanP3/cnopYa8rtBwl0rovl5aO13CM2EbEP2Z/6GkMJIU4pciw7Sy9WJ7o+NWZTDVm/vTWTJ uXoYKR4B9k4tiYIDU7Gixi4E2cM7vEuhlSIZovLblR/0ZV7VHlbS9A0nt+xOrx/lPKsePip4OCWT yGfMoPr040bGqED2SHejqNBXBLrbrwiXD00brNiBu7giTSb5a7u1lLnUWrczKTPJoAQZb0l1W+m3 1Yix7BwI5zZTtyGnDRBrZpy9kmTPwGzxewUgRXamMu0mBXx8V9KNedRt7q8RnSgzyrOiOAPsvOC3 idh4KGL9Lg1T3QpqJ62fEoBS0M0pu7RyhFslJQhD6QaLQuMIEc72m6kaPKQjYo3vPQt2GKFbubkY S9qAXuKG4iTwkoBbd5UPGDypLeOHe0KSZJuqaFUm+BnlaQ5Cvbf9LvEIj649SX77V2867jO8B3jn mGaWiz9V+ADyempKUnfRn+FHyHLcXdNuxgvhQ7dwhovxlGsOKnmibGBArpYTWv5cfU5L7BrwpTDI /lgOrLoxvh8kj65pcWUzC7BBvGiCHAryD6JbMsz+A3i3WKb6u4+2uwyN0r4QYM1yNohDb/DYEfKr nxVQeWSF5fZuEUjK1keK50PRxjZRjJrpE6U6POnk50oOw/e4D/vz+cw+XPBazB921qLomPt1cNC7 iwy4cuHIUfRiv/IZPuQin5ykBWL1QXrKppQMlo51sKw8NvaEMEeiOWuhieFteAlCdoxbmFFqam2z kGfP2zQllAZTwPFDVKinlEs6APtq4ZSr4upP5WYc7uTy0sgIBK2n6rOfoT4xVJgStcpRp7vGtBp1 dItO96YOYUoZHs5Hj/Ab+BYr+Dcd9EWUUTo7pr68xv+PfbiHy4gOVZTqNuDbCz80/FYF1MEbEDu0 BkcMqTLKZzxcLi8jG2TWhYMmEzRgeZl7G8RJpGi2QNEQE1beHKgig6o7BLgH57rLL2cxIWQgBWFg oS6CCOholnEDaVKVBY6OvawoyXMr4Ro1kpP2K+GHzrfQTaO/sU9MyNWVqzdfXeTNt3aisn7dD+IC cHhZLY16rrb+pazQL7IKoaZldVUMlpa6an3tW0dqqLJOW0qmcivi9cDsuuj86ppqizlPRaApsFQz V4VX3RbQ16HTb9QHb/4DZl5LeaDcjkrv/TvrEXCGxKxqg0DmYcXHe+HYy1wVApm354npb6A5WC2F 6Q6xrMEAVOD1YsrRw+bK+NJSgYTppLQssQWf0z9aCjwFkHOivvkprqV7421SdQNbhzGI29xaMICZ Ck49hCdC+0DQb/aGehVwaJU7SDit6x1AjdsR6r8BokhuEddY0NNy7+D3+pSSR5uXtZyoEJcG9v9V twPmck4+fdDiPA4SBCeBEbKShr447an6S2HwVAiX2VlgsKlEFolsQh/eSJyW0itf4t3ccKNKkkxo UmpG26ELkAjnJT6kwu9aCZHnBIgOGhKjoTb0sXrC4KrxDyQcMex1W/sZOtPi47Wis2L5RnAPyfkA Ge3raDpYSckU2/fpd4fh8Vf5rQaHUy8nv1naqkqvAkr4S1+/VsLCcCR+O7PiT/ACOMDVtB1O/dNG BlBi+FfOLB0zCWkNpzW2TAxVec+MKeksF+aCOsp56iP31sbgK1+5BY2lu3VW6LRSFFF1cNaKYj4T mvIkdwBymhf0y4NSIl15yBYMOsWbt5rDm3/nozCGgWuuO7bGuuveJF8vIWowkMT7ew+FIwAX/3Vb M/0maPQLuy3NQkywVb/68P7/aaOU0dXrExS++VYqUi7z2ZRjGO8kBu9iQtabQ7tnEzdmCQNbCmlf THBx4ROLSqEqYR334xxjEbrCABHDQhMLzOdPPAhMlt5AZlRHNKvNLDzE0XuBYzPXJl6yST/etfzs GxPKu2oflPj9gP9XhIlnYd+7gBGn/TYF7PVIPJ+m79vjVjpm4r8k9zVce58AQFWhlSUOdqfo0Ick /NigJImVWedJuDg+xCGG358vzZPS2nyox3anlueALIzArRibYue8OCu84O/uE9xE9kktYD6toEPh MCRuERGaYX2Hvv2p56n4lE0gPe0q5/mmPvXcCm3abu1aFENjp+wtFzCK7lnSGq8jaf2yrqgX+1br fFznrFsPrTvDm9s6CidNqwueRSkcE/sVz/sYeIO30WN8okDwz8rKZw8phdy2BFfGjzhZWaEaFOOd IOA/yLQiQ9esMcKdpF5Q3wNIQnZlbkMCPpITrcWCf/5xDaUV2CICKiD+TnCeOBlW05mph9W5uvSj 0T1wjLXCnmGTHYAEKNjQNWprd5jxFXETnC/GCfqg7B86OdN9APS18cEt0tUwY0FZ+ppgxbV48cYB aUfnX5IkxOtigW6y7o75M2eir+zNdJhpvBCNUQTL2g1xMqwMTRcfIQQk/T999n7jr1TJOn3MI/dp HKEXZo2bKWAEqMJ/1coSjOY3k2jfIolt5HBcYzF4VfelPr4TrURFcKUTXttxVS7AfcNoUIdWRVCD smOIjUgBj9FvXyYgBkvCA/CDP+xFys44jdZInj6c327Ce2otaH5VuljfnEW4mEBdzv/K8mwJ40xK pE4eaENu7RSM9scyJuQ3zxrCAJo6FThUav92e9C/WS5qkBeimsZB5M4mkNp4LU1X9wwiLZ9uhw0Z VIpd0CmVcxnfa3LbkvJV5DNKPoniwKNOzh06HkdY4WgTlVWH47d44lStXD5qfddb0fgUtXh9SHjE G4/l/nfAQnHh+V0LvZdJjX+4XCNsVjlmAmVal3VuKPduxXe7X9cmB0fhdh9MXn1+b89FAwATDAdx KVeFgKv+TlCe3uXWJFy9t+p8RMzUvqR6i8EOEreNnNvoJR1cluXccNR/jUp5P58AvqrY87jVpL2I SKadgMqS93MijaqUWKvfhcTW3qCeOeDhxLgklAYwnDogB5+j7BYueW0C83L+5Zw4JKWxAVnjCzWD 4qAxJzFIyLfDRIFKTPQIyKdb0nSS28MZiGoGUumIO/f0jeI3o2zizjsKDTNUZwLGA0hAx7nokhi5 lUqL5ulBkXRR0UM4usC15toYbobTqEYFdYFcYqvuKPBx8eFU7Pbc9JRnpBc8DMBSrxuV3KfteOJo YGHUWx8nmlCNDlbW8VL15l/Sip/Ub8+OMqOiAnFnwerkr6ZGBEF0IG4JjJpQaIw6DPrWL+aOanPI TlxfszkMKi+S3Fu/kMCpopY7cKgSYUCQiO4xMsmikQYPaJgvZD+JzXNtCPY1/6rkSt4op51Op/o5 C+bR87g4hD4XQQMEbnDe5xS3SAyeZNpaIXgQKPFpRQuRZ27Gg+9biuDwlYV/vWFTg0BwpYzbj9HW U0slj54kZUP41zoYfIVfVLLuGJA3CtdkEYFPqCcyYIY31SFfkwxbEaOKmJ0L2Zn30WC0ToMViuyt c50DdFvPkxjW94H+5OM3LHEzkWXqV8U99m9JkQysLk+vJDVmd1Vb0INXJBre6FIQI7ud/3ZOCVvM vyOVu+EDKQm8SJ4H9VvzihjQZ5Zgfo0bDS/8zdanrQEjhl8/QiOLXPYGpdV6OzogNIl7DQ0u6wxP Os0dyWwe48rWeFxFpzDKzBkfMDd1aub17Z+Kk7J1xvT9S3cte3vks9g8hrzvlFsjuNnBlTLzBiKW nvvofpS/7qcZ5jSuRIB9Xw7oS/hXPxg3CcK25O5cE61aX5XH+xu+Lkkl6ATRDiSeJ9DHpb7ASXt0 VXmHql1R/4S5gZAQKCXs4rCAg+Z0VXu88tyEiMO/cZ8eus+AukZwy87PHVaGWILc82If39a+1a7Q +HTXuDZOj+BTLg/rGAyuVuAPvXEIdXNi0ZgjmU3DVH2LvpJfBxU/5OE/OaPNXelBrWn61zB84r7t 9ADOTdcL8UlEErN2KnHBtSTX4L4AR/J+Bv1XRHgdLBVy1+VHZImtMAIcdmu8ev2v9I+B4x8kw6Hq ocWdNA2Oycj68dz3d8kcqgKiO69uOChG3mkqYca0rcPgzDQ9RZPB9+hoSNkoaYdn1hIXsf66QMxq zrXCZHt3/YY5LKg4GAmCc2AK/7+BqKq4MVWM0dRkzOh59/Tab2jSNmm1ljd3H8EHNg1GlRYHP/dg xmTDvnOSFcrd/S6/psLYfVnEkYeGXXg7dpLkoGdleB39yqtze6QJHKW6XF7gINqVdrLaVedwCRD3 s1JmIqdtMqXwM5DNodq7qB+pDN3yb+0cvYCJ6sLSmwbH5DeFLEQeBPqkEMx0RHLtuqTVyJMVdD2b ISfx2AZvPVV941r9xm0MTmB7k8vdo/TiJiPmBvdRWz106hKvsYmR5ewa+/mCTljCdB2bHpPLrqb7 VB/tK8xSiGvzAo9phFKcdZTdxta93hdeWufmoj5KUkK26SVE46gzjuGnYmnRAn8XQ1WLFpbeRoWJ 559JXGDa5uCbigJ321/EdtY2xkA1H+T1CThQKmIffee/lpqzmQN9kwSzp/UrC6ezeSyCRYX8BZdU W8EU3zplorL7fAHOVBisO5vln1DJgYWZ61y6/U+lLFBfDvArArxecAZd7HCSUQrq0do8CSneCU1p 2GiLMPuRt5iYRIjesqy8mLykl3fcSHaq9kL4+0R8ehMgN785VLMOUHrb92lAe04S97JZbFwa+p+J 8r6BmCDahGePuZLBjIzD5NsY8oatemXixLFupNAyJ9iajZnn51hKQB0kk9ovvhQGx46PpH1olm/Q 3lL6JNydBWIIdVlRT8GkLKtTA7zfGj06FtYgtW+OUO+InxZTetN2P2LAcfu/WU9KboahZOlSGsAQ IBN1a4tzc5f4luZVkK/4aSvCkeEh6LSYnGbTSROAM/kNq5opu+URq2BqTmBOF1aVtZFnDb+LrcEW qsqgh984cA+VelPkBX2CVhoHY4VS1FNk1AAG2qOFXmw9fK6WvWDD3dZjPXdRUvcqZWbgY4mRfp8s YuiNykMaadSroCgtstsApLY5U7qXgko18yPDKOhD+P8+AX1Q9CnMmOJbGuTCDB2Kn0c9zaJymcRK Bl9RifFdpV2/vJuBwE1nSaugX2o6wXBbl1tcO0jKlrpiQUv0ZrLrgQc/TicGSt1U4EGH9L30aP0N 8uMneU0RbxHtb4w6N3v5BokVo1EFAS1UDRaNlQ3O7kwMaqS8oiPkxptzqppMibl4FZlOZvOaq6tQ cFf1mpw1SiH8mJS4zfg5jmdVC5kuZUQCQun7ojHfeA+QnyAKuYvbaCIn23oro0vDPgvv/gOhx3ki Sm4NspGAIdQEuuvLt+j90ounX01eh9YGuVs8xerZ3g5x2O9SGmk8XwN/E0viZxCjGk6OgQndbCmb 78VIGxzyEEXloAMB6yAx83m136ruO5LmUsLPrqh5eBo2AMXnLv7499kS9TauxdWwkqjxmKzyHSIh aBFVDZZSnxjDOZ35+C82i5KW/Bhts/m7H3A5UvNiJ4pgv6OeWUQjXKubY3/lbocg9xu99BQgc6EJ 4FXCyr0vt+Ny3xqj1kQhouDhHDMgAkwCbO5vroOFo4tAuDur6pDxPWzPu2OG3IxyQDzv6Rvdo0+9 EEiKLSwV5+ReaQ5Cct5ubNhmUhnSEtRHFD6jGE7pB4AjMEPorBqhaagNSvZy5v9P2MYlVahPDQz3 YoDKeXIyUEF2NtwH/qgIuh9TN0yVqYLJTymjmrFyr4AxP4LlDVCbAzs6lg4FYvmiItiLY9yZPSBc F7dDiEu1Ye70dpoCTYJypnl+qw6GFcSz+d7EW9Ti5d8z7ZUxuxJdIek3UuaXQ9gAL6rE6gFv98I+ Pok7ORGo+uNEhOndkUuZ91Tj1UVRyvk1vwtnUZPbnBdNkGDoZ4h/ZVYDJDDaNjMCVKJXvdTHLP+r ukFlbL8gEtHIoXpTdOgRkuNkeI0co0fc7YLhg1Jg681FvgSLgGVQQopbi0O6xzR2EuI+RZ3My4Fg AjDyib8f1hgfsyTwBg4/HZrLRaApxAjelDlPKr2qc4DtUdV7HmSwa7xk5hL5bno+ohNWdgDGqJJx opckKI+V9i4ObbDi0iG5o7fAGqz/FvrqKb2LNT5qWaFC5DIqKccIcquh5TsrhFHd59uVo5HcXcwG Frfc9eLZhFktk0/6RjBiRCCpzPIbPwwb1oqs+CXbQwGHRx3WH0vhQbKWbKsvRzVByuwbJQ9D3cmS PkLF5UWC0ichyrDvfmk6nbBL09shiyTI3BmoLdHT7bALRUPi5RCr9G3+8YR4nwtB0CSRnppDtkps 59B/tPlCEaf+zBmavOn0PWhrw/Ide7ayhz/HQjZ7m1XIzbEdZMs23HErkUwjkKEcNedcTxn33s2d oaDtMyJGwckUg3Y6MfG+LhIeMzHNSiSDg1AUrxAjd43pAPSQsMSn7ojouERpN2TJQBH/9gzSPk8O WNk4yVwQkJ54oauquYZJUHY+i6vt62Q/FxfZCb08RWSZP1x+Io8kcupCkJgSAKbndw3Frjg6HoYS dmOc3q/nEjOpCj8SgE925wjMTsTU1RfdNgsn7NtrRxg51gyDhtfU3AGNG9vXTa77cJJGl3V+FLSE NbtafS78No9/obQQ7floXloT0tao0ZrcpNDsdY+3ST6xgqWM92nAd7oaEpFwVMqorYhpvYOz9O6z WvB6nYOZY3psDCcydXLKT7WL9v9tFLnZjRRNnKkFmlJfCEBPKOLJMCJ++rSblrePYUAbd8FLmdaE yCQncn7kYJDADRKXOpFzLYE9DFDMVx54TzdQC79feGthdXFoG6OirWql9DhhmJl94KoRQ8VEp4ZH yIBxTNMhZcdLV1X4CTNc4dXQ2HEeIWBQtV8zchao+rYu+Q5LmfJkaWjwc1wYeCsP9yAMspjiqCJO VCyyxo57bcfr5SoNvEQUR80aYALLijDKx/N5I4EElCN2bW6fIEAoXfoP51j4ys8xjV1h8qB7HymF mMrIHrHn4ND3Ta41zln/KbIvgkBV5S824TCzPqNUtIngl6OV4jH7bixbr9gB1hCc/sulhPvyq6KL 6ESNkPv/OxUcMxDwzl5yEQqxUTOL+aLAuyF1IqgXUrQD9Z3M2ucjlw1yG+yPITb2GMlCPM/GaCAK jY72szKswGnUdyZrw644yoClupM9ZlAnNWIE+Fl/S02WN9CpIiErj5oqOk/0iHxsLChElV+4MnzK FySrLQ06YUSaYL/uRCFP7wbK9hwYqDCLsuKhoSbjOAi+bGpfWxa7x6TgeotKpW7uZdrFbvvqw0Gj QUpy66giKjriV30T9dQyU322h0gEeEYBhiVAd8WBEa8s6L8dnxDXr4DM8LM4nlZxFWxUmocTgJWG ucXRE5n6igzP/A3alqk7dZDvzJewW82F8NFQM/O2AK59hD8U9NoazvGvaqX12CxuyukpmQAWRpdB 728jyCQuCIyUHBi2q1dRIpMQWsFfN2YlotW1I9pGR9qKlkIIIGPrJsr1RriGjBTf3CcTw79iWmBH qoqqRHS2nF61bzJv1HqS/p6QX4nm7h3DlYYvwQaTmGYKPEqZa6D+bXRApWK4nwp4FPkJMZ7OtT5i FWDWkxKqMcEmmQ3xHNLvrOTGtv8RzfQco76lW8tlH5wsVWDg2xJZeWVjVEFS33gohKhbsUjEaBGz 00iZqrV2qoQlX7Lf3HHwy0XHDTNRrcLdp3GVn43ucA6lIt0M0KBzDqLdVdA4BaII2OkKqDrp1Ch5 Irq6eEW0sZW/Qg/Au0rYyIU0sPvbhhu+y9ZXt/dgVDGqRr1We7GOiSm3kcTHqUIxHqWaiyyvJ9HG uuhsfe2JipQzlb23+FUJDpJToFQDiYysrQ+Xyjm9OWcl2A798U65GKevG4YF/qa609xteNq1zEQ8 I42bZ9A1oWvPRBfJFVjZ/W7ZNttvDvJyH2yLvxAh+sSOOuHGEUYbCvYLP3vJUckGU4IqIyeHtAFQ njNcrp4sQvEOkOTn3Kl4BSyfrXju0jOEFIjLke4RdS6aLmvkKs/5t7Bn7zEJiQBSbDcx3NTOBwvK LErWBIXzq5/2bS0eAHVXIxndpg58i0bQipdYuX+YpyWupQ1wqcRp+eEwcShu2gDyKDl2yMOWdHA0 hyLgCUBAmCgxiuYtxwORA9G81Ah7kUhoyZU9Q6NGlwZUnon6rD2ZaS8z6JhsA8ROneHpr81eYB59 4SpUxiHhT5UHtaEKkvz9tsS7RPbTlD3iRn9BCIBRpzP5x3XXtqiW8Blr5fhZTahb1wryXjPnPtH8 TwFrhM6nT4e3oLK+6eIO3mlKYq2K/ddcrTac0X9awPT1g+GtGqn2UffIeqty4glH7n/CbglQtdhQ Bnz8NVnA0DsYCyB20y+QCkSnXbyEWz4NX/YMK8cQEjakpBd4LHwQxErk4F0/gvxtPmkICaHn72im WDbeeooJoPZtG2HPyyp06j5vMYnfJ4tFT8RAObLgsN0x0AFvDqUmq+uppCgFgNKS3UxC+JnqC/sU 5KIhXQd1WIh3bI1//UWjLFo+LmxkSOw5/09oiRc9MftKii9K9CXG70Y7lPVq/wBdXDgFFVgrIu5o bfv6FXmlquul3T7DUobQLIGby/a6wPRpZrrHlJXmYMbvKJJSDI+Vmz+t5bGfaCIWX17tGrBr9Vjg VrIrBks546MhnJUn2Dlhcdvk833x903Z3eB74XlMXXsrO0Ams2h/VXqlZixxFjEFwh9MAUdgLF1k 3C1zy0hooerpfknm49fDeqhhxSX2cnYEfJ6ta7hjza9yZiIHVXBjLdTMV8WB8Vu5KcMblKs/IuSr AZ8UAKYNj4AGypkl5kkJrwYGgZn0N6evvNB9+7SkTYj8boBubX95zq6Ysas1aTtC5v/8rWzVl7YS 4apR+fzYQNehsn0ucm4UEqql8Ojmq4SsPmEsAVznF3AH6xKO3xNIaQfan18F+FtDZ1I2aKjbrNkb dgQWFnaNYjVA7WA+NzZt3iFfiFIc+mOSDfUGBdxgQnIIQ71tOvongpoVak9dr007itme5U2PkAQJ 2/QlgLDbaW9QJq/X7sXMpfzcnay6XMeCN/OGl/zuXGGd+mskLXVUz5Or2lxRwE8Bl0nyOnrQTeWG eYPZsPpeLRrWXGjkmHH14aAs61XqUPsk13vxc5d5B/OlKjoNzedULua3qJdfaH51NBbMKI0I51J8 cNZvnhZ4z27UeQEDYRewe5+KXma6jn8FCHfP4lq0scpj0fUjKfOyOPO6Py/FByT3kbtXfJsswBYo V5Xs1SgtAtibV6SCM1MoIxkpfCszYvyOL3MUnaFgwkZZfRxt1Dkr3AF4rF592SBPV1IaacZNPyq4 Y9r2SMyYNYLo3JUTlmXRhZQ2mx5TXqbvjZ1PNZhaLuFFuFg54HvMonIotTUwisZHOx8hzyA5vxR/ J2/E9vJU0bbZCUfp9hpmN5SMvb8KfsjgHB1sVpNvxZTrPYJttwFvy4wg4ZgkjxogrJ/jDO4w+Rgm /Hd1YcQtGvSo+D9L0ppfJem87zJptor7mzVtgadNojQQIGS+/oKnGYIbFD0ye6mtizccvE0zECsx XS0ZM7S9HYxCrGdUvyDj624hLPwz7ykBzgZz1z5LB7w12Ml5f7s98hJjOwuUFJYi5oZWqbtVtbCF OikMB71zfuTTKA/PuvBXg4zGuwmsvI5DPWLwUX1BNUJfSzB7/SZQoYpP/WGZmUTAs17Kq52iioiK 6Av66UHWWHJ5dq3RzL7LDEOarcMfdpLxG13yfnPc0OvrDW6eVJxKTyiccu8e2Xqg5UFyl8PWbEkp 9bx+qjLJR55oaichSGDEb0QIG+Y4zRU/n2CI5dfcbRHpbS/7gdwQbbzFD3Y8pNRJLaiDwGI9G0Cj SDHcPxlRLOLYJTYQzRytb3AARveAWSXksZTTnPCvgScjVRJabBfJJ3ud0pI2SJ/XKeeAPB+9sJUn SovBxaI6vlUdiDn/g0eR4JJkypyQSQ549qUcgLIY8yUOaD3KnlUz8khB2gQMeiZGMwFJcxxIRZms AQjGpQhBbQAL3y0BkuFTnO7RhMDrQb1XOwMKTl6y91dFofIhtqx1oaeQ5mJNE6S4lX+hYsAkvrD7 hAhr8hyDbWTbj+KNtBoeJHYKetLsxh15m2MGgLWW8w4FgOazpXYBm/y0jFustCjwyIVMWrkQW0bm Iz4DHtZj05m5QtJCPTY9fXpzaqZmApRJgTtRq7C/MGTmCr2ZDHs0er46Er3goC73amhBWEP3D7OW OxTRq0fiS0+DVdazTLcWMYwe3UqKy4pmT+0kQarh+6luX7DKzet1QC0LbXbxo11EGVmjRwnKbULg impoCmxP9HWu74uQoV2an8Mj+tOjKF1o7ztxklqW4MEeW/rHa264KwJHtMoOJb5fHFBj2JNxSNyV gmayxShT8u1AoWw2MjFB493vxul8xwkWPHH42CRwuTkY7Um0IjCAPf4MYjS4w8I/ChwIHz/SmUqM jKwRFW4oYABsZh7CgkVQns+OlL3+r7AhQWIwiLdmECBQum4kVRJ9uq9fnb0iohwu6yZYsdNPbIFG fe89PkdioqUG8pxrdlfTTBy+o1msENqBffS8Zh9PoJmL2K1tI0Wl02WIe/s6T0QqIHK8nBr4jUkA mhLgsQjh0qTYyTDXzEpRf0XhTGm9ELi9aggxnq4Ki+yH6ZZFaYzm+o3FPN4MCTP4dIKtYool3+nd qkcrE6QQmeSvjwwTdVC/7fhojrthWpDxr0k/w7eOkPtHpRqPMsBkgnSwfo3+WaIiQC/CqRJmarpE zejEjXuwF6PAINV7MSbeHdaClgfbxh8XoIRsWKXj9ceTjGRiOFi4NZRiWPXoGbWZhEePeOlqcpMh Ya69QxFzVNt3PJgh9OIio7Re/mWw6TP7S4ENaQMoVXZpaKZ8468VjfWIQb78jbtKy7YnsFGrMcmc foNTAJoi7aAAsqlhajU/jPqGX1XQEw4AEjgd6ZgWfJSRe14CLN4EmTCt5TimMeEO03/JS/cScRjv /vwHHM/ptbFgfR3M4EKr8thqxvSt6iDEeulFXMcghDe5A5HD1/IZaKFa/sNRYZrHyN7jVy4PGP3Q 2IAAHjsg/QFrT+4FG1BwBbvlinIA72If0zMZ0JZVcH6nRmx7ZucXAR7qAhmagg92VrkO/os+MTZ8 EUJbeWUEQsq52DZ+Xgq8Uv/1ogLhLWGa0ZbTatkG39UoAFq2eXz9e8HzO/5cWIVjOn/Oin2UriFw E+s2yREbs8HSWj8KqPdzPCbZGUdGVvgJN8Xq676skd1Bdvff2BUUiWGWyXplgNMZfcVFCCvjT9vT FKB5j0aC5CTgczo/0o7uhMmufH4vWR5F2ST3mQuKeTFBcL8YLNKzYaba21Pfw8Hz3V0u4qjVTOzO G3Q5YlQ6UhEBwY1irODQRBSbkoDGugdjEDDoR44lm4LLdIFoHbKBV417SbsfY5nL+CMEHgOHimRm NwenV6vm5EDglmv0uJM621y1aLHCXFGdl37WWrjtkosGmc82dyMBQBuKA+nb/KopSehwAeDYxgC5 aohtTZZKuLSmAWsLqj/W063iqLIbWgD4/POkDb14PZ6H0oXK34TmmL7tz/fJv9zCei89FY+zCWsO xMAlbColExLQW7tyfStyAgW7h2LTSRgihv1zK/mF+9oCieqdB12SyYNZNd+omB5sSPvyg7QD0Yk7 v7LyHkb8W/0JD8FC9iATjO83Q6Br0jNAuj4xeptzfrepq7Lo+st6vezDUNuq9BO13ZOdjHql0mbC i15xgISytp7AeBjKRPhJ1FUKela0dmJFGcKv9QRvSI0kpN6OaAD56rorjuRiWmXjHMnSmdpNAWw6 jZKsjp8QwGgtqmvboYOgIBMT0dkL0AwI9E9BV5Kub2CPiLndxLvksUhu6AnbVxFn23IrUA29HoIR T/9wfQoyjnelWhkyJQyuhAJgNkAjnLwuUQzcNStqqivOi/iSfOyhSOCD7ghzCVwTpuiQ2A/LJqhJ 4wR9r/HGxbGtYUx2AgYowvPzmJxN+SRz+nO9m72OcTg34fxPeY2+W2IIosjEJgBDWeCkBEKPphrU uy9s9iKDTRW8qkzXEdNgoB7xQTcMfyWeAX0MUDedghbKyErKH/vm7TN5aV05QJeq/yawge291QDO HDVLcBUmVfs91TldNVMFvj0dV6ZETQ7Y4FLJ8E22D8JCZyBQF47K4roZ3GRAPd4TEQRl/UIJJ2Hv TbDofwzgJZsyIvYe8LHo+pxogt4WF34q7u63YsCtAwR0z4Df2YBv/docMqy6Z9MwbFZ4fRdjC//b WFI9Z02l7SHG2F0pTPtAIUHZmLeCyYzcvLLAY5s1jj7YX5on49/MgxAUOL63yODEC08nOfmQ88cw 5+Vtp+x2jZAH3xO+uqP1qMnRInukn3/dzjJBIUUQGpsGbEwyFtNmdESYUIqcUFDBWaYM8UrBAKNe MQRbs9ezIXEXcEcplwKez42u7P6XkTGe0W3R68P8TEdvaaee07umYU0PTsW+ITvdgK59QMoJ1zX9 UNHzCPOEkT6AKx02ItxYX1Mic/PqDmK9aNscbEZQ8+loAU0ymejDgDjP2s8lz0SKAz9G9QssC+RE W254aw5XzFvZwcZQXPBheGjUp1tyIILEpD6Qudz5XdN3WvSHNqhS9SlrhgGl/UjcKf59qjVyyijA 28aFTV6MMoTjja/G5mMZPOSq84la7wEPXqGvZSyH0vnsd+jZOKO0owNZcG+xNB6/iITRzhbklgrW 8NW90Q9Ef+O5fFMT7xXUaeC1925Qb/bymdTOZ90/NYXxKa0DqLhBUEslnhefPJCJAdgDVn+H1mUL FH1BLh/hcAuxOc5kxsjfYKQ31rwpjxQ1PAJBoGXw2+b/5PN6h64cBXvuAiHVVIhasRzPdrfiDtrL yUBorOcgQKhkSmg+Gg7Fg+RQWvm47+hSDBFL9MjU8neB2V+BiogYKn2+qqxyVKO4aDUqbgN4BSzW yKpRxDYfSoc1QrSEw/MD+bcOt89fr96a3aWY6eAR1ISECZ/gYiwRR8ePt3tQMgovBht6leF6e0E2 8Mh0xquBQ7/p9rUcHN6EfbAiXQu5KoIfmYfNsK0ke+CcRnS1Mcq3nlffGNoLrM/wk5l22tWwX+19 Y0TN6RLCPLHT54wMUUbLBnbtYazP1v4W1wy5d87+wYnphzpY36lz85AhDadIA6Hs5Bl1uEJ5OWUC n2ux4EKlgAjtRLkxeHcgiq/FUy6tLvQ1P9e+ascy9orv1d8+VwgLlX4rJ5wbBb4NnjI0A2zEms0C 5cIE5DdkVWdvQNFYwA2IWlg3QnmUkrhD8pHnjt2nWxMKGljZDh0xxtDy+ReBP7BAkY0fLxDkyqZK 6yq7Q+RH1Kgk6ErS8hC1tn98PtAFHjMRgjiY5RxrypvNs1PhYpWlRIab9Xu0lNDFA7zxcdLekO58 kY6W/B2O3IBtcTX5HD4n+wOJXZrjG5h1oRPa4gP75zc/GoR/Re8bh3AQ40vZpy4riNjKrS8kbZKk z4+TNef2cRcsP2UW5wnDw0c8Ao2U2nBA+c9oXnYxZoi41UfQBThX/Czczyx61mWOBrRRonzEnwaH sL3aZY9X880ANxLEIulblyd4S83yMMxL9uHWFQYKicCWvpWiaVBKSUSYOE+p6j8RFWs93yaNFCOp TY8W7XDZSsjiJOjBG+9/snZDGkOcp5lXqBSxPiPbj2evd6+oH+9x0bwNyYHezJsiY92Qm+LTykCH +ITV9rY4NAWbFd2jBk5YJo6AUEzk8B3Thi87G6YzM0bC/eG4qOamlkxR2SjcXTavCf1KgodF1G3b pv+lR0GAYGm3CSVS+5e4aMsKLCrLEYPWoCH/snwkY8JGpT9I6U+rWoDM46sPwg4qm43Y6HQC1A2A EqWAvXOKogR7ZVulNEvMb13B9tQ8H96yWalJ+8RUDnChx+t39S5shqJHskvA8tNUYIsRMZSjvvfj NP+hmQ+ptTC1W7p9zrYVN7ROs4v39SGrgLjD8+8i1Xctsf/6KsgiTk/nxrNPh17rdm8vjsNDC59l xhwzQM73MDtGlSp+TOesrglPQZZWdhUQOLQPicyfpCKrtTGOk1AR31dXlYtQPmXan6CMaRLJvNQz c0GuZ8FeRy4Z8uFqJ8pGwvTvOivnvKa9e+L8fEzMIfjtWgNJwZjMC50jme5UYoUWSoOGVDDzKl+j BYD6MxBYcuVvP3K+fUgq1EoI0zOgPgzgB3B4XzKSgM24puF0PScLhH23k4C5q1g0ZUYh+61nY+sC dodSiJShyEMpx/KlgThNA8bamej0N7ZrgciuXR9hUKXuzQetezs7dr5Et/VWYsySF7ROxYEZ2FPe ogRL7MGbUNUKgDjQ3fmkeoD1QYpWqBa3MDK7fhpSbHK/4lLhl8gUW1zy7OqrF8mE+DBQWJMV8aDH Ir+09RjQFc6EkStFu90dgrfqQlGcO5m8EhoWWFl2CkI05Wj+yGfqQfOprQTih73u0qijIvGkrdae a1PC9hztASwse3LDgCdaIcJHHc+w0xTEIwCRbfiPS0ym46T71JzCZs5tbBoQJHvS2t/rsGsnoswa 7lNz1F+pxvYPfjwF+/LL/zzXSEpjpbgwkE6Ghr5r20nFPlzYsGZ0O7rNwx/p+gjBb25HIhyIx11l lZn1QlxYNs4OSs/YSppCzx6TpG9+8MxIbDEh7/zjm/nIT9xu1p58v64B4mljq1YE72WGy45MbnSZ zIctJ5evd1/ZXdYTuA5YFFnrcjpJQbJm7W1vT3xe25xVLfPnjhPLdGW7cqyNKQOgm/XJMcG1B2Xp 6RCD94hthrDDBnt9OtoXQZXYByPOT6O7wCyO3RF6ty8AAuYSkUjEzHnHcUGzO/LBVzi6TREq5bqf ZPfQQs7jtr22GyMy6eghLKDF1oJfIlreXbmWkyvTOgJTZnu/gX+qHVDTeTBtV8dnG6GWrvzV3Qzx pOW2aj4q2mr16HhwTFadmfIwsujgYCQgP+n9yzlzR4F3FgUpEZybLKBc4VGkhP3eV5IdLWJA+dRm pUF9QJao97I/d238ibfBrXD79QEZ/Y0deULFqb3XES4viUd6nE974gNVOdPwqmcxrp62aq7LtF0u cuXvUJPcdlQ24sX8u2K/WYJ/2WEKOSuIB/Fk1Divg1SnijX15B3eBOc4SyX9Ceue0yifoBr0jsjF VwvxZfYAbM/A+vle8l6gzMBxljTOTytyTpLfWMw0TGqVkX0GzpM4j7iYPaAWBvsIbAfrdZuGeE8v sq5h1s0tTS1vlisC4iRpU34JTFHMdp9/ZfIzAgVvL6TwmTfmjFFANN8ElSaJlY9DEj8RIdUP+TPC wT7bK4tZd3zPaWQX1rav0eJu/2Dfj1XT3LArF8UdHvGFgWWuqVOTMOk4e5GOFzVLbDZyQRVezw4O iGUGVygkZQABtT22+LU/jn09u/SYEAJY0hEzb66sdUa01mmyJuuJgHtxtSjit6ngSYr3sP1Tr4SJ u3gZpaamDfJ8Y6OAbGiIULaqqps0jbkqalZk6mVQyXp7avZaHZUtOUbpf3yeEpc+kpE10sbdNexz X6x1xaxUSkz/9pYBreVc0nPzTvXUZ8Nyq1q9cUkKtnh+5jkKGCZW+Rgl7e8l6dzFC/hd9ukjSgZq dDZKqqqMwbA/FI2LtwZN1qMsbpS94l2UQUC6l14mMrQL5XL3qalRVMYjtR5yUlfoFMAkYjBUUBsL g1Hd9s32DdpvRK3IozbkZev1/65hG8e6RuGwyGWFHYC90U0BE43Vtw3KKRhfwnNpT6ed+IhSAtCv e2J1ufE10Po1ToRXw9zSeBijfgp8Sb0GUatGj+FJds3SX6qyaoEDE/m2ur+h8YJw5LUcqSL1wJ+t V1RcjSOta0+D4fSMeFUDeJGxblJ0xv+BcaZuL8Z5sOHEEL05IOyPdPOc8Q/q15WzpVNz/jAeq/Lt GPy6MYfV8BWQZIA8yE5naCg38DuzGUaSj9uaaXdTViOaN5lm5fuv49QO4is9fPhMSBwSeDPZAclH YmqeW9xovHUX/b0sI5sDGywdETQ0syt1VZX0Uo3CwpqyLVsMEGdXp/DyhRzGPlLSuPqI0mS6BAV4 FGxeiqWmFkwec9RFOOwQACZspmmamp1lCL8YNizxP9oeaFHul2TDysdlFt3h7tct0t2l81swUVGt sMNv0AuKEncT0A2XBcIACHW2hMmG3GTbZVqUyfQkUCZTtvYcNXs+YRvgt2kYe1iqfYVpGUo42uSY l7vvXDwrUteVReov8vQpreMTY/MSDmZx/fcc/ubnFqDQ7NwWvsUp+Y8QAXtkQ3wVubOWpmmfNcbg Pc1REzmcFPbSa1wctB8u4CKBRc7/jx8ErQGI4rWYoM81uvoCfX1Wp3XhPLdN+GjCpLjNZGFgE9hm gRnh8IdRhDjM7MnzFqnPTtp0DDqtgMgUzcOG4zd8X1F/8MB8cBwsX48uNcCUsn0xMbBSO0sXiTs9 2rGcsbv7c6g4ZWtU5y9QyROtsuwbrEg/ZLDee4vmZlYj2oWZJkWMmDUgt4vcH24hmWHXn4UqM8DE T630ImPLYjwC9ddBImRpC2WrJXVstcDUhP4hidyhSSKqxWRr1WrFfMht1bW4qkd7mEO30EXahPT6 btJAy1EJCDm54MskN3NK7jW2f9/sbugYbC3Z3HV3J7WdOEe1MqqlHfqYkPXgw2E0FAWtBRjHp2pe GfopcRfQec2MYcHUZ/5IHVHSapjW5f1NEhUVzYQnjnpo5S6rWWjEbFq6EUvWfequEPsfDab1ees7 4iKGwBnRGOXAgXJiXkua33I7/CCRExiuLWQyKwfnNxK1Z44ku2SaEDriAqjGCJUiGziIqZzbdd6r wN5PO3RsnEMKM73e5xzrw+6SfI+aTByq2cc2kviccA3OkoQqMJzrRhnSYW0YNpF4X24CZ4EeZia5 kU7yXVbXV430lb7p6k9Di9y6DhKdGiTulkbq1sByB7LnMT8wvWuPgcCmZFGQECyJ6BmMs5Cw3iZ5 T1TJ3Z58CJq5eCkwrWjsAC1BuIIKG1jtkjyhiWXobJV30nXespGmN2LKs0jxOvuzV1Qui5wg+Ytp peGGDiqL6xXYKL6273d6LhCsX30iWHqn14wcSt4ZilHHP4wJIYjWx55sAguqFSXKmSz4PBNw/vqw 9kPoeo68Y9MrmQLLg0Yg/IUqdwIPZJgpfcmqN6Puix3nwJDr2xbqu2+LSN57wMYsiELAalYDg22y 6n6i0NUHVEPZ2pXXK+HySYGugY7+998U9+9DyQumTwR1uYTyaEjtsIl1MS9jOq2mjbpqDsecBJxY CN5qUEHuhXxSoGv+FDz4VYIMV81MJgSA33ONPeKBvJT4oapbZWnLTFPeTN3Nncp7Fb3gcQo8CtNj EGogmA0ihXc6TEH1R26b6bVEVdXePQnYvVpK682FEHOW8s/MThWOTg0cQfsI2nUKD4+nC0zByRjs 9KAFeVfwuD3K+SvDrGm/eJPtcwC24DOSLhwOglPbK03PGuU3bJ9NNzZNZHTiN7EKwgS1+Pzb3Qoe RjLCoV85ACdLp2q3nwQpuZzfcSAwUaJv6DdnEB3/l+ef/gY8L/vkHP4oVEF/GtX66GMqbLCp+dr9 HK8ESfTMWBd2UYxn1vRfY1jTlnb0vPqnlwXyxFMAffspzhL/k565sUQIAnzDeUxmIipZbIjP78H8 +Utq5P/1o/71dPUZDkRlrRH6CWEU5Ko3Dc+OUbnYuaWbl4Iu9YJKPFLBOauhBbg//jzyBlhppIAp uxDWGoaa1n3XSn+1kfkuW+LxTVH6tA6ErReNCmMBteWakiJBzqXzBWS2dRjAoZMl3oVqGglpJ+AQ w9WTafxz+wjUplRol/pQ2+5nye6lvz9KFJ4nxRDh099+FO1QOfQjSGVF6C9AZFG+8TVtOOt/DGFd zNHs/3+ipW+Opr4nM4dRKThHX/9tiYWALvatZ9QlYR6InQ02RvUfsqyS1quyZgZT7BQs1h026HtW pJsX6enYftS/Y+wL8sis9zcNuD+3UaZ1R4l1L75Nh7WARLG4A9k09dIS6ZKiSZfMKn/cuhQ2UrZc oAaq0rdt1jhjSj0srak7RxlbtkIwLqHV9NAuwqk0agEu5m8b9UhdFa3+8wRa6bgqIi4YlB8gOMra oGr8G1Du2z7sC8WmIgrqj6vfAxJ5QSqBBRQE6byyklGm6SHQwRVn+qWhhqJo+5abnLNlW7x+9gEE cqo6cMLvRceBgZ7/y7kxpcyRlZwGX/uxXGZPWn1W6DcEMQA0uwFb4grWdFgd4ZdnL4JGXVPnCgyI vL0bcWgndGLJTuWFjj8bU1Srjv4TCzPRJXBPGiEw/a1jfSFALXVls1lewt79alPeBxUedym6Mxw3 QV8BfMr7KRTYQvSc6T6P+oqZMyct97k5IPKeqEfbKuvZSpuz9oH0WOkIgUWnzShEX0utIxsjNnZ5 v6EqGREYRUwL5s1u8NIcKWVvZhuv0NAaU6kKJBSbdlA2h1b9X57YPrJkBN5beLfoQIGlRgNlzTpJ t+cGB7qdaYDkKHReG/qQApnBJZ29parF3lS24zKbharNHrervtIwPRkLdSVEMmG3nNLYdHX/SGQe /D26OqupCpSV4KSrKfGbWUtyYpjGuQA8w4/30besxxljOoov+jJWrp62E1LnrQxuukMq3Kq+NWY3 0zmqboAqStJkMvE1zSv8GjDcgsX2SVnBCqn/RmY+4JVgsLBrmWESeRSVx8heREkwE13/yhY8C5BZ BPncHPZltRmSC7BeiVLdINec8W+QxuI9urUpAvkX6cWLRlWP1/1g7GK4mxxDlcGRdW7+2SbE34ad 8ZBNvkJcGt33JtzOBYk6Xd4ZHrFV8Nj2OGx010/0sBpG3sbZ21Vqng0ItnIJOvK4I22ZdfODDRJ8 orDATTUO+yJ2uHrqV5Caan2VpTb7a9xKMFdHCU+F2LV82OE3iuo0RejbGXe33xPsiexIV85h2rzM aYc2U38FosLwKeBku+ZdU4KOHvPnWS8hTWjaAT6yv+r7xy/6W6QdaiafyJyK8bM3SQWAod+E5u6F 22SEO66qRkjjW3w3uIIAaXX+GTgLU/spCqFzyGhvVupjnrHonv6M5Tj65XFhjnHjK87xhggJPvop Y4j7Js0tY6iUfRKYFI/661em8ecFncMhJsvdfXUouXSye5OmPpZQrSJodpGI+y1A+fbUhGN+FqxC kSOtBjDjdEFXHI5YGdN+MM5yQXeWCI/sATdQywWWcoGsgIZH2YaE+FDp184FUplyvwdWoPcGgNOn k2fiWzVR7tzWwZYPt28tMTaRQ2unnYRXfctFc6o6JRVGUSA4NyBVZdquHXr13IhV5yQIy3wzcxcG oEndnvptkkzTTePDZ4E9TA5Ku78CxsAkPTL3pseMdVNKAvG+OEtOjICUm6LtL9nGGHJiCSgtoPyW W1wLysHcgutcPUrqGgM7QesfR5AGS+WL1MQh/nExm8IC3U6c9udVeDbZGcI7OJLnfnkr84FevSoi oE6uVJcSpT917BblaUg/Klz3w/GRUd7SmZNT0L9Jg2P28sN/L5qQ63d2oHF3SHePvHY1buJMzZDW 9sDvxMZEKwJhTT50Kb5BgWcsPXtMxR4pXUBs5yPvBbjaKnP4GyGlz+jLCH0KxlREoNu0IqK1GIji ZJPw2lObAoTKH/tcl4Ti0uw8HWwJnGTFg63qhbkLvAm73DWIs49mqylhUSZSeoXVe+2VgmI2RthX g3rFNcbdle2iS1UmJ3Rdb4ejS4XSkr3lOZoxrS7TW60IzCQ4hojgo5K9JxU1dSXlHD/LteNY2lsH TJxoKD3Zn36acfngdGEDSi3zM9I/TML7DsIA10Lu2ToBQM3pIeFQeT51qxi09AQQUDbUckMI9vS7 jGvQkb7jW0CN8fGlDofmusK1at89bHiKHPcJty9zh5eSCeZI/pmB0dYuyaHs9GBSl8zI5EcywaZ7 Mor2mNwrjbqKiWSRbQiHLwXh5b8F0rSa7nLNwiX4AZLz01mCtm/qA0zT4Z8VxqLpx1FI4dKiRiME gxaX51JwOkAUzl5iKkK2BUGgOc1eHAGidPVtrECSCbWhj0O60i+5MsrGQdDYMuzx00SpRweEl7FR wq5cioqlsIu3wn9OdJVCtqTJsxep7T4NOcEVXTza37MubNypE9LB/nyzvKp4GV3BrsZvwR5jrJNe +tjI7+piLhXPDVsbYIp8Zs5PLY3BtaJLwF1A0SPiW/253/EqSaOlDDHGI4A9HY2OY+dthTeyEffk uCt7/maF0AkL2qY1/cCeoAS88d1Do6oUJolTwV0NQdv8mqCBpOSRMMRLNx41ltpDzkvaAyGd776Y 1dhWbF7AuneBm4AFKStjGBci7zZrwJTrGB/DyhS0NqbTN0BeGwUOIj3+Hh7NkMzW7PaesNjKYw6f acN16s47SAB+85TsmdY+O0p1S7RJVaRCW7XZrAXrTHnE4vtlCj2cnM4hITrsJmZDQ1GICAzzxdNQ rQo5sPvNwBCjg1mP5mUQ6Q2c6tfPIPIzpADb1ULrW1qbCHUXkms653QKRw5jcQsSnqOpsBCbkyMW RIsQL82Bv9XUOXnGG0t6nJCsN44aCjgFCTiM/tZkPHa0cOTYVX6+6a4OU9KEn0UQBbgCN8P4ZmYh kE1ECvIsKgEbS1eJy/yf+8qW0W1sJ+EYHl2GsTLHPqKn2A05MKdMhvYTtLJZLtfY0djInGR/m/EH vIN9FvTcZ/bi8+WIVXCW/OLtaPIeS84NPVC4zlQ0pXRbjiiGX8XcRxkUI8nnI2sfBinjvw/v9cdX A8WUL+GeLOkG9Y5BaWmi5Mhy75w5vX2pmRrvrw/V42P9mKZBQa6brR0v9XL4ryOHxrrVX/UIsCtz zWZ/Sv7iwVC/QXhmTrZW+yaq2Km/JmrZ8+KN0be4O0dtqyLYUvGKlvwnvTKRTk6BtJdOnkjo0NHM EKxfjUqQvjv0NVCYdoNqDO8MQXf9s06JmYsJOsOMciZ6cpvaPPhnQ65+Nl3HbeHMXkeLDn/eTbD5 cIXubzIrfHXmDY+pn8uzNCE0SDxdZFabTCxM+GYJNs4/eFMO4q/mUskoma3UwOzGSAzrzSw98mXd kIZV8bJXL+zWx6cJ0/uonUOAN+U8+OBA/1re7e/p2PSJXQWuEV94bqzYADyYixJGfmL0C7EBCgXh RcajVhsFtVXDPoiFz2cRYZ68zQTyHmaoDh5Vgj3fMszVb73gxTFdnKYwCjM9MNGt2CHPtGroSqsL hlm14Tb7e19dMr6YiqLSv+13DzJSHNYdTyOGjJIm2rwBwUzBB31m0rWuUsZJMQmTBK/G2yRiXqaq S5k56RZ3OtvKqewwv+F7mL58aB4ZHr+JYtx2KIj3WnWteTj+QkYmdOggA6+oE9SfWP8nP04bvMD/ fCxSrTNki9//ooAlbEm6VZEdQGe+RU6Y/f0SodWZCdtmeZfMXb8wbWu1pN0K9nQ2YShQ34LOHSDs eb+o+VqhijcIwjowHrZ5jjQO5ukpn9iEbW+qD2U0deUMqWPux0P4E4VlphD3fZQhutVn6HXySUv1 U18Vt/IWvIC271SGOkvtpYF+Ab/y/5aR+62VESHf14R3xNWqsX/5vb4IjFBxOOIDlFe2t6YAn9IB 5dpqoE6u2otXlB/9yyCbV/Pr+F1QNWCK+N8/bpgDmvUZZNLzsWvSDX402uH0xp4sshlJnhauQ8ID xDsQ2/50c6L1vvJbfHBdWUbib2otIQAnIUOxB0N0aH/WwN6dRbDPqrG7U9Si9+9lYJJp1Dph3s5S vXl+v54RunYdy3OTZfSYvCyQiLxHxC9OaupelJ8gIl2lvu2hrypxYQc2lbC4YXq/JqlD0n6aO7YG lbB3jUQ1px35SdXhiMhy3EL6X3ZRbwaWlGugwmQ5MkWAvyyDC9UjkUGsqg8fobqjOg+6ugSwlHn+ sRLQ/RpfniicGIJkvfUrHZ1nP5mNwsHJUlkwJ+qYjSGNxpsv58eqqtgU3nQm6zdhUcJWqKJIV316 ex8VSwlbyj/iYkocPC/jO34oV5k5pZOVcdBBVmSFiX2Tc2QCr8QUTDwqSWj5mxJ4pZyknCiCAcWB kW2Eic1qvHGYNy09kjg3IAb0EqA4k9FovVHqLLOiFbFPqwQO+QW+3OpHeVhYtN6ATes1fiOVQF/z UIrVNPugAlW7nTlpzmgOxaRkLOnc6lSgRERDhOxgJGG4PG6Pulkhvs6tjUx2gPbG9aWWPCu1RlN8 YQfVhiSLhm5xF9/8lbT/FuJGEMvdbd/wV922yUVvQC/mXsnOjGAtRX1lHS9HPR4p3zMZibsNHXZ7 JJdlFoSVRVG2GT8Qfw6QykEErKS7Ngb2XgElxnBxqJ3MSJEvQ+nfgE2uVvLud9TO6oEpsiHrLUcG fx/lrFVHgJzOkSnXWAHZQJRpH8179sk/he6xwWRiy0b1fVvX/rqC7bFaTkB+duvuBfbYWsDWIY0k q4iqgPvu8Wjp2jFxJUntj6QyN4S1dWO23FfH6QCkqrn49eYsY7VPDHIJ/MFzJ4vP3RRNavzeeQWy Qat3vRWcsLxClogI3k0fzbAodQZn8InLkoCsk5symSVX10/JgLGLNsavzG4CxCE0bgOmuZEinXj7 nWVBuS7ruNFK50SuapLjFoCqYyLsS9x4ieeA1thO/1lDDHh/hv88ESA1b+xPohqBxxRayVSJF8ZI eZXbAr8zndinEwUDzeIDlr/u28T/E1zUa1i298RyoU26CRMS4ZQ04AghvyatzBWr2ScaTypARWlm QhzgkJm8eGvl8LEQmj2O6ybjmWOszGui3vHHLJP+rNZrZymvn4UEQlH671h76XW9X34+BFZFJLvR VusLyGJah0M/TEmHwBR2lJns5UJj67Gdmca1OfIphWI5roc9J2lq150lE1PkJVS6906uUdT93A91 TuoyNbrT2+2WWTVljeFpdPCMb7np96zMltk2H4CVf1uKkUnIZgpeKI4pJg4JKLNJkbgJmiIGflym ee4okIcwZ8/+zyQI1Rb6pDWHiFQWp+znWxC8mptH/XKQiFZzJpl9Sou4RBDHw4D8MwLzcmgnpkMr /tvwtafOfkGLuBVlSqS7FcZBrP6TYDo220e5tLslMtX8u97JzGf7YGfksfEi9FMfx/C5TAembD/X TFfrQHRKPvYVVXzyqLhT3ZPovvhEMGdLotAIJXAAqgMy7vn/K+zjgN679HG4FQs8gGNJDzT8nqqA guVPNYa4LqupdhFRdt0dXuA22Vp32kTZ8SCIR+sT+fE5y+maPdlHNx8udF9j+CCEw3ETLk9YMesd md6gDYshK5DBdMS07ZdkGc4hisGxAr+L1jdDhuy5rfyvaANM52agBi1+0+6Ie2z/CEMJ8wcyg2qg 6zpvcdN8L0rWggKuVk6yWn2L5ullOiMNdSO7KW27P4Qc8u3FZyvRawVpIrlfNZalFMxzlM4AyCFk NB20N/qvhXQ5sqxgMrh3xzR3NJmtUdSwD/plsmOyOtqvm8ntJIsq98t7Lgj27MEG0YTE7ruIwgIZ fivqFuxCwDA5oKg3QAKpV9WwsA6vs6VoNR+aC60XOPQZ3HHrmAwoWJ0YeGGdcsPXikcFUWOItcG9 a4FmQKmLcFq9ZdYcnYJrCYokpSeLtxNjJwHdlR/XBA+sKpD4HdGusZ0V5YhBUDb8sC8nDjqNKOao O84vExuM/sfObn3+WnMWAMVkyQ0R+gDOxRx3bfQd3ebT9GIg87NIpBywvtk0bRcGiGnL1ReTrhmM avyldAFs6czEkM19PffhpgCuxY6M06bBJ4yNMO2Or/wFpXMpOaWtAR9dygNuo1T8bFz6Ly2Pxgwi WWiMMpkBUY7MoiYCtG3OWPGzqH+IIObND5QTNgsKTJ/1t3hTwHl3HA0indMEKf6aphimzbL2UGez f+7+27uSJ6ZcVLaFQe7dZM5kz/rBEcHr4vOkmA1SwYsdhj3MIugTkn9yjkEFY+tl5A8/Cl+DbVcy 4o0jOWaoGCExuzaFxOMtUvmBfC6jX9VNXf631LgCb/pIm28IWxSKXTnPeDRVy3AySy6St1s7LEO4 r/QnlSVDoNBRJbzvkudyhZk03DNMNHpSjOJoHQobGk6HIPPsPlqQ0BlnOruz//50/EfI7wyjNCIW g81LiEsU7dzi5jyU2n1c7esWxVpqaHGV+01CDVCIb6lSrG7Qx5LFoY8XNq49R+pK1yurUvTUFffv TpJfKFsG2zL/dnzvv7VccD2q/kAbyUqxbTIzTTY8CeO7zd9QWpSikIJ+ZbttX7+tcWBRYel4NSRK B12t5WaWfsboafNKYbloeFqG698uERBBCy/bHWKX75CkjmGvm4Jy0Mtv5bC1yndDrDNpwWnpx+kN +rJTv9DqwqrR37sEcKTG9yUAKNtYdjVNzc1CyFYPX/V07PI+TAbhND30uteupDPMnitLd6eluN7j BWTCBgq9Q27QI/nzpR94UngcBgC5fYSRwkOmXIY0vIOnksMMpM7eDkKl/PH/ElPHJ8y1B6/cluRG PHNFsiK47/YNGY8B1X4B1KD+VEiwxcZRnGGJ/IyI8M0W6LjyiHUYkGCW6IeUCI7xUNM4h8C4q/et XH8b/Hn5e8vnnVJHNKaZ67QIro9RnJqX5of5yck9lFhMjvcWZrMPEJ1omAwvFCVAS7cT1hC+Zqj5 2xV7PTAu8nL0CEDWO5ZwpAcr2W49vI/8+iJs6Bc3LAVOLefhhTApqndDcnH5z3ihLZ7i1dauVhre 4VvZHOaDWEU0yLoNkbfVI/cVLffUDrDRL2N5ntYTg2d5vsbsX7qAwDKUfx851MZwLXIdZQW9EAaR KsDwqLhy0szj3uoOkTg7yayQFKm2LJ+UnMu7WZIL58HRXG2iAIpQlEztah9to3v7sn3KZDAIsNAc CvHtJarkBX9zG8KorlSkORhUWkp7h6phy1iABFo5Tv3FOcBovMf+uN4M/2SB2DU7yU6B8p2qJ9qH JjoVmdIJ1xAN+9nNanuw8PL8tuJN/q/A+4ioFSzU+OyMIC2RNSJ1ZBVyQDuFTC3SnG277Zm7NJPi nLM4v4JzX0bxuzI/t5zDT9pciHktoLUn69+sv8pfxLWV/jLSwQZ65P8mMEixs6Ojji8izjWHay+c Ez5xc6A7P1+HN6xF5VljpMIy+UXSqyeozu39bfxBNvIZFlAePZM6ntr/Ko+sbMnDlqm4pH/mXKG3 hdqTPxBytwb99theIs+fhPRcl4v9t5D4YwL6g7ZX12LDLp/gS1Gb5NSDYxdGw6FsyFUxdoPQseUs L5Oyyf5XNuDm4iNbD+Ll4gno5QX26Ztr9DAfsnvnbCfAiwYfjRdzLJZWoAbIaOo1isOZdlSU3bUG ZH4zKqOBa3HrXaB5jQGIjMzLKbGor+o6N9DmAgBtdTLySUU+MP6lkotNVgAFhRsMoS4myHDkMEpe QdmBiG5JOxVONTi4l2rxfe3O0eVG8xkBEZ9afvL08KEKHFD6b1cPD5QG8DGfjosK/kWzT4z9sY+r 0UMOOSOfxqt4qPjhue0y8a08y34cZ345y8rw7yDeY+D9vW1JbhcNsMv9vaj3hUnBiRQkqum30jJ4 Hm5r9WTVIlZBbwlrONEvym38kSXgqkl8eAwPfKfBJr0G5ELrbxwJBd4V3c2rXDgH60Ae+aJjGJmM FlGfsyc1KogO73DazHp0R8zDE7aHYI8oNLivFJlrEaCnnU3kss0nTurDfntzbobgpKdXOpzTZ6AA eEVTeiFvhmHiQHlAC7C1ZxCOU10BDKv2TasHlwuNHi1SLhum2CtmwCBW2gAGafMyUGOjJRG+EI+U TCqNNlwnVOdlDVoAyYjkjPETxeJ5rDzGIO2y/SWvrwdMaHj14ggAvXDr1ARiqNsFqkBRgyZoXBQV kl+KuGQ8rQTKMT3WNhmmriHxNi/kP2oYc7JKbswAsLQnxngZu6GdEj17g3CozqxuwLeCRF6n2fDu 6KCexJztxcG72BACqTTwTyeFtPkVBxk6DaLpUHUVjsaMibEj/s/zEEjz43NOWAL7tXWxkpxrh+ni ymrFKYFEo2i3Jetvyk4kwxAcPZhJPwxXQ1GMwnrGmQlcDNtqBC+ubZC17EMxVjg95YC9Fw4t6qYV YW8kXxpKPZJOEe5PkgEMlLapL/Ngy0vM1kKsYuNyNJ2JNxUxvS4jVWhisfioc/Iwd9tXHFha075w WRSS+v/kn+Gc6seiMdg7rJqw9YMoZrwxJcEyw/jrzgL8gIufQIVX2iZt1jgfNi8lQVaxsGUemCt8 J3K1/QJ5FtL1RQDDdmExc3rvJeczhQ3NOI3/mE3/2fw/kaGnTF0nhZS6zZeL127lYU/HSLKzXRIj qigS9VgpXOE+T7CjL4uxhuJ6dKKNlm7qKeDAjSqr/7DWZZDgeH7mMh+x9PfYwOHoYDGWsL9awxS7 sbZitCGAtTJIxxB4s7JTLfabfIZdMNXQJHPFviWHLAALAkcfCJhLhX0s7RVulcvknu3AQIs2B/Xx bjhiS+I4qUt4PpIQu+3bAO2x/wgfKvHjIqPqHxua3T4CE4/V3qVi2X+kd7SPFwJftcQG1FD/ttYQ KM9V7G+4p19ftXQSfwjTlYmK5g1lp5VsmZDQqH/1GFjHuSptlbLe4Wwj6pnC9NpVPNHF+uTqu/Xe St0oD40CPxFh4tY0zsV9Cp7xNh503jA0BtcEPoXd2uWo2Uq0AE9aWJSHzVO5FsriFNuuJFUPIIFp 4lB286xwve46+qPUovfOSjwtlGdYY0z0y/LyewPSIEAVm0mtCNaxg/VjsG+RT7bQ/aaoPfQTYgtD PjATNJ42GQCWXCh9xrYV0G/FttONB89B2n4mIuip7dmDxEUWJX61HkKdldkyAMxoYA+WXizCuJTT 0z93Uy5PCMmwSYHydn3pPvHlcJeDctineI9kwMeZZKaNTNxCkG4fh9JBNKgHFN5RyN3H5t/4zcBa piL7qFwBNgkTnC7rQIhuzJRmQhZclKLivzeRDinoVpxYfS9wIKxhyLzRIAauGJGaL7oEkVP/6cuA GfcO+x3VQ+Gh26hQsouAWN/AL+x0EnoVL+/I5NDCM25dNKKWh/EMwKqMMNoQIhqn8T6TxnoMiyV0 qRjzUxXP6h6x2LIi+L7ROBhBMCaXlLJ1zh0xuf/OiqMBfY3r9IpyjPjwmQ6nbKyfzC6qAQKTSJ7N kL+QokiuRsercDOL2M+K/XHfCOkyKB30cS6hGEUsOEq5FFC1nl2u203rDDq58XV54Ggn5pIC3M4N Xk1Aymn8ZZfBKKEhC/Oc/Ez4bpevbR8el+ew0RxXrniwfettJ4zAyKRMUYBdVZSoB/Ng2q9ZceIf bQqUzd/Zw3Qfw+mLughkq38iorhKSRnSj32zMynLUnGxiwz/cfQuQf4c4ZK6ona6lq1KxiMokDV+ 8ByGWcjWHG1MJvFigpS4jlokbGGkZBPzTY/VwSjq2IvDRbU64JfejWX9ztpuaKreckPd1OyT8h7f ICj/O1dUhnpNGYUQWEDcOlOAsmfdcXwToUNtXs87jAGfu9yh4fgpdDikvQ0bWgYQel4dQfddfA2i WWm0sD8ThvgB0Yd9UQwU8Pohtsg+whcK+C3v5qdg+Gns1TMLW60w3qGADUtUwlR+k7F/T6f7G6fv 318K7OcmFM2zHMZqKZyYw8APtNZOowMA0fbdv9m4gMFD3fq6E/uldptpB1vwnLQvPd7fVqcTXItW C+3pRk7z4NoTX7hVNd0NmWBtFbSdJXcFHY10yDFoTQBH2PF5gQ8Vsh3tG6hqVxvtCYkgINcFfhkK srZ30CzXD4e0DdhJlcfjgZzjSEv1fuhRvNdth5yk2caiemjX3CJqeHPjt8KEwjMxJYlQ7ZWYpoeX bEMZEGH21dinpqmjxKRKVnsbOwCLTJsJBbRolTaPajMT580EOJP04ArBKQWbyhlV2JBQYzb65vJs 18wtEJ7WHX1uj0mhd39S/8sByCpnl+wPeF0MzGZrCzBpyTEmWav+QlFtRg6a7AtdsCradife9gv/ wDhxTfxinHo8q57ndXI33s9DabHCgv+olqvI4smKBh/AYiWS3niREo2yxEcl8ORiloRfIG8uoXIq c6L2GGy9Tbf2XoXs1emHZtdMSxF4Lu5CA2MEK5hHdqWKQfOrVMB0Td2XWED6jk+DX4E9gg1vzuSU /oS9xhni1SWZwhVSX5PQCblAPq0KiIi0TcDXlWdp+CFPpKvGSsySfJF6AYH5oECzcir88g9E/oTF THoYddnPRGQo1IQAPk4wxPossBguJnY6JUOLOGgKLfgXcuCTheUN85aJ1cki4KaEywNjbNeyDTJm G+/Tu9i4Tv6tuoqwcZi5okImNOGP/nGXEcCRATFpnt5jVy1RAXh1Bm1JjXM6V4hxCtwgUJgeNcyp LStGpmX5/k+iqNwIrNuhtZjMZf3TJLxsNUGfnCoVJF/NiWYbS4sRjfbLDSzUa9CeH3IMD6S3d0hs Jq7hSaXFbhWdYfcv2tx1L4DDm92eTi9i1WbzeZBtmPZu5+eCT1MKy9jayZXWG99Sr+BVFKlbO0lK JeIH+Z8caCheFUpWWayPqz4L70jZV3hSj3lrItmMiWfYrCA4Okk+jY31UgKPG5JLxFvLQOvLNFwx 3DL5aTNFCawfGNpLY47mJHIipnAbkkNfdXPDBq/AUr0vdMcjHYwWGcOz8aRU2A32vyYvTi4ZhLfG S2Hy+iEHiFdPZAftrlazjReocZXOWWQyRLUAPCJhNJ5A/ZeVJVMm7P2lZyd2INlKG8Gp1HmZbIu2 OA5Cb6GS9bqEp2uvepSMJQVZ74QDfzuwpJJAFp0iG4s8/J3MxobVjoGRKJy85O+uS45gwQJTKs+F 0tQ4Yiy8LOKfmCl5Q4/GFjbGfeDc/D3X2C+Gjv6xkq2kdO/kotVs1n2AhMb80ED0q5utLO4g+ZZ2 llJTwb7Ho8RPph4YnQfhSDX5d/JYZ4cZSzrcIVg91zwAqiftbQ+GyQ1JOcoPWU1XMia6GMfM+51N zbYZdkeB9t58N1Gk0OylrZojjRHqfn4ak4Okf5DFSpNsR5Jf7lg7rdeWMNwSKNAAJgR0eO+4jEJG zkdyuwt0Uylb0y1qNVjZmu8oRYcmJ1IE1E0jo+/0vH38afk60wA6uk0a1dHMmnAZo1B5y9QwUnsV ELJQl85DynDIWE+gf0JeW/4b+CuR+lc73ng/etfILqFLDJfPGARNYA/T1UM8CUYYOwDSNGkdU4tu f0FN+LNGOuBA6G+4TBpjkrkMZOSg0VfzUqGXmfGvoZLt+aCGhFPN7+yQIBQ8+vagc5gZo+Ap7uJh bFpZ4UJmb4sE9acEC8+8Bw/fgm24n4mMWDrjH2SMs4MKb6PCau94t25IFpdLQOq+5AyHR4ywvomk wlLDnHdM7qQCm+24rkbKZk1o7f/ikoMKum0PveM4Gj39nUezW9HCdnTl2xUPFMFasOaX+bNLq/+h aReDfe7A0aCCGYoVXFmEJ6gChejM+a2FtA4744mBZyHgyT+TvPtItJ7YYGlm2+0txnb9pwJIit9M AJxX8ZIckKkRhrzARw4yeSowekjmlSN9pufawzvPqZleXJWc+AQUvf1pAWpMCbLpwVLNBenUYj7e Hwd4bmb19nMgfHy29lFH28+0tijbiRy+Nvi+W60XGd773VAZLfRs0z8ZAM/A2HvN/7qgea6sDozF m+vR3G0BPBCiZW9S9ck/nWtqIcAdmyvKfmRx+gaXichb6CB5vi7QEpjlWzunHjotCAoPyIOaskZy MRnglQjthYX5HqfSgA6dI8UnfxEKJiy7k3z/6mGl2w9RO03J3W6Y/AEI85gJyGjA17bPhIyDmqQl 3wCh94OZqRc+5YO2L3YqmqmkoPEgfM2BpacNFIvE8ncjrjQg6Ll3OjuIggQfqe2PdswYjJ6t689K BfZZNgDuFfrIWadoL0OPyLVjxRYIjULvJmAkQQX4U6sHa1D2bXtE5h5s+wWTdjP42rGB9ufd+mvN nuSuTbhNHANT6Xka5LZaFi0lAmeGR6jxhSK8R0GYM2phuaRb4wgcTAb35yxdXh1sRraLnW+YDhD2 aZChMQ5Zv+DOLgX/iKOB8UaURPZod70rTlV+jMcpVjQiAfAR2kZgyWCppoLEmovbQrWv/gXKUbdM 3WXeWiOyu/8ZMIa+4TWXA5EyhtP4Wj/neioulu5FCfsOnbFeTLLTLAx9XuyrQ39XBATW5UjAkOdB i6avVnxGUflBWZiXBnrLGmhda+zRMCM8iuh6L7JSoe7m4OkRHgycpsJ5m1+fid9YhYfWkwW+K2Cy LN+Fx7McLuwxkwJia4w6ag7dZ9+PugBvb0vFTLVPlwf8HKqeJyXdMAgXICjFFhdQMPbyO+ms6ObN O73MiXXAth90Yn8ZhRA7vmLz5DME965WO4vc/Z3LewrSlObd+rYRXteKZiqcPnUBJWiawKmnJY43 HtJbDZQ9E0aFq6beCDLwtZDwbVeIJ4bNPahWyi1xGA5PzzfuQR95EaSt70l4Cc9OsCEvi/sw9Jct 7Vwqnl11qynM//sov6ZNv3oJEtgrvjXaUAB+triucPKketSoj8q/KT8M75XEIlt4S+OHvVeoxXX3 3yyASIaxSYUnHlmlvZnEM5fNbptRw+ck3eRVh+ieb8uG8KRe2D8FdghZ+37cLwceLRSakPMqQ0Vd VkK7dbS2pr7BEguA8HkEIT9waE8uv0IBgQQiObUj8HPLLJR2NBdip5hCm/vbf1+/5XqC3Nky66+e fVTIo0hMiF4t7ZW/CXB1bSxl/hws+zB0f57RCtU5sChM7r+q2+ceAmg14ABgL4MbGtLvX/n1Fkpu orNouZvRB7w/qhlWKO4ynvUSgaWUOql3f1pOU0nvGJzWhA7cOLkt5DaJqISoUep2a+wp19xRlMVE GDqStfzCF/eyQUsZnoRTX4X09AoOR/n0wHHPTlJDXF9GvSkrJFf9wYf3AZ/8GU6Jm5YWHhygdDgC b/azwsXmKT3VPMzOemvByYqoU6pmDNlirPwDcOjBu+R4LOe6301oUCh25myWY3RZ9bZ4/NqRNltg 8YruOPGJNA31W7c/AA3ECHA0hauQLsMiUeBfmagwqwVdpIf4Rqn26QHwSMBUf7VJu6MdDouxkgtI BZbI+G+qRZdIchzQ/yZ97p9LYEAFrfc7zLPYGLHalg4fCsdqcN3x1/kvPiySXSUyQJdkM0VPJ3cw GFdc3MG70dPILvktZhKOG5AqNPnGow0KmKyvD1gJgR3aDM/QjLR4uCPXg/J04S/3cd+3Ii2IHfjX SX5tr3JUeYbSz5daee4HN4i4mrbj23W0v03ZxUPsVJ+zyr6zwl3aMOgF3YRSFBdEw3ZMAn6SUDvz 5+mIRAGQSI4I2lcVtjjr19yinsTFjR9X3KDNw1Lg4L4oAIwpK5L4EiJKVHO97Ks9vjPQmengyml7 dVYEIjuGzMRIohlx9jNLK1KW0psv1l4P2woL9wjQl0rpoxAS6eZJak1l+E99BaEUKQbPvTt64SDm tR1gRtjtycRp2a1sKVPvOCKpTv9o45YHmnOpGA4UD6nsCw18YY+Jr9ZmRfqCvGgK8OsPILGEI7ie BmEiIkoNiVXLVudkg86Me9c9qPKvixQpQ5acWcM6ClwLUl2g0OQvT9KQf1x5gAjHTGhcsYf8dJka QsTXWNwN8sONpogxeCbXXctfIreGkYSPhGOvLE4tzFPrqE4kjebvYGrL1F8/Jqz5k4POOL1cjdF/ B7qxuTk86UY768ZKILYzPx1I7FJ1Y8wsThQyapKgzhibcYpeOEk1jRpFL4nkTV9dly9efkcQXwNz yqccPJw+anC9iAYGswKXhrlH8EivNKOFmVYhvP1IV7jJFbGz5XMKZ9CU2F1wDyh2I88byjexO7zP +NfD1vspifykhzRvg8oVaWcdWqhsINvmWxg8SKczzkQgq7vd1Aypc64Lf1l451zA8hgaD3YfRjh/ 3XZgeaY8Fms+L+0U2oCySDr6CQ2KMqMLkxMXmC6L3VrAphGGgs/RQpz0hi9rjp4grAzYDByDCuh6 S8xG0bJUpZFJ8NO2cMXyhqUusiLrs30jczfEesiKNr2QwFIFiBsIX0Eh9hmgQs4b/9tbVY3uMYBU JhVEUgopA2Pi63QRVFnlb0y+pUba4NcGmJk2G3kAxndSBxOg1rfLX9epWKFAeO6gQL6ThIjldZda kqjGu0iT9WdKx2+pshMrYTsQzUbbOb3xAgGmvucqF44MigjRmpbbLkUnNwo3j6DTiGbwdBjlsJq5 r6mYdHGH/vjhL4XCezWv9LAMXDPzeJ2v8EMAtovkC8ymvi9acLRgJNWib9vkXEG1RbZXtv8OAXHK 8VC0AGSHM2Co25/Eu0fTflvS7QZ5FKsvvwAPkzNFslkq6dWVZcdcICsCWFHHyQaifbTdweYEb0b8 Bn3gCRVwRQ+gBcMtCn3+stgKYDKEXk0h6cERVgIky7Vz/J5t/JTSG8UXpJMyYnbNCrBC2lGB/IK7 v0DeWoqae6busIGUvvmLM2DdZhfUb4nEs/giJK+H4TU1bObc63Q7ckh7TnKeRgi7pL8IPNzZoUyA l3JuBAVeOX5F7MgZXTQsIf9JdVQ7Wy67UNTLsHy6pFImlGloc6Loys0YhhVICdGY54zRZyUoAzjs kgcSbJ0ndJulVFrITlw9mEuMc7pcyHZzsaHfl4Famyr22Y7EJ8LOtBtBZ2MUr+yXNULTzecOQpgi KM4pJo+GV2MmYPum29U1UAdCxaUtkY3b718PAIVX8PQzDBVBk/28aDEHLdZODf6ugIcjnxaz+rnQ 0fFr1MwzFJVh/vg+FWuG8s8z+nyK3azM92pnovbOK9mieROjkISf1TzKcPoeje4Gs0dIL+p/+V2/ DQAhzN36bp/h6JKb34jihBKgK6Tl5nneZXtSai2+dQSL4YB6CmZB6+re6N//R5T0sAQjPegK1wCv VnOxjTe9JXD0d8VZ/eCcl0M+a1pFalIA9fFkAflBj5CSH2XzAI8IX4pLpRr+bLPrQUot0c5ZAto0 3dWBj+sZaRskxBokVNhEq7jbx40LJTH5PIV1BTzmz4Y8Rul9gV3lXAJ4QBzsz/ONvrdJ+MI+2leJ C//sJBn4qdM60iAkzYBWtcRlG3TzGbB7JSgHaTy6HFisbuNiBfBhsfRen+GzRQiQr86kKHopKnKu 11RC9m4Jj3EQWIrlDkUBOZw6ig313F4bvP0dBMCaR2SvcflYohhJyvNNYBdLc8Cl2zt4qDyShG+p sE3URvvUIIaOHLvVd6OgyFggnveS+g7mH8pt7JNm4prfSpkUjCvsVdGDdVsJIUGsga7COIfCCoKP Xz7+P1IuoWHrGEtodN13SbEiOKr+1KqUwRJ/kAMHLMDTvNgCj+JUcJv6gs4KTowSrUmrOZkH1EJY GIH+XTjaaFvhxc8kSCmbyKYMeB5TyccXH4dQ/wRWVbQd7g9HhgiUjF79PEydkoPCF4sh/I6g45MK H5lxKLLGlhsPvO5JFhKdlWZv+E/4Nt598F9vrkkxTvHq2cCKclgFgthxxTJqx89oX/vy+L9byCc+ 18TACICRd0NyOMd59v37ZBDgN9L9XLWRfQPqpVpcVzYA6tcomAsAwpthHgXNA8+nyMzUWXLZ+jnq cLNdzZ0nGj/0ah8gy1nBPQfCPuECRQHlIGO7eRwD6ilqLi6vQ6V8gfBXxWes6/8/eeu7Um9NZat3 7zFN0945PsWz2PfnUeHuaLW3azACChJTlw+QqFYCvgRF+HsB6eypb9um7OiQBL194KDp/8nOOWnl /af+Eg/sGEJmzaiAxDjHZvPXlPWpXZoakWq+/of2TrtdWXfcVK6w3YyNLzLbCP/hr6gFqvXLJxEj 9M34HBHgMDOF3Xi6SrwD7sjPnqj4EF5DsJFml7xSzrpba4PBg/0sBz+8vqki4bze2tiUdDDjqTvX H1InpmDIMfh4CzYa8o85LewRwcwNzshw1eUz1khf76dRXWTcIx25QDirjRVhcupQInI9A2PkPqkQ FCHoD4nE1tbcyLlePYwx4jqC/0rIAo+Zbo1Z6CbFLNE2l3lgdQYdxi4l7GUiHxQw/6vAEcdejcv5 P/2zAxFv4LHr8StYVIVu3cNKtBBAjUfAZRlwwmd98rFg3U26/PR+MeyJEG3BPMIehjMoFHMZOZI/ DRSEYb8rMZlbD8rDFCYXgD+wftBMhdzbXhBEbBHgvIASiyTz3Sej5my2blODc+HVCZYQnQan4n2S n3gYzuHCV/T+lyut/g4OPZDUTWeU6pAVeuwUqdUqv2AYe1cYQVcbR0k2+2NzuyzzpV/e02fMXuWM jEUkO89ffBBloyifwsT8zQG2R5SZSTai2TaAL62/F52LZktLMJ15pqOCUYO9RZD6qXC6wH4iFHKo IZsBruowu6PrY78ftC/Cjifwn8CddmGlY10/MRswYFE1eAF0z39gu+2RKRS9cEeNgpXege4P1rCC uTY2K4rGExFtbW0aH9BbaCSaDh01FQsD7g+4yUd8VljKoAIVZG6P2e6wB4xgJvUM1e0UXB7/HRuj SmiEEzA/zFMPN0Y3xXhyMV5zgi9hwPZgbHbbUqFW0GavbheIT0laCiEe9kQeYiHMiFAm6rPvC3N+ PDCBygNFPpBgTbUoe3TvMkaPzK56zrKwNNjZsmlQkrutc7XDQEgKiOObEaEFPu/uCT5KVca0FVBB Z59BeOnjV57ZgjFM49GCWFLHBzErav+VeZrgnf8dKgb1Zde3kwOGQ5lW8xG4ixRxdUMwg28K6+Wy 5ou4k5byXzsGCJte6FCGolWvxb/YvKLSkjF1jyXWSbfW63B3CrOZ1zpAmJS73fOUpIIAqBZ1Me1j AIr80xuLCr2rd9VnpEMnBj6XXpGyEig4T7ypn4eeDTD0ZY7xqY/fXai7cadNn+XngsZ4qUgWNThl Z+WoFTDg+1+mZK1uzH4hqLkPjR1qH6qX8udDCU47fBjtfV8zirqG7wWFCKgmLAHaqn8yK1vZBHIN lRsArdZOLuhPwMzwghEYUi1GY1vxG/6rImBMBIwQVKVDPPHjiE6nGBh2XusJWxfeLK9ZzvfzEKlv qaadyEdiN1ccQkxJ64gRDeOxM0UcXxNlfDjwh7PEw2QY9tJufBRjiot6Y+d0Q5CW126zdff89jTI NRBUiVU4kQ4/gJXxCToA2JEmY7qaj7p8AvTxA7Dx5/08YwOLkNbvN6+bLaPS8IowueayYNAy3Ps+ 6dAG6KMIW4YRASA6zh1RUuGZWOKLjkzs2STTA3x/K9dKqmcj9BcTixOaDKdO55lD1i6fvZi5gGST ZuG08ZG5cJFJZy3DtECpz2+2eZHGi55/Zx+9LK86cCJThiVr2Ny/XSEYYTVpXX7k+kKQN5hHXels KvksBAQMDsyNwbnzzM+1ds3evHw5O29fwcr3hPePpkeoYpX8ltqdEgyOvUjElS0Ktc/j0+77Z/BM MVu9C3otlZfyW865rHSvuBSowPqm2kogMQH4upKMvhUDm04DhRoVtZDoQ69UHu5APmKO9tM8P7GD rPFqPOG93joYaPweKj+4jNFAYJLM1Mca2eFpSstDX4yAioLsjgbY5actt2wapmC2j5qBJKycSkRu lkQgUvPeuro+uNJMvSheVjY5fVwHuKVfE5mjZXPOJA2Rm2w1B8iwQu/yb22Ch0zZWEfgdzfnWz+P PwiBiU1tcZsG8u9yBvv7oPLYUEErGJwEId5XW+0IeB6nY7UIkjXvsydVonNS1ckMJUZxCf+87DHy RYZCwpRsxRodqnmZg2HRjTVJaiGTrKv9XFLPqowP9i3p1MwVahsDRvXOWwQxyG1mG87ltTa/hSTH cUgGOnVc8lvFfCVA7oa/KP4saHtlAEWyojYu8uXjMce2MGee7pZWbW+M9Vn4s+jw1IHEsGM49U6p VzJtpOJ5AvRxEbvgP6eoqPfzqPQh9lJwsDwznH9zN/Wtb6/Ci083pDZLqpbCATX7bg3CSgch91CI bepIE4U7LFa7f6im7h6DPRjaP1eKqZxBeEtD6Rh2Ws/PmpMbuz+voWMCzLGtK5LKm2zIc4xaKPSj UYizVPy2D62DBunzgxHyWXQQzTN3km0mhlqIv2AzfKfwHxhHuzLEUZpEGmJAUJJYO6zdQ+XnJqqr H+t4ePCY/8pq9M24DRnVXprR8h9Qj0BXikmsmzhqvP5zP0ASBTLZMg/m0twultr3jaSpU7A3nZ/N lbVq8zh1L2/pbs95NiPkAHzVMK9YT6CBbAVjdsHBTtLnuc340weou7HgGzAD41PccisXaH4sFXEX y0AYMSwQ6EcsftirxJxKGZzHumsdZs8K9lEhm10bsYzw+2j+5dZFDljJOCAmC7VdyxElV8vhJPo7 +lhiKJA1tqNat2I3etjBjgWd0GTZ+YkkLoe8zSn++aBT0Ktczf5lL6G8GNg8k+/GoXZwnAVzQmtD jCYAPZE2iX3FQqLEztVgh6/Lhe99sUEN0PYXVN6bq0LuBezmI5hoeA00HHnNxS/RpFc9z4WgD78/ FQHK05maUNldawYptUFiBA1VbAdQ71KX9kfyN/mEF9G1emXB1clKAubQOC27trF0BQ93tCDKQr5n PKVT6b9r+U+xQYtb9SCSEPYJ88GK34IHuF796EocyIKogZzgkDmBx8xKSLfZFK7HpCiC6baMViKk IxoUXC7KD5oLaTyfXcX16fBVhI86BwPYj0sTaJrprL6AaJ3iuP8+q/Mpa4ZSEGmh8RPV10PTlUlT h22IjGajE9lzfn1NUDQzYzySMCNtldA6CMJO+F5dQeXFvuExXS3WpXalkey5fM5W/8n/iADZjeNl CX9XMz8tIBYwUmA8tLsIMSzj66U45xvq5HDc0/GDYuKk1dj/fSuAJwhgIN+DsqR0i+sL2GWgG8Zv GqGlw8XQCrof+3NzKAebqoovBw3yPuBjOw/xnoQT/v9sRTe3qYjzpBVgfspN8u+w2b8j9wrkiNEU 0Faz7FxD/s8rq69tYHqFsNy/4doHlq7o9BrPeL27SKfYpqgWesWrphHGiKCj9G6qDtstTXXL8mNH xwVDF7ViHbsus36/GMZ4ENHxGA6g4m1SEeJJfoEbTGYjofMfluVsPdHFk9YqYI+VVYnX6uwRbISf PvzH065mJRBT78HaJJ7uWnwaVt0Gs8LhUuNh34sLpd/QVDgOjWpk0A8gWZ5jby8hIoPdtF2aofVf hlnn/ohjfXqs6aPojEX1pkCYMC0tssKtRrVii8aToJ5Na/+zedHAg6axXieIUwAiYFVgCi60jWwG b84cUEDsJ6Z2/wCwTRGzaKbMNT3X3naB6Ew8726FfzfxQIQnGDWzKEBgAJ8TkMs0JFaIoKWlPoUg f5IlmZqiFVpybkVMrCENmV/56KfCt7OcaxRnRfBFLvdDtVurMSxslGV85q90QakpcpNymtPEgJ3Y 1/L0mF7xHrK7M8QoMX/mQdIYJKGokUkaT5X3A34tMgMyGcmMVsfIypW4tZEfeu4wGPBg6WpcEUx5 B5gD5bm4GrIWscF4pkJZojNRhMF1wMFCe0xdIxCYl0MrN5SM8O68ra8jR1lcxs7jKNsPiOx8rWek XFYV+zZmj05CqA6YE5a4+yaHVAXUvULzsGQIESQZhmPH/ENY5TwBSCiIHeMSJI6nVPU1y7cKBzW5 CgTw2qD4Qlfr8uhwZn2lyVOJQVktH5bZVOlzLiXtrKFjxlkaKeE2QOpGpaiPY5xwFgQQE4bxS9en dDcHBm449ozX5gRNBzjviS7O2Gz+Pg6PhFKRn62W7BUvE6H45Efoo9b8Zco+cO15iciSMxJAtojT KhPvG6JNMn+TgGn0rny6pphWRK/5s2/uaNSsflD3Ctf1vYlVixMwL3tWwTY+v5ngzKWZV6qBS9Jd te/EwtapAtcKPgcBabrQqsu+motTnq7ev4L5OrZm4IJHfuf/M57uNuEcnQP9xbhr1/tlBkW/Pj3n BFvRMM8q3mG6k2dkxReFilWx5lXDGdvc0z6Avyw8zNmWJjTs7NyPQv1X+dE9B+y7LNz0nvXEIe3p kw9CKFkwD3AmF/iVEhbw9ny6/yxSdD891qWEKHtQr1XEKcGbpJ57aJbr8KGJ372FP3+1NJoIjWir vww0JJfeKaqJIT+mzMplhxGieHe1cI1ByussHqBWAh3StzlgUz/wUjhm8FQe4LKa3sQPHCeIbln8 eHZyDVJfqz3u8IKLj/cb+XO7qoMOV7I11juZROwVUCt9OxVDkWO0iQY/oWWQjKPxYp0/Cn+3+VuP 8CL4TmMikJhz45uuThw2nT8R6XxDG4GK8j900KiOfmFrYnvxFyIJrIvrSInq+VBk7notZpA1C7n3 dpnmVsk641bRrCeFrjpxF/9FD8yNJ0Hmq4v7CzuetzLMlsfF/lHMElfPdI79QyvqTT711uDKpA4L iCQWMKUN7Cqyl4S7BDLFWc7XL1MXbM+Vr9eIOF/vq9v08nSkbnLEd65yMEsONf5RgtvX90ceGDXi 8uIXUV3z/sQP1xwWHU/An1S55S6dMJkJzMUo+AO8Vtsc2X0TDl0FlJHQvG6u17hN/0Tnlqf3kY35 vv6B5oSxY+5Hy8y2kl05C1oJ6nq1mIgMtHFRnJbjw51mVJnruANe61ZSS8jpCmuD9bKVhrgRk7Ut W25wPtr/a5++Yg1+oFzHU1aDnH09yIB/VY906+ZvvW+stVZBvWhrJNlZF4aWld3ZOn7soKFaEjAd PVSGI/Zf+LLwWaOvR2PFpOJbxTtGusTxwYeAzY0Od/sliHYGLH3FLm53PzEtNLw+WTD0wIqc3uus rrdONHXkIy1d8kpyZFmhTC/RQD+YUjsP9v00gtwf5xXEA7ZdeB2OJFJM3ZMp6cE3VDhqhZnI4E3O JFGuTD6ZhJ6VhSvzpjvk9xSX4u9lhu+lRTQfp/aDhFLtpdjZ/8WDaUh3dr7TevmqBCHtH70NkSKo ZLbPIfkVaSFN/Y3UijC3LepzvzLNBJ5GibeyY44IJ9sRSrxSMrBIVoVWjrmwZejzFtEbp5aT4Hrr XKpoXTbDj/wyqRRc1dEyWQTJGmmEiQvAu1GYzJByY4fozrS6qBXVHGtbCISh9vviATgRoSphNZ9J jVIxruU+UZk5iEoqExw5BXBqCszk+LqmjGgNWC3ZFUikxweoazjv8oOlG+rQ2h8ljFS5WkMz9NyS 7BPMCVoPicG95y2iF4DPxzHcr86msbq2raE/8OERN+ftR5NqE9e41tqCF1rzDLJbatYWgK2v9cQZ PT/qod94hraLcO6tohnAsHZ3L4+oHFsPdjQaPjRbHI7wmDMaICJEYn43x9v6S8AlmogO2niv8ip+ 1552FC9jOSEiRuyzJDMbcWHYYGrHD+NutjXu3nEKmc0MnV8cVZoLH1EwBZaucS5Ul0cBGLGq2Qa9 zSosCJzFZuWEAhrunlqZR2MxJkuofRexzYB00Bf45Q6K1UwDealvarz4OnYMIdicHvOU/G3YXemE GBgov+abal8tiQrXPwgr2ZELt/BIwTme36O6nY10fAyaNA67wxJyoC1zuiUI7E8E+xeZ+u+8dbzK aNezcYujEeah5SJw9Kbd1c8ld2jecSC7jd6sy4YcNOOfz0A+F6z3fa9gjUPjfy/YYdFKQmZLdEjO tklYCIw/TIhYOcQUYnmff3JfvoyKD9BBmLOsVxur1thgDbyK13u34YRfCC2wPgFDGM4zGQZPyHwD A1HQAiHZuGTTcIsvZa5PQC2cS5YNiHW5T1Rhyt0LrpJwTq+/WUSj2mqDvu4ZYMfgdZs3FeskK9BK HxZTxjM9NkP+2hmSuPJBpBaMyTZ4mlpF/J/gziuBW9svl2f2Cm3+nq/A14nDQ2XmjS7IF06MthLN JYnRRhZqpREUVvibzWMJUDlX8GQPMezIZBAHw3h9EME6Jjjx+ts6mFoBJrJqNE59ImHv4mjq8QUs zvkzee9D3vsIeztizjb3LDfmEQX7vNFDbJv814k3mCNQ7Q65rBxdvAxxT3E65Ek0jdgmu1N6zBe1 4kNTUQHEUcrWzSxwlz+biW7bu66I8kzcpeLEJcaPsH7Bne0J3LGSGh0iFLj+dLozwO8FZaXmMQS5 p/DUwo0mchPSsniQ49D7zamjjOI13lr8Q3RDpR5VmniePoaSbKwuu2A9D2kq8vSSDjtpdiuJSJmY 5uPE2x4mSxwFksy78CJ+Bt7WVNXEHFnHbMb6P11WXd7/PFwtx1v5rav/agkVpU+q6omYulE/dKfp +fCdQZZ+F3ETyEKSHk48zWC3OQ1NmOzxXZT/z/E0RJfX0D50KLtMq+bIqxLivNQo2jVQyZRf+hEH JfLdhIm7wK2j6T9FZ8EXAHGZ0u+Z9z88hGSwEWQM5KezZ5Cba2YJA+VKyTuqPY78YE/uJBcL5KoK MLSG7vCbL3QuwnP/ErtYdmr+3ba+KW6eoMYGkBZDi4ARbCGzyabozexpd1JeGYnDmCXsSzrkVUGS 7iDdiQpt5AcdJ1uo3E5klxtZpXH8BHtv/a+5BgtRSX1T9f1PbV/fOJzyIL27HcNW35b+B1HRhfM7 JZKSLxDukmfPkk0mstyZ5elGeIpdbQTco7kUrzusc8HO8HJwfD8H0s+lffQIbSlBZaqSIiOBicaW qH1pp9Vnt9TpXJZbJjSazPAvGx9hlVImYTspwiDhBBXRo2XzcpKnIN8G5DUFImwI8a8QN214/1bL PSqiQDeG25N4TPt0upolasXsLHwz9gaVq/15H3lQvZwTjLor7fCxHTz0ALg7I/JAesYdN4PNwQPD ZdILxJtUJgaXOtyBbYYDvzbunZyJZrtuptvlUghFCc1pACN5qVVyaNfmQ1msgx97ULGevvNJIZdZ 9k2RVguYs+DZWJYRak6GR98dZLQ7bRlL18VS2U4TxXQM4s2pC8p//SmcEOP2KIxGdEGm7HOhdq8T yuhr4I8wUEfbcUrru2aYShh8C5+aQLSz5JHHAXZXY/PcAPWy2XA+rhrc+1jq2/ivIBK9Xzb/BeRG swPaJbjqU/ChW4j4cYMRSyGat2rTEcLqS9/IUFWx46Y9YVy4RozsmUmQuTXJ/2cjUkSKNmx0tMTy PorB2g== `protect end_protected
gpl-2.0
8ba8f49e66d44c7381dfec2527cfa32f
0.952583
1.818917
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xfft_v9_0/hdl/fp_shift_ram_clr_op.vhd
3
10,347
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block AVMQuuf8iyc5g71a48wOlFhDPNJXx1jo8wKOKm8o5WNHmNV2jsxpEB/Hz4Ccd3RvXd00Oiho7my4 OtQJrycI/A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block M9F1+lBQVuS7HALC+kfq6Pk14PJ267N6csB+TvXkF/uS6xEOZLCnLttyDznV8cpwS3dddrV3/pK0 Rz/L9263neE1zZqUvw+AcKQOtcmrfSHOpQodmLIVzR/qyMip+1mr/LP5bT2VsLVAfevp+/2Lemvh XQmFoyQKoO8xAorArJ4= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Y785L+CIPv04y9LohH8LvlCug1SjkYyLXDDOVdzqgyTppu6Z/6fiM/a3lWt7W/Ca3cX/AqHsDxpt zOsfokHUFEWwE/w4lpaeYHfJrnV81QDGp5KZcC1Y2N8HIrLzTdvdoiNmCfnUGVRoQA2r32AbUR5a 7V/Y7e0o73i95vNUe76uzUEjTGldUz116L+CE8cD3GGO7xF+ktOYRwAdXyVTUHD6ubu9mpcL7g2n ISn7TFmmvL66Q6Hw0Eu0ciqJn3ZX8AMU+Q6l22gUdicLDwSraCPAKg003HyclZLVhZ62BdhBF+Wp H/byF54+ifxMeM57d0ErUsn37yDrLP8+8fom2w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block zk+6qu/cX+cIwnwVfA8zSfbS8LQ5R5UmFCBd4Kec/+q31TDU7ytzzWSDGyovn8Bd9IJYjKTR5I4l lTWdYziEHF75HX4fQeshK1OFVsoA2yR5R//iL2oGN1T+XwRz5b8Fmx9/SWfRsauqRDarobkPOjNz S+oy8NkisHaR8bCW/TE= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ZdKWTKcg+nQk8rJTlDasfKrEjlIyq8P64z7omhnPafPjSdiP+wfZ8K3i0yiwa5MVOSSzaXcI1OFM rG0Q21gTi81WCPjeuNo5d2VOfhBheRvECxwQ5HnIIh9F++5uPAaj471hC9aydMYhzb58kuu8GB1U B2cIid7lD9PNMV2/1LhVW/LAZue/cVOmZayNOWDZnrY9MEdd3iN9bjstTpsFmuEUyeDbQXAoS6Ya PkX283POfN2GMYsoXJ9vd44xUO2dOOrZgWORzLwf9D0WOJuGqU1xXcAV04+rR7p5fU3W3cE8YnU/ NKYRgpz7HR1SLKqzfgV96qDvkB1/zRWArNcy4Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5920) `protect data_block ANr4THXyjEVezzoQ3FinM/BZzwh3kTlBmaLyyn69fr9HwaA0zOBm8IRIGiZUh/z3ViLL3E86Jl07 7otZUNjpLiJGbPM0jhlEA5euxyL1mq5qJjLNXxqi4+1FWOe7f56enhxmQ+A87EBUGuXfKuG7Y3TO giSeqauh7ItP9X3l+1/NM+wJdZmu4PZh21JBtfIPXIhTC/rhXH+83INkMPpz5c9Ode+08WRjNO4s SQjV52nOUjZ6R0EMsn89I+xkUuczoBJocokINVsfboVI8eeH8vO0EExyHYYwr+alcZImlfqK/Bjz xD0SSjsU4vmUrxFKdzqpmIm40toF8jyWGvSyCRm6HWrm9BdglgrAyvuYoL7TNX13jCJPn7Q+f+0z CxygCVHYe+wfj8E9qP0hBH4JrisWYU6cAJvYKPDrM8uuGgElzfAxxai0Vcx6AwmYRKwbquX1tr8x 3YR3a0R2rIhX7xZMx9wbpDeocKtxHdjNoNGWUK+vwnkXGlsbbLQR+FkIIThMS8qYS8Y66CNKqLU6 QX+zmh2qYP+iXyk9U+6ZAD7d61Vb2x4oteqA5kQcCI/tZUYASMzAAOF/2u7Yd3VYsORcqfO35YK4 RdwNJopCA2Gii1sCd7UHFaMe2Xp+DhwitJ6O5L3HneP0oeMjMdE3vXVkLehdjrgkDN+bTSq4GrBi UuA4AJxmb1dsssu27PpbA/p8+9LWIGOVk3tm4bmyC8YmVozqZEmdCJU5oxJWw4GDJ0umxUDYZ2p/ J7B/JBXDMjiRXMU7bYsmeIEWNvTE1IC2QX0/cEBd5RCIjrANU2SFeMIBFsgVx2eZhlIgGT/915GP ebhaYi5qDdgXHu8o6ndupIu77qWmovElfcoY+5R6+o0Sc4alfSech1s7aoYKq8MX3etXCjUsFG9C 2e6eqEhYGLIU7pSwO5bP1opX4+5s54YXL43vTtFGEn1bgHnlN2/iWm4GXN83v3aJgGzGoRsvNFoi XbHxUQiLXnrawCC8dyGpH6QZgcwQq0R5iRyGaZkeZH7RvS8+gRD96g9TuxCI/p6LUHm8IpyToofG pr5/QhuYeRB/5pn99GqT0OR+hY4mk8dJ2PCGL7G1zMyXVGJz0AUoNAujT8hsjwZ6vsGie8Q6hnJe ks0iBsPV/FPNvWEOVL7EwTWHgZm+SNId5D+K0exiN+G5Lqn4/cCrUDerW4kEpBXAV+A3wneg25wZ TsFWJPb+63mKy6XWZNhXl9oUvm63W4pUjMflL8NdY8JQCU/LoM2ehCASzSw57XsclMXMJKYPsz/R yCaiEewL2P7fTGq/8r3YUmh24Mbu0ItHP7IOqBMmP4G3ZZyMKwTh/TVj8qzCd72xPOSiulNJLQtA Y/nVGpc4Ux6p2TgrRow9j3Hr61LJk5tLtwR/8VCt1Yb5MqjjSSlCGhhrygaVlepB64XO+9j8sEih QHLp33+HE/eK458ZozgsprVYItzFmUztKw1DaC+KZ1NFc5QkWDKvWkBwEA88Erdu5dAD8uv6hj1q WpTfPhdUI3mPnN8R177gyV5xlmBFkquPK+k7iU9GWVluPloOntj/PvJ+s6Pt+aK5au8PtStVx67s dZZPgXb5p4Bqu6rT0Jv2loPkDCkaS+htnjfHkpgi/zaUuoFAOIAGYdCIJfmQ0qDyYeHajG3dlEpC gktVwDc9pikzQGFgiDQ6uGHpEnJ6t51Hmc9m6ahBPj70cGRqxDalJCJosP79mfvzZuXxkg0sCwO8 v4E4F6Eqvybw3U1W40mYEo58djwXNsblJ5oV1G9KjPBnUzOtJ3qMYxsQJdhZsy2rqUWhqnK0Lmll GAxAxO1V2nFJW3fBHmdUO1RavwHe2WAG/XfzoRzgroQ/hSVOfgb8tfbEeZM0XfV4nOgXHn86XM9x z9j6/9UvarmkSSY/0iCKF7t6ZDvCFQ8U0GRKuY3Lcxa9dMlbMDyIdtFtqW3VWlPvLjLy3LsokirT eKVF7vg9HChrogJpalaMnAyDvwS4aApeRRm9Xx7cBQCLurch/ykDxsyZzCoxMq+uKStwTBOwsYR7 VO3gQNd6yXGj2tEK9tcY6m5Ci4qQnOanv/LqG35Vkmd+AxSIjHmNMQO0Roz73e6p/XOcWTHp9NZ5 XBKw780DoxreIWk9O6nyREb6rkss0yVPFy3zzlkozPKsdFDR2FhnVOV8mdMhQc1A1A3kjUe25ilf P3EEsLr+gPAPxvFBdCVEZJUcOOhELJj+l7iGszw4LU4hjrPHpif/5eSjFgs0jZ5rEWL548dFi2XW ZOis8BpYVeUPqoI3hjEzNw5e6KLfSjUK/PSoBHRKtrn3Oxx1fBxIltJbdE3Ypox9cYMemMVS0tm9 JOZ30xysA3oocqX3tfJnMxOe66Hr6i74pFfa8rYFf8lnC6f08GT/0sbJZKhsV+oOtBgVdC2iZtcZ AZx3Z+zGKhT7xsc3ZgH4DikSXctZkaTl1JMd40/vCsipKlctN8A+ZZsoEMTrGhHPB5w4zOK8B+4O mCxQEYobXRpltbJQKbQKMRv6AT+Y0lK7OirOPYxJ5MoGaDLexROneVAt5i6bRMvEjTJG0hL8JOWp vPI8z3fVZE2VZOifQmFswQxwmaJrJ1hb/RfavjOIVpgQHoBw/YdTKh/BXeNxRT5YDmEVTmlxlvzy cPOtBb9b7Igr+iI9UapfGubtaFA4Y/rp/bxDxgm4HF7vCeIZtDPNVQk0rAWYAV4S9Bc/uNnBtRVF hY/eeNDsnOpb9XiyKEgOwNOV9UKd5ItY0k01WMxoZXKogB9V7ngk4Nvt6y4TQat0660HmpKPgiVd dC1U0iPBHZZOT0yhr58TOB8nYD2BZHrh5C0Hj5IP5Av8B1Rk7KnIV3RjUVtlf9oG6EVgVfqCOVuJ 60syRSSn+RKnP0kHrmfx1lz/APYaeifB7BSbydLaX1WpJaSL7/fbIK3f9QamWMNkEqtocuEQaJ+8 Scmj6pSYHs0/t3STLBnuovu4hl8O8lBeayQ3I7gZLfD4DRIyZkgMNif4stbmJe9YDOxtyeZxzfD5 lxFrzJl33783jgFbs45ZPp9jlOnR3zIKzZ2H6drm7qzcJQo38ktyk1CwXiZn5oNbSXlLRU2E5Zfs aKyN+K4Oh40fLyAecIw5reFR1y67X3aS6RlNuqtFZXcCdIgUQ4C92/I9Hu/chZsaELOIar52rBHj KOwJS5ZU9KQHYfftoq29qHYxoFc28AFqRvWlr3g2+Bmob22ZIuOzDIiudBfqSu+jXT3iW92pUWOM 6eXLPPB6lMh5CTsXpvcwe+k3jbDV1ZdnW00HxQvkW8TmkHQAYGfMoUiYzrM57LF1ewdiP/Ubyu9K kSdbsgk8v+tL6f375ac8Ci+Kk5Uxl8TMNggLbHkCvaAa0/PDGV9Ec0Geypo1C+BLb22nhlmGp56B f4Cz7c3lDZo6rOQpd2BqQS4ewYV8FRXlxWA1H9Xe8nfS2IuVgVEkwKeok0cn4mSxJE7nOneRAiFQ Ximepkr3W1R0LOve9DoW639xqbbbHkMmStOCRHRIlxvScCJJJLm9gzLamThT0uYcbQkhwN6+4ZTU XqvOmGQvaMw0M0r0mMTpY7Iux290+EfCDPFqafpmw+BxRqfR3Z2pk71XqnzO1wjY1XMlVV6yHTK7 PBX/GQYu2vvjKkqLrh7RZYntRA86lXNol9kTSfTQj/fM13Vbqz93wxcRV6yCT/y5Qmqtp8u87KJc hMNAqYngNYVb67RdhYLTwFt5vEUrWn+OtW4b/W/MFOB3bxgQiq07OsEQ3Xt79AUFOz3cwt9hetCZ 6/xkjQ3XqJ/NprJD6tZm6bbc4JCPbi7dnT/v7MqY9toHgc+p4nGdIGu8plkN2SHupSHLLJ6P/Qi1 H9P63nthHEN+M5vHVHrIYlmjuTpWcKl+tCdcyVAQJgpsFNDx1JpAl+E5cYDZmDSm+tUAgd7tXj8Q jofC165HnEcuIdm4QJuYQedABTz6rAjp6UuTWJTGVZ9ChNfuukWDOdJ9jgQO7ybwE74QKvW4zb/N M98dh4Zc7fHVfpjsi1wZZumD3rylqKKspevJRJkuI3ApmAsD6QHIo9sx1PhN2SiyUYGfrzktLAqN jhF0+WGdg1UIht7lLPxzPTH0q33rb7z1xiB+aQ/R+z97XNEPGQcSqgvz0q1n+IcTYroOTELhB+en 7EIWAg0ZIKB3q4I28fwWEZi55Y/LX+Is4Twag0haVJ+FPYzwCnZbBkFMNODCAUt0iCVTlb0khaF8 FX2i3SuQ0YSocqmsb5Lcbkmf9szuVSUcytUIThmiBjwM5QmGO2g7Dbx++pMERlAltHy4QN0X/GAf +YNm6tSDBcT97Ro9zsKjcSr8wndvt1SERGLy54vX6O+6HN2o1fQyo2TQkt+CwYLiGq9cBInD4l17 jQS9yU7gBhQGaeNoE3KPFgtT86ac81/J3Y+UsKOtKs6nSbFLa3dFWGmr7wXpajjbyZY+u2fOiX6V bNM0xG5rOYTDhQGLHpxLknENj697zFJNPNzSqvzT1bK0CTCLovnbD24IP1W3q2jStjLJtZqO5x6H 1o2dCqhaEbU0+3iB2WVTSojDeHEJH94mj5e4APjzm6EmvybX5ztlPWrzdXQQOOtf4oe5TDJr9912 m82+vps6i9KB+zCtIw6idGyTd9XtDg+x4pceCuHJwTPp8PzLcHN+67+s1mE3N4uUL/q9Es2KOj3N L2bs8sEQ35CLgreVYIh0xrpEYxyduAx45CHFGDusimjYSxrT5KCeiOfrwGz6iQwPzVOrxHKlQrfS dDr2Gz7LJWPGyqg8p6rwQAlVa1SCJv8qzZsOowdeVIy3243UTUNBKsrOvMMoRlmyUBzD2V0+3FJf OPCR0yh5tu8Ew0Yb7Ebv8MXAYCX+sn6QvvZ7lyfUt/ca2Rrh/vQqhhATdsw0dip3hyrK5TK3cE+v mfR+7RWHzewJvfP3B9N0i6+aSPSLYcJepBQwxRv+ECBBSx6snx4Ft+zddh7ctZJ9t3nmjzpIfP2F IFXlEwFD3QgRv+tmnJ4vRRJnG6NueqDoDoyeqFHsWwcPpgcAA+zsZctLkTGak1yIvSTPdrkLndJo +mvl9ddp5jkSufW0M58vu+Qwvc5ayGyGN5bl+EbRwayEAMLWas73SPF1kGL9dPv6Bpye76TrKGUV j0vbtRQOGF8uABQZE3Atm3ugJxYRK/xA2LOXr5IwnUHdoqpeo9S9VANkrbNquRz4D11SCzOu00Ur UXo33r7/MFlS/3uPe+pHt6PKekapmZ+G3ufYIDLHPqY3p9fSHB/u8rVKlFOD8lEM6IpOMU6xoFl2 xvP4Zg+LQfjWHz7Ab9GsriZmPF+cdf9MapCUeuL2vJRv06CkA8/V1hctDrMMRwvoczY/HOo65Zug ivXf7WS1DvYo+zfUN5cMseB4OpjsYCSMSG+0VIHgK1nNBPsgZI5IkyqBbage4FNPayc3yervLEHF zioIMrt/FRjVyIBeiZcobX8PrAffjqM7FVXJdpfMCFiBeLb9OcLjwOAR9bHWq0KqWuzLq+AzioFt fKecMye4KJpTat3agKezPFKIW911xqAPiRu7NRi+m4CtWznJ2dj8XEaQkoWQALGv2/LGzNc3O3If RLZc42pyxNdXIc8gHnLInoHXtGJwvWvTDHHmOBi0rIu+2QGfpOwXSsiyodNNomQygna0hDygPL72 H+ssBilajxdCF9I8wH+SkTgLISCWHWr4VtzDZ4z4d0XA6xAm1wCsk25A6rgxkP5ChZQNGZwtA2Dp X6Cau0KQISMXVzdJXOKVwGWwjpHpjSq95qUanVo5Zv25/8JWvjrYG4wOpR4FGgMiIefi2ctVYEXT XBHiVyXlwNfKod386eLSIy12PlCX6AhOQNMHihC8JxTWFiRLYtG0I0BXDnSSVcWxI+VHHiS4KPTL ltLiEp/xTJ864BSJRZXfh4EtwEte6m+XUVmuIE9HInKN0hlgl3AMpLFZya5Ta6l+l+wc1mdX+TIA jeR2JYKIM9qXfGrXyX5xI0L2h3MZ45SMdvHuBckigAcpGUUtjU/DN9Vc2EHvy3LpLtlhqntIcVav gWFBcZlxh2HCFsWi6IWtnK/kxucqhJvNuehjC8wyCsC10xsu3B/x5mxhn0ydV4a5j4qxCyLUTeZg ZSReaQWVMTzOKjdm4QJOJKM7D+K7PuV3dCPB+74H5jeLbo4t1OKuNd0uz7r4JETvcCye0qeg0Xpq XQWGn8ARxTXD8gpfqiTHVUcxCMg46Sb/c2B7gPxsi0xAlqoCrbv/V6OkDeD7kf80DGwStk1+enYu NX2q/uS2+jWt06YixnkNYdnuFAx5bNWkh/6uaQgzDKcfo3Ws5SqH4vAfW9aiucbfvAk3MTV2YwBi oihIM5fwdZK98f00zpA7pJK10ghe3mnaJQhRSyz9cPW/TsMaQ34LNh2yXa4zuJ9tjxKi1qAdR/NU X3TQDEwD+QroqBYm3hTppsa9fJCwjAV/hYJWTb753YzxGRerCX5Db9leV5TL16Kvv5/rSOLtgIR2 CI4DQTjCX6JeNCbpNamg8PyiqAivw2eQMJ6iNWNy435ZFvBrpy/oFaVVaAEK3whl+6zYNchLRrJd 2aW1b8zHUwRT4k92wX/IivCGEYAAu/OCwDcWciE4kWGYeItchL0Lfx9rQ0jmaHuooNW3YEi7wirH GkuDYKu6TBKHL6jr1HGCyZZ1mF9NY6JcencKC9IM8uXCzWb7BmqY4dwfiF2twWM5Id4Lo2S3FsRV xyy0GCo43RfJQ6oY8Qirz8+cTEkmZT6f8EQWSW8HLHPqWvUrzY7u46Lf9GQ8BcSo8ipPAFKAn1d+ z0pAFA3iFTx/SsP2FPIYrrmpQ0r3mEZNWJxBJdM1VtPxlP/v+UbR3MbEF6SG/x31dBbPcrrcrloz ua4/15EuKqDVx0RiJKy4fXl+vjBSDbPyyg09hJu9KbsT0OLY/I/1wNcWKQdnR9OtAYcA9bx5LGB3 Simh3o1uPt5AK4LngVtAYGjM1i9Gp65w6WHQEQxVKTCNzAl8pAaEVcDbiPtt30V5awUqas+XCESv 8TCsbGJvSaZ0JnaF00oO2BWAwQ1xWwui8o5Qbq0eHsBA0r5OyDq1F6lLBriKSE9vym6CItsFaLPj 3yI9M+mOFO+qJk3/3RDQQCxFWMT85AXEXWrkdD5sUbYVRIJRFxbSDZRGnkoNELkZl4koqMYQ5nCi GAa4V0Pk0RyJEkDaiJn+PZk6INMVuKk5J9BK/XJzTdxC62eaMxUkYCA+9exdHg3Ygt+YIbuYcogm tj+O0dNUe/G4Ld3SR196gOBIe21GZssW1kZIIRLr52cnwsFNOOBRzJPHfuRSQrJyzg3We37n3CJr cxPvpvfV1rBByIe7dVvFwmkb/Jcd+xstzjVlCi9/3tcZDD4LmAV9IN18fFkNRrI/9+Is/UQ1vaq5 4HARyN5Dm6+ExYO1K4l5HDYBCbDOtxBk8Berr04wk/m1CNAfB37yJWamnmopB+x+HBPnuX66JtYe XZkdv0grCxubQxBfgiF2QQkNil9oydNu23vnr+Lzq2M0jp4jWYDuJUBMd3iR/jWTukIr63KH1WhO yYwwjEQW88q80UzbvET6+6KwMInvY18g82wnbsRWeiR8Sftuug0bTHyh1ns8SR7BujylYHvMaWe8 zukpNuLKPIDeG7lXQY6Bt3hNUXhcIpcoXiHiMVtznqFanwRVDYTyw/rw7WQM4/qz4UJIWyCOL05L skqQChVpavP4e1fPqSDi4XkVnrNwa0J0yTlrh2BVxIbGOl/w+/4kKmgf9Ijr2ykyC9SGF3ZsrJUt Ugmci+v3WC2MIXllpP0zK9ORLaL1Hgr6XL9OwYoB/1oM5ojOE9bHQT9VYsvNj8uoOQ== `protect end_protected
gpl-2.0
7d2a57b845999b149b42e79c3cf5ecdd
0.923843
1.88573
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/shared/dsp48e1_wrapper.vhd
2
34,794
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block U2220MdNFJpJmPU5uXTwdnEjol4pvBHnS961hV+Z5CYh/6fSJnb5KjL9dlq2PwAs0rhJ0E15JERC rx76bq4IPQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block mEEkoqrxskpsch6cA7oOjh4GDDeA39NZNX++UwQGOHBt30cei0GSutNc7AVVzvmQkoiwh2ygZE4I frvS5CBmLuUkZ6dQoDAYCUpGTcZTflGSeod60O4WGcOXrR6AlgrWTNffyAyNeAdy4dZy0YGtXsb9 Dw6Oov7wmAvg9fi5aZI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 5TmfaP2YgcEVATrZ5c+XeyBF3p9TayVN1OwqvHs1J0jMRN0nbBsXVMdfsgsIs1wEejQSIgTVEJ+v WZ09cCXTbZ1h2XaDwv6To3F1ieP71mXSJjoucTg51SMi+PqwVjMHyNq0Ag5C4R6NMNwU0j2e+rAd R7LotovyozA0oBsI/SCVryZs1id7jzVSsWTn4JKcPF+D4DUDEkvcBAOpf+TJSLw+saVrT0r8nE8n YQduPPTGy13U7qy4uehDva/IUkdyhdR2G9Zd0UqZMg13cswfKaf215XXbwYQWmes9RqmJenTVFsz OdC0pQi2QGRScA0/PcETqO84pa3rpQl9npBsUw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block L1kcg1xMPhDGSQfrzHJJjT9KLxQkX0IMioVBWIwbH5guabv8Et4BLoHjpzhfdcVwcdB55FAiYJPZ smYhPhJqE7tZKNL0IP3pyWNMIeaYlcw3MDLLY/ls4w7qtxdVY4DKvFx7OEK4BgVcuPsX+5pEEgNS Ok/uFLXBCrHpuKlSZEU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dq0c/3r9rmDdcd4kAVufuR1LluHFbKA3YqMFemZWAYM9K96NovNOrebtFE7IbWcsGJbDoxAo2PP9 vSe2r5CsBT3gYupyh1NRnD1lu5TFHiA3LT8IjcYF+J/99hA0X/y5sTQLx8iYZ+PZfRGfYPz7nZFl L00KJYE404bN3IQherJq8g9USKAM4KA/GanwL4zA7CbFBc2bgLD9NuplCrzRFtVuR1stPI+jas9m o9+wgmhbS8tKIYov2+/BppNaeegZfB9Kooq7VusM/4Hkr5n9z9Knp3VwPvxbjiUav1p39XWJcEm2 8+CR0C2jWcVyj0alpnea9gizRmD5E2tgsssGaA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 24016) `protect data_block 3sruXrcWz0aqMJXL1zyYe7tk8eRr2cVxGSXLDPBikp8OuAiMQlKVwhAASKyd9WnB05+JFZzxKqZr 6IgZtqoLVbuHKqhs9Tvk3nnBgyjzBOtJPN8nXDlR3iHD3SW07BPkmRCf0ycVuLeoEQT+34ZIHITs 15fZoQr9Oe24I1rqAY7p36Ny/JoV1/eBThzFgtf3TZZSG4kExhovwOKTJtdyXfQDF0IAMHvp80NQ +aedJVcamRDYdqloDULcyYBf4AwzoKmUM5Bon2Qp5RcLmhJanFxSjbv07gUzch/PL9oh7ytr3Cmc ngVWMAMGzb1GAZmrDXtxuOPtn9/Oq44CFocjOOSp1rBkIuS6AtsAw7lkv4Wag6O9y0LjUH3BdZ+d QxMIfcz8CvLRyhoi+K+PwVrcEm33zLOHzv3pjlPipMuA3ODZbHcoVMEVqB4dYatBbZ4CqxwIyhbK F3xPSOXNIfDKRBhsbcXNnNRlDScM4QK0R3YCALeHUKjlQ5eNs0CfowygTJ4KIP0IEul3BRm+UkgE GnGn9j/uv8DPknkAkTgQZjxjWudxsGnIVn4p6ctCZFjCtBFWSXA4oFrpCkVtqUaxD4xufrsguXVE bkuBi0ZzAL7Vz+f87OmuaNRB8DWPCVIBi/soorWGng/kSCNBXzBOy5pF6Bp9ybZgY/mt9KFz1/Gc UfHyRSMdcDMmmaUdKp/RyFTu1t57A/Ja/HpRruwr0hlIEpX8ru04fHvL8l/6rofWLey2mKzu/Llk 8NxGIZIhD9bzY82tisTZgLxzbJM4Lvtl561dd+Io+6TP3YJOhawXD1Mt/Ji0q4QfWjyfqA+6rezp ttOwUWTiNbZRJL9nkfpLm34+Tof1tg/8p2RL7kokhb8VbNz9ho6j6SW9xxl3SOj4ZvJ1IKuGA867 3Q5uJ9BdJzqOpdHRoMPMd5iHCk8M0Zmy+zMa804Fj57XM93PexFU3N4/XpoUOc16+8DfwFuXjQjS TP2M/o1/azWcaBhrg47Mx0QDGnmljHjUB2mOFMc+FulfzNCTbBsyeR9SBfSnEjOJtTgd3qWVozpA GWel9Pj3Q3Jx47ibOJlSbJ1EYzUM9HJp27G2GGDNAE1c7/WT9eDlbKq0inMYLejvkRgF1sXkGPQl Kx+3YM7x7wbL9PQMpsaYuMFw/Jo1bJTvvVw5/eQo/Om93vA9N9TxhraMclMTPaCt6smJ+/qLB4sr GyIM0e57lTHfIMMTtIYz2tyftws83T5B59JEG1Fr04lbRS7ScjibLh4/ssgKNe2/6TPukQMSyLpP 6a4uiG2S6/IA0+W7guoP/iSckc734LFh+pEYc3MrZKdGcRMKdCJw3LUQKNRpw5elhfocXv3pjIZa IH6MgBblJN6eurDH6jvrD6LehaXk6M1UTSOsaaQDqsG1pjwkntoAkwMGmr1aOMF3Sqi4v9u6O+wD JT8X8OLR0oYppjw18aeAHt1EOUCft/J39sGW6p/Y4dSZVGARcuW/hOiBq2c9OcWlekFPE/ea335c XkFP40QFn/MAlmLJ9qEz5P3okK8qVmYaUoC2T0M3KuEJORhQe+wlWZPDrnWIeVbM350FU7x63W7k jL6SRJDpkqKDni6R0Gpq1Bz/jKcrO8797wDndznEBoEkJbv/euwB79UFPx5eBCyFWrseObWC02Pg Qghj7H+4BpWTCbsDAQmbf7y+VIbig1qz+vFDqoWOE1XwfSF7ijtpPQvIgfxCO5rkypfWzTSiqytX /Ftq92AfITBXQedtdZbZExKTM2UknY1/nltAf58y1Rndz+EcbsBihatcMrkV7UAtDCuKlsBD3alt HUdPupHufDsxQ80erC+ISP5riR4QG9UkqTDXloqxE5l6YEppfCXumzDxm4xcFJhJ3p4CP0xb+VR4 Uz5x1XYQWbY2yi1sH4+PEdyTZOBck1Ky57wEfLCkPsmxONTTSvlB+Tzdf6RO1F+Wpls8R+Vxeawt x1H0TOS74n7kt9ZY3JW/hUoGR5gcrliqM211jyCrLIzAB7mGe/rsDLaaPvBjXZw7/qJRP8GMb/Uj q/lkoj1by+fGmAvbM4ai5pJ7MRk+fB4XiWksz2HxHXpSqwViYXE8C6OJptyYNd7M0RZkuDx1u2YQ TkKWZddJvvBFNhcZQ+TPF2dDhBWxMZU+fM5sXU9WWck1Ta+Ue5pnR1JZegiDkni004HxUF3K0Vr+ 9TIrlCJkTkVMM2iOb8kFngqLzVlNFrd2lyjCfWFaLPxDgRr08r72YVFg9uc6k5BjcPbELCAJ0inT ByQsFjXsG5/NLUvV061N01qAv+EIAMdn1rvlQJqgoecSbkfOQvhNEAkGptJziXoEFEOaVXzJNjni ZKXnWGIldRhBAdDYM6Wyj17UQM6Gs8ImoASO7vMxXEa0k+YUFKJPVysgbzEyze8IvaboPMm7N1eV 7LjR2/wKGo2GCFUWYjPtYSpsjFs9u4tFZAOHMA3oBzt0smgG16D/4y6qLjA0BRarv5HuhoATdNZb pbAQGekjtIYfcxaKqo4GUTckT22maeIddsx2fLRvDih8RgBNPMKqyhSyNqECmu48040ET+VyDJwV DTr703HHMdUaaCu/iyWoq4TDF+TmTNdwj+3sw+lRliFULNfD3CkDgqF39ZyjmTq+W5BPbWy1+Pbw gvfNr7pT1Pr5ytyV1NUS+zj4BZUMB4tlTYweje7gqyLTqfmvy4jEwAGwHvoBeFdeb5gl4D+nqi1o ZG45e5M0SC0GzL1L01aP0YOyW5sTYViNFOieB/L6tD06N2xE4VwA5M+X9lh+AZHmigpZukpk5EQm sywFwtkAD+maR5qTXoL+u2r5APPkRjbzhhlezNljh+Cfbt+fOoBVAy0N7bnvTMzFsOMcnhgMZvAx t2/HujPRtvlaHffD2DyS5yYzfXBDXxUFqcdGqXGfQsNO+v76WOL8Go5g3UGy+PT41hkPdHmpn7lY 3CvASqbdQM+OhUMlHTEJJ1dZPPwJ9WLenpgTB6eaF+c398P2rmaIX8hJIv4Ggx+AIbTruJvEsCjz Y43aUqjQ71eReSaKT4FyImF7krhDUmQFhDI6EF03gy7f3HmZr1g9E8x6x+j9DxSdbJeVsKOlehl5 BpGDzxHYJvkKiPgC195qUHcklbezhqGToiNwIN3zwqQrEDBHNywLXxLEBImHktk8ROw2SQyzQpCM JFnMvNIcO6U4Kwq1eqVp7LrVdunrBaJIn+wQVtn88OtAnwdZdpNcYca66ur37PC2QZMvjWJy58JN vGH2cCKMUXYjLJsqwsAG52ly0BRffYRv0s0iY5ErDS2PReHD0yoSETNxt19i5ibS8vuE9TfGb4Jm zFbhIbjANTIBYC+ilND4hDkdDlXDMm33BbEzWgP9abdgmGdNV/yOS9Bcyz/Rkue+mxrIvHfwFX/n CrOwGGZ+lxFHm5AXwFy9ydPHL/uqS9xPFc4BZHwnv5AooYo1TY6wx9IlqBsViUKklkHIMQUqeZM0 qex0dWzLZX3gLfvHsPWRX6bPDVMZCWlcFNRCK5wTKqbcaprxJ+RBl1zQKFSy4ZWfsLWjbTbc/3HI veyygx2D1IJU/GetwrgBKhsB5EKqffLY8rKuXKrBg11BLJ245Z32n5H7KCPsB/phcAzCGqGlpykv tFS9lyvtbaRXy2h/YN+atSqEO5sYFVIJ28b2KdH2PFPxNFhMv8BZb/7oA2pL/m/b9ixhZIMiFXdK 9054WUuo7ZhKdsjeHhnYyr6os8jQ1cHF07nWA1o/X3bMSjVxU8eKwtTPEmEhRNrAVNKUn5NtJWrj 7gDsMeXguaNSkkGdKKkJ49KHKSAOFK4uVGyD7AGhL5/ZnWyDJUK2BsguVNFCcakgO5yRI0zb0fat cqdaZH4Snrm2foqPXeGeuSNyeJTosVpOvhFN7d1K7KMIkXhB/x+zmZxlUWkhftP9EoX6jzNjEvUU 78zi061EHg6lm70NQCMZrv+QwB8CkRv8/D/UhoYUac5CKACP4Tw1FV4wYao7DLH7T9ujJbwbSZco HTPcUKPrzEkYvVM3GTNXWD3X6n0cNktZv7v3sHziwyDWud0wfhOT5ORBESHPch9AcH9pN7pVcEV/ FBqIGsTE2AHluC/9V1M7ihGNyx2OEVDrQldU4GBJjHQ1kHe8o9N7WDa5v4xnH2JZ4/eViu1vkXL2 pHMbdEV+PqpGrzzN7k2pehJ5HLbxRAEFlW4vBbipCWa1F17CgRMd0ynGruyZcSvrZ7QrrlTJFgih ycLDxJ5+ul6psQxR3CZJiYWqjRUDDIC24UfIG5XZ+5WnXbeXCJzKuA/vGvwJhEczmeZGeWi23lXf Jkc9IGZZQ2WDQuELYaHdj/UeE9mOF0z3Qf6RTGYCRT3n3CQyNBoYA0v1JbuGdWpMZlU8Rn6PwqUI Xe5Qad0/YFd8IopDORv/yulY+yScrJmx4/wJDFl6wzS/MLa42BPhU8JQ2ulGMXn93Ork5Ao6WS0Y Mtkv/ClCrldPpgFCz8ntzwRUnMTAWwQEj5fq4zN507WYwIM9CgwlNOTmSzIQa/e33p1xrWP/3gZb yAsfXGXAFm1OThw1g8W5TTAyyXQ7fayy4EZwB558xKSlI6xPFsGinJeOSw8EieZjNlYBtesrHta8 R42msWaZlphbDdUoPAkTlA3FKu8jGbY3jORCEZZnZd8miUD2AHKAAJSYrnrvlDvW+uwHnOxiFfHZ rlzcEbz6pMDQLvdkIMS/HG1xunaYIybG0LDLN8S6SAt3SmaD/YShF8uCIGe5G1KLq0aIG/mDqzuG rk2NV96lDRzg1L60noc65YEuWS1IPTRTsZ60pOWPOu59upAQJk6cGVnkZnJocw3+tbaIwq4rPUTh E9ND3k4b4xkG3uSYrW5CvjitvN7r6yO/IaOb+qeNTIKrshTu1FYA3JPak4L5h/alvbghIDv2nIAp isr/sT1aWlUwSXN8FqZAlwAoVXh5b3KFT5eA2vvPO172TB6td8laRZV4LAVgfvoJUWy9oBNXaEBP MI5YjQWhxPd45KD52SgVI4ihGZNsMCAscll0l39AJijovEHhztzKiTxp4V58Zglh0zg+dPgctv1Q tUIP6lAcfJ4U6txZXe0kpyf1iROY3KR15oQ9cS2KSu7yj6AwLh597Z0fcopRvaPG7mRdEeLP2FFS 5RlUQwhMydtes9aq/JUYKvVkoA6/tzq2g3hVuTvjFFhv6hVs2FjMjhYpdFzrklaTItj6yUR/32iJ VJYLeMTRPu4K2X0iPD1DfW6R3YM07/MvmZWGlmEZtHwTwUIcmXHPOtxn7WV6XYtQixzFab4snLLZ bg+ncJHM6KLXfQXaZAvfZwPhf5SoTBg10Hlt4gzYt5vVbH3uPahWq0W4DKK7Tgcy+ZVUzn7jcpEj GgDhzXZw31/FKet4PRthsDix7rzVUYm9EnOSLcdsAt5WANXxkjIR402YdbWhrV+oST70Uy/w1nRI BovxlLwpjwRFA5m7FZt0GdNVuehKZ3X30nsOPaNsMdUoUig8jtqkrGsK7zIEpW67efX3Osvq5MVK SraqZFbVrP2LOpFLDNELSkvwzWB7LyMu0gWXjy8KpjJr8/m3xnZs1lrgXxXy9ebmTGf36dk3A5ms bTcN5Lw+ReJUnFZFA4Fy0dNyXuGoRmTziP1f7u32pYZ5CHkkz0Gr3bx1VS5jJWpAUIW9fPOo631b UXPfRtrW+uj/FeLarbZMLaKYwIct8SayyeeyLX3ucFr38ENYRGanW36Hxpd0TTxgWpkbsrGf72IU DezfXFFZCHeENXWmxs+h3+QnjH7izzLO5MxHwYi7nW3NlUvjhUqrbIC4G+wX8vWP5MVdDvPwfjJB x8fnKix/l8MvPpF509ssIx99DawVPQ15jvsIAuRuGhCRMwWFxYX9QOPiPcYXVKmBKEeSPsu0eKwN MPBJ4ltc8ZEwGhUK1CmSPZ5k5AzoH/gsIE/797uFvqWE2kelPQ+07yVoGUzKnUgl/Qifgc6tPTEH j+CITMUl86d/XbnVj2K2vP1PfeCGzmzyKRwpzo7UL2Euvah+XEOvMH0aFkWTIcc7G+F8UMIA47Xm +CFGbu81YgqzFYAf1FHXNblexlzVjyE4sz5Q4IoTetEYS4GLCOAFTC0uq4dB2Ky1Mrn2jRXRhhwP xBsHZF85j6ycutsjiXKsYM6vjoLr7cFIdOGpD9rtbCzxUqJSfhxWkB7GYo/6Kv17z0xKtvOcZpeh +PWi6Z8YV7cPxRYLeNbh0CcthG9yhCzvHp8XtV/YQ4p6XsLKjCTlS8s6xMgXNucQFIXp1c9Chy3b PdpUGR3cdCmagvaxdByAqWaxBpnazGX3U6WdMPjpAvOviun5mTdSHcxZ/UE1J0sDYUG03WmNcVA3 ERQXz7LTvp1jsnvIfeUFDqA/OIGSrYdkJ36lhkWXvQN/4arPAuExNcccfARjDItzztq29oVXpWkE B7xnlSX1m04VNXtDmsS7mOze4DETJf8G26zZASSg/+kqmQybofRG4Wv6ZlrX3/x32CGCwSwe+Uhl arnuqlRrmWhY/XF9ZFP2PiQpDhyh/T/b2iZOhs7u90R4SmytCZ0QTj3AvftWA03uWvo6ncVWttSM mxU2k2nozhm1N+vppmZOigsYgukii498NSW3lh/yU0YDmzb9o3HsGtJnHL79TsynFpOGJ42WQ9dh 6ZnGjCawTY+p06WGBQAyH6YrqyhocIc9xP3iVvQt0nlA5VLnqWAMnytYVdy0zsbT3bCx4u+0/wIA 6KUc8VFX24cEYUnRoJj4VkoOODyNiBP0F5CHYWGTbXhPLU7CVgrA55oyxDfTUzdgHroAMZNsNB0i JV8B9sO769/xqOZtGf1mHCfWZ4QwC1UntDJt36zE/hN8zDxYxEgrEL9eFL/l+Zw3TbD/bs1lUCVe Ymk3wOkQ0wNkZ8pL56iECyUAzvyp6yCva/EKwnOA5vvB6EkE0BpLcDN4LPR4agUvoAlmP3c7CIbZ eHEVoD4qqwTpKfM1+hTCbJHwRa5mDTXfcZ/d4wTdDw0JSWkCRmDXhSNKAGbeAqmMamNuvqyFB48g CzT9Mo/W4OGQTeSmkQ8qnnv/QHNmc25UMJ5x22AiP0N9Oy1y9Y2QsFXlrpB9ArwO7EJFpbqd17wb kJxBU0HxV/11+n8r5E5ZDedva9Hilc0xb24RcYsmBQb6ahXfLqenMNAd3c43oMAYCpF6QX0WkxWc Rakt/85NTwiBSjXNE7xB4487wsMM/6NlJO4CG6fIerd+fYQ7LyV9h17mexUxZaiLjLDFdjVKufKl EWKXjwIsQwqsplfXnA4OrS7cGnrj1jIuBX3yOm7CR0G0A3QS5AqaMcrI55dlpdhN30V1A1ms923u C2x8xkXtfcO8noiPivJrQ4PL2TyXGL/1VtP65gOeXyrHrx08es4O2PLeH0KZx9i85duR6HRKpER3 XU3WVNsL6sA3Py71wtRBL80DGZbvs0juW0kzx2a7GgIjNFrlfkSlg8d18EoJLAYiiupFxDQEvmKA 2IFiK2Hoaut8XDzR/AfhPc01S+5r89gMaCssURHpWFci7uev8gZ4KJ4htjOe1BTKE75mTlZPLq+M O/NZ6OyPIud7lWheUmCLnv0ZqyYk5wUZbReTraBb1YhJLILp+9Itd0UPJgBy3/oHpaZJxRnyP28L hx/J+wUbaxNhvFA4eU2W3gvoUvRkV35VSvXbuvOjxpt2CKw0KnwxYjdoF2cDWjbdJ0cI88+BE4F5 ueV3GJmjVlG7owZ9Hf3pxJPb1EzOJTh+U8AKpZuc9ye1QHMU057lPOLCIv+gbrSMNpPCN9t71BL1 4LbBXE8qU531mZeYK6cBJGuOZkDnaX5vxTTfpP4OknDksnJZMyOEd+O7Kc3zRGsoHseNkEoMqUOZ 0gTFgTm2KLH6XmqT/G1lqQ/fLk94UVydHY0VoYRLHrn1jn9hGG0ilsmCH/depvlWjmLhMarkE9/o uYuzEMYHp+ktdsMyr6ov0ZkNx40Cc0Kbts3GvoMQ86c6fkRWCKuxTUYLsxG5RaEV6ih9D+BiObZR B2/jfUeH6ahl0FGU3wKFX4XlcacL++b72ku+Vf+4NRpm1F91GFzVPNYEXeoIYBYOzJMz+IXvASkA pp3gRwkdpZXeaFFyK9UU8xs1soLgVCAqCvdx/6zx0BhdOdspOD1VZZng695L3PMq3oTCdIcs+vA8 u3QQlkqSqMjtw/C49Zlvz7V8xbq1WQca0jhlL88gyy5Knl2NHDEYv5PPm44E8mcWQ7zvIQZGOuoJ +yDqIARxli3Oj8gadJHYj3qmcDr3iQr+ZG+5XosHCdH4w58kUeOpAyhG9/28S4NfsUvZAFOCrsUi P51Prz7wNwODjr/LopPJixoQ4I8b6XnDnAvxCdwaVSN9I/BQEYRszR2cJIhKneVUr4cBCh1y/Kr1 G0dat42Y8GCb5oya6q/AOhg8CwLdhX/K5aw8akDbatesprIUt5YECOUVjogsAZpS9vnB+eREAQvJ vt2XegqWrCsALe7TVJEjMOP3Ov8s/DP7u+w1rCgwsJ/Q2enQeSc9RcWrRr2CPTnkiUon9ZTwIEew C0rN77pVIOHrKoi+Ep5uj2DDqkbHZIBpP5ECY5XGDa3mxomCZ7G4aslMba5V6kvHTdJX27/RBpnl 5kV8i0oFe1Qf908gxW+7NKdKs9G1fSy2XoiOVTO4nuT4rCdbW3sH6GNobilonSZusuBJVgrzcCaB q6J18Pa9rBqSkr+kvfKcRLLCcE76QPsqy0ysxGxEwUj32fZBCC+m0pGyaMsVRSOmNfeI87aQKGRz y1+O2x9uFhz+8zExl+YBWIosi7DHv5pQyhJRMhmkgbiIhkSbBCOXoJTf9z0djpfs6rpFf5zwZLgS NA/KXu/7s3pSkJ6X6SWpRdKFxU2Jp8JR8P5rg76XfAqjWVVYGikaQqakRCwr3GVXWlAohoZpBIUo 9TsAM3SLeC/ewA9yJHWkl7YPbK1twKTPA/L2IhBh04JA+9WfTx3ctjdFL3Yi5V90pj566eGd/PE4 QjXtKMWSjeMmIkU14mrFWdnPM15XSXUvq8RLnIoOikY0GYsDQK0EJg+cFd9l0ZuBWwotrQaWwdxh PNDqZXG19+WzDOQYBfL/Wm8kk2OArZYpro7lfhwXT04f2KnQXFkZnpIAnQIkl9O/5oLoT+7BQytI UO/uYH44PD0yF21wjXVz3bq8AxIR6zeoXjUhoLpSLpJQ8Nr0NKkuiN+aXSaV7KGtLOc82dS+guye e0RnUKg5F/2GfKvkbWdEIVNf9y6PKyW4IWwK5MbkUjIW5tQHBE2QbX6P17E8Yz8DfmCZDZ9xU+4h zRL2MBH7z3LdT7BXAiVP/g1AMlc3b2ShPiA+5dMx76RprYmFNKrTLmaz4TICQvmPpoj0hyI34CIL j0Mlsjx2IVI3NKIKv33kU/0MMla3estKh0GCN9IowQHHbcScsK5vcZo45vAyaeQ0SVCpeb2TVRqu cTLGkibMrWH8VQtYFP8rxz4z7okaz4l9L5ZsWPU9CD2BZT2E0Y1P84ZKywn2cJAX5RcAmk8HJEH0 3rO+MDjQtJpYgGdlzMt41xeyBfu2v1dQOxARH2jPV0I4hmanE/5ssllZ82GY5kPPljhhabfW0nSN gmlh64HAM/x28Ls+QQQSWzEvaZPuFuUwjqYJEHyCqYaorWSo5rgGr3ilc+QWLHyFq/iM1nYDCR2y uKi7Ku0j45tyAhVq1TMBSeVKiHu6FvsIBAQKRgqDsWxiET1WgzA5deIj/F63QS/dMFrVa7svs4Yi 7FRGknKTB8X6UgzIUyUFskeobi9avAmVeMvD1WuZIWOvtYkqUEdBliII+IPF0Vgcpt82yqSBvisQ J1IPgRyWXwXVjTAAVltCE163ne80C+H4Kd+OhzGbt4OKOgquOq/SuepjnbflTv4R+S1go9+jCctK ka51zbieQSYj+xlKXMh8dzx794ruYMp1pPE4E0lYYjZlTwCg3pj7m5OO4XHZKpkHfxg0FbL9i5fG trpShbkDPYG1arQFw/tCj3Kj3tcFpJqJoMto2Mgv/huFiYDrFOUVquPiCkyZx2iBcMGC4YfTcU0F 0dBo5RoUedxxyoSj3eAjv4Qs9hbVsyGhjrjU5ugrEAJA6xfjb/8lFDl84ahesVkxr/CfCZaVYSmp /xSYWENqp84YopEDzTPWmSuw5pG1mAxXXlcRS8a4j5oI3fXmVd15I3U1x+m0dfv+Fn6Bnl//BdRk dGkqx6GgApxy0JiUMMdVurqme874w8MxJ6crs7feR+Bb2ogdLshPpcDQHauYRzTRYVslJcYkUmf5 TtHob1trpseqQkZwSx/33JLdORt++DSzyvzakcF5bzr17mNuTJQwvLppDvZRUN+XyQlxaVsBi7z5 rQaVRyMcEEBaypJhheOoHhkrWGaJel6BUJ3UnLms3g5Ac6arEKQDxp3FGO2R/ncra57IQL6rG7dc AacnPHVAJLagS7+mF4VZeeXzO40T9qiDJBlSVRikaAHLkLtC+ubkzJuiq8gn3om1YI2ppuoqukgz +wdk/Gi3+qbAyVDEWuOHxv2D2/E+9/pcgoGePSFBTCl81+MTE82jiO7vgmg4kWvFnUO75e7Zl7Lq c7H1MkU+q174mV7vB/bPhMzWi/VY3ZIxZOWFzNV+O7a5aej/Q3sYbd7k9l0gAI8eUOMaAOWnCPww jNO3wLZS5T960D8K+lvzzMjPcvnFOyEKTTMvoD1tjfxadQ5OJL2tn9vOGX0Ak4ZICHrpBT1Vxu46 8YlwYHZKB8Ofk9Fl3aMILSXh/tnwXzQlxVcRIBHhZWjXt3e1aZfaNSUKvSUNT0YO2lpd6gDQc7JY uSZhSrOh3cYpIm83bQC8MN1hm57N6Ynb6i3bizL8xUKneSU6jp4C8XAfArkB/SzhCKsdP06tlRf1 gerhv7u31ZwfuGLz9zG+3RMGo+CqMO63mtf4kOH5NcaLbSNwtLFXZBvJopcOV6AwzA8VhzBKmn7F YX/zkmpk3Dl+v6rWtUllvpOEIZRdFfh+W5t+NsCFwy/MMVROVDFYWMpTLsauoMkb4UP79RVd22Hl OAANyWzPkXSrYlg0ioftsaG4Jt/uApsoEaMW9O4WJPxJPCkN6SxQirCHpyrU1U86y7NLbByBH7kH DpwgXn5QXeyklaMiwiP6J9bCsbXldknHssIOnew9WBjjixpWwUFUcOwW7O4w6UEPDzXmEGvSefO8 pUt9T2Fc1yb82Dbovls8hcj2FbU4Nu4pYDZ90mgBtu0J2OJ4YIPSvpyHjv26K/L6SX9dEHvQivZf eGay+Agt/n5aHPWlVVUTADu8Z9/2gh0dbBG48myE662t7Rh/l1jFp4CDmC6A0SHgytb7Md5FeQaB JHNwYBl7DBDABS1sqM8aMRm4RS3Jr9uDmCveAOJ3NSPQIBRck+EuJjd32no308FDr3l1VGl/AsNZ R1NAk6o0j1b8td368rb5wt9QehI0ikle4Yl/LZoKTbjTf7PSpj1EdoS5ZdNJ9cB3NlLORB10vpP4 epGGa39ubii+Vjr2UoAWxEwJFl5utCVFhE0+6VFyYunROtiJQ7ufMDwNoWMRY7fm/QT51R1Q70I1 82b6OxbOYUuOk+VD44B5he7oTZGCtN3XuHXgeeJerLyp2NOmSgu1jMDJVh/90yrjaIMIMb+Ty+fC yZzK4RjW2imw8ERRV7I5QoJdSfqY5ktCl4QvIX2DVVXLAilLhlK300Xr7FfCvw/ZrfBibMcvIcUj lnYU/dGRIdfKj+5LeDlXqjeqI8qg7BhiVh1psbzFnGHsflaXUlRpHVUxh76M+oB7NeSMSZmDzyr0 103SCxDfpNWPRkOA2Ze5vjYyS/8QzOFDLUU7AWotUjfieJtFW5p9DCCuUtKFb82LEFA4229f3R/Z 56QTgZwoxkOIVxO7vrcr9y483/yE7ZsL6X1zdlQ4YtaPcsaXEHG/njO2oUQdvroRnUOugYjKq+9m 1jTZ9iIO2TlcfCdQ3FP9BvnoVsFg8E6bGWqfN9hpRedicUUpBThbpMH6lJB388z1f9gUTLfOW52U WsnGy6n4yn+gAgGj0FI6ndqh8eNL8E/xkuaU8BsIYYfA61Sj+bkXdeZnAhIoOCvHx9cotfRZOEyK 181A+QzBAJR8m5tjnC2c1QjI/aeZRBGMQ5R4eAw+j8SWe/EaO4t9+dNBpnZafwzkAu2YVmzgR61i KZ4pS/yopIVrJmg+HBdrQB0xlfZ18QwRLlvwFI8TNUwxOHcV3bvL4nc7H+uf0H3TM670h3T2h6b0 uTj+/uLt/UgvWNqKwP/fGngac+immbGdkIj6C4ISRLDVttFz1yFCmUektGCiiqXyWJ2vNRHd2yKa Wa1UKognaf/2UuEESywDiZNr7DCV2Tv/0TcdirYcMgMZdoxCw1ibVTjMs3tbTFnMvUhvB/9GEvdn p66gfwsh8eGFKpn0w8w6bfRUsIxquAO2DlA+cgwRtxIlxdlUBa7xdcijRWW+YlbGlZLciNkLDbq/ xazOpm/lV6nDW/fqdguFZ63rDkpRWSDIzsAYa6zYHaDpGLZ/NeCrUOyvpXQt0354tAf0DIUSjK0C 2q2QV55P/EuUVSuNUl7Av9SCax4gS7cxr6PjHTGhzDsG9r3ujjs5jTZTwPMzF3kSjvSOudtwBwKk m2APxiPdUnFx/APz5n6qvA6uuzKHx0rUSJek8FsuLI9Yu43oAAsbBt8xY+awiqVUl+e7nnVeG42H 2QQMu5Hz7OPsypUo0fFH1UZefHln5Rleu9Zd+oFxZEZdhvkbkOt57Yy/fIQIF5gl3jVGgd5zjnzu KRrKB1rr8B9Syh9se2wK+HwhJ8JbW0Khl/zJq/W8FsF/nqLv3pHtvLvkLQ6CxC59mES9LCSiiNOf 9OmYvvyzaK36TK5Z93A0oow4iDUgg2G1MtLVDmtjrEjmySbJSlGbgYhPIzjEZaRq3iaRMZ08MlVk cgaaKMMqSmbTnr5j1TDjlH91xwMUy0qNdqgs32TQlM3Vw2KeAY85Fv5E4fvbDMNh3PHkCQ/3pocB 7rkH4LwjyWkJbyBu2O8VA3UY3saCuEI3j0KDIiyLdL/6whh6IcpPNi0/SVvDLmagVo61mkue8TEB 2Tmq/GbD+pP0a4MKsGtHwRTSwCZDDruAqJ5yRUaSz2EA9nRHN1AyHtmvuf0OmLJvCdbycIrBakcB BU5o58BNeZMxzWtMpGkM8+f5UPks38oP3PKWKdzxrZ4pnaURp8U47QT8E/POZDlXopdptsICXn4V eJGQ7xfImFs731lB5aWvNjjK++vsSg1JId3mbpOxSfQgO5oE0lZadp1vujmKczjtQuti4PxgvQeE 7hkaZQcGo754lZg9y1bZQzn68DMxvlDQfDyigBxpUzOFa70sO4HomnqtOdk8lHTAPJ9tF7ikXXyw BkXrPHTZDFNR4sux0+qAWWJHEzXM/1gxcExFK0/V4V1OzyAtr8/kezCGJbE/EyhFP+XqI40bwumH TFyKpB1oeyoAHsdpWLuZOYHOsAAUqSYGWgtIpb+CxxYAOoQ8HBEzhmbEAwRZG5/LEY1yQ0lIKWXM MHfEpRSEMR8jI+7zyIt+eKm43VW7rLQp0u9FpVijrZ4iaat/0J3MVkkJSwDy3UtIxdmT0u74MhNq aNHRktCtvdlcthM/aahfQiwwnU7nbj3jcVX1nqyXYc/z/CrOD9c+DrsZ65hfkHhW8Vp+GaH04ikC cvFo1GJ/AS4/eGMcLcmP8aNo98rzs0gogrnSbA6Re437/izOnSXLXedkZjxmh9+duKQ3AAkehOqM ohyyP3VfLd9IzgW+aryVQElhtem6RCUE+K1+uwznMuSqgTBPIiaUVBT9O93AVxx9NpFfZfNs/Yu1 XI1dDQL2r3CUus9ixiFE7DRIm09icZd7w/R+GY0vJQXN5SD8/eNXdk/2L5kv4SME9+tfa5LyNErJ heUXL6rEU7KR4iuH6ouEfB5iCERxdJ8Nm6APZENDqXqzt9kTU3VN3sjeV6yDvdooD+NSjJMzd/hA eh25dNUr67s603TNeRTLS8dAAjhgXRwkWGEzgAtgHNBxdAbRI0nFPC0UT0MZGy6R7yLyTk9nuBbO Pnjf47QvYhgbrUgHW2GY5wLB/qoBsGkrBIU0lsXRzE6VNUjVOUPBPs/mxnMpTPKftzI6pbJXHI2F Y+GfNkgs58UbVaFNonkPJNxcpeceRjsyFjTjSVu3Klw1akEjBjN8bxiQr5CZGatqaywZ0hJmRWWv rTiEp2P2+L280cNLg7ehFKMBisfG/x+YsukjQZrqQujKThUy38ILnjYR+xfemkAkfxViIEmZcFLF Rv+//X8WASyOKputf+eYgLndeupH3ofDg7Bu0afo2itPk6jJnOUfzVK/iM4EF7m/or33C94RIx0H Xkv+4ZU8DMGIIeALrhFedeqmYnHt3puiKjWx95fSKCFsj2Kh36r9+aBCynQTk/CHClMXR0l/egBE atlrdL5GRf535Yv5ZKJ+7Rs1s9uXAS6K5e6ej6M46xHaeKkhkTlWkMa9GpGKD6mb+XX/ttey2vzx 5lINXvt/vOX+YJneTpXrXlWvDdyOADRNmDzEMyGvLIf55dQMQsAmpmET1ooBeRb4Hn/0ZujpLAEC AYR93jhAs3LubqyNKfz3BLij3jJIyXHqIRjQ59oBRocvflVEQVzcAUVG2rBigqvSNcf7KvlT0AiJ kpwj0ju5byLLNsn88ElQhNQT5+AgewR6R3g8BHMV3BNK74U0nV+Kb2X3+mQH8/ku1R+NKjGAMwZF NMkRfWEGhrU5GJHFEZKY/S7MlWnZ9b+ah3rXEoloPoeKOWkNpQfDSbPrgvESoT2x7zgEmsixNaer 4Mo75FWpjQp4YcGNsokTiuda2Ekb8m8TxJO0lpiwofJXlmIk9MgKy602LyDytPITG326XKVWJ9du OwHjU0bOvzR3SSRBlc/Myohg6Nn5QomfRi+yYF+r4+GdDAwX0U15G6XSWAhW8uvgwaQcae1htzql NXwbM13rtLHD8B7t9D5CnB0ZqUbgPSpozcZHZeG6sCrWMgo25dbDVGiM+orf+9/vXB+CX2ywyiSn bi6OcbNkuKyTT0VBS089SoZBa+4EjKWPM6gPClTWNkIQTUPCkbNM5QJg5JrMVLmandZ27PtBCBRz EPgUkgxitrySli5yMLAJVusEvoxoUH81USxXtubVCqNNFE/PON5MomESjMKFPgE2Mosg8nZjLGzK ukbqQ7qDJgS6hMwMuzyXQkD6BISUylBjkV4hIxkts6xwysek/Yj/TYRiKSVflEVe8JQfuCU2A14L TJw9tnyNJ1p7Oz+d29xVW46pIMLF9B3RN8zv2J7+brl3ruBtR6qxGKPJwM6U+1+f+ld1zr195o1R CDKkjGORuIqkTBo6B8BAuI8qyvD3xKmQuLztR1FygiZxiNwL+2Qbeqq2lU6cVpgg3nO0STPzcnu+ GzpcdE/rDKNBTFFHVkRWZucdEKsd1cHsNfuY/ehR1YtXlnlVS8NM2lrEsHg1k7hyddUa30dgV/4M 37Pt15GDfY0IKm7jLAv9ZXErAdgzKp1qr0ji3AGpDgycYL8aP0PSRN8DH1O+sRNQgmPnXRiR3rRj EGJxnvDq03lVAMpzamIEydOmN1GsL7AVRmw68TDboYN+sSvdtaVfBaMV+2eW4CTdMd3vldIJKErJ V6bemis1nroN8027eA5l9KbZQn56LBgRIfG9Yvw3tyVxvvvwnDeGn2JG8uI+jS5xjewYEBi8yeHp aWui/oDzFE7yaRSuxt6Bz9aWBKWI1DIXyJNsTi4Y71to4ewhA2lTl+iHFZyszsiN82BXqqGGwqOx 5C8zLNO+YOYlx1SLHS7CgQam3F36dY/mKEpzSeSiZf9S+BHhMogEfuysC2R9qn1fj6fzpz4IsLSW HGxPANmOX2573rgL/aX1d4SopYcqB/YrYxMezCOA+71ebLnQB3gFCslUjjS/emZz5NLruW4QirFu UFNFTFcCC8je2xzkzjBOuMHSEhcxBosVBsFcPpy2s89BOGzXxj06zN5BgPoO5/yeOABnGD/oKga1 Ngxcm8EhUYf3Md5kg2MiZe9fF8z/eSP1+tlI+3g9rBP110MEHvy6shY55THB9QVoMMMpign2u6Zo 0BlOn9CpzRJn06yYFnBHDt7+QJY7Rx+ipw4gZkSn2SU8z/HrqfQL2RM0GMtp4qMcREonFP9viZI3 1AbC2muy4oE93i/3PMRvNAb5NOFXMhye/AejikRv1x2I6DCnpMuy8sxlg8blJV6vUrB41JSjzsmO AHyk3yL/aYyjxzvLoD4uzvrGXri1Vs8kQS7ik9Vgqh8zdi/fj0fjQ+wTjBZeQyCtKOmaiLp7RnPx dTxW5i/+LceywrEx5/PaERFbcz/13YwCxtf4pQR/Wjz+i12LuWZ5rGXWfTbSkQH6FYEflXyZ/vLM 8dGlumu2PyjMk1DLp095m+1+RHTwAyGdOFucnJxAOwz8c9DjAUB7hjBDP/FwxbWdunQNkLwOHB6S jv7jtb76VasOZZ2maWMASCtlSpNPRf0B0Pa6eLRCTXuEOM+9T1pljZAFaCzbkL3dq4ziJHBqukKI g5pnx/oHEBDTFESIw4L4J8M80LhgYdUGBajwWDettkWkHu4EUICYQpCEa1Bgnv7Y3C3+H4eRR/D3 Tol4oStTgx57rSboz3Wk8ke8TyXVgJrn0i5RdSt33jCbZ269RVNbd1iZOpW+rc4V7bRQKQLOpWFg Ht2tcWFWFdtkeWpRvNbhQ4HVk1VUuuGATE3Y35rmVHH1bAr1AY8X6d+nAotvmBKlDqCF0KV1UimU ms5chZ+cBSsU4YNpYXl3ivqar4KZzIjCoIfk3nOxzKjfSXy6m6iANT6RMYL8ezOS8q20Hx6nYU7L UZKmsTW9jI22RNWnahjE8aFn028lGaodmGleSVYzlgKF3FWIiCYmnhYs05P0uFNmwEgtkZ3E5Vwa RoC5cZpPZA5BKInONlLRn7xwpVaehq0D8EU5zWiJfjNqu1Frbn6jAyO7rH6TfhUrI6uHXVEnsyEp jbWCr9nyEUvpHwgLwB45SJnDP00HB/bnlrSfsDKMtmDf4bmfVqCol4gcjGWHo9Czd5oxb3NmjN0L EWDZh4qygmGiS8sHQasPI6pzjoYfQMcmI7s6WVFrgXxr/7Czi849SyNZEU1mGSvmM6cP5NnUT1by iHsHMk/BwVeF01hyaQcslBEq7VKfOMGUvKbuhyjb67mUyK+RPeHNITvrMIFlf1Sihvx9V/TVr93o YxQUhHgzFxohsnzl1GAen9yoVvGsKVRAkAnd6sy1iZOfowwaLYOX3GL5mHZqYPfGHcgFcvVU9l8a +f4uF3ZS+8YinIVQm4vVFMHUtq/jNGBaOYPpYT5Kam5xEOFTT2/CaDSakrFdB2ArH0bKvwwJ4JBK 3E+bpGECE2HUQqtCKpK0H+8VW0MdMCBljp4sCapDuyH/Yls/pHWxEnAIpvuvF+Ee0tw3k9G0ZVpH jNkWoKF9SJdhxy3wwVPVNhBMCa1GzaTS13Iis4McK8ctrDRrmX84qrBUC/Yux7LPMlzjFjpD/3Bl 8sUqVfIJFNByHMtyUjUjd6scNskQ+QSPvqwgqhTkWIItufFfEZBQslileKlSowMJCbXzGPg5trP0 cCz+Tln/kz+Pq1k15kDgmJul0yuzuaneLvkM/wN2lIF89mJXP0WLzi8/G9ek2Hmdv0wf3sW9ymmF X/hFaeUIQgCL+SyvkvZcHCvQh2/GpP2tyY+KNJN5DDfd3CjuhT9LLoQerysPyUXlObLYUWQBm+IY D1kqiXMnPKvoBP5LzhzzueBAP4RgM6nHwh1AVxUvfsDUY1MQqIDGD8qISH6rs5e7BoQCMctZLgfY gYdg/+clqJK/RFlJgeecOJEhNcs1GoM6CC9ihlMRBpdL95PkY0czrbxUebFd9bWmneRdYj3VFvjV nUKa3eU2UMa5kfwYqEIlhx4RjUGXfsHptUR5PYKuv0HmagLXBvlh01q7u9FjevtqHWZAUgozqUNM 2t55z5l8viHlN2Qlc9j8WPUB6wY4erSbuSiV7JPccFl/GNzhSL7nF7T2ua2qWPMVO2mlHWa2uYTh OGPzWDC45HHR7icSqfXeARTniXIgy6ZTyYG3FbmSlCaYndWRJv+XabL1urfk4+IZywd8xUz/eWvZ K6lfCgbSMJ1Z8StB5TyZd8W0lUtZWsXnDbylLtE0g9mwxawuARK2zSvBcoR+gZzDbEZgkPFtrw5A M4f0Zru05fhlFVBKg8AqqgBnz6H3i0c/Tbx/J/DU4h0ZMnMAmHYQ7fjgQ1bTXUS0JmnkpB6dkqR1 VdEAZ22zbFnMRZejlraP078B8W5s8q6lsq4Jdvu1CjOwA88etffal+qrJaaBncRnnvVhc3k47Y0f r3mM1g3OmV5IiBETeyfUaftPBoyRw0vwTshe+VEsjMM5Qon52wq3FNfmEuGznswY/xjBmrgP0Ycg CeS0c++k5GeuFV1MGK6mMU7k0sHX1qH3wj+7EFT4AlqMSrfKZj2rVHfGEnruCenF93+kMZuhgRmp 2/sQ/BPBbV8/wO7wrL+oHy9UcLYCFhf977/gT86r34U9q4D2NmpWu7mUwBlGr1A4oCOliSpzordB bDSkCRMa7VCBPuYc9a8Is7pNB/lIRDdqaL+mNa4rjr2ezCUv7fLWs2Wm/b+8yq/yNg73PP4UN/my dKFvUuSi7d6WdbvHnQHudO1+rPCOIVFmB1f2UI8jaIAkhM3a2G2xNWH+7bQtiYI0tJgaMnvFKBdS I3sbtEFwgMuWvsDmh/RhWKlA1Q+EXyGJHEYpsDcLNKpdihM6zLl9enfqysZlhcfBEsOVFnF2wHNO 77mFa/y+03Vz5pF3w9sXT4EqAFtB1qhvY1dxCdwOg/xNnQxW3WbAio+HXcJ5ddM1KinuOi71r6dP OTVLex5vyuBk+s9qmKL/WH/YzWXwbgGCgNa6IenRDFNpLQMoHfnkgL+H96m5UCtUY0Sq2wlJ1tJS ffRhnBAhkVfMSbWR7lVcaYZSFBEo406v6uuQV/UBjMNRpHfG0tM2sWnFGrEhu4dVlbtmHj6MMCvD 00xnlcpY222Rn1T1KJv/CJ3brFZtEdrNeJUOy6zowjIZG/1pDNkc/sFa0J2TteYhdEnPiKQa8A3f jG/wI+VgWMV2cMMg4RqWjS81m8Syitk6mclOcm77Ha0tvKXpz48lOow7EfHGrXSXpzI2HrGlsCjN 8MpBecdMSdab4bEDLfLCBXpDZNjR8ArOheee1U0ru5J8zHeaDnMaRNyyqhGJ1SdOf0B33JOkSZpm 5b2AmriMVenr3Ic+AMshux37uoq+I46JBKRUJjItZhNKmPYnzMM9FlJ8RJk9oUd2LmUps+8Hm2tJ j4s+TM8Q5pu6caBifBOJFJnpVQwvf/ETSZZD+i4lbw/4vIOmIa+b7Qqp9BHx/fGKaE8f+gRY361l Z4s/mT93YS/mrXImD97DRJHdN8MnJp6K5W6mK7rIedKbcWu083kttqZK9L6f9/gr+uwlJ/52BUIw SpD/gjfXyS6dSfbYkbF8igiwgiL8R/vNu99d2yfRSzrRbsVKsRlzTq3Q1mjePo4ejOPWW/RpmdYl E82qvPV8te7YbZStJwd5BUGZ68rP5J0vFLsqXFCs8ECzy+9qmdN889Kr/y5LgjFuu0OJN6jULr9I Nly/C8dek4EhBMH/i8rIVhGnRY78YLgZeZxh9RhoGxHjka2lNOVfQyVPHANqEJ1ZIUwvmpZGjBCC jOxIekZW4J5iDLCq+QqvYLp4FoxHbFJp/kg79aZNzlcXzzO8n1cTiwXqtgJO4kq4XS0kTSbfOZEa ZBwEkyUTaTE+pfN5eGOgCMqIh5A+J5STs0xnDsT9Krq2sUpZr1KeHsSu1ox2wJL3rTaKFC362QrU +xUx9tg8vDRHnCeyxk4sKVE3DwUNi4308+d7O7PqD7C1HGnBdaaM3kgApg5L8GAnJoZ+GtKD3pFZ ygzLAHa2igsFk50MhMklE9lF/BKsGcjte40Y2uBxGmSvBKcUY8tvA8yttv2Uz5mborairxw+ao1s +w0EHHTJ8VPs+kLYuIXcUt/tihqW91AccfwOnLB67FW7c4XGl1q7Th+FT87O/b4HgJwc4BFEsOkv H4W9ttsDlKP1G8KiSkRXiM+IZ8Rpb1bM+jkCP/XWJdEiWkGvDEBtiCVsgooepjt0o3RQK2yGj3tv xgQ+7ObtbHl6FY6DCponqXwFOPNwe1uK70s5nXe/7ebciF51RBOdXzo8YqLgGd3wbmDOcKejeVoc ZlVLEHaGZngvDqcEtz+2+vCG7mHzL0ggsFzn/o1Kup8YH3/uoYj1pcxVBXOu8uYUes/IwRxAKDYv pstVGofzkJGplb6CFcV5L1xRpJoL+AC/iGg/Vy91VQ8eE7k2vk8bTvU8QhuC6oAx5IPGqY0msgD+ 5mZV4uohv3EzpTdye30/2yshGC+d3rlR6nip7PR6J48KCz7ykTyKDxFm7Lfo78tCoIbbI2zI8zVB 2SwkrP96n7EdiSaWHplzC8j+Z0YpjBkP3rrqdSsNzJoQbB8QMz6WnCCxRzU7LGWvzuW1zT27Sk6O H5F/YjIcAI3LRYwp7+YmXrHI4yJYnDBYiUMq6Ey8hoI84bhnOdjczj0vGzYe4yxa7WEcZiNXI1PK TErM6jzrRorgAbrcPCYIU5CdlTLpsyd+qA31mn9cwRDIl6Eb+GNH0l+8X6+920zsReirRQVxYJnj QjRCG7Z0v6wzfTBj8og+x0uRYbuEormTPY7DyhUAFj/nn4VdM1/ivrYP6APZcQ8GDG84e8Cjm9Ki gXBi1mvzukVACk1xEIWAq/RqegZ07IXs1hN6FParYLLBZaHgTvmEIjT3QRAc0H4Y4QcdDG4OhJLw DmgHf/9RUFchr7tMM9TirlPL/6uC5fJZ8JiC5PBrD+e8GLazDuQHB2yLVooySMiyt+uuQ5DMODQy fTmq4OJ/HBVCZZP2K4RfomRdZE4Nkt3x4JIMAf2/2QcbH9v51Kn4OX4l0hTPLfcn7HV2lzlo1Jh5 Uw0XYMvtGPQ0pKcZDcxkTmvIeTBRt+GGJ+Vxp7H/pAT0vmHGtyPX9jGy/xBRdtbGXttwzjQDWRtk kxlrklemSgIeCjqcBdQv32PVPMXWkJ2hHkPbvYy0jnoyh0TLaibMjkfuyFE2yXx0btdQnL+JjlW5 Mjw49oBLVrm0SAds/hvsUATBsAYt1wl1ViHs8AsHRJm9Zz161bMcpaM01hmQwrtMxjQsL4d6dNnW xOeQUF68ATi1l3c+i4BqHIkk3e9isthwncRUn5HIaNGfskMdWnulErIfwU19i+WspvGjWCyK9gNV CwHT3IVzFVg2WasZnByOvVwxw937byQHYrpkqEQcS8E6rFG+haLS9EHUkDur7ffnAlTg/K+O6dpP V+V6yTqLK4GCI4K7gbItXNbo9kRHqr09aaX8YjOjVi5dbFuQhoeKn2orUunAWmftxVkLsJx/AX5i T2Ty0WTgu57fad8BQMYFJvmPrJO8ld73MNN3rFmykeK+Jb8lRgcHv8BnQ/ojD+rMTJOQwI90hIYI asCEs/zHtu0ZTgacg3A4O4MTlF+Cd0/TACsEQ03IGuiik9RqxA+YCRgcdYiq5I5U1JCbFtPl87bH wJfmyTt58vIvwhI9izN//ICRhgSl2jDZhmsxZqrHHv0kJbrvQHZnqP/7Q3cT9Ojf2uKvFnBfIDd5 1QX+6KO3hln1YhJW//Hg07FeZuxca0e36cAFDL9Do4Km2nMvYPtiB5tVEA/URCJwB9OpMsdVqHWl i0r+ehGsbEmtYsH0hGDG4Y4JS6Ecsqya5D3SaLUySh7XEqefQCXr4KbP8GUjSnyLOzeJVlivanmP V6TTL/jfW8gOB+imAMBFQRMU1oWKSIOSugeKMQBF9JWYfVMvQqrWib7rnDEGa8ii+7tk5/hV5CRm YbZxrRTs/zCz5JmDyhO1KJYYoQzvua/FE3TrfLi+x9IUljrkRGbox0SCNziKdBtQkI7Tw9Hr/SGN hHVzzEGNEX2TWG9BuUCg/STYKLL42KP9L5zX8mQeEF21v+fTRSAV+gNkJ2BW3NPisIQUh0abUD3t WJOjaZ0VcnyCgcCWan4I1/q74a2IpBqpTQns6kgAm3116m6Lf/IugaZKZGeYvC/SIs5sFFTsbXxA 5kLpPPKL0Zp7WC6FfoqRc+qWz1pj53/OwzMO7uBX/DNc0VZDjG0jDhaQpRchHd5yXGBDikYBZ42a W/T2KdUiZ9Vb5MswxnMMUOdUOCGFNQj92vXEdylt2bjispFwWXKSzZQ0z0xKsKMEX+NgawK+vSQ1 WsI+RWNLITpSu15OlZ3VuMh8i8SeStBekMKyJtAnDMTV27pe8WPilHnwa7z4W2lD12SgCUvyz6pN Ct3pMF/t1mScWvYmGOS4OoJJNrmdFC7cGX3NJqWVx2v+zrWK1Rp/oqKj0sOnMoDoAJGCJZCSZ6ea SkBE457S1egyqi0qLT7SPYdg8W1fZqqZr6lABj/ZVURtVN//qHmjxXdNyFTnzChOW0IPdDcAUA51 /fDwPlrbQM76vkyPqSJFZWPA5JCFxJegIwkkZA54AzmMxg4XSffC8SHqFjx4sktaMF9YmOM232jZ ak23hGtUSSQlL2prBMK+LLzJmzvuY1eCzKN/LasEbNnf7sgNoAA2B+D7IMUcYVJBS8vUL4NDO2uV d1fMVWRDHC3AAAco44ZpPqNYhy9ANLZ8JWNI0GoJz/x9rQymz+3XW9HOq7/zznKpz7JSUA9rDDSY bkIGNbWX8cwx3WAl0fN5TE8AtlJyforrOyLLi4AxvK5wjCrzOaiBiTxDrxSUzLeuY+M1wp3SNMbp Km2DsE7IqpCIIxJtnNo5p1AJGePla4LXwuyklVnsO8vxq0RyWx050zqNXPT27xhW9flkq1Hbe5us Q9+DwIOrjgMYUno/V5Vh/oRUne0iDMHH3O+jPxJHG3O4v8QO+lALZxtwNa+7gXpsPJBfcb+FXhxF V1JXxgq5E2xIOZZd5kiYDRGhJ9LWE+URimQswRR+0ShZ9YQdkXioSCzGrbhMU1WkOxzzoFm2yvtS ZPAtJMMfINnNbJ4yQ9vWnkpSmvZA9T3E16J8J++1EFAJevrPAu+89UVYl7joLic6EVwx6AfKK5wi wZAPkxHTCoNc0qkuccuEIS5ibbGmLJmmzCKXqIA/99IswhvHATG8oEXLSpUssuUlII30szuq9D4n 2oIdhoj1s3LC31sy+R6C2fBCkJ2BRb3+2e9uh+O5YFdUxGWLEpWz6UsZwa9xSC5GQceDuZ9zQB2G CrMz+ZnbI3DsRfvhMLzd0ukjnjSK/1gM3PA2hgh0txIyXZM31JzRJj6aPcgJ5eS/NZm1+pH5/o6Q 5tK0dWeM+r5m6NLmYzRwmgj0Kq/nW0ZoNcJzTjHnlad0ZEpG+YJzgEvxADWZVPVux/qLrfpDDGbr +yhKRBGjqhlsrwpqOOSKyQgwNNpxphlMVk5D2PK7xVqOb2YVcR13Az15Vt0gG+BT50thf5SlPRJY s/FOSR5fvbFmFqF4+F2hbE9z4BmNfXtYdHL0rcPi1ZeW15dIdG2J0wvKBUuACXmNhBp38PPvOG7R fIpEDLJtsxaougW9S8HP1YIIUgDBGcqwEvDo2i/3bHPESr/OoJ0KORbuQy+mhKw3mYcbV+SsF5op JQ0kdjs0zaQ9AInVycTdV89KC6R9WDnoM+kcaQYucAEFWVGjN1R4z6v101F0UW9gJZRQAPQHbWxf DoQrgKNdcJiG3IATlbLuW9h5bTs04FBApA48EPf9GwmsRt/NMSp/zv0r3k5hZvpa4use0hFqxKjH oOcCkg+amwLip38aDd0om65YkO4HQ7LJRsYezSjSf5/9iIM9t7tmFYpDjhXJZ6MpLaINym1Tc+do Q9mDXC0I+9J7llPscqgpRi+GNS1fOTbG0RmaCrpgxnJyhR0l2EgoJ0JKsDKpBbi3aCIzGqsJ5jso /yMZi5R602RJ92gCUWgh8x7Y3up1et4Mo8Z+dVH+lPHUWL8JHwsSZq1sC3u6vDgMnJ6htRU0oRTe eOIxX01aSc3KcoAcHq3WkX1RTysr5UauO+w/nVk3dX0QC/cnR/NXu8iEhoyzNSmOU2s6mOcE+Hic 8UoiEkogmQZWhvM/MQ6Yy7/bhcpJMIyborLdXNQDBLe3EGoavYbtuZndYybyZGI2aCxR6CQynr3p QzkQZzIGq5a+n2r7yBkUC+17LAnTIb+eElCSNdzsuzpvOWJDs7xe5TGH2M4pMjZdw7CBxQac9ylo afW/tYZKL0RM1rvOzPj/9h38fdLkF1KXw9JTuG47UFCnGTHSQ9z+PCVlPHbcNeD4HLorFrZn+3Pz Bc/cbvFiWqiqnbev9YUliGou3v4pjaQ2CsYrK+Oskvo29/DV1x8Fq/5HpbgxLBw4Hrby7W2rloTz ZKS/Sa8bKUWxflVS2C0oOJzoBgQVFOQjhMZJhSQfj817MM9eVo8XzxeAFtfOMMmymDSSECPZANuP raLwmZSrHejFKTMkU76QsL/VdhUctCj+dph3mdussqRPUWJcySLeFAvfy5v7FPTric0GO4EaVWuj XMdEQ6zjQxBw7ccvb3Gr4r73gRxigopcboq/QINCuz/J2Ki5lVw2Jk44IU02EZgm8mP8Eni9twJ6 H470tCl87LVo9/wBfF0NUIU9hXrjPgwWIB7PCpvcUHKqWVTyFI+ys6761J4f4sjbtcUlRuXu2aF4 R9FSYA6Mu60J31772EmeWbtVIPme9W4xqRo8Q7ujewbgbTTONOL3ywKZKur50VtzTNGMuIPig8GD tCQVBYg129FFBEmWenmwlM5SEPtzYkcLCKKz1wBs7NEZ/CKvEQpW3JkJqwT8Fas8W8IqlK4FaiYb mgjmzAbh7ei05Meg5F+IDL5VCIcezOLU8u5oMwtI4xDvn7OEE8ElsWa5TsjBeyps7kaPr/WctzPT JvJZqvchUwpD28VS3cz/PPFTeuzUVnCXKYW+pU1BKbOdMGlP23Rb7yPvxNGFfRVtVl/lV3XHb7aB JlLKRYFx8u/3lsHIb+gMRsOLzmCErWTWN/ssMdQbQu71c5Eszn+qPZpPit0LOI0kyNpQpPBYAMla dSdcxPqBAMhaMWDRmRaEJ7TKSAtdt3a4K+twSpLNWU5Kc1Ibhx6V2q42bHMDyZzXjRJOH66vw5sK 0TSEtQHdsUTwT+SS/culi7BBVp1JQtLupOhI1JDAyMlB6PQ/dVTbW+Sy3O8DvcmbFupe+/VTM8Vn Qrjo1UsUjzXKSXgI+kInBfAmB8nzeVJE3Ttcxr1ZnllopFeWj9Rs2L+88zQbSnk12L2H2Nzy9MxF RXAN/uT9gAu2p16q3XuI4vWESUdHVWRIx7NRUM276wPpGaTLMMXiaqx0sS+42ekyxvGZaltS4c9F 6gayot2R/diDkjPPNeCBQU4Ay3NGFjryN4zQsbx9fx7whwHeRsYoE+hCU1C/dZ9dhf1nhLsLQoRQ 5DKMuSSARny3lqW7DELj9PTPMoBAzHLeE8ckVY2t+BJu3m6EFcqD4aE3z3us4fb6q+3WXKjumrXY N7wz0o2OCj4bWz5SrJfQoOmPSsE0ea9PkvY8adSE9ECtB7RjPaAko8a1bgvCftcXdyAIbU40an+b nrIBZf/RTrAHsI2Aj8prPKPJ6py3wlQF00JydQpP/AufHGRwveDuZLCGWi+fiZ7a2Ah5zGWBG4WQ uPm81820ctG2JrdPx29AIFuRwhnd+LORvexamdzAo8dKH4BJbRaBMbhidqdyKo65mt5hjg5Z6HfD p041btGKMIkiIszMb6hueqM0Yecs4VAHuB+Os2Fwm+7JnGVCw5IBsC/4wTnArKstKEWRc3+czxFg sVGA5MjCE0Ji2FJc7OHUmgf8EAi5KdXC/6nS3scWRPS0FTgw62NeRR5TvQOmBAbIXOJRjOz7UPwB C+cpbbkT43l2jf+qvwVmJLLQDWwmxYrPafvqMCo9oIzs8o1r52UROOxX70WazNUM7yQpwqy8ke14 gO/fF3ukUEomwsw8FbbId7mI1qAvQxBqmoroIpKjsuthHaj/6UZfzxR2noEZ/qfaAv+FGK/rPtIM V2iR/JH5L6N2GlnxUxRKcxCVN3dM3CoiW7rmUbzG0DIp15e24kvhkwZBTr6zhVmt5remv45qvjY+ 8h6eOr/FqVPeOJP9j6s7PZ4v8brjO3BR1AMznROKpCuAySNZ4EKygWLyn2jIG+Iq3qgqPzmMv0pF 7RxeQIIRHDiDYdwQgigPfY+3iWkDcwkR5H/g3h/eczWJwFHDMmFnhIUpTC4lBaTQR5X0F9GlwGdB lZyJfDCMQ9TOVv6L6D/mz8DfijGYAwN6zJrgtRO4PY70SZb56ZFpkaSTmajzdAEfF66i6Xvx9jm6 aKrAFZ3JBlhd0tcBYB+oaZO9Qm1TS8UHA/u0uP9fiYT22qbPh3ucWn3l8TrpSXg7uGRlmskXdh4y XB+YqDrS7ugyb0wu1vPGd26no05ZLybndTLk9HsngpD8g8aU8g6yKj+G3kcExsX+Rs+2ngC9rvrX YZWdekJJRcwAMWqhWtGt+zfPjKRMWfPtNtzBwquz2Nw2xG973O3wphLgpP1NfZAKaVoxMvL31zB7 iKHv/NPWMvUN1IP7SaIXiotAw/wYYurdalc17V6tiXRpt93NlCzjjpwYGNH5hQTtSLlBKWKybRmS jBrZvvEABqr2HupMlVaMc1dAKT78asDjFvDF2cBi5BAxr7j4cJ7PPTE3V5+rQ3CDeyC5hxMsgqfr rwimrl1MVg1Zi1Ul1CYy/k72FPmOei6jwsGegzcxDPjeKSocwnQMyQ8Ng4RUXHSWlXzP18p7LkCv EouoHBLsV+a5xaq3DDEVLSDR/PHAvJ/gqQex9TPtbztOMlPzFHNsa/NwN0Jh0d1/TPHiXkoS1d5I gzBlRDJllea7RcKLoc6fJpmXq1v35q5wkQATp3BG98rAxAXNUjPi/a9F3AUOlqsLvIIZsK3vx/qD nXqqjWt4Wa5qXNYEtqc4TyqULoMKai2INxDLOofVzCOIZeF9n6R0pDsBLosLn7ibttbiRHdY/Oln fVQf5cKUxveM4d50EhQ/h6k2caG12a187jEfdcfAtP/QDJFVqORylm/agBAHzTAiOSL2Lsu9iyfM B60jYuK0Iq9Js9cgU+ou+NFlqZfPOEVCXiPpk2fkXW/azVBajacpIGEvLDm/6pspEyKnQmAOv64V XvhVxruY/z1eh5aowd/UnQJqm5Uw9qnQmRFHOHgmWmDZfkE7E4YAqdsrtTiC3MRcJJvbxSDd+9eD YDDfs+PY3LB3Ud3LVuWUpduLdIwUkwD9ENjp7luAw57mwCAfR4sU86Y7HSZI1eaLo6bPXA5g8e1B NJT/W86Hu1czOgnJcrO6J919f9Ub/ex46I8YpTW+/Rntpy5Id2V2trDFk4UtaaRHZB2evdxTl5z7 JwyS6nD7tOkDDWJO9jjhbxzw5y8BpnyrLm6siM9Qtt0EhYT8PbQ2sEdRTzVeUbSzdqrVUeLcQvsT oK4PJJLRppyEx6WgMgp94hFmGN/AmZYUsEg+41T8AlJHZgTrFnZ6bGHA8SJkuSSPwlIqweEknohQ 4RMPDNbXiJNm5T/6fEQD7TsuBAdsTVe0+ixVJDH/mxQ04kr30ry3pn9rofgokb3745FcR45NKwnY yeMuyBfE2iB5lh36xLr3Bx8W7zPvbjF36/jGB5pULM7xPOhr/1w1hl9+Iobxtvrr2aWeBitWVkZ0 ApLRhPGubSUBWP5IdWUCPbzti2cwkwUJK91GSIyYjtuIKNvZ0eeqmJV+wd1Hacgys8s2Og8j+lwJ MwtM1DtOTi1/yfV+wDDsI7L375m6vgw77B5wD+e5r3/BLcvXd1fKUvI+ZzT/YmqagpoSoKzlxo+8 8UawnNlENAGFLLvcTBaSCMS8v5ybL7sLyXjvKHVk4kin0EJTnFyXn1lW6CTTEVIUb1qDxOGLZbmO swB/T07GLjsvQqu/CLvL/plEVlmRgaQ9eqjb7/4qF5I2nqkvblTdj2+XnlH3KvE3LrokKkVMASQx bqxQmwfU4QQ0eGiPy1YExIYO4ZF5B0NHk2pa0Wt5ylYRvhaJiO/VEanAKNgMAZnzRWesp0+ct8KE eJ9WEXpUY9HmxtT+itPaZPr4IEycAbLMzvS8QK7UHrXFMhyj8c6NSd92OzW3NX6OT4VjXWm6CAlQ jFwpHKkVLKKeLhBKbPnwnruOOEXClooelXeN0azMikga++m7mFIFct6e+Pt7UrEw7E29P7GqnFYZ FdndIKjDfUZEljJ8ApG+9tj0v9kgShbMHwhhAAS+Tf9u3UVXB6AMAM6OzaWt14Eh9TbAf6wskDho +iBK6dqgGMQD8PFMsKy/xmGeEBL7ru4zCwP8E04RirXThbl6OhALufUxY4Q8vQDTMI4hDNRRZb1P F0jMe303jCM1cydtI4OvPvp3xnJUYeUhG0CSYdVwuJAVFSLIRSFHVOlVeo/9l8wG8J3vq/gOtA88 ayycTZ/DClH4RlBl4NUqMV9wnSLoJTw9y2+U5jSK4J21rEgx1G0HKkI7RFcf1uvhQc4SjLxwyCuY gRaTzS5qH3d2lSPSZYS5kLEIR5z+khMRwfjh2ms6E28bkaHwIpmjUlWxg+OO1Y5HJ7RVy5DGebZ1 xLmAeC9IEhnPImJo0PT0MH283U6F1M3KBlAr2H4Huh4lLfgYoNTW2YbKIWvDqBbeLA7lRNnF132Y 05F9Yow8XzORLLJ02JPyomrm1SPw9CkNNSNPjlTeveIb4JFvkxPei2ac9VvSomIBuQVXNZ9yKArT +W+wGwqHpLksA2BGjavh8AOHifHNT2xmgZiDlSPbQryRYDcCCZ2f5utyX9KYwncu1CBmfLDhoFQo Ouf9iDEsXqEilJQYrIYcfN+XJlcyZTUnOAGa8sXZ0UPttv8wHeNwQLXwyG1Q/kDU8IWidchKwEix W0ng2uctzaWa22Ei2EjyS8/uZgxuEsixExxzfACHmUZ/AARblGH3ae1PftTtlXCa1HhwbxZuwccp bSooXrz+12imHQDDRUNH3d9FWKO65jra3e13vdoVUoDUiIqap2C3u7lwAznNeipqo7JdF5zzJCkB YhJUD8wHg71cKaoqDlHcPrG77oc5PB1xoejCyFbi7aFSgVPFk3g+qaU7d1YiPO1QSPPsSUVUb8x+ ffTobDjSGxRUsgJF1bNzIyjyjqQlEo3LjU6JMMTlNY8vFflvMnSIEVXmMfNekLZ0YCuPR+AfM2Ah 5QDbCYOkEMCEyTe5McZb/UZmKjXY+JHBXr+T/X3dDkXtE/VZFQIaRIbgUHAA3RyI75VOsvFs5Sgv 1+Eq817gVP1A7vK7L698r2aWaFBowNsAY9eB/SLTRlYtQu2gRG3b9Gouv47HxVornpOPyW6RZ0Tq HqxCawfMQqYaROApRxFOeUokmDoNnjWJk6NFMe308n9Fg6EP+HWmdPgVhsyWrr9vq5YFO0VUvPG7 DDCqPkqviG7HwRyYfeIJ70xS3cwWc5K+vycgZ5tfi3qY2mfoyhCi5XM4kmLftHx4ycyGh+GAIK14 xuOF7G498000aU7R0IE6p1yksvGkjhEfb2ZhDz/WWVwVmImtpzwqnAuUCtVO87/+erb0f5AKqJPz qBKBven/agQ79jS5sNxfhp+PJdy0dKD5mUFe1m0bvMIsamY0cxzo1dYeOxe2CIaoeqCVDPjUmCAN xxDs7UbJ0oFapAuD0hGs6rDNobaCdTnqp/PCJLbpLhSyrhPcPV3RVOlM75pfmjt0Dz8geFpAHCEd aD6QWa1sgIxs5uDdwMgxEnh8jRnj7JH2VMK50cpDHnSaC7D195Cr6w0tAhD4CCr+JY7vSRA2EVEw epsQ9WOcFrzIF2oJRlAye8QUOEaNFyRdgcmELshj25UqihLev5rkscvJUa4ahuSepgHJUnQOTXzF Wl/XioT5j/7oeygdyZb1hWa4IIPcHRE81yOoP8aaC26BL4MsNBrZI914paP6gnE00ZzrdUoAkC7E Ng8gPA8FMd73WVM1vtzZBVc9LtLOonCqOw7VlwhAWqK0AOpVGSxLoTbRjLLV3R1CCrZTD6Xkmd3a knb1Dj4mGepkGRm2pGRaWWoKE/5IEcEsgeOPhgb2N0nx2DSmqoXexuiD5XQMdbptY9QtkoZ1FcAl B5gu5I9Dl0LKCBJ5ztsHwaV+9FMfigsp+7xLVM16JCXH3O+V24nZdBj3ZGNo8IEq5lxcbVwGyaCZ /y5msAx1WDq5vTjfjXPUQW69MsBsbbihR8SaE5Hya/crcXqmrA3Gxxd5lKoDuO6poIxQP+ObjeTQ SLeJVgQ2WW9MbLsk+ks/YVnQZqLGLjxg18cltzrL5swYRBZ/keYIgS9NlGnYsH4uC16HTskDl9i8 JMUuxarCCBgkSd2d+Vaz6nRXGVNXZxaYiW/kTTO7amU7qsBvektLCBtiKISMPqTvXf84YkXjTXII DX3pzMwZPD5fKT0OnOajnyI7W1cZxwoBm6xWl9uOXoPiXc+enG1GsF8coApvhV31pEHGUsnib7ED xUnwrL1eNbgtBJNW2dfEuuyCnEgbARZkIDWeCIjTlkb00H1BQKRKju80//TukZqoc8kwVlyrq6vR ekI7/H1nNfZwRVMSDIZcjrPIwd2BXs8HJzePZXB5ne1uaGbODRdjYI+I96YM0wu4XwCg3KTrpwuO PEkXLd+nyx8x6hG5KqDR7D7DnMD163qk20gnLPb8kQH/aXwHfZk3iJaSS9zXO5Zxb5hS/MQE8qYm YGybvJuwxTWrWq+t4zf/yDXXKI1VLRl4+1xlmD1je3fekVaaXMuiu2NTdJAPbgwqdYGQ4uRlVNTU aJA4w+2WoQpcGZ9zFOyvnvmSS5vhteH0Deb1WXFrPKf8TncJCE3oKzMMWtDwL5KwXhwdKLBbxSqC CAqJ+9bPjqIE6QDWmZFifOinAwSU0SA/gvAfffQWUvTiPGIJ1XqxZMWiVrC+I+zz3YvGGDEwbd5f 1+owHVtoQiWMG3qnfIbYE7zug8pYS2GHR0Cbi0OH//5AjiqBbl1jKaSSmOUl58kXu7L0lNyOLI81 Zcbq1U1NDNoLjp4pK+L0Xw4j/AG42/by8VKdjRImTjqANpgSOdXBS09KlkYCEk8LXeYmGIxAhGo7 ZW48f24THpT5kr8QW1Uhb1CAf2t9danAKUP0IoI4xFkbXZ+ePvWuCrY0vOD5LCxdIHkQOGvMrqE8 nBRxR78UNU7Qk1xIAz7b4kxvmQsNe2EcZqpGEbBs0DdoOL+H9OEZhrxHEAT8L0hbfOYN7xRDSE57 e0TQ4CXZbE1qe70LF5NOU+ozlkgvtfQWX+UQPNizjQrNHxr+HtKt98j28+5Rb7S4YN9MPvasYo55 VepgxTOYN/mXIMY13OU/cMAnLLebCGA1RsvjleXoTSOOsCpXGM1RXlby2LE02ahdVJAkmyUZVfMW MldKP2nFzocAJtVv8ScWxLc3Q0i1bf8vqcSYKZNaGavdnf/iMtWgQuoUFB2vs9hkTD8oHw8r+VV9 ZUIqDSEYyIbtqTR/Vd6wcy+0ekkSbSwq4blwITldMIZ2IYBWYpIIITYwvNKvCJvEDDvLsSTC9PBN CESI+wze/JYhgRH71kXrDXIxOpc2QsD5nkKuv2JjdVfz3IBTZXjmopJ/dux/KXOCFXcistW51YzZ 0WXMORM1Kve2HProdKrnEF2eKX8a0JDlQzCyt76oy3rq6sDOEWLZje0tWmeqcM/vCOy6U7TeeKu7 mUJUpKvfLZCGOxAItRGmn9lCjxtGEsnkPPzsHHe/RBHJzMJgWGv6NunOUluG7R14LZ/VqoB62YUp 5iuGnt70nAsNpwtQq2+fHaRQkw== `protect end_protected
gpl-2.0
5d921bd7b98e82ba34780360b4c7ac6f
0.946859
1.835708
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Combined[old]/REG_CTL.vhd
1
2,790
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 16:05:44 03/25/2016 -- Design Name: -- Module Name: REG_CTL - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity REG_CTL is Port ( CLK : in STD_LOGIC; OPC : in STD_LOGIC_VECTOR (3 downto 0); OPC4 : in STD_LOGIC_VECTOR (3 downto 0); RD_EN : out STD_LOGIC; WR_EN : out STD_LOGIC); end REG_CTL; architecture Dataflow of REG_CTL is begin with OPC select RD_EN <= '1' when "0000" | "0001" | "0010" | "0011" | "0100" | "0101" | "0110" | "0111" | "1000" | "1001" | "1010", '1' when OTHERS; -- always enable reading with OPC4 select WR_EN <= '1' when "0000" | "0001" | "0010" | "0011" | "0100" | "0101" | "0110" | "0111" | "1000" | "1001" | "1011", '0' when OTHERS; end Dataflow; --architecture Behavioral of REG_CTL is -- --begin -- process(CLK) -- begin -- if (rising_edge(CLK)) then -- case OPC is -- when "0000" => RD_EN <= '1'; -- when "0001" => RD_EN <= '1'; -- when "0010" => RD_EN <= '1'; -- when "0011" => RD_EN <= '1'; -- when "0100" => RD_EN <= '1'; -- when "0101" => RD_EN <= '1'; -- when "0110" => RD_EN <= '1'; -- when "0111" => RD_EN <= '1'; -- when "1000" => RD_EN <= '1'; -- when "1001" => RD_EN <= '1'; -- when others => RD_EN <= '0'; -- end case; -- end if; -- ---- if (OPC = "1001") then ---- RD_EN <= '0'; ---- else ---- RD_EN <= '1'; ---- end if; -- if (falling_edge(CLK)) then -- case OPC4 is -- when "0000" => WR_EN <= '1'; -- when "0001" => WR_EN <= '1'; -- when "0010" => WR_EN <= '1'; -- when "0011" => WR_EN <= '1'; -- when "0100" => WR_EN <= '1'; -- when "0101" => WR_EN <= '1'; -- when "0110" => WR_EN <= '1'; -- when "0111" => WR_EN <= '1'; -- when "1000" => WR_EN <= '1'; -- when "1010" => WR_EN <= '1'; -- when others => WR_EN <= '0'; -- end case; -- ---- if (OPC4 = "1010") then ---- WR_EN <= '0'; ---- else ---- WR_EN <= '1'; ---- end if; -- end if; -- end process; -- --end Behavioral; --
gpl-3.0
dcc95954d8e66e267b8bab71942e0e8d
0.466667
2.69305
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Combined/alu_toplevel.vhd
1
3,707
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 19:18:02 03/28/2016 -- Design Name: -- Module Name: ALU_Toplevel - Dataflow -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use work.all; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity ALU_Toplevel is Port ( RA : in STD_LOGIC_VECTOR (15 downto 0); RB : in STD_LOGIC_VECTOR (15 downto 0); OP : in STD_LOGIC_VECTOR (3 downto 0); CLK : IN STD_LOGIC; ALU_OUT : out STD_LOGIC_VECTOR (15 downto 0); SREG : out STD_LOGIC_VECTOR (3 downto 0); LDST_DAT : out STD_LOGIC_VECTOR (15 downto 0); LDST_ADR : out STD_LOGIC_VECTOR (15 downto 0)); end ALU_Toplevel; architecture Structural of ALU_Toplevel is signal ARITH : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); signal SREG_AR : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); signal LOGIC : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); signal SREG_LG : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); signal SHIFT : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); signal SREG_SH : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); signal LD_MEM : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); signal WORD_OUT : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); signal LDST_ADR_8 : STD_LOGIC_VECTOR (7 downto 0) := (OTHERS => '0'); begin LDST_ADR <= X"00" & LDST_ADR_8; arith_unit: entity work.arith_unit port map( RA => RA, RB => RB, OP => OP(2 downto 0), AR_OUT => ARITH, SREG_OUT => SREG_AR); logical_unit: entity work.logical_unit port map( RA => RA, RB => RB, OP => OP(2 downto 0), LOG_OUT => LOGIC, SREG_OUT => SREG_LG); shift_unit: entity work.shift_unit port map( RA => RA, SHIFT => RB(7 downto 0), OP => OP(3), SHIFT_OUT => SHIFT, SREG_OUT => SREG_SH); word_unit: entity work.word_unit port map( DATAIN => RA, IMMAddr => RB(7 downto 0), CLK => CLK, OP => OP, RESULT => WORD_OUT, DST_ADR => LDST_ADR_8, STORE_DATA => LDST_DAT); -- ALU_OUT <= RA; with OP select ALU_OUT <= ARITH when "0000", -- ADD (ARITHMETIC) ARITH when "0001", -- SUB (ARITHMETIC) LOGIC when "0010", -- AND (LOGICAL) LOGIC when "0011", -- OR (LOGICAL) LOGIC when "0100", -- MOV (LOGICAL) ARITH when "0101", -- ADDI (ARITHMETIC) LOGIC when "0110",--, -- ANDI (LOGICAL) SHIFT when "0111", -- SL (SHIFT) SHIFT when "1000",--, -- SR (SHIFT) WORD_OUT when "1001", -- LW (WORD) RA when "1010", -- SW (WORD) X"0000" when OTHERS; with OP select SREG <= SREG_AR when "0000", -- ADD (ARITHMETIC) SREG_AR when "0001", -- SUB (ARITHMETIC) SREG_LG when "0010", -- AND (LOGICAL) SREG_LG when "0011", -- OR (LOGICAL) SREG_LG when "0100", -- MOV (LOGICAL) SREG_AR when "0101", -- ADDI (ARITHMETIC) SREG_LG when "0110",--, -- ANDI (LOGICAL) SREG_SH when "0111", -- SL (SHIFT) SREG_SH when "1000",--, -- SR (SHIFT) X"0" when OTHERS; end Structural;
gpl-3.0
2096b8eddf7165c0611e3d893d35c64c
0.567575
2.935075
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fir/fir_compiler_v7_1/hdl/fir_compiler_v7_1_comp.vhd
2
13,435
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block rNplG3ymgNBRobRbD1OcVNs+qzEqA8WlIaKtuShqM+8J/47K+r/ku8o69UUbdr65vy3RXh63xVP3 9mKebrNv8g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block RMug5kIxnW6Of75azTTia2rp+vi1XjfJqVwvN9xHuCP49jlkIzXmGhcLgavCvzzDwxGdmjdH1VxA C/7jm81XQJghapDGAhjcZkGZDGuimJlMD6KTuXxcjxRwEFFwRm1dcWkq3pPUxG72m9h89QaqrrAn NjraRo5BANmWGQYw5cw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block zylZbaMfrrMtJnwuMpJgXboXr43v7GMWH4cY7taPssPKSoDbhINMyLLMXptHL0wEcyoyxyfmMdUu +uR6e3fF36W/DdUlWCbbKDJTQg5llLbYjKBOWJCHHiFxGtLzbskb44ISW33K20M7AXyW9j5HHy6j m+gA46nC/9xJzlr3DmsE9sdemBQSRi/dNfbbFMSTffe2kXBwT+x58kIkQxb756JkhdX/Of/eXPOE 2tluh7p7GdkMeduKOx+t9EYkY1JWM9+qkEX8io5KgpRDFm9alvDHfVcKYRdxAQFdSZZ+J72Hih0/ ixu1r+ZC4SuiPjWhKR++qkXlxVgtB4jfzGcx6Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block XLJ/zloDEd4QNXOGMtJstCVFLhoU3uCO+ICp+49uVOB1DA3URUsB3FsHfyQWGqqyxyxW6SbNKT9J WLA+g5Ig4jq4VKehpgsRbbY7A/8w/KcNKlnQjiwnBohEGubFtt2PJcxpFZ2PRdn0I7N8o4cFIG3j r+EI+Qi7i1ZFk6aJzQQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XzuJT+QkGUkGZIo4yxnwU7hy4xVDEWJLBizManSIfoJuil6PqxN7a8JHfdF4pwykllCtpj4ut6eU fnX43SS717mawXtCoZEY6iAX5mMY8JUKX+tt9mrV+iSrZ3QqaWY6nv3OuTjGz2yoorjOi3+6DQgs DSylFXNAI9y2OIcga4WmHCj99BtQswaDU36cBKbp2KxLcpDmneTt3+NS0Ls4ij9uu2skWQqVZDh5 CH2lH8YUNr2OW+GJQ4zXFaOIuBW0D3hUpeeyfdnbFikApK9Qx8AglyR4jM9la2THZCXsoZM6K1b6 RDC6BG3HvKRRtzzAZeipPBDCI3+mivAv1NfogQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8208) `protect data_block otQg4q+tMaen80P8PKtPbiuZHZQC+AR9/O9M9ymN54uHKvJV69voJM2+TY8zcP6F5C5Bd9+DQfS5 dA21Mmt7lK6XzY49hmBGy6ZmCn7mB2ocp9TOuOZwAIke/96n3xcMRcw75h4yTfM/aRgRoS9aRkIs PJnA+Uchc36KW5DoqP5VB1m0jxqveiSB+ABOj/RbpBOfcYRdK27zc7Pl8R415yH5nzFy0tM2Toow nWHlmCFDEO2QmvF1O0/SXQEtOp37JDjrj0cwI1blqpRu+ykKSKuC4I+kxX/v4H/Pc2q9xxJSSfIa DLYcLFc3ABQAJ7MHlS2NnP75Y3Wc22Q5HL/ALsAGg9UdzPn+wAZCWxDNZsSaaVSmkhbK+0oi+djC KixoAzb2GixHMY0S7fAYsM6Fl/RNwAzHDxWNER1R7wi9G1sxm4zmB4tFi/zt5r3ksZAecCGdvuqd 3g+ViMbjAkqP8nQBTh+5d1nf5Cpzi3XtZHyfv/YzbMtljJrsCFvGHNgD7u8RAh2qVCUOdkQs+7Jl x4mEtaTXefPrLmt7fBN+hc7XaILjGXr0l+LuBtT1AUWnAD2qMPLhe1y7MWaFaANqLFhjzfFIQ9wh nHt3P9xtTi+h2c1GLWc+7BGBqWJEbezT5NR+voTsrnT/ZPu0EcmHmgmV+I0CEhCqTYDstyvAUkoa r49ypO0+1l9ugC/J1vz2f54VvDlAul06wm8puBBDzyWQJg3mpCmKSo7mF/i/jOn82rsS059jVMuK pcfeZq+tZ4H0EkLU5QLJkePOOzn0B4VaGcwj1mkdJ95MXo2/czlJpIW4rWt+Pxo1agSIojCC/qxe UNtFwcp1iyEdB/qosQFo1xjXs8/cirjZqR3DeqkaYsQpqSLvDMZhAwmcu1SNrUpzNu2ONAJRHa6P zRYgf3OqRvF1+qeYqygnygEtFKoIEHITy/Vny8wg+GYBWzOOfuOQgDYNNW02yd7HGz0AnjbNj3r1 oQOqW6jh72yG4qHnerkkjI0qAzuquZNqeGOmR/7iLwhHGXhtLzDrbr7g7X1GzIjBZJiiLztzApHS AFLdlQSfRZqHhAdThmhe3G+tSR++ZAxxjN0yAedzvRGYNXrJDGRuAqPEShxhetF5dMgXPry7fpN/ CpAuZx3N4F2TWnXOzKsoPpY+uDjknSz611HNA9wiRcITCIoEBtRT940beZj7NBAQKYMLB/icGqf7 yzUyr2jAipecrZLQ3UUThoTcE2ZEY/YEVj3/eynqPDVwKgMAvEEyrYnXGm37MJzUIRHXz7PUOOw3 2R6pRSVoXEwfTu/qzn/eqcWy7x5fdtzusILfNB6QLdPVTa+55n1xWDVYZ1Lrqt4dKKVSwYwmu5sH DhMzLpB+GXccdb8lNgPUu1QvaTYieST+Yw2n65aNEF2spygacyGXIQrCXx2O7om8m/ygNMy+JbkH 3/eJTXaDLeqm44UCYGrB4E3cHPrPZzr7oJ718DY97//PHHIWsLmngiyqejmHDbT1yVfkEjYfhiET 5TeRdGsn6yeKd1hh16+sOLTA4mB44MlMHOgXna74xUnMzFMiXQhNforLzwG+W4XPzqK0cFA4j231 s+jNkzdCEuBPbZUCHCVZYwkeqLAA/hWXc8GNZCRsLf+E11wsG2U7NcjgT9M+Wi7MOfZkf10ELzwD 70rRcgypOymlu+tPeZp2k/7VXtukK18S7JIOh/X9ToVD3Rl6cs6BFFu0crAJ7YjwW3yD6FAnarKj GHD7H9AwNwD8U5z91P/ICHOGpx9NUS3Ch2Ho4/NML01vENkbqD7DsvWST4VrFmL3symtD6oYHj0v LbbLWZmiAEH8JSua/5V1d2WAOVY+Wj2yYaIoOdmatipTW8aiRFOcVobH9DLo/r27ibWzVwh4nbzg lD7PTv6LX8hRtdRLVMTRcFz1VMnthlLi5dBPMU9F2dLuJqYV4gp0mbRswTHxN6ksj6t7IO3L7hw5 LV2tZn1AYh3diyEQVOdxLc2BpyXcLVsW5jBvBFG6iXzg8//DCUYOrblQILeTex/Rj5KapdKRoxmD QjS4wGtQH12JeT3Mu3Db/Waw1u6723qjtCLfE7InK80dJ/Jtt6mGLRwGcI7wiGlX9Q//cOn1y8j8 dDNIuzkq4STo+Vcc1b6jyDofj/97t8U1fGtgn8gTPZB2XShwF3aZm2G18yUVNeImMvvbU527fq+W 7F+4ZbvLMa4gYsHjqV2dRicvt39Hc8I7Xy7Slc96T8MwU7pNUWroiaKwtQO7i4oimfsBaEbvFU3r w7PO/6edk+tc+tyKn0cCXq6a/FysFUo3Ya/sQWHwnQqzUdeYJZSpQmp7sx+UIwoBuBJwgMZKKcJN lHTt2/XFf8UAFNGk8uIqV2pcjp83CikVp6cO/vgBVQRnG6nQrGECpS5HSqantIMkWJxmrynNdE1m APtMhjvm6NTzDOSvuKtBmHm/wg5Lgay8AXlYF2m6/fckjIXCjX5iGJe3vkTCMI0EiXQzc/vaNH6U 1RgxW6273SK9w4iIpsXvL69+DtLSgCUTlZrKdPDoAalDaRWMvoGPXTPtvNqAyhwzFkZZIJzBJcUp jmRPX9IXsJhcc0raU8srLenUe+bnvShyuWdeyYK0v0CGs7nUrwgi++NqD8gz8HoTFAkrM6rgrojr fziXpFZmLkQcWdygCJeENMm+kVTscqCSjHCCeizfRafeNQbfyQrh427PqujbhhYRdXWxQpeEMvec 5Q7t6CWn5G9YhnWp2x84QMggQM2Q0oB8rFf+tcJ6oQmox1G9DEvVF3lkiQprU9Ho/35YzLNg9Rs0 p7EwPHnmwsn5hOwaNrPYUjeVMUXi+cdYgK71unBRoTn+LpX6da00eIZBKhkrmzZ7pVG/Or+HVYzB 6Nck+pRw4SlywOto2/rW7iz98C2808oTBkBPfezccxfPsdIc+ekhYO6cTpJb1ffj1Q1UtJlhFHcE 55fIRLBc++2bxn1e20NidF9ub3gKKNESi4F1kRQjvXuRQV+v/Jbv9UhQ1lMS3Ke/xDuvrLk/9lED Ay/G6v+2Bl9Txx8w1X56pKuh3rKT9Mm9gZnmOn4T/EzNRWfq4vgJGiSbavGhkqF7yz8k3PnGlG6q jdFEJGOwm+7JQ6SFNCjULIvv9JC3BLntNMMThGz6Jb05HplSPiyBS3WQ/WVR9lg61mFtPZ2hV098 3A7ggxuykUFlWNSfcCTQL8S2RGxs+Q186VIy5d+8lT4eh3Z+MdvhHgtqPReX1ISmeaYPbfvwZRR5 V6ezgnTndxuwmNgJr1n7t7a3VUTedkf2bUJFejrCebqnIifp5Pol1wVjUIZnFyIChomnuj1mCZWO CFqZ7KJomMlWrljc/0fwDLfD59iaWksg8qP8R/gQDcWgA/yLxNIxZ8WP072z1W9f63JgFeUwfRCY ktHKKCxDNohIZwFoNAqJSKh2480pya2N6mdAqArUzdWP9WQVJ3m97kG+NkjfJ854ndQ3PRULzLgI laC9T4ezOK4LIo4iF//EEZmu3fCJoTZJayeuRMfQ19o4wBgVkQwDWMrMMeBDOdJBEbMgpY3y697V ARizrWLM/obnMaBf7lzUhkHXrEECpINgJjuOkE6xFajm2oDnQORXVMrJoj9OK6fF+/km80voop3L b7FKE3ftGzKul41gpleI2lU9YdzzGLVUV1BV26GkUoyhEkhPOL7yKpYW+MC78feC7QTyUPEmzgJa 4jIXVl0s/EbrQOR8v5a0PqWhJThrmnN1q9jQ1e6705GK3Xp0rsvm5/aknjqk/KuMbYrsT6LpwKth gVtnZS4Tjw3oFm5vBiosHsp5DUJHCtJ9m9ObWtTW/r3pfjPbf0H7JfGGEHIUn+9FNhuOIwX1tUkn 1Nq2hBoKq0TFfcs+iLiSzcTVo6bVJQtyHaTDc4UPFL8O0+3H2XRhXnTb1o1YpQDS9qc3rCjIbiA8 IShkcd8nNlgRcaOenX4sLaroV7vKmSnkYw+Kk4pmTfqdYIqc28/ukGbwHWj64keeA1m75HmPGZaq b8Em4V4RPabkKsSiQnR7qnyIf7zaNVFWDC1IY4UuPYqbnMA/ScNh8d6sS4WI1xj0nvqv7T5WQ4Mv oIKPiuVTm+/TCQVBjynGn9kSLkreldFGcE1aLTaed57adz6rMh1uLn14O2Yuel6TtvjeIyb3374l yrGnPBwW29xKfhd7J7cu984gn3MiQ+OagYmKu7fTPWHKORYwnXR6CaOaV9onPjBHBLNVNmCUYdnK x4sdo8rLVvpKvHEYNcHyGU5O8TUIQpvy3IFKXIX8kIN4wtjNn7OaJ6eEoXj7B7b1kVOT6xTZAfhS 3/6F4dSNFIrQJ4jhFV/AZ3EtKVNF/W3T3bUDydC87OyZ2ax8U8AfhmFM2KYov75W5DWvZt1gILzW OQHC0lVgzI0kGI6PPeisCljLEiDYruUJu2qa5M759ZJXk77WA/8ja5aYkisVv5ibASxssHo4JGd8 6A+6ZEvoQjeGrGmT74SWm5RN766DdhnTbGLhy71CR811bEN1PvWqTExsZm2Sd+R2S7Dk8qm3vtbh SCbXn4DWJF48ry8+8E9irmXgVr7aoapDG0mAF6+oClGCJYJdT0ynNQYFgLwaguXHLh9KhikjoZE/ qEVtRlpIPKj9eLA4oDX81rM1sy23YSIpYOqC2OHzQM5zbPqU7DXN4939eR9X1ha1JknucJpaN7Sk nkWAnNVGK6YTbYYQ8x9gzPIsLxkvzVus8GZPCOg43rYN3Kl7xvT41KjAB7RVnFxS/NFW1svbaI5Y IuloN5WeJDiH/Ro2ezN0x3U2q9iK0AMdw7wBfoYVGJiYp/b32lllfVkeClTv73OtBt96kZKMQ5AQ Q6kMA8G0Tdb+1oVNvbGyQPOKNYbd2SsRQL9JOTbIgOXYlabM3fU9ciQACPLOxr2/JRU09fT0lo0d 3kBs4eOdlxjUmSbL96q4X1MtvrG9p430vWuCAacM/j9HwpM5mMhv0ax1BokU0BKcU36v7vk/Su7B AcKaXo/8Tm1OY5dsnsMBWQLPOG97qwW317pKJIgdhc6PCTaARbTOAR5xQkn3C9HfLovCrEd2jPfc LDP2V3f57LBRgketvtJcVaBMqnqfckPO0LkuMSMNlslzideNVa5IPUBORIkf2rK2L2O+FgJplQRf gOC/XX4Hj0ZsRrkGU255WhyDS7IPX7jcLcUzRB5Hl48FNrbcP4vcQzb8GlvQ4csnmMGWsricSf63 aHqjka/caGNSKuqFj78QOg5ERwUgDhR9mwGIrAAOVQDbr0UK7z+tmsiLcc/YYco4USsKlFDFdBmO ps1lhu4/cfMMwXgCcjuXA+43jqyyuPjrMpQFZsTP2mr/udETqXkn7oddfozbBxosTKxIf88JkiBA 9/KLLd/0DNCx/Jg94mP8LTpgwnsGomrPUlQfgfB+wzpK14UQa1nXBc9KMl/+pmfRJcZsSFC8l7e7 NCOAxeXEr/rsVRZhYkKW/+WwErCDV84xCq6IySQvs19svOQf+I4LVo1FxI4nIYA/X+Su0KMzRhUo OgK9VOqcwvPA2/9e+D0rK5VnFaXKt/2ggbgh9Wyr8h//WGxGJSWlv7z1WVvD/8kPMGIbu+0LW9Gd J4yqqBRJLAY+Isdrjehq2+x3TZMM7K9O0cKngzmMXEckjBmG1ZQq0qFPhGb7jB9eK3G1S6t6VRVs 5WTCCPEQBbK5vIPk1P9UthSf+keOQfvXRyqofVqWvscyTlUzoE3yjw7VJ0VLZJ93FyTsEZ0XsIW7 6ZhVVGYqq5u9WMhjezAtiLXQJRmFE4gLZzAsPJTlgqIYzpQXWy/OBPjGAw2Rxhc2qCbBvHuhwPuq 1xedyhhQ0jfHfQ4FLVwkhKY1XCUZ23yPkZqoTNqQJik45vAc5syJbs0f9lOQKk+rM9WIbzcAxb3y ECBVoW8Alxul2AM+LjGqFeU2meU4Pa775AlETtSCD9nkPRdhvj46Whtqb1WRqVczRxuXxmYXPkiB xM51Um4MLEV71pT/6yUYAlAjE88ocWUW5tkhpOuQGZEKhF4ZJOdXrSNfmgobG+xaz/eJz6gSAJNi 7feN8N1NFVmppOAbdw5MIf9lRAwTE2bLKnKCnHUt6d07Hk21oYnSzwsTN6XuNMLYLMLUFYvwSKZ4 6WqIipnPYL52h7Bq6RHbe3ip8iMSu45rLiV6sSbRyY+hAnz13QiNIOQS5OlctHfLe4HLVtB/iXDj 0hlzK/xJeYkhaIwKjv9/QNxxPlS6hoQl5yxWWvscK/LtNI14WceBtQSKM28DId6olyM/qso/AiOw 4AFDvS5Dh9ug6PntzVZ22mEfZEpDfSvulLKyqCiLBVUj9ja59vTCaBvRGatZ9vMTEg1u8ug8qPcX LrwX8Ifl7zaTgPG2jcg/xbhUUlsIiTfNjK8R+kTBY82jYPMj2oEFq3TrD7HOl3Xuw9jr1HR+Vkwl 8Paw6bHgfjNHl9Ddbwzele/ArMbkqfYpfFCim4tOJtwLokSQ/sGbirbSI1MafTXrUhwWyduev472 /LjQw+IEwSbc9WM6eJwsbZFJtsHqipwcIcIE5H5u9iQhV5RNNvqFFdWGt1wvGb2tOFD9aYNudqZV YOypcYy4wLYXjcwO1d/aurCaOxDhsoDEX3AEYmDUb7wOB0VhRWWoj92HVNQA9jY7ui2ein16i4g7 y+9Li1xM/pODCdEowFeaGng4cXb5v6AvpyXTkO9fkSu1vH0bpePYcjHp3TkmwgTUyVBE/DFx9b+k 1DAQCI4B9lqR7jV7Fj9/SZIStUHjbHuZ56uTQsKCJMEzqC7YWkhqPnonfQujifSX8j0EMlbsYGlJ Z72/kOf3nyVhY+VPLYtFq9TTsjUQ4I+23j+7xWhmzvDE1Mvj7QBi5uT0xzYUzwVp8KQlHvxQqCqP /mOmYX4myy9TSmKeZ5c32/jZNfiCbvOVb4whA3w4/3BD8oijKcGN4iesUphAsKwDdLogmERg6JQt bSFV5JCbpd6KHSAqKJDfTuRqscgNR5Zpm07s4Cil3IHNY1UnnF8ilELhrcEk00V2XgDrmQwIiYs0 +QMpPhSJB/g8TcsTehBbq69elmbve4LaiV6dFeq5rMlXUAgB0Rq1wVFdEpyKtF7ujG6a0RcK/OJJ 3qff1tI3B0wRKLWLJbfCArNbQhH2FZNTuY6E3BvmNLC5QaBu4ngs9LnkBCun3+JrgA3OuXHw2GLN IVQJrNjGkUlyVw4TE4gQECRuZM3MCB+5Y+LhiZ4ctERjPAtHSEs0asHHVDxTUFLx4hminUexoosi 1i8h2cM44EjDh+gk+ZWRInJ9EXtwgudkFWQpsbSjBuAjyxx8hfAKUEqO8an3zy1t9kvAX9LeSd/0 vxzhqJBmboK+Uuyx8cgEKPJPPJdaA+FJ+cvLHlp73dtZSgw3FiALbq9wPLqLKG5isVx2w+mMhklk kLJLQVxj1D9k1okSyy87cH4aHtLk9dyBNkc5dmtcMT/p/cQowMNdv1T0Y5/Bx3irsmaspe5O4qI6 AyvFrq1Rh1zpCV0YB57LmP/sJI7FCQrawtsUOa200gIhXdTGrvlLTgtNMyBnMu1nRDGYz7+OUdkJ vCyt8n03HnXFUz7KDtov/HYm85q+r3frXuDiRfYwa80yQPiDSqAGio8E2MFudbyQqxZXBARf85zM 0leHF2EFpiHGK3M5PesrT+Pf1J1NlE4eufBFLqg90QWM82oYlt3vC8WqWG1IsNmunl/ABsd9Wj2d jarvpZoIZeJ6wqj9Fy0wfZ4kN17AMp6Y7QElsAR2sN6vQOLV+1m7bKDf/G6BY1TirJqoYhyOVzOf H4qH3t5hZZc7/ZUv0v+NrVHf7OZCgzDGsw69dYcz97ve6HXULlVhA9aURxQ430W0tEma4+12q1Bu MNn8mZabhjIJHKl30sUMUCtEvd5Hl684e8aVD45XEadXF5lhaiPLbRNMZ4KWddQPnTot5b/2J8l3 zizBko/m9BIGKiQdTLiwAxDixE3H+DaJQ+511mXS/SizSRFCHx+IvNo6Fmu9LFCw7tjPibbViU3Y jZ8dzpMAIJy60u485QNXK09Huc1k9OUpG7/KxQT23STj5GVXdX1gO/cuRvoriqeln8uAyoOoMefb JNDL68w3J/t8CDX6NKW8nDMUrdj3rLrJQXl9g8b78za46CpytJ5Upi7qbxpzfd/Y/2tGFKz7ljGZ 707/xACdoJS7dUl7t7+f302gAa+OALmC5lMoyzDGp447I8kdPwjtvhQu3ZUabLofwqRHmLL2NTzy 6K+qNKft6kROPee1KedbseriQ7cJl6W9IKe+gj49L/IyRZJXzaZKp87qjEfqLThP8MFjYai50gTx iAOiaXgnON2vw7AeE0LQWaGQrs1ryogvqaBofJNjkwMl9CqcTm+O+caUD/KhVmA7xjDmB17w4QiM vBkRl/+YzhlM8PLTm5Ty1eqjhTDV4utpHGoZXjo5x7KInQoPB67SAzntTqW/iNNYhqlVAnD3btQU lH0tfiTzl+4alsQhrCXtOi2zxP24jLlwcJdQpUAuBq9IQp6Dq3hVwY+LnnqXcmzhWlhsM7O4j9po jX2gwJ8W6lD7tlql5jrh6JMxr/0VfTW1q2bJ31dnjzkh/hB/oi+JfPlHcAzJuvUSG59CxHHvL2tQ LnL6kQGHzFQRG9pwVTqFiJpQLztircHlUdhgsnwXP/RDsOj8rYZA8QF+/gjnPo+zFhy5AvIfczx4 N1byl3YMd6OZKtuwEIakD5xqzw9fH/R26s6lW2/RAl2xmKvm8YCdcVSICvKZ7boSxMkjV/B49gT3 3E2CNb0Brq6EA/YE9cJwO80VIG7u+lUV/i1gQrZkRn4pXPiDNWO5IgYhcPs9uX7PCP1kCcXqiJb6 0FzS3IN5veTvkl2fD4b75H1hIH+uzyUn361Ms3giun4Av2MPvMcFu17nrV34BYoLb3MlMXknglKe z2xcTB4VOzKqAYR1aSvTqyoEajl1toPTiUKo8DSIqte+Sk8bJM/zuuXOAzW0k8mo4apJYGJ6s7Ta /ULLU9UlObFsOztWYaKr83kH3h6BN/JEi4YbENzeUEQIXg5QKdqB3zS2rw2btgmFF/G+4Z7hJrvI R+JayT7l3+dUjW4hB4imJ2g0Y9qGlMVGP19u/+vs94x+29XGwifOpTwMsZYHqKTsWinjxXaxMggZ hS8ZHEeqShJ6ohBvjrbQM/rP3Dml556yus4KoKswxMjSYrurg5AM8c/KtwtOgmk+TEfgXjIZDow4 MZL+z7B5ZliJA37WKBz6jvXj37c8J90Hq/NqGok/0vFWmK3UpTMxy/KUrRX1Axv6vRAVSfEm1PjW G7BL5C6TaDKDzc2xdDn2253CgNF4d+oqykhk+lN36GbBtA3l06YLMn2stjJJEUbsFYkcK6Q/eAhT c9SSKfnn1s3kdWAleZdyCnnfHZQ7AOZld3EFfVxd1Bd8LMEndu/eVnIYFaVUM9Ov+hYMurmZcnyM jvvPgIIy8RI6SZPCW4prvTn7t6uXq7X966ZeOc1cAalVs9/dqsdbNAxhSarAvefyxNWLAqZeunFI 04zIhjruz9lf7lH7G5wo8bJeTwxeCg/1sMzKHPZLKDfHdHH3pRtNqcuJGQ0ENRcvKGRq6V+V352G gCre9d0i9di+q2tltnM3d6otbgSuObDM62exQc65XkUiyebbo7lwc1HtU6zm7eLPgdjPeKc3w41G BHGyN2rT9snKVHctsMRjHzrRXgvp5LTs01x+3AQv+rjP9xvwrVsMBmG+4rGPAU1fG2YXAoS3p/k8 eNzZmslsLccgrIAAV/KQ5U492D8z46aW+tF5wa6Hy5bTXtqJWgQPmeuLSM7m5Bd59WO3Acsgi5qo 4s2K2YftwNJSnenp4f7fSeef0M9wAZgM2wh0CJokKioRPm+eL65NkwdULdo4gX+7GRyTmi679bWZ i0zKH2ME9pEcrxyV92nK6UZI0ANUvTfJnX5Re4oapohfTKFjoOoBX8WDtwPja6pz2hdC5Vxd23P2 OalBtkbnv5q7ZJXNPNNEIjVcJ2rb9ojWaklgvo117JCKReA/WtwUdnEewPGCyd5SE6e24atKV1FX OV4GZ3xYe3xWmyD5sLEZD7lA98TfOWEl9jvFJPTtPQIH/xxsNo0GrwOEkRkMUnEc/PzWCbklNrdc Zk2J9ZGkaLF34/D/OuQX1Z/9zIdWPzabEtzXZHP7hANtON0Enw5gQVajfhU9tmbymCv/gNfr5vO0 UukC+NpHOXGub0J5UrkcHQ/R5l6VnK1P6dBTOtGnJQZDEcvPxkRSb1XJ8lsMS7fn105XdokYp13I yVWN5z3Qu3yKk3Kc2KEHINKexs3PFWZBYGdJC2jPgKjvVTPO9wSWOjgKdeJl/U2ylL7F2s3rMfUU bmKlzguE8xNfVUykvjpWGCs96/ss+WtH3QgGoCiOyioaCNkPrsKhuuAv0jv/cVHIzVBr9uk4kGtB ckigJKWpqnjEuqUOiGjNDycplCO3MXk+7HdCPXJBrzdHkPRHGNTrpbNWvrqh6nx5XZGEVFONYjJc H5lHMBjO61rzt7SVL8IeLK92vhwPHWPRDpWQ+ckUKtwLXbuZqq5o4RvopxEa6w2RByY4w8TNTLWh fcCELafgizF7efca5FnrB/3mjuDxzH+GLnRe7nmEIAeITi2uqlWKajmfO6hAXcwx7EVxUq0mOAZE Bt8RyNfoI+RSQoRSfCk7rDbEW4X0sv+wZlYUw1pzW91gbxMsuQi2jUDPQV3LknzaEHIt+K9O9P9l IgzNoQoS61KAdlfsxB7IYpO7u5UVcTeHQaRFME2udKOOtLO0XsKhIxNJ8O8d8llwyUjIxiT5y6mD z5m4xaw1lrRWPqrTsn7lgeXqBbu1o28DBINztc6LntoO56gwOPOE/x9lzVYFixdkuUez+aRYoEit `protect end_protected
gpl-2.0
49b17b2eb2be9d76a0c07bcaf6429534
0.931671
1.871691
false
false
false
false
skordal/potato
example/tb_toplevel.vhd
1
1,139
-- The Potato Processor - SoC design for the Arty FPGA board -- (c) Kristian Klomsten Skordal 2016 <[email protected]> -- Report bugs and issues on <https://github.com/skordal/potato/issues> library ieee; use ieee.std_logic_1164.all; entity tb_toplevel is end entity tb_toplevel; architecture testbench of tb_toplevel is signal clk : std_logic := '0'; constant clk_period : time := 10 ns; signal reset_n : std_logic := '0'; signal gpio_pins : std_logic_vector(11 downto 0); signal uart0_txd : std_logic; signal uart0_rxd : std_logic := '1'; signal uart1_txd : std_logic; signal uart1_rxd : std_logic := '1'; begin uut: entity work.toplevel port map( clk => clk, reset_n => reset_n, gpio_pins => gpio_pins, uart0_txd => uart0_txd, uart0_rxd => uart0_rxd, uart1_txd => uart1_txd, uart1_rxd => uart1_rxd ); clock: process begin clk <= '0'; wait for clk_period / 2; clk <= '1'; wait for clk_period / 2; end process clock; stimulus: process begin reset_n <= '0'; wait for clk_period * 4; reset_n <= '1'; wait; end process stimulus; end architecture testbench;
bsd-3-clause
65ab1590430ddb9325c48f9074439593
0.661984
2.861809
false
false
false
false
UVVM/UVVM_All
uvvm_vvc_framework/src/ti_uvvm_engine.vhd
1
2,496
--================================================================================================================================ -- Copyright 2020 Bitvis -- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. -- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT. -- -- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on -- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and limitations under the License. --================================================================================================================================ -- Note : Any functionality not explicitly described in the documentation is subject to change at any time ---------------------------------------------------------------------------------------------------------------------------------- ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library uvvm_util; context uvvm_util.uvvm_util_context; library uvvm_vvc_framework; use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all; entity ti_uvvm_engine is end entity ti_uvvm_engine; architecture func of ti_uvvm_engine is begin -------------------------------------------------------- -- Initializes the UVVM VVC Framework -------------------------------------------------------- p_initialize_uvvm : process begin -- shared_uvvm_state is initialized to IDLE. Hence it will stay in IDLE if this procedure is not included in the TB shared_uvvm_state := PHASE_A; wait for 0 ns; -- A single delta cycle wait for 0 ns; -- A single delta cycle if (shared_uvvm_state = PHASE_B) then tb_failure("ti_uvvm_engine seems to have been instantiated more than once in this testbench system", C_SCOPE); end if; shared_uvvm_state := PHASE_B; wait for 0 ns; -- A single delta cycle wait for 0 ns; -- A single delta cycle shared_uvvm_state := INIT_COMPLETED; wait; end process p_initialize_uvvm; end func;
mit
c79ad740ed70ba7703729a0a04d50128
0.532452
5.189189
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/butterfly_dsp48e_bypass_hybrid.vhd
2
37,582
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block B8NOZ0j7i5EwPhEzUK0P0sinkvmv8WF4cy1YMZHEiD7+Ms2InqDGh9UYRzeoPiIQTIjru+cWtp+c VKMV6Qy+Ng== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ZJV24EcxFSRTZI/2GH31jpgc4kMLHzqVha6vs3DCQhKF5n0dFzvmugrRo6io0RuenlgViWdGwOCd 7+BLHh2QafJTGT2teqxmMig52HByzRXtsWB6ncGmAAAOeIFKzYXf+ZmP8+aedZHSaJbTgdHjjA+V KiQNOqj2GTvqtMPAyx4= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block JPHf28lEh9gGvmot+gLOADnnoZkrHdAu3VsbVdgn4qXUqst+btM0rrqmRpeSTe6yv+mmZZO/Z9LL PeSSv1TYxg9K/bub43MYyXrG+MnvE8NVTs3P9Ce7ycg5GfYfLBnGm9px5o9POiIjEpty1TzMtu32 /RwfZvb6Qmq9PGsNJ912SbbP1DT6SOOZ/ruZZiucFdxlLlqN4AjpjhepxjFZVykUPV9l6dOSB1+m AikITMjWZ+pWZ5PKPf6FU5G+tFKlCr9uAlzkyCm/KVkzMMP1ehHl1spd6z3jeFOSoRaQT/+z4tU2 QRbuZIEH9EHX6jsQ2xHC0MS10CFTCQAoi6Xm2g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block tZj2paTX/9PWAMWFHvXDLqMctZSriY0suFpd9hPLn/jpzMvOBOVqXxAX3WgZbwDLKi5g0nAyoK9Y 6gLFw/+XNCcD79DsI4qZ0tiAHFRnBEP2kq02kivHxflWQXDkBet/ikoltGPPX5xHcUxcej8iVOAw dmuZOlT1D01PDcggfUE= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block AWTsXc+QGt5jxI1FWwvtYzBcvZHhpR6RSRHYEB1Oh2Uhyut0Tu0yBUXX9n2/92dOdj6RbwyZSKWm bTMXrEFxHLZrDkDnFGCocar3OTZA5OJETeHwJ7cF7NT/1PjTWrpI6JowFLdfw613IbTDp2kf6Mad gAZ+n631fvkuV5K1tXgntyHVgsWChy2uSB8kezUAN3flQ7AlRTg5kVXpu2i/Ji2oBKR0yjGbfZb+ BpfoW/N3P5mtKJcachm1zFUznXbqJMqmRrw2yPcTIf2/Xlut/BMTJKr/9z0aQdZnXFIdZfXBgmRM bE3zgAAjxFaYNR6cP66reuC3P9C8m2Jm2ywE9w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 26080) `protect data_block sd62aTeZKL2bVZmMd+HxH3lszQ+4/EVmp0wxRZDW/1d09KZ6ufjVSqYFSo7bzJUCIhny4LkxnANj jlr0azOmwo+LLjS8rrKFFZiBxQe4ShMwjY6tZdTMZsCfMemLTYBpLAcVgYbfo/FfCux50nGiGsco nB/lLpkGBuUNBbpb0syAJCtmtdKDO2eymRly75J7dnhlAN25pGvcHhGzsMbRgsJ20gTACPVkxIJc NqiiXSXltoS5yg/0ptzE+bx22UpZbERMXhGebNQ9E/VsLR5Om776FUWsi4pkgUu5mY/uO3pFi893 1zKNEk1Xi+8EtoRJoPLXC9GXCMUv1yJ5r3sssZs6FtWOnRFABCGQccxRz3r0LWFWap4nhyiryg3y g7muYJ4RgiN6YnyjFvi3ZJ9KdW0+IZl2vSCXFbgHGqzrmYh97kU1v7iD81YqQa8LNB0Fay0koR2w suNP75tcmSUpQBAHyvoidL/XO7jmfsfvun5V4s+WDoh7f5nGeUR12B0Z15RUouZ0//9nb2zcITsZ en2cBJRJB/k1pAC6FlpfLNDwE9BWLSzk36gEW0LwLATr7On4JorLl4y0XJGoq2hq92cIl6JIs/VF 9nTftJ6MR/PLq9UQNQJI9WmxekheCvwg6iQDjToeJYUEFI0YM9UREczZ3wYYEfBPxdOZHzq0nMeq q3Mvw9yRAMcx9aggbrjglz80IpZyLCr6KMIM6tG6O2o33Oggo3kHSyuIZ8CN1oVZTl7U5O+TE7DW TpbKoyj3gvGJE4LhRH9JUxEywdTLyGTBSPU4cO9SIFFks9MJF/09+LQNr0BY/6nTNRhEZ/KihwPu iexlioOudpu0YlkgaiIp1zuuOzHBW3HgHM512zrcHjDPMlPTrgDXEAseyhx3QpJlUN94uQoh5Nyf JH2qNjKNO7ir1zEV59cbHfVFTdGiSI/XUDI1N35gEYy2U3H33DpE4/p2L5Q5o+3FkDFWlRvojYja tNacyBmHs/7LGqFcs7hdJ/dGJ9wFhcDYn6e4sGFdf8RV50t9Qi3j5UetCKogmcutuCzisV2CgpBH 3C8MWYKk+RrUjkAmm1oIjD1ptSBBRmqoqxZG3X9BPua7Hsc5k/a2JE+wZWbquKgD0q9hAwAc93+d LWnE4SBMdjv0UtvLJhky0voirxWw+vvPH7KcrfrgsJZHVtdqOPxoLyzOojNh6h5i7YKuNG9yXUM2 PDINJaAejhtdd7AFk+7wqOTei83p8iAeFZNIjHCleYBAJnf1kP3emCHGcNttnurtxTEFAkinCpGH IaRhDdhuyipEi9dro8PNHSBFHoo2p/ZHqlFH6OeitdU1RV1bVT13NankA0F7aH8aGv6mBi3HZz27 2xvrKVq15vWa7z5bGyHCN63WsEZMdktpfavGStZy8kAd/AYH7xM+JZgGcLvdjddJogbOOqCg421L BuROVBLFdPfx8R1m03besJU8fbkWvIh54kQ9rQbUxSX9Fbvw+4h5SCo/mdtE66/E+KDJY3Nomfnx KiQPo4MmvtHNyd4g4Md2w/YXWPcmjtqND3T7j5qWoEMtyYnZJtdUw0cTdp7qi27G5Mw1lkqNmOBl LwdRTpyfHyO84LD9tagD+zJfU8hrMzNpbfH0xmJCm+R62BcJQxeuk9qxBcgsh+fKOT9wm/EZ+aj/ gIg/4NWELKVSRIIdaoChldeIvn5yubkBJVnrMzh/G48vw64uaU0bjrSvCL79zV4kLk7aZ+IzlENU o5815zqTIoEY4ZdJHap32dpB7jUmEkadz4Ww66pMC1/l7vVi2Qqz1NYISUQzXuOqA3Lsx90bFeF4 LsRtzVtb439cEWaruky+6cXfPZDGUJRKMPspFCJgwbnLpAcVI/+BglZga/SR04fq9uqnc9NCRHPQ SyC5x8nUsWhuTKbTE2aExmdB//2HmoaXDwQdovYiQ4zsA+1ZSUzt2CCcd7Qvzvw3Bfr0VaUP8Y0u xuDf7E1V3LjyLugCqPg4w2hghBcqNH+01QHQuuTjCUmmVBsCrWPNSP1SAN1m+rgXtl0Dxu4mwWro 1N0yNJsePxAswAp8nunh5HFYQ8Z1jnJpd8e0FpAg7z++lFPZJvvg7tSSiSw3TEyi/de/etXIBLcC ywQjbdwfSeQvQWRpYHqMmqyV8SIWtOEjcY0jmnDePHIFhkz/y6V8zgV05Pl0AyV51K0jbekA+rbj FmQ/2+X4pVN57O4UjFG95Q/aRpX4s9m5PmFO36gMfwY+FZI8HRcqc3P9aHjUko7T92JQ2TbtKam2 eWbxLVWVSg/NKNjtGm72IJ3xW4l36KUJcY50ATcpYgdnUANhsTGm5fUb2iFCIZvvzhOzkaVT/8z7 dGCGNrMPnc3b56vFFgLPes6KG5qpa8RH5/Qecbgg7zybgqP4vdVgTIVmafg/U+ocN6Ta7J/rASkr rWSsj/GtxIqcuChZaXy6ON4bV5pWNrKeglYM3Cnax2TomNPEKTQHEjVQYFj/5+OMt2A2VrT5F58l YVPJIEb6LXRY12TOsh4VlsrkPibOCVa8mrXbxfyofbczftdp6rbMw6sLennRtE+J/5rHYxC+o6Zz zVmn5UN+b8cn1tgPItBoNJf0WX2pQcELjLuBcgzIK0+s3WFrHrE3fc0OgDlDklInV8yNsVpo2AK9 yorWEr+cJqVW6DB9sKQV3JHbQfwoCxt7OKFuzljVrY2PIH1Gm4t2jtLK44Wu6VMwAbF1p9jgM2VB ScLNCvaFBl5nDyq83Aq9UGB2HssqCcom98wLoRkiVjlHR30fyg9gD/w0NIE6IDC/aCEUbV6Aig8e rVTxzCr0WkL51yHdf255VhkckH0dYxeKOEfrIeCMbh4xLPrbnmtO6Jla+7j9GHqSSyTg2q5u7roR n/e6d4rpUPAg5bJ34DmV/7bluipEV+0+FoleL2w2N48BJekkoMUILGd9vDFULSEU6UMgjdoaZyJH dEh/Ff3mIixza7bl4hWtPpw2Jgy4O965NKtV9uC/k7YBRd5EZMMiUpWakqutC6VD9IJgd7ZyWwnl TwuqRlP8ro80UKQUUFIqRLCoBKjFKLax6Z9HSvpn6uPZrhmVLErRb7WPgZWbNVlycexHaf96X8lP iexI/XBosH8nJ1kx1MIUcM8S1D96ZdNua77OJUflHcUkTHOTZazVU/dqu3MZ0XullIkEpdticrBt v2xAJZrV7xEWmjTSumq4hqDZHd/0sW1LRlMw1VDQ4MYsgrLmBP4qK2b1Afp/hy9rhDPNbCLy+NnI 1fIQFbIF6pt9b9Ds8dIVe1kFrVpIiPxATgRrHOjTvGarCONlcTxNLy86e6Lf+1btPhP+pALM5Lu8 NCB6vqqrdpyNlRB09Ns/eOHtuL6yQSHQQEbZxJ/Bijg1dZe8I3r3u/qDiR1XADaFmbXBq/nnAc+p NdHc99K+tTYryciy497maholdkEiL0uMww4jc4PvHGfGSVNgAlsWPTetScKQjRv3rpBDu4A4ztB5 7yGeYegY4yfXGGVfmJhKO8VWymxzjjV5zP3DqISriPihFA3+IHAXaYPoyaR/UznIuBcqlfT+9m80 m6LXWErxsC2HoI6xgZaocnE4jReEVsYV31lL4xHQ+w43iKiR9jsFgjy9eTnf5F7LZtzRwH6o0uyE Zvge2IuOZzoGVWAbE91ozdEIqQKGOkkIeTcp8t+QhXDHhhDpHm1UifnEtgkpUM8E6pGCFWcEkEuP Suto9Mrj4cBlJ1goeUvasm1EBbfdtiq0mWk+orbc+witXbBKXvdt4peZIAnSkHkBsF0qwoALetxz HCPGpVtGjgjrv0XnBUtS/OLyig1r+sURBTJywQzaQ0etLCUQ0dGcDiyVR/ffcEGQa7nmuYc7eSEZ 9Eu0A4OAe7aOkobgneUH9qX5xReowVPldEpP7iMdwO02nryOI1yu7iM6MSs7TXBS/X0Kin9fRyVR /pjizQ8lNZF82k2lSoGzSC/8Rom2XILr1shsFXZE10rlj604iCEVIS+IMTrYhMEZ3ygnZjkVWvrJ ruSvVIt8qa9KVJ77hB9TkXTH0pKKOPuIET9ucPaKMksvUXze+10lOdYzZS+h3umAjm4QjKt551wH zoUAj2qEYumhnXroflvISVsBGsfsCI1BNyte7v7SEWXttFZrOdD4lLjx2r5CRjKyF9NbXoZtaPRM 7mTLGpLRtGKnDQa4DSgzDE8VjMEtwAXqpD2V2SAWWWvGuOEgry7rT6dS64ke3szdMg2K6TR9CMrE U2mRJFUZWISD3YbnXETmQpfWclY6OLmiaT79QQvySSKiFn1K4429n2sh/rsgKEX8KKJKjfxIe6q/ ADyKttFtKct2ZHDEKg8lHWvgUa/jJwMMNlul1bHfOXJteDZA6Jlce8Xm02s1BizGYMWr1VJHnLlB /WXEsBr9kKUgA+aQw+HWyqMv2wbPnTgk4pjgH+iGHrT+9r1TxJnkSQXKQRxSinnWGjDe/FqFND0t 7+cxdz09LwZX1YrfBIP9RSOfUUNYm/7ZyVIo67HAPP5ioQk0UoQrCV7fq9T8AXOJfSbARds92+nw IB4ntARGpXjsO2RfGHVMx4dbRwgdGxRXcQ/CQhDw69m4ytgGHssUwnVnCC/c2quzXIXXTRcWjxP7 lYf4bm4ByeAxlZxXPwh8chYx45wDr0kjJIUNo83CmkUaKuOKCgtYkMmCI6p4cnIX/Wvsg7SGhkjD Spi7l/NkCXZ0104nX+0j1SICzFoeZ6awAPSnjd+CNZLg91fFNXl8j8r2Z5rvARKp4uk9Au5652s1 W70e9YnS0XLc3HVHYDdvHx+KsQFLe0h9gLM92ws/P3xUBXlS6AYnMhLe2VwV3V6ljHNu/DRLidPs YAbhrPcoJtNTY9Zzbo08K1YxHbhm6u9Z/cVCHmU/lBnxkrDmvj3VjIXM+Jar280TjMR8YudPuixX PVATob0nzb9jYgz+sIxOyt0qN6/rMX3aU8e8TQzkc8J9170DwlB36wk6ZEZ5ubJGKcofMxNZvc7C SCP5AoOBMG49NE0PhDLAGBvKECCUmxBOI630NyJSLfgoenM3JLlDKDtmLZEO6SXzy7BfWDjaw+qa 1kKBM4ITZwTut1xxVJvvVUfg7OAv+PAh5CTpbq5Uv1KT9eCWZb/Y84uIMp52zs+/hSBISqiQ4Lqs FgLZygxDe8gUSXqS2fXmmJi2F7Dcc0tXELR5+UALCB6GrilkAQZZGRGQlCEhGRIyvg6qlNC7u4gn 1eYtMBbhsdlUnmjDQ/m4t9SWHnDYKoqvwu/1O7YOJGbHfm24UMAYVKcbeTcK9S0HliMiABMJwRet 40k1U1Yxk4x5bXBLQAPQoyYCxoTM2S233CWtZsYd/fZEiwfBUAr/9Od0wxd2dxIjiXlC16uIxNxk ah/acoVQDlqDxAFbfJAcWc2uohttFoLIuNXhflSvuK9rcwQ+zWZroCFvuwwJzG9ZbE+gY50XIDOG 2+tMhRriYJ5bodSSwT8VlYriYWQTeVZBhVDnzq4XBP0KKlKhoV3oDpnmF5Rm6MRAZNSazjQ+vdCr CQA+OsImpvvvSR8WJ4QVZltiaHdOR/Olk3yvkRyGvogGNSwLcfFVwHYKBewmFd+7eRJ4hSVS6SKF fhhAy0xVTNkfqtowQAwb5Q5valGXQ+Ts/eVXRW4tqUX3QFL1mh8SASVRXMYvjNbbdz7Jln8wdIMv t5BYalGHxJwJLfrG2n33CJ4v/A4F+WpYVkl3zhxJWPyL376Jx8cdRg0rwWejzVK0vislLwrlXM19 PJKLri4DbbnIecpAi39skvVBzWYAP0ktA+5eIrQtCpN03nvbSHdE6urT4JmmPDzY7o66iK978CNN r8OVhixKyzdgs9DI6bGwcIFK/gRLzvYMHYJUbVxtOYeTd6iA7AKaLyRwcxJPY59WHpLrt2nESC3N FFD/NLTC6UhnkMf9KP7aCEwM9Npy3JNZn2c4wq3Grvmb9+69rEj5dvsqtMoFg2y3RXFaTxmcGU2W X7Bdk6PYFDFRVvt7c48fb29YC4x4OLsw7QU3qMOKk6JuOuYmmbV+ZmB2mopKd0P/XPqFYUedo8ty b3xugG38hHruQeYo7BvGlARISy8cIEoaW2gOM4WcV7U/F11TYJZF6LKtO+y7BQwRGL4dwxHSSHio 7XoKbam51CLpcS5PRgCQmXkzsFRKku4gebquQ+iuke6PsWtZ2cqNtfFEwfevM0aIpU2Uk+YuRBKW ZZykjHJU7nixpd54pign7qezEYnnDL75+AHEEGzNDHotZjMlyUmH6yQMcnpsX74sx3Xj6Z2XX/Pj 9QuNmwkK1L/39HXHkShTCgsJgpa0lKVKgHRcfjp2Vta9IsMj/vc5wTyL5J0Wv2ngRn8vXdFTGHaA CZd8hfecQYI9510blOBeQCEkjojqvJCuEeQt1AbiexYK427NAnlABaH8xfFEw1c8E2cQdp2kGy2Z 7de97aHvClOhnksDg1YxPPzaK6M/ruUEN+VTZWDthinSfQa4MMwjgBy6MnMeRmN3MO9i9+EGcKc0 yeVBeecmOhqSwhAXtR5EkmCH7st7Vi+qP6fcXyp6snMhkRp3MFkZdeOnytDpXsJs//PW4boCxFmo 0Mg3NTbinfXRuCIgBubMDVcN1kUFXTt2RU/qeuC7MX5r6+m1bYvB01cHWlsA6wQVdhf9sHwF+wG7 pK95hdsV1CBtRvcdF2/7wtEav1qdzHDZWkHWF3JCSSmUl83TZL6LLNpekMNlVmkpkNzhAObRAC0S o+TPYwEMfTQcortoePVeitXshve+5WMp+2EV/VJEsTeVnkLBy5n7z64UkoOB8Ras29rAab7hDLua R1FJ/QA01yJpTBLf/63XNLnhbqbz9VmsLdTBlNIpABMEW8MpcPt1UL/bGC47D/j3MDM54Jw+cOQa zhJvUzp4Bme2ZyM7EZRZppmZWgEDlajzV+l/VhpOK0ETcoKddLA0C/i6nqUYTLXUW3/2W72EUflE UgCwTRloUpJl9BmtlNsjd9usuhPs5ZT67BDcwvwdIw8ApUuVlS2JubltccCet3/omucuHUtlZ+HS 8IP4EguwIiu2SyIbvyMWax9tB+0kWhJZIP3WUQxdPJVpO0Zd3mQP2Ixh6BVbjUxvhszyZ4+x0T03 uFbfQF01p7+nN7Ucq/WKD+hxNmenojXtjOv2uhdZ4gY3tVIC2p8WQme5ghamqgaxPZYczE4cb8BQ ewgt29hpkgwmhEFnZdjhujOpLbvvlgQWKu93U1nHWmAviQ9LYRw+4yVebfdlmOotbW29DSRQCnds we0JO62GtHnhTBtz/hlkol5j8EMX4zzjrhw6mNXcrcHamih8zh7RsGT0YYo2DK7y8V1X9LdaZzpg elV+ztZoncYVmGB1KVRuccGJNKqVTfo0v3SisMi1NcUBUV92jYcrAHRrULFeL/WTmwzlP7KtYoBI Ga4Mnbl6XqesdqY8/c1EyI1OC31iz9eZ3cOqrRLRfJZiaCKS7FWFTKezAufWyge1KzJ2CKfdqFzs O+j/UVsUKiF/C1M1UY6OUq/sDbiD0mM/kaAWIU3kktWxOh6LJJPE+d9zL7TIIqq9JrqWwFiqHI4N Gji2EpvVrLNBF7egAuGA3D0BSFZcr5uWVsLbmr8/5QutOoNMjz9t0XeFotvimPPVUpzzh5shPDjS ZNmEdIiZ7XG3im5iN6+4qs7L8KRRE7OSKM5Z3XaxGWtCe6jLVMdnkyPgYl6JwSVJtHLlz9uOSrTW gTStrwblApmsLKpHsqn3z18dMUSPH/7AVZBkUW7xlZTycgdp86fYsuws3m9C2J0kSqx9uJnEjnGP libd0mUJHefQ8q+y81TTWhmbHBMuoGH/gMhYq2Apxw2g3JVgLkSNUBqe+MYI5Wbs4MF7u1XfaDa5 VbOzkwXnaUnfM1Kp6jYVzPbnIBU40j1itol34OKxI1Y+2YaagQuPiLe7h5fRdsaxzqbnS3oaLOt3 1qqMyYMGunCKj8filsyYV4hlSxrWcIN5R32FpNph1G9mqY1/GWwswmFENzZ4eenQXsYCwptUJsh+ 0gtJDZiqYbNu3pb5jvUwSFHcmSMgi2vXHjHaDVviEuo49JhTgI7ReTBuwQopG0rBPSVwBv13Qvwa 6wrLFUjXT4pEn2ISbulFiUcx9WQNPEEWiiAL7dcM5sBtoedzHdLCHvEP4Xq05CQAysvojFSZZVwT DrDjEHpnf7hbgNB4QTeydIKrx3HXpz3jBynGGYczYw1kWZbBvI4pEEWu0WTiFFausp6LMZoT1qJq NMGRcyXRg49mXyNY1B//D29f3ysvi+8YrGfJvSxNniG36B5BVh5HrBw9NrWmUUmZV+QodL7978te 4MuIbfQ0Di0KD4KrKcAfQuomNJ0CoJ6JNGxqmlndmmDgjEDCZeRpQEcPHmAv+c0KlE9IC14GCn1R gkIZ04gp24utYvPtQoxTnvSsPaqgZMEE9QYuVMB7kGi60l6p/RV6Jwayq/4yHy2NjaQdeTzpu3e7 zsxasQqvb3LZNWOZpLriEpkZ0q2zVu3Svb4+GnBbe+tFQMFSOMkrSanDth4hj9kSnUWlTnUAy9MU LohY5R0TxAsu7mBbMDC+YT2KpkDtAio3wNwGtdJ/ORJoHQaXT2QiZ9LvDlw4l3UKhrsS5DeCcCp/ u3+y4rnrK6ccmQjVKRK1EhVaMLXElOoigJjkO8la7au1KIJXuT2wPLjVytXZKd4wAfWfcmPcFqH1 Rp81E7KlfHmRmpLO4qAIOqdPftJIo0/wJANC8cLGTTpoTVQIOQG0X1QNuYsWW3azaCVAtj2fGO9S O2vxXFwF9dj4eRlzE10Sj0qflarbCNb4+aVFt/71HzdhHAPioCbBmwyCOsDZiAse/GKn20c5tHdn /tE85Rzq0SJ61+s5R1WNN5z/bBwfoB5kbiXdQp44HxYUWcBmrN3Aja5u7sFuVA8R9X1xI8Mnb47i 2UIyQDWcb1h1I5+vuwfmNFCaBzDcylfZA7/L+lBhH2ts7736Ft+vVh0hUHSsLctoGIMoUNAmaxmr z+qvPsN469YggEMCCNgi9ObhXQppnbPqlEnBhJYFcwx7p3Cpq5Nw/Ga2QgNx2TqbxLaQilLFCVA+ ylla/gatZwjzSyZ/SyFKDtJXQ0jyD2JU0BQsblYTK9Wlejhsn1K0yw9lJBvDrKxjJtgc3PS1mz2A FxJrd8Pm22lkZessMQOtr+iRrLwT/bgT/G3pvciIAyJjH9lQOuKp4QlnNEGU89v8ULSjcKuwTsBQ aNC4AGCUJaCbAZCczxkoQ7jIRpKho3CH7XinoBipcTUfmPDJxR1Bjf+TsE7Umnd8yWSRGz2ZYT4x QTyWBGmIttlu0bx1SuByAtoA8cdpMDGUX0m1MCjmyD5t2NRnpC8B9JDprNb14QxNA520dDiwsxHe j21Tcs4KLAgi+Qxjbhgbp2Bf05YjrK9YLphHDE8GfsFsfc/w5uZHivaXrj0mI041DYQ6+utK903l rfJHmEhlQ3/0puQMvU7NdZVSWB4zS4HQ1FT9UcHBkjABrFqMk8GHapAk0JUCFjoKIEjdLFYjaMe5 JVxtfDs5dkTTbsU1kHBNxS/bpA+DH7z+OnCg2fIwFqH6Lqn4OADkZHaKZiojNRaJr9/JDR2OFOgE WwkAdPgOZjfXhGie63EXlHKkX6R5Rq0CsgDJ16GoWtBv+Kz3yxdsT1L2bAwztxN+xEkANxeC7M5h hEv9/9xDaFjxGhweMpkMqEPAphntKLaH3aS6oY1V5HWZwZ1RQ6VG6hMY/+lyGssbOSTV1d0i9KF7 TFgkDDnsoyY0fl8s1bLjbfntMVYniBsqR+khoJ5lEoBAfdn1K5IopEPtZ3BwooLwW2mKRC+REI5T PtjLFX/BKzecNnuSbDjvosnBYs0A9GzjRv0FiDRKcUW2j2Y8FeANI4F1XAeInBv17poyTOouPWRo dsDwWLY54yzsYbK8InI4RzGBH/vK5+GCUbPIJN2i17k9rHFxpOOJ0AjjDpYx4UAsPBo+882WDfA8 xDaSOkb7uJ/925is2q7d0c4K98l5DwiowLI85rTH4Z8phtPImMVBwpahOH2dRmAihApmsp4j3v31 mv4ZyzlM4Lnzg8CQSf1OPyYmA+OQV64EB4+7NLUQQLA4v4n5FHUbgImG36n+OTYe6T0sWDj7l84j mJQkmBieRf4PhUh+C2is3uVC2TZ/+dUh0SHcDArCYNK4lIub3l8eeX+F1h8lOHp74Upk6DSF8GMy SulwMjPwcgMRTu7yWSN8BFTTBzDwYNzFOrR0wQpyEPwJtS48GiV44XfwEz/RNWNidkolTwHLaOyp E10+pB7O++EyeDBEpn0RdnsrYEOwwtDxqpelVoI2B6QUUf3g6CcHXk6nXV8JHlfrDm944WOI7O+e HQhYTBZyd7A+qGMjWNOBi89Lrm07IiuOpWuezmxec1BuTTh3H4JDJ0+KhaS3yZdZKKrp/pIPjaeB B8VfFluw9K+r/AvBWyfxQsw18EFPL66Zpw94EuWyD6fAOtkkK11Ppo9f+YjFVuEoK53PstF1zODo hJKf+zRuPWGppuhmsWuChaBBY6YwDu+SLrCAtg5rN0Fa7BZFWXPMZXEiuI2P40AWMvwgtlDWorkn T+KW9Rex2sqLd3gjLBhTi1vXxOdhKcdSdeWH/zCpQCiUpMdF4w8/D/b5ezRku3d+oJ2I17ZqX27g yJQrCtNMHjd/G3SI+iwF06bdrE51s8fI5hG6RFdrWHLI758DID19Tus/2CxadlQHIucDUCgSvnb9 qgAv7kYlExyHBETUw0/TVnvSmehwq60v5hZOQi7Tve2hrZ2nLOcfDK4ySABnADuzao3mE0HawTFs cqO4G8sHYoUsaXoODEJHBebYwUv32iQuhUgkbcWmbLwjmwxdQU5AZiq73SWoyypRQi7k8D9XSrAi AXrpZxOTTOHVqIdvnSl5UmhB8HEs8vgnw/Ru5wo0NtLNXKLU9HXIEwos8/72hl8pFmmuqjL0+G5x 8SwBoQcV6t/u/j86mfdteN2EJGpvSdwNtlP9clHI1H5MIPTliKcQcyf2SUdvc5S0gB+ooHVrRd9R ph1UlimzprGAnvbOZTA80lzuhnXdmWjGmZ9aHmwgqPHpMiQ0s9ZqS2/g59D1oEWfS3mFWYdlN5FM sYjlD6xOpxqU0806tbQlB66HuH88RXDQOW94nI2iqj6WK55mB46U+3Ve1C38ai/jdXLQPbzKsfKP gg0VM18GXerVoGdWCutW/RlQ+2vQ3ZE9SMFB71APIX960U3rvRODEaeZxL7EPz3FUyfOIN++cNb3 OkIKEO/0mAf9vq0+3dUNP4DmeP/ZcaiuixwmKqRNwRxplR88K77XlxMX+8Zwx1ZnzIQywhgQo2cc tgxMXb616JERysHkBhRw8PwXsDHRVeurxIJKnMx+Fo/Svec/IsPexhBTiBYOPRWtvN1w+jPqzmuM DAYtj144uMv8Ozz5eMlCXQHuGBOR3OWhbqXuGbZkI/iVkT7sKdNq7awHRg4RRrKx5f4LBQLdOMx9 tuvQJexw29zJ76q+C6NROoDOwXtybAmK9YK6LEgioUqXwdnklrNyYzuIBLwj35RFfX25MrD4p7B3 JvUHADlj+dG1fJVXlX4B2lF0Y4HuKi4bXUYi8PPrnyjOIZ9y1ME69I0XooElISuy9q4x1QcE/Ug6 PXg+LfJDquIUFckpCZmJrsBnRaAf9BoshvYIA1o13wTnXlJkN8wZCogRHpN9eoPkZK3D/yQILydu u+jKyxIhmHjHay1xaK3neM2y68HTtL8rAalvjmXrhcQ4j3M1KQ602M6HLULrPA+hqjWo6PRCqN2s MZuqkGNoaSMoj3Ivz+hVDJWAFXL0TEz1g9go9Rqe1Hj1PhvdIinMx3X0fw4K8crFNYMC/Ot5mHzV 4zRtJHDCvTJSdy2ETQpAGMjvcxz2rG0tpf0KK1i6qefy8YIUvNIhfT1v5D4ZAn15EBMVIW66gUYq uVZ9h7bIQbw2EHSkOdRnZFKAXLA5JYpTU6/KTfDLRcVSqIGmpGDNNZqfgaMnm1zPdqrHDvcCyTgp sQUwYi0P9nfyG57WMHpzsmyxi2gHtw3kSvtL1Tm1uA/CbgbvFFrIwSjm4MXIfCtBVdYYoX/tPkU7 p5yKHZu5U5QXKf0lw+8YegqpRFKwnNJmFCP3oUHRimNlcZuj18diQBsaEwU+jtNAefNPv8f2v1Oe pA3xogKQ8BxqGuPzBXclsWZhXef6FMVxybraTR61XZfQjpcnjcz+g0h+6RAw5s9leJLHql+TNrON anDht1sXklYKcrzE/zXB+oKRgQVY4w1jb6pK0UWbZMQs1GWjhlunQ9i4te4eKRSoRYHrqbGkx7hc vLQE9QA0Vv+pC3cxydz9rJ+Zctg7kPn0zossnUBt6HzntK5Ip34Ji2CSuccezg0WXu3P3wJbeAdp w0NIQP6rbTCpbGNrFQWDcVB3SPoZMBwqiJpBEAbz0tdgmmG8fGs32wgVKs8Dnx+WBKoZQP7TUuiw bK/vv+o2W1fCCRGKpaJjgTz2O7Ihp02bI95BAnWgO2/HkzQDkBmahRY3Wb05Hir9CpnMTuWLYA7s xpuWob/CgpOF0FMuLJU2ZGU552u+sY3sFke9dgSfKoOb6K4xfDNZBO5NxEmPtnVtPE9uKeYa1Ngm z7iSkieU2TvIkjJaCPcfgOQantBRruGPnDxEIXdgJ43UIzbpLZ0l+md1N/Mt/Ar05JfnLN1truqm eOSASQDTJVrdAaK2dWAuhiu9eiVY0NT1owgPcrI0GFYo7v5de/z9gctJqv1WLrPoeRQl6W3gZbNM zfKqV+bJFaSya0qk70WftCBa0MbfSHGY37/sjCSY3mmSa+AhgC755jnOCrYtAXuo/ay9RyWvaq4K kRhnpbj7D31mhkhZUbREF/X22QuTSqhiR+TdK4WikNP5oggXEujHU5gNQ5lVwdS7U/a+63X719Xr ZeigJfiLNK3SOSM38XluRU5wyCofmzaobBoeP140D4sGb8IEhap7ASE43bfgWn9wyKMbwD+XOSfp gABpKCbtn7XCdBwE9ymwhwkKRqMrj3rIsPxdyJbcoYdEkKkYwq54FQApyf0ELDJw4ifZ0jCIm0yO epA34VGVp801YbP/IXw0OUTYLdynugS1Vah70hNXewTyRMUfx50Pi699Bwy8PBX9TWkRk698qwiB l3NS+SO24buDZBsy2BqJsF+KYeN273m5Ca6JEorIeXP+XmEiQjv5hcTGs/bkbfrO5ESi7QZ8goju Sy9TZO2j5GFS9ljZPFHZ+PcB1IuRGqcyAeD5IK2D2u4P0T/PsaCyaM1gDAsSwANPYVtXZ8NfQzds cbAKy0ZtojsJXSBxdBmlyzXl1cv66h34Bfj9JrR2sbWeLwoNTExGkrkV237l/0ICjeqodk7LUJC/ zN7FzKGGcYXqaqc6AtgAxYsnYCugS0YUjpw8soEFeAoyvv+j6VPxD6E4Ae4cxKDihTmeVsEF+vnD sZZ9HVehWH3hZYcApve4oSeXERT+/v2KTL2ENrOgrIN4G5SIRmWBWRjIEYUvx/roGXf+LCeZCgZ5 +bdOq/KZToPEL/meL6ViZI1qquO5dPzwSENkiuR+AA+EImYHPAJgRFP2ILeb6OU5tF4z7htrGGy8 M5ZviC+hp4xCaWerjqBSnPfso7bdYJZQmxFb8WjbgfOTSl/IycN5AVpwU1oMg61SBakd7MatdVbK JWMUsZKqNLgGTJfxmqhZXnx6eOzjBlgPNVmQdSl+Dn0gbLCV2GTJ65Aw/1M0Q9yL6N1oVcAta1qE hskYHf+o005ojmC85zVFK0WtKLvbfp29sfzm/M7YAzM3yh3e9cjmr7S6ZlLtMVr6pW7C1EHkBy52 SdhsyIfjL5+OxnKAVoXVhnXHjJ2KijMAF+MMrcZIM0t1QoWwjTbBTi/E8UUr1s2EtZShkFvOwBUd vKkjegQEmdHKjB61vd/UYo8OnTrV6+JycdPgTQkUOe3jcSlpWeJciAlcWKWaMJO3WRhwr1PJUAXh I5PLqRd2BLjX025uI0RKSBZObPBqleJUx+LE6QH6uBxvC/E1brQVc2wZxZzbqyjdGVtKdq9xhUoT tG/NMPkNsww4K/xx/BgEKHfrTavbKrCQjlLo42YyOmERAxxBRm5vMPI4TJiBCbMMN3VXrlSrDGcL 8Cid1KBfBzPT/7gs9O36UwQdwEdMfQYvKA2Yngg1ODYFtnuYu9o8PK7ora7608/SoIV7x4cHMQzA 9KPr21XjnXJ40S6gFEsh/mLPMTQy9Pc7NbhwPNh/ZtycPHp2QSxov063QzxICrdOENYmYPTjVApf MidEUh5GZxaZqQZkfVZdZPoHq2AHjhmpNzgH4CGx/SoRq7iJvXACq9FpQHhbksmKGzLZV4oU6p90 tRG0PfG+56TRYPNH9MkWIiJtdTFRXzdtFuwmypvv5SQZMV97gXFsEy5rYMf66Op4jvo49Js/OsRp QsVD9GbMqZFfgfVdwS7sWaiwxUk6zwJTNlGM1hLxXU+2LRS0S5yMp0r4alWSSiE/xUN+NPKVmbQW GlPLPhfuedjzB5k5JS2WQ4Hb7/dPGdBm5tkPzW2ZxvwMzNNoEKBqgJJov/pDPBveehA7xx549u1z x7AV0/Mlrn+wllvwbYpFCj9OHxABslr/EHRk2VOZQRLYj+dCUBtYhA+72Oa9cqJGBN22bORcIQK2 LrP6bY6AUEGmDeoNSHT4JP7vMJU0mcjmpnOOSmngrcWSbmytvCs3IU43pxYfyhUUHq0N7jzfa4ch Bkc68euLzsd2Hrzpr1iRX690TQu9caGwUG1AiIVTdejc/dSDbQMrsjwrxXUMyNEOoWc9DDdbKnlP GqZeoQetkDu5rCsif0Mx1DSjRLTyMlkRJNCRM9e+N0CIfLJ8icV8JRYoeQLDmWkbzwpTm0ymTeYD mhXvSdCcir0Z3/bdlaHAShtyu0FVZghwfHu7oYLdpRGOpZQeMJKS4lW22D4U7g7TDxP6Q2rMHIJR M7RUNOtcJvMSRZhl5+ptytgatXeZEJym9BrJfsa7wRLMnKCZnIxQ5V+AOPbGoO90TRaLmB/6XzCM 5Z1kyHgLZK0ZBPgkVZfpCs2YXe0laq3Vp/1NNZPjRQoMS2QD1OZEmoG+QMf1u4g5m/DxP+Qzr9em DbAseVQ72JsLLx8ENyuQHd/6iCOZdHXiZ3o42kcLlekIV1D4pM4xl+tcDsuIqYifRCHsR1K6rGAu 6vfsedJrNvMPbNzaY262Y7V+Vw80R8wq126D5iLX4g/vT1xzXGDNNb4ug6536l5Jgv2t+L7XafET F+K+3v/RBFTsBZ9wku4s3g29tVH2iZtO5hl7fb/t8dqcDEk7NpvdIdaDCMjrQo2cNyRRD0qzd1fe kBNKCdbnp71NGvKt7dS2+H82OAHNvFXU9l/P/vNdVVA4KDxRWFLryRfB7xmvvWrs88n7QBIoN7UA EV1y45yOU/3yv21fE5rJSdqFd+cVIt/BSEIQiDJ++9yfbPGKh9RWfsxVPx/IrZrzfRCnoGQHMQdI tKibfkQB82hnQPIURqO9ltNkLLYe0lh3FDYeZT6o5iceI12zvDpvC52ytiREX44pRjYVagwPt164 pHhBSu+Wkq7KbFCtUZhh7YrsstTYULKtJb87jipPiPEzjODwCx+g5VZ68x0pNFvF3XIgZPi+x0E2 gKnYd957T6NnRLyAKzF3oZ17LqeftU4QZlVybDNUGbvDic1vePNjzZ3c1xKy0nGEW+LgFrLFvTNi jLjeyDni0xywLlfLTzT0zNcVDwAvbb+4PbPFpoDx0I6YjFWUARoE/IUGcbsk+rHXQcXA2yLCX2Qc XVif7VZUHBz9UU5hMqhJumORMdM/7GrjH0ooyhyngV597PbSq0sQ/nXa5/LFr+7lg9gnZjgJGXp4 2qimf9DY4Qi38Yz9uO+EliQRvwoWlBXWkXlve+LTJNSrycmxYfL86+HS+bKeTDjdNhQfvXeQ4cyp Djxtzb2IWo2FekthNSvFsnuqLtzDZ5CNUjugqXGw/VFkxowdmlZ2AEu0tkkfqcj2pXgULeHgQhyI vNmgGblKROgvXooGrcCHF9zhfDiYOayBC0amAKBT4Ec9Rg2qekpCmHVs6h05w09szVMJdIBzIYGf j9a/GyQnjx+0SUoDqT7Ed302J9P1rhC65Z+eKserptScSza1PzGt81vY5/uxnKJByADuQFDUaRty vhKu3dgHoDpQPvFBnG3Oooygln8K1nHvEaubv5TlMvnbEv24kvZBAK8VZG1UUZqAaXaaBKhcedQ1 DagSKA8ZBgvLopSPRDbrd60A9W4ur3orlrh9mh+v2pe8Yu5YvciQgTTep2V8a74xydelpFIkd7DT vmRf93Ahf4i6w5JJvFUAT5Bx2Bi1/AS8iRBn3h62h0jfEhjXu5CYvPwFPnJvit2puHGhMNthB/SA miuro+qBdj3jzvoI/pYK1awnh/z+h/XjWVMykG7CEtidVM3r5vtPb87R+Ho5y/w4aNAcbq8gnejF QdXrveLm1t9FqasEsDCcOMwpqmIgtz7nhLNbnrN98UVzsQITW4LcQIcCg4sYkAi9sTk6kPzvhPWc QVLjiEknMC/Fs8UI1T0/UCfVRDmiTChQCHZ+xx5Gw26bgD60omtXMN/A35eqaNdK4c5miuU/57Ic ADVjnWmZXDQ+LJz5s3LxLU8psKR8bb0uJXhFBsnf/FMmjK+qPPSx5QfzVGoFIl3qvTEUDw8LI0Yj Jbjz+/GBpPd4iQJmuk4e5T/XCy5UwVNQJFYIQX0wHhGF8wYFhBMw6Izm+cUpt5Ty92Mzn2dOOZFG DPL41Cs+LMRizRBrN3HYXEf4xxOW/RR/qDwLMFlAIpyoAT8y9seyRuMjKmdVI08liOFNyqJP5B9x iMKHAdPIB3BI05QIKYGPDMI5cSTABj3NJi9GV4OzcGQfQ9p3yGbBe1ig7Pl7ptQQHDgi6wu4Tkcr Ogt11awdvfbMC2Pvdky4EAIxmxEiwIQ513tjTs4umgVjEQR5IqIHR1hBAzYbHZkFRvCPGqquSmGN iR3CBFRJJ6TBDwZrXOXRB+9O+4Pwk/VmThjlnEEr0ZA6W1KqGCmz4USlEMlhSDomS4YqBwj/PNK+ NrOcBxpGG71jMk34wq1+eUsZvPzTaCV67w0psrdom864gVk2fg1uYQS3AWhyZf17TtA4LHZ72O9i MVBDsPTwllOq2BkzZKrJbxmdKPCSVKaYzW03FVCiL9s1h8bsc3bXxOLuHiX7GnKoSQyhuwQDvYVy G9us0GrzOXHaJSul+kzH9Vt8VwSU5zPRkey17xuBnQPxnTcnUYBaVGzOqnX2PV/m62LO8wXuuu7s mKpLqklfftFgHkMmfu6RCPioXpWRfWQTzZu5DO1rgOc3SPUqKlbQqv30S+3u2vkQE9PfEH6pjy2D nNn8iRtVCfTzFzgVUNF6hvJSxw0eeFDzgw9YBmQ+v9ZVaa3vB358xnSXTc/v22nfR2o9MeXjBG5f uEzCb4RJBT1J0O3pKUPh/5IYWzBX3k8buMZNLLk1yHQSHLCeyDmxMxOQZRtI0QUm+fAMgVDk1zMF +Fe02vUGwkTOWHJs76uLnLEaro8v8PKLpSU0Y+2CMSEKojecbHthA2we7ThZQ8DZxZABZGqNPVxK 7kkXn+PaKwmt6k252R76S6mWpb7Zj91KBTm2IKkTdb27+jgLAIfutRymVVSlLjU9/pHTfcaQZVDB YiAomv6g2/C2F5weJmGWYDnxNe8UXUFQgC/fpQsqqv7VCzvWqjSDs/m4iBFFS7BAsaEdVkEZ1ZD4 rtCYXXocj4p1uWY+VGy0onWRm8USnxYyOA/R8l2xxQ1o5z+C2JKxJ9nNuUbdKiqttQ/uCdv+km4t nnjStj6/88VSiMkkIJmRIc5VX6m1Qqp7Ct68QwX4iG7NGhpR0PkyxgLm88nh3estKrpkCuKHZHJ+ BUe+NY7Ly9Ri5Q3P/zqYDz9lm343e7M6UD9mQEZZAbrzBIyu6An/hZkUnzq5mozSLWJyXP9ducyf 3zZonZE+pjWCFAbLrpOZi8HJCa6eBLZzzq2GRBMsqeZFyPtxtc1RL4JbsTYQTLN3KNUo1lIyd3hs gknQZ6FaaVqqmcxz2y1OTF3nCj43MWS0CSWHDdBtsbj6SvwZ3kq8vf+t/vdhrooWzkneDU8F7zIg KbczHWL4G8yTH/C6CbN1jW5Fd/mFUG0Ircj8Uz0ZVvZ4QZDcf7RBqoKU8wS27Sz7hACoMaQ0xGiV XZqtmYErk8kvZQ5FuK6HFxCRJRVJhSg08zsxL3wzceUjowRZbsxVOoktOvOEoFQFSnQf76MopEjh GK5LdjwdZSPIb+kSwWD3FN0ho4RdQzSvCHUakDa5ke4sv/kc+T+/PwkWXAzlgU6mX3JB20g8qjxy gfZXzERjheZXQDQck6vVOp7HPsHFFp6wqPQwc7QwDHzotqmXtDSHmSpHNi0KOfRkZ7bi5/TfDud5 +jYjSMybJapcUll9ZBOfXXLUYKJp1fNgHoNRJKQino8uzYeaUtF27avIeil7jo/yiS6reoSk9Bsg uL02EY14gUQ5PEAVGKOgwq1m2+dEFK3rsxVkQfCKCY5Qr9fD0TIlUyaPWmpqSVVsjqGulIEqfNP/ qecoXAxScyvSNCreQb+lJac5cnNIINwe6ob06nan7dIeGp+LeyBbVbNtQSzbKpMwCnwi8rGcEsdP gE0lUZaBtps1xDPmaUuH6E0lnqjvu1KT7qJTNIWSuoyi7pRmGrIbIpSHGSoYIyWYb6AFV7W7YhLP CzWRBjS3hGLhch03ND3kDg/4g4DOtpRN5Srk3HH7YvJcez2cJMLUyejA829MYau3xkDRvAm9UULT MQfWoJcHYLPlhlZfBmeLVAcNXWEkREokmwfdP7dt4+M3mOkZdpSS51edAXsnRjrbSpduysNx0MGe xR6Pnn8TlF7GLPhcq4WDlqNxOMFT3kBjTvNSKmyxZEL/pBzXIUf4GewVjwGnDG4mQ6qDOSbIfgEw 1Er9UW/8j5y4YdsE1fcJZNGOVEHvtpO+Klokq3xzkNkRNwbBVPd9yptEnA71I3HOcQDatNeZPxke 3Z73G3ZdIcSVOItJtz128nTHOJ2vQVXi1cMHbZIb2qRhRuIzthxJYPRPTcbqsVDagboOj/B8IU/i z9DPswilUBgbYsSTEuMpBJ2wBbxJbbbSrYicqiaQwrmb7OTYHxwetw68h4k7JQROVd7afVYuUXjh K11AOMV+ROfbpYijm7Yx3HHkFo0nQ30H4gVPNdWwqAcTePGnzAPK7Wo9G3u8fkDRO8H1ZnQFCv2d aJdZFd1x/9EXByOkk3JCg5Uoy+0khwQSROrfKZmbXIrIUOGgK/XJ8aI3etgJPbn11rErecws59vL b4osW7bSgxgSfjLGqAVk4MLiTV9hmEAWJ8Nzicz6o601mRy2xFXdyi9CU96scDv7CUWXYj/R8MPt 3fXN9vGuYtyLAmbC1S1AEkMTt5x7KSkSz4GGYz5PlkI3Rv9VTZqroV1coqo5hQt08d/MNmie4pJw I22Pqo1QYLTdgAHtePK1Eh4seNBO6wQSeFaWGCNLEnEeSOhsqUu2YK9Usi6xATFmNX+nN+D8oYxm IvDvAUZMXU/RAt7W8I0yqDNU/SSc4carujEq7KzVCzjfAA9bD+Cp2BHhaFccpVUcYWReqZ2FL2nP /ossdVrL4Ypx4x5tBdVCWAZeqz2y2/UMaJl+T//qlGU3xLAuVJjw5co9bPrvhu+1toPQYem0BfeU 6nA4S4l9HOhu2l3hCSHGAielk/ekeHM/SRMrKKsSlgGdU/yDwIj0PLnzuh5f8OTcoyNx/kk04GHf tHqlLq/i6ncqdjN/E7BgmfawcnWij/bEHJUwGcTlyCgTM5B053yR9OrqgSiMt/KbE2b8Akp26NsE +GdGn7RUVPNLW8lyZvXhEIuH+z4ESyqN73u3aRjd4Xkskh2/sM69lvMr7ZgfIg8PZk+h64u1tC63 Ybqsj58MKdTn/VZszmp9pB6PuOCStN45cxz92MfWgnoC77Pnb3gwo7QyOLsQJVvhynoo4CkaQBW2 yORpH/vkdlDyQBcFlwZvjFVxCm5G9ffACLJTHO2AKVVfuvojanoamWctMyAtFwNz7hK3bmpwQXGp S7chMhyhRvviEfO/HHdCrHOMAfBoRHUJFFAsTf8bw1UM854/A9akNGC47c4bodLMt3cfTMbutfgY s9zvtUTB6Xioz0gI8pe7yzRGEOaVYowpokG+blTrzE0PXl7P+Lpn7vuLo1DMPdy32qPqETM7mtaJ y0xLLRrJoniUQBzZ1LkU6aeKqM0OmxxEM2GyK+gqVU5841PTYUOOwesPkGQcDEBTWvZyMuOs6IS5 xA0yNUsVy6f84r1ypfUVl7l+OJL3StDl9JWbAYpn7u6kSTINDBJZHhP1PQUnlWNB+q3J3fGuaJDb ll/SaFy1J5/cQVka8egus8rFPLa9VN9XuPcUzTIPIfpL3ZQMUAHOgqn2n8yCtyLuJu8CawxIGEQH 3q+BkzF03avlr3M2WKTPtkD/vuoMQ1dGKjhnGlQZ1W8/JQjvhP4jaggYXWZ2UVphwUiayRCEv/i2 7pDLY8EMi7SMft+qgY8UU/blgONsrQSIPiPskl583mYkxCHtLbqGGJpzotQPBDlyqhMrwn2FV6oi CECCXcmDhwzFCBNT4+ZQiZlozs/2Yeeuraln9VKl/bOJxtA+mo6qpOzt7wswhCPqIziVkdKKDAO+ AgPYXa5p+tQQZg9LuxXmNHG/L2+RGwoe/bI3kFysonp/njQoIoGGE6zKG0yIBZJjMu1AKBY8Ek8z /zLACF3xrbtzm+TkIpxXHQA5lZwO/uoi9lP9usJra3NvOdOi5AopwkCkfIhCnNsviYYGH4BdMjxe JofzGjQkCjGQghH6B7ZzcLVSpc6PIm6CEaVtG4n9zxxgL5y7XFyvOegxz5kdO1+yIlZmJSRzVH1o VpSjKk2dkHgYYdelLAft7/2x036U1MnbqXyoOs+CbdBANMsibF/XOkeWGrvQ1uks9D51m3FGyB+o suEY7kpewegK1gDcJP3gJbVTFgq7Yfx6FcnstAdYwret4H5Jm5actyTQaVYmgVgUk2scozYYBsLW pN62eOXyC8royQQ+/hDE0XzFF130KoyWK+G2iHzNvGW24W8qVtbDOY1zm0RQAE/oK+FQkiFU5d/q 6x/o/Hm0lSOSM3i4tDoUFm3eJccTr1Fzq2HsPcoG+xWiLNM1quybL/j4Fr7oLikW4koRSxVF1kQL B0FwkTTXzYnbLPWaXNh5qm85vkdzgYJhwqGWT4ZVNuBzunMu4p8wxjms8z5il40a4Qwqn2lPcDQT uTfMMriE+vtygYWqpicQ8J+E7tEeUDUzfDQnTRBApjYUD4QxZ7gcD7695KQRcXjylRTH2ZpYPLg9 mxO2UhsgP5UA5pU3KY0bhpr5lelcwoO6djBJ6wgclEvseYthIF+XipY9a3EcE/DTVS02lWQRzUje LoAK9qD/vmZazBfZv2Jn0we7xz4EDaqDE4XJoRoGDkMEa2pA9r+x+cKlxNTtaDl6LZ1gzUrvruTP TFQPuy2U4th6G3BKsx7PFo1mHLWGh6Lj9Bo4SeofeneZjosZ37Z4SwPfor0KqFeaofkYSmfE8iku PYWPYXeZUl0Acb4MumrRIT6e4iRSw4JxBQVVTimMGA6YaKdlHopwpv5SBBbVAZCWzrGdoYjOiZhG iRN+YqrdlMqzqayhr5PBsYkAGx917v1Hu2rVNpGsUhxqtFkXLi1iHObR/M6dp7RELIbTCWycSXGE X1gZEA+uzQsTfa1KKv34MdrtwHQtkPgSkr3EkZovTL/MoWAw/rdcwCK7SBvUvYTPee97NpbzWLQi JSnTAMLmY8/348HK7LMPmJIOToJmmP4fACKW3LCoLxhFY0S1qlFi8fQluYaR1ElDXywC+M8cQtgJ nfG/oqMHeSzoUQprN300D7jmiLWUqn6sErh54iRznMVWwaq6GkqfPAs27qHl537f6gQLmnn1GXSu vnX6SAcR7Pf5+hmvBWNBj0mi6UyRBdE08qub1P09u2H7IDMG2u8CVwr/ixuCaQOIxC7FHCvZiHWt 9rNJbHJyftB7ZB96ifvUn2tVv0VjfVdy5WJ/Mj8YPDtFcsxRU6k/oC5Sl4qKOgY8oV6q/CdB3b4Z XUeYpCbL6xezurbPb8JljdyVCsTAVTERPP8Ed93QsO3mTQ2OduymCLDIT5B17DAu5jFEDCXg/4Me UrmDxo0cJwFry1xgdpyb7RuaqDmwEqxleUibNEbMPN428jfziKncby3WCgBdoHXhLFS9rCE6Shey 42kD79vPVrUyZCFmeIjBT7U1vDgI72J+00r2/rZ4npgrG/Va6/LIsTCWr99aln8K4XFzFJdhIGQa j+x0Y8VIRIEX11Miv3u68fEQ5ruL7MRBg0Rwy1JPnu9n006um1sWR23vOFfQoxcXSDcD9R8IM/Gb 6ONdqlw//o1J9p1njfwgKCQeci0qH5zq+sJX24IS6yYU1gAxIqR84J2g+TGrQbFFnqnEABAKU5na WMlpAcd0i75minvEid91nm7Nir9U7VlPf65LwVV3s1H2SPVcpg4u4EdqHGVaScv7s+VUBcFgqDFf LH0xMiWbX6gLx+L2+XhUffveA1/ZdAzqn8PWpUl8nsDdo7wkhbFSmMTW6a6nPcdKBEll2DF/CW9T Zjn0o4TgVDZKdKYZruQzZmO8fJb7bvX34hESA5TDQ0ejzp14pSSkAmbPNVZKIeV8m5C9mnHXn2gO g2lybebVenwSkjCzxeo/1HVGfZxe+9bheqrFUDLxUItqlzRz9+BzU5A2B+rTwnyWM9iKe66riI31 JGNfB3OS+cbPYSWfdfkeCAyLiiXLd5E/SQfKern3zLQAUhDIynrkXFzqI/6if/DjQmKYp1XH2iL4 1JPdSLt5WPirj91uqp+8zHfGf+vhODl1n8fQ4HDkpZy1k39f3EXeTqAihKpuH5K+aJPlaFL9hWcH kRHZFgfWWVehSOO6UwsjqkUIF0E/Bk6JmwQ0KpwhWNPkGi3ALpdYzxAQ6FnNykZ+mw+2VwvyL0HX YolL5CpRaGUQxZhc+0m0HkYuRRYLAGdc6skvRML2X8cOSHGyn/BLjpCdXzv3uSeTdKRFx7mqBxmW 0xl02HyJoJycLhv6TGMggdc4kvuJCSmB79nArsQkV3Z+bBrEcz7HuS6+4DQTUiN/UyYlfojLc94K ESOdLyPy9UriaLqz7mm3h7JVQYHCoSLlxhkHiq5mqQ/aL1eIpI1W/do0JOYtUW+Lo48EAPbVh/9e R0SnDMgHxyMh+JERO304SdLGzisUkBFNH1wCgXqyRScWjQLHKl4yqBfLItLh79bplsL4RL1mDepA iAajuAVWoY72RHghqlh8OYOYJVcwuch8IcaIfAjCDHt+Xjg1UD2Ko/eEEhLUJRqCDZazkmQf/qE/ h9qQ2PRUUyMjcdAhclBAnZgzqIhYzcWAhIyFlhYIdfy/7GqWi0O4fiYKS2c0cumpYJGh5MjOMOt1 AmWnKpLXGwBDl+ZOov4nz3/3tdMQTWB3y9zhFjEfYfjXo5mmd0hbZs6KCw1JjusocpuU2dTSbMOm BVSrgHB6LsVwN+Ifteb3qfAyYGmTdklWUYbOhe0OfON7uC0L/UFqsuI8SdVevJkGC3JgoLdW6QZQ dSoE+J5zSJ+GhK3ZsLRgBmQFkWzv4JrkVpraXx4premqtIJKumEbHEwnOSvwskfEoO8Yc8xgAu+3 tEbu3go4b+sqvNJRoZ6PTxXsCQpjrkwWUv8kMx6F7trWk9ygmTyrZJJGG8fKyrmanynlEk7R9ycx n8q2MZy74ecKZngLTy5FM3f430AQXRwPTwLKJqcAptJ27Ul79nUohN1XS5F13w9uYBBjYbJNS4CX kGUGGjw69VpiCbJDD3QkkBhQZdklc5P+3FHuOUcLEoH2583UqkeKxw31Y+xCJRQqtbUGTdCmq6uy RIi2a3vTALN2ywcb1764z4uRm16U6bdTk0uxTFNWWfqaPCIE8hzP/b3EOf2P61NtvuSoQY52SMWZ +VrEZbnLvX4PMYS/aEXomF1x8BucyanLPhfEUVquEd36KZ1yJDf1vBGprLcNM7ii5yiY9MHtV7g9 iw7AJWpbeD1rFbgpQrkSrc/B6kP6+8hkZMmwEpfuy8yLbmo6XWnhZvN+ATLXPYUVHrhNPyzmzUpt a3y08hQgeM+/3UNMiRnWcu+j18Rd26OlYZDNZnm4/a1d64Myu0QPo/+rVOT2z/mHsBMrCmlWAlSc wbqMHJRb0uXmtP/V56rG8vAMBcFm2JjCxojxAM9ERhKT86+BkeElSF13YWjwZlnRurTjgjgg44Z7 ctmictTPhnAjhivEoHGlqz5w/2+757cS31gnxVBGNF+fnJpubsAZmG+flRyopybbzynQuG0WH++L xaCd9P6HaD1w16i4QWrEB6u6krM1oMb/PSGV+9MA2sRzuWilozKiBU0AdqBfwQgeQtx5bWXAf+Y3 Dpyp48GWtyqcs7Sf2bBmlIX3e67hbe7XR5rCuKBAQs42EpuS/5kn/neErTO1tq9QKe66r+64H/aO UvPkYTfq/uJ1MAbAiMB8tKlY2BYBCkV2WndPfMj0AIup6aVXvQtb5KzWJbQh49fqhDP7Df2D1lZK dmbeyC33uR1Jg+x0eQckbKrVB4/L690RU2bieuVGKRSMWpC6/KUJc/HIF+75boFdyChvS3Tb02KQ ZmkVzYnlVGBW9taguEkbA94FabW7K7oUheQzMnNcHsDxY8msMfWg0sFv87kFTFkZ7gFM2axAYsJJ GM/Wl70hLg6VVW86Yl4JRVclVzSZmwrMC05J7AtVyBFrcrdYpPhpqEp0shTll8ebJLmBp5qfNwHU AHygqISdazbRWVJIhH1o9kGcnzgMq7K7Mm914ymagY4QC0y4WvttcFywAl8Lxwvgbtx4vbVD7R1n WdKwNEaeNrthMoEoFz2RB66MlQ3qDs4Xg4eFBmoPYCQltRPpB8oXw9He4HX5TWW5fCcwKo6uJGWG k7qK7uDRdQwpRp+1ueNOHwkVipbQUQnFM09Ed+forHITYUwO53jTehBCD2gtoC7winc3kHhPP9bw +yYD0oh4cM0mt4FBeFOmUDL6ALwisZUgUgx0lE0zenT2igkHxpkNJBaHMLj0Y9WqQucl/BWWFNKX SF5kk3wHUILwVd7mnr8YdOcGRrXOuG6jc6WOgp7Hq1/grNoawvuSkRzXHovYKW1+4sIwaQBTFqP8 Exp4kf0/bGcqShK53hB4sEfQS2+uZ2GqQ2KMtdFXlfLuwQCn/WViDzIrRhmSmpjBb0GGTus7RISQ bo9RgzD/gVby5s0P1vHpPJpakrTEk9wQuPiDTn6ndm5VwHPvZBqxa1ZmsOO4BbXPejrrYrOtX0D1 av5cxOETG6VzquJa2nEHNPO4YfHXL2NRdqZXGhW8lt2a77on4QD6Qg0WMOdNDK+bSjzwNgfhS8Tp tr6iS0WdSlWl0zW1dB5Re+lMA8BiGXTxQDFeXGj4IT1KCeHpTwjwVawEUWE2P0vOZsFUBU3t0qi3 wMrQ/itG37cExsIyFGZddeJszMAOP4axodta2YurA5qnin+qKjnAEqsJff1y+8vAF1wFQ+TzKYI8 V/3bs0+4VbanI+No48Y3x+s/GlOfjsuhyEaO8uu6GiSWz/sJ+9MfVF7GNdnn3gdVmvIYorBx6Ujp RzsZSVp0w7PCcdRICU943ZN9epysiWj1jTF/Mc7KNw0G6LDRbF9t9gA/R8NhZV/1lZdWDFg5oUWn NiaVt/r2lbNyLMs5SiNV6WT8wdL8UUNud3ZfIYi9kOlH/RxeIUQk+q2ooa71k2Fy+RU9PwoZqHzz 134Il1IguoEbo+OMFy82mjCbnvsS4ieU37HUg6Es3+PECKcuRUsOIXlO+ElUkwO/yfC+Rm7bqKVW pzX6MVenOfZ8CCtyxuX1X9jOzgiFjyHcbMm+1576E6cUChbsWk8gzGEk4+tRuQevoHG4KJx62nB2 rxIwRAPNJZSuqW1pPWf9RERlU59diNpNJgFmsG7n99UKfCXBWgbJG30SZvzlVPPnTQwGh8hjjZEe 0RSZxzm3GKEpjYo07fbNEqYfCjV3FZndeg+/2lQ40Mq8/wjtGDPZ+97Kzl2BxOCDzpafL+TK1Fe+ vDwNkfrVoogQHb9kWpdP5D3SS4rLVP5+6L7QN1JKAOxMCVxUCNqKOFy9mPpTPuL652VVCGxCBx7G dQwxMactcSj8lgIVzSFbxaOQ7cUbgxI7TAECXx7adeQBTbyhS5PKUhCDOL10DrATXtG6Fbn8fYvN JRL1HxJXkONPy8e8FxuWWC1I8M56frgzHOixv7tIqgVmbBmKCiKoAi/y+QMsjY34zq8UA53aljsX GADZb4bLDgyvvFj7VIy1BlHx4bPdQa6y8Q4X0sfcDJOFM7D1KC9cjL43K4evUF+Z4gRp1zBvjGhP UzanDmpGFQhMf4EAb9C1O5/xA8DI9E186+eC1dyokn5pDAJVednI9gsVbunyaVrioxcsqTQaDffZ /J/Pcj95jBfVVNjkAdB0/TeBLIKTR4FNFpOGtnaS3pBO0U51yvv9pHlfiixKW+WbZB6Ohf6FWrcW 8KR0dIdRsZUwE8jzj2L6jqe3avPknC3dQe0qHjemVp1UyArQsTKdnrlJR3GGV1X1KGjtzQvFjLcf sAoLLIorYAQF3TFee1nxeC/rB+JeJT60JsMlZ88gPq4K8KKKiLrMV0kTEAUAhUIbtghT0PVPsP9m OuD1GdWEgykQrIUaa3Ar5sVP8/MwCi67XTi76s+bnIHqCR43QkD0JIXqv+yvlXYiW0AagTzcyXXH KKp6TKiA2ClN98o3crTwVDN+6J9x7ohz6Znzkkm9cYgyE0sxfjyibsjORnodHb9qfBzfDbj7eRoO 1LzplZ+dilVtbo8nRwH2gS0n7FdBbghbhTdc13H5K1n5RpQYlSxlz/UyLQyFLiLk+TzrDj3C74Wi y4hP0pRGMmtYN3x7A5B4dN84e1WRVX1MfyG1Fm9kK2/MeSY/qj9KMRe9Tb4jrtwkphLlC6N9wxRx J4KjNnvw0U6f8O2HXuOsCaFhxBnEoa5c+BZPfHDU+TQMAZ+HxTkDSzW7+E8vlCgflhNK/SJjjXYz ld4soQpGxUOBLFoMsMlpLQLA/sUXdp6dkTDVYYJqBQi1kmjjQujY4MYM5jnnQxgqyXVwkrOVXHrf AYqsIzaXSn+RBFkpWuVS6mx1qj4o6CJRFoC/ZcK0wMl6XCiKMfc8PluVvrCuvflrpQmxN+dHTUqF ha7eKoVtXCY6+tUnWEK5qjOp5JmrUGYRNpRWZS3WFlQ+Fh/Kis8sd0I29nZb/w0d7Y9dGkSZa+CQ GvlH95ltAqfXwFh4wyJ4k029LmOm53mTtVdTtIa1++6CL8gdXbu8hhy4tyMTfM3MADBTHluoZGqA MKBFLtOt9+3nmTkNm/F4L3xY6ISCa1I6LJMdE11uTQL6AulaK0h694KrUyCxtHIt6lQ+PeNPQRxY cYjB+aBvHFYJWmAfDfDvCB8ltK3lpOScDZuz/52R4de3iAMKrpGyhkTgfI3e/U4AqbB4NfN+1M5R W15XoJmRoIsPZm2M+kMmPheKLBL4+7EPBf3zYmTBYsLIAiU7abaqWPR9Vnjiwk3sEg8oaMFojouu TXhKu8FDr+kv/0lI9T/fZgznxzN8vJetwRJuSmXxrnfogur4m82japI3qVzcMJh5sHDH1TG98PvN nFmUVZHV20M0HO2+VDyfL6FimvbXjY2MV5TAu9ji+b+otaSvEre49xNblnpdBXpvxnxQa23SIL9H 2F0Gzkek7MuRLZCWYB92RJK31SEypKB8BP8LKtCvIarAvx1D+/S5OluKtsFzLciW9mQbGJ1GNUlH vfn83Rlr4nBzuQxskMveQMoG1lSy2KlHlSPYDOTX1rSV3LPmfejJJ5JdxfyMydOqFOiPQUDfzvGK g7vNPvmiecnf9mVWNB5MMZC+h9TM7lE5YFQHB9cyFsFjCLU1h2UOVfo9Flgqs/HfQrQ2RWakCqPt CORcs6Jw32CTujcUwAtF49nJLy2zvJ8c5a0/Nxdj1yhOUaMXU7z27U1MC+eXLeX2UxgpVLnRgnpb 4ySO91TcGbqE4obxQ4OU/AVNim8iE7UvQ0/UGDzMAF6+atvkGBfRB991t2xuWAhxvO7UZxJfH38Y xI9IYa8tx/Ud6cEyYkHb4fqehEQtVo3eURIMsZm0pPfz+zzIuE+5PC41WrBofNH65IX1u+R157K2 BRwNs4MhgQJ6NVcCiu1785Co/caj3Wi8GlSAnLE0pypIp1DYch/f/obLLWgUHq9Eetokg6X7qcbo w2qBSps+e5PItC/VHJ4f+4XU96cmQVHuAL4F66HQDjHn2z3va+gq9sZwlOafRNRJLuyytlO3IXvp nbtfPLCvXTliK1nUioTrCgpcD83Gq57e2Ng0zleBuUOFV4sp3VfAfdJvrjCTM4rz0/nGkYHCHE+/ Q+tCmk86og3rPwMeFSeMtm8MUhxOsu0ud9m2oko+Kbof3lfjGVDEBBXRTMuLOSfijfcqyy/uxb5t POVnv9v8OSZ6USqND0ONS45ql5Dte7HcybfcxLfiwPDXFdb0TYlI9OzBBWQe+ruAOXDGipAttm0R MnPTSlCrgML4KbrOd/AtW0St2NNBCwbrLsJrt2YFn7ETiCQRTouLap459bo9SfD6qylO78Yo28EY wnKZS9t/XE4fth98qeH5OpCJdqIOkVGKZ1ocCtqztayau2P0bwtHGlQXOcYoWMyIsRUdbR0T6ogV ZuFpUy/5Ubg4qaQPlcWuNoONxES8iJ9HfB2PkxSWFa8CIAIDZHnyqAaKUavh5DeFvIDeFSI6Holo xfWdNE1WuYzCkgP2w45BQ24O9CCUWwMf+M/8cGNTaNjqiCOK/VW9T7KAFKMxFI+roNb5KLpyO+6f qNk4amV2a6lYqHpUevdUofwGAkH+PqgYFribg/BCeUmc7IXq7eBvVGbPEEhB7Z3oEYi7P2dHg4wK VBsNZzo7hTUr4ejZfDVfnYzc9jfXAucCqOQP0V0glWD/eM76v/TVHhYVpsLDSX5riTGBbX4ZN+zK Xv3/610nJSybJLCJzveUrCqwIGzV1bAYzyjXLTOTH5HSPjQZ1may+RTvxgk46B4Ij34WN/UkNljk ynUfeFkSSkobSn9W2Ft4hwS+mcQgEpPw3mz2HnERqTl6qvsRTxR0MfQccNrpD96uZYWo/0ryuJn+ f8T2PchmPec5yp8K/STuzxlXLz9urCSoKZOSFChjX3tMR2lBcWX2w7oTwwpnu3Fqq2Sqpr3Q/0gy qE7iuYeSJMPwz1ux6YMq8cRsGIIo/AUIDFNy4fc1fTu9NXdeM8F7wpVRSagSBLG9Cmru1OBeNlHv C1BmC9YqIhZebh7xq8MmppJfgkWZVcu1Uh2kkJmfttIsuOsVm/KuKyvh41m+1ENljD5lKLZB8zz4 uIRzgMtqS73etBAyhbZZdBvLimlpCCt6T2J/I+eassg50ZK8tuWmuKPYh0e9VstT+r01jbzywSqx FEn9pAAy5pdaCJcV/b5DwficIqjnJYmNvFd5l5Yl34kXpwQfWvP8FRMzlsKtOjLahubdhIGxTN0O k6FkkqLNEJEskf8L/rFXy+8O81uCtg/mPk+KgE5/FpF4mFFfvxyVJJkF7h88FFH8niRvLh2Sm6wY +BwoO7NthA8UeHCxxh8PLDcXBXvP2+AdjicIMTolNrasyEML5BUZA4aKVJ+icul5nTyzTeQVXkXH mfKa2/ZjwsMD9ENw+tmUZaEZfBVPXsys4D+dG0PbBe2DOT+aEjmEd0yWK+hRSAuh5Zhk0ncZ3DAQ G79SFgR3LP8j1VZEqn6muGDl/ibTfw17zDHVYEMqNb3TPF92IAb56jo95wLrKzpOlEAE1tikOaV1 haxkV94j0EC66gSaPyBxMV6kotfSbMbv42HervDp+ORPEt89EJKZRzUSOdacpf55p6kDtcJD5wg4 JJDiCst0//vIvrYNosYph8wtMLwQ5H3/9Zw+Fhm+V04VLZCbeKL/UnvloqutSjE8V5HSnZLlFSW7 73xmOFI7U0kdLayKWD7QXJiIRElKPCHxU6Up30bmhVovjupT/1pwZQOTTPTwSTHOOGf1/GO+SmOK b+ayNblHZXdOpsPS9ljFlLBowWCECLYcpTVQv4gyGwpgcPFBKJeLVyZywQqPDdGYjDV/Rk9qjskW ON2UAnVdVTXxrBaMsrMdGVvqHNz8T39lgoXHbFkOxsghZaEpUk2uMfetXPXXdF3yjNlLIJkgvrBC GIlGP+WlpPbGHqtE4jrSTUBCKdckfQD1pWVlndxvs4EsFST2uV+r0Gs7xUjIkpzvDCnFXPR6RLUJ Pm90pWjt70HzqyM1g6autZi8Dlu1YhDn/zo/h3LWMCdY9j7/D6zC2cgaPidIo1WD/9lO38n+8Tj/ onPusKKxcyoKzosF9HetUxBGXVGIBTDWEq7qQzGEyjsMWJXVEeXugMSCTaNAM+LeWmFA+vEBW1kT wg6ZHWnD4+fnTyctPo0FqaUZFAMEBgEFc9j36iYQXQkUwEdcerhkpxeq4ULS1kjqZ/E1DK08wKMm jj630Wcudl36uoMbKdgTuOa60ujECWlV8d2xjmItNd5Rs/FbAgFKlvAzV7c5UePGsVU9ANVNjN2A O4A7U54ti9yQjMMlabCm/GeGPXJeqJMS8IJulmmCse1fnNsPEc31Pu+3i9cegPjTAoruYkK9kS5q +T6xACWxRnxy5igtdvCcncciWemhKVTIpbTFeA0wJ395deufFlfKD9F7CVMNIIJVH36Yr3IDwqnK rV/agFxp62bmDMkIl5dPxGkwiQe7fsss5FRG8hf80cpEFINmu1hxuEgraeU2qYyy31Qojlin6lOc +h0rpYXRFtvw/ehqVB7WcgFWYqQrkvBe9SG+qhbvNio0jGH05txwGaYHxDBsXwEWHE/Yd9dpxOKr A4k240nujanftNF690JdcO0OMa7+++Mus3gsaiVkdJeucgfijED3vjsViyvBcBazqJ73I75sxJjP JXAkBS7rUq3h39EfsJ8ENvFy1K4Mv7lVAiFMKvzA6GVbvz2zH/vkxOl//8GlvCao7Wi7JzVKRCc9 Et9EHR3llsAYAqaD2n2KHpv84rpM3h74/2sYSZVHvBH0s17ENLoYpD+yBKildhEaCTAT6cBnpC7P dscnvrSqLd9nab/4IApPU4GtA8qXrr9/tyD+HSdg7kwzxqJ5mgmUMFv5weNOcrts7bzqYsai442i nlQheHIzypbVK4iWUXy9aCYRjATv5Cjz0jZbldQv2xt4TGNmfVX559L1ej+osNun2xMvlmSlOTF4 Yd7mswOqiG8VYQwlRf6qnn63WZe+kw+WkGUsyrHmlaOYXopbJmpmGHg4uPlqE4BR9/wq1YLsjE7Y KO03t9PXAQQJFBoSd5CLrmH0jDf6+IyL5WAkHnKq3BD6URvwX4S+h96kpZS5E1MECvsvrovqw9to SwzWn8S6j21d+BCWmPmAXkZogGhHKT3J62mrxCV3Dcnh7p4LZNZJuC7Z3hLTwVgesPrFnLlB2RFR KPRFY6LSTv4TCC7ZtGHYfkog2VzZtCV+Gy/BnjM7voyhKiJwsWI/OruRHpk9sf5C1YwO4yIW8aZS MUL3163phbUBLk73A0edxyTz3aRlEGTY7EXuNZ7YhPSLAqJNBnF2qkkL36JuDyumez9zPeX6BVh3 3kPCQgq6MCCiuWPAIx02pnCN8X7A9HwUmG3qieszvlSk4t+ZlUbvze+pjJSCIV/ihqoJrtPmLbhQ zeXX9Sr6pxILAgZ878DZ8OHZ4svonGse+xl/Jr9lYBzVyQ07/kMVHA5t9Yk4gdBisXkUWlk1/Cx2 YMYkUZQoT3cmvJ/pvOxLjHzMtOhIck2cw/dOG/axQPa7NUU1nhiAeKBRjtmfJILzboUSzUfgfajj GkZk8r/1fLymaocpF+uLfOtht3CJ6+K4CWulBgN9REK6+ZLqBaNVN4WNz3gG1FqxxEkpxfGBPcXF xX1k2W91GiliJCrcBxeDMxFiS+bNgPtum6vcD19lHbVcUbXwMu2Ax195/fb6plS35eUBJeW+9TDc K8f7pYGlUEey6wcTNFFf8sgmvlz0/fJO9rTiSh07Pj9ZyLRWZwMXSKH6+Y5yijw1kduHvBrRW6Kp dtfTedxouoyDo0Wo7oyH1VPnF+Lw1KjMpensTROrhd1lU9D1k+panaBn41vH/R1787iV4a1WpF5K QEcUPYJoStZlSyoSVQV4UgkDJMggTMegfLrmrKDclcoSstLC947Ixbe7r48jbfRl/IK4esy6KGh1 Wi5MPMOGoIxHaX2ZXYScaknMbHExiBbBSv38I7mpXbhNN/P+B1roXFm/ynRt8E/v3BY4LbcgkQck Z/FbZD1TDaZKzeDMRtfpGVYEu+/xaZcEvun9g/grgZty8QWwBcKxKlS5gu/ecUaSQ2Dlt9x3HsU1 d3fz8xsZcc3uheXAiqJ0DoGD0kL9e0Lz2rc2aWSmPImG0mM9ykVs8Qn9/LmJxFiEIqUHjKlCSJZA ZoIR+EN0/uoQFoI9mT6+9J66HhCEW2kG+dQ87rW+Q8MRD6wbRBXMo2mfenV8LowosNodSn5OHfHh Sda7SuWTjL6irmz6zlEN7Z/xWoUp3o8TQi+J4A5/gsyun+kWZqUTD5uhZf1YJ7qIIYg5C8VKRE5q TwavmEHwZlW0xvsoQU0MThDlLLZFLF9YMf1jZIK9yEdg3VGVMo0m+JBJrd1Me324RlHNOQ50ol+S lgcH8aHbsppbShIYaVsaDWeSkqLtuIWfFPLjscYgcQsBMlGkuYWPXSpnQz6w5qEDDMkL1KT8dy49 fu4LN3ZBs6Soa1V3O6yxDnKB+NjIZTXlQiXmPMBHhjlwnmxX2bRneQEw7h8g33wZqnvO3FmsAY+7 bdCAjnhDzskIPiPZuS9sDFv2YqsU46kcCeNkLVJLL28fJK2mJwtIKC6TGCdiyYfA/ZsOiEITFzJq /AAa3nq+TTkc9WTQAF9R+urLGoB55iCH65FUeBvWP7rewxoze8nNyTa+wOep3dBPXWb5uye22pWG 5g3vtS91H+5MzCn6liyOEUvLf0ny2JxP3YXYPjxC5c/SjDZak3wdAtjn/zzOom6W7ofQmFykxCQF GWasDoXq/4Rkmw9eMZXtlk3a0oHM6734hQbPYnfaNdq1zrZmSzpVBW0ur2b1bEV2crLGf+P9hY+w kRf762YBaTAxkDfGyyZvGio31ENBuo5VIsCd66QzHlxDhzXnM1RjPssbGBeqgwi+BBYiiaJ71Q0m yThCuiBovy3PeFrqgZvwL7+u7pmp53h1JQzPI6ZA7q9Y8apFvnxnLlhl9Mb9wyih5dWAjE754e7x oJpKcNVvlDUyaahuDOk7uxsPA2iHLZDIqtTQtMUXg37m5FyhaSlXE2oFHZV5bW3V2Aik1Kd8d4dp SsfqzZnOzflw3leO2xEiEyhfVbAHB15a5m+8XcocWUN632UB6izZgp+pGPv0n035z+ecx1fx2QxJ Fs8tbT2whZHeppv32yR4dGfucLDzwjLO6AlgOazn/7JXdCCbLl8ohF6ocej5CNLybwjia45z1rTH 5YsdgUDwatoJVH7YuSi0fMwNVjCxIvrIzokdt8W0Z4nxKjglhIMcQorukUzdg1nvdTHR27u90BVS v0CO7IqheBFty9qMyj3yZCqVPBC8LMYM2MtcpoRO3BQrMK7nQuUUStNjgwSNooygiQF6mLS5tsAU dvwQESju18qI9AcKWEH6Yjau0OMSKeHtmxsh/CQ/O1wu5Cn5DN+dA0Hz0BpSnJqRfx1mLrP+DClD 8H2vqw0D9PtnbwYi0OEK/D2qW8MNNYgav/o9raN0pH/FqAQ6hcwnZDhuvUTdIYEF0Yvx/j5PSjXO Gy8f07plC6W/VTolQj5gaoOnRZMVTdUDFb4jXqKhafS645liZeAJB3p3E004gcZ6Tn0qoYpwKnp1 d0pvUAN7r1TNDBj9hctJOYLRafSV62ETZPudbxJNwwu1H2VMpYryUY7EUE+CWV4y+IOSAb9y9NOy p2ksElBMVLVNbWgDUnTbCAImt+QQqTrQuupEZ5bfUZvS7QI9cT1lsVEDX8lOLLubtsjPY+doPx3a h+4Y6zh0kcqkddI+TEpgVXjA92qU3r9jQ/AVZRoRnCFy0Yj7385xuJorq0a6HO2EBTBtm+VsRf2S SiF5ogbImiTzgLAqKALlgPas0RFbgLek/AnQvxXljUAcZ3zxqIKC0NAtpcxIk/rEcUugoneKoNyZ b0Wy8jo5K9B1wCJmB37quJTT5v18fZnqfd6emNFgruo1Ak+Z6/nxuu8bjVs0IdP+WkbnlkA9nl9i M5znTIpl2KeKeKx1LUeYto2Bndmq/QAkOTkpQJ2CgtCa8lthE+QbMN4bjdvu2XTXxCZjtJtDRuWB 8rvYG08CSivPlwbTkxJo+mKHqnn68OdAJLqOTHrBMY0EDW6n0AsfLR2sKsvnRqPDgBDGjcq9lXCG w8y8zUOX3HouZ2m3eNXjaW5NauMAMamzO+gJD33gbA== `protect end_protected
gpl-2.0
f72daba259de2fb6339b55941be2b2db
0.948539
1.836852
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/twiddle_gen.vhd
2
11,255
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block AAKilnOAEThGMLzJB5JvEO8m+LvEmbWYSWPA6KSkSGuAa5f0kR46n+eOaZmRaf3RClV6w3lwLEWP 0svWydi66g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block cDHXaf5dM24/WJF8bNmjCHLzx61e6slRnjEZictZpRN4sspfIh7t2zBUBy2rb2KiERl55PWaLlhU LUKgyjAz2f+gcKpLnDfnQMPwQhuE7FT/Cw5TN3shpsoTN8kDAMhUWVCeQGsBz9QzVqIxLLJ+hLeE URQvOMIhT0DYJ/n2wYM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block v05YcB343JY7d5eHRBPzaaFImflJ4fhFLxxXr9UgX9GNYrHOLlXZDsw20AAx6xORndn+MhQph7u/ 0T7Mzn/m6yjK8rQUI3AJbRYbAQIWNxhvOR1dB3my3dQynDRhHhjJQBdu70iKZuRb7lSFJsGNld/J rrtsYU6DfKLeGDCb9SBwCciyfqVJwSNokLU4b2/lGR+bqzGFXvgKAlS7SaScdOu/R12mzXXtajTf 2nwYby9NqGMVHtEaTSjLxw45vm+yiTGcu/zWx/EsOMiOq+GxFw6rlxhJkUBJTImzhwbbZbByUQcZ mpx2otKEOvrgOY11Sri9N+YFNxLv7/eit1WKRw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block f40uTdf6qe+WDkHtNBc9v2uWgiKbkQS/4RZSinZgyqGL3fuYtjBcwQVPF6G/65ZJtGWsQAhdNobh dusJSFDxIvMKDnxsjkXBpALW3ybgXiraTrpHs96YTOPlSs0li8aVuQ8fBOXpstrLPkUG+Slf1MlJ QR25CJP/aKyLucZlX+E= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block m231gWc/8RTdiQjYSX8V6tjUvpn7MITU1Q5wUjRB+cCxe9Avwj6TVLRFOK/BY2GaBM1XlnA6uRXK aloaxRUlCFgw391LdS6059T1dXzMcVdGl2EwaGQLjWjpya93RPbHOKV6OUED3J+iUkA4lCxehZ2r ZqeYhCKxShHI94zz1ZPIb4Wwk2SXG1Z8exJ/IaMias2/rqhGJCj04UaFAJHVC35nXoHtKNPJqFF1 55PKgLsMZqhap3vVcc4nZ67q+LzAWTbdBpqMkoLlclKUJyA76eRxskpc7Uibkd5DPwcdnjRvTUcr 7vj+/b+Q+afBsGw4W9uLIcltYKJ9aFCzsXtX+A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6592) `protect data_block GzF/Q/0IMoj0DqpIao2XO/nlJHI4XPVatkBKArT8x9QdMFEkJjt1RlfgX0CemHJkfdHhmPL2rMQR rf3nd4IpzGQFobXTSOsB2eGqKtC46k9rygn4A4QiiFWLiRLeC3Cmj0kJpeglnDsDMxLkd/dF07E9 XD90Hkca4YC3m5LfheSMzkZWEZK32txcl7qBqhdJUgObsvKNi8bjLtHmZm2i2NT2thSYixfQ2uqy ns4awtoBvzw2rerbrodURZr1L7SGTauCjkXQpJHfU2FO7eN9DMxYjQ/RG1q3341sOo4DOuqaOL/s em9avG3LJG8xNhUnUCi9QmetD71B7aHxddCitHPkunn6k/ajiwKOG5y0tPIlQHSMeQ3AiCIzZ2nw hM6kXLDRTEzPM40jxFwd04qGuBVT+pvI60neuKS4bXPCcPxcQYz53JXg+JO8nSLA0gUswXNR9pi2 U6L5exqZ8FA7hD+jf5qqh3Ugf6dIoLNQ9W1UeHrIWTdponELsU9hndczUzVwudqcQcpn+Mx6XTjW FBc3//O5oKzkEeoffP3u328OA4On+2RRG3NizK9HRo+sZWdGhzimUqiB9bdX+VMoQzuPMVdakNqA b+a5wmS4WMEq9Z/FKd8sph6HdIQToKun9J7TQOBgHqOt63LH7SSKaLuGAZoqiIhxUpoNmVnMFuhS yOeHgcrOgVjCE1Zwrb8eHok6FuQGN/TWqDlDnRZcEDr4L8+/Nev/0YfFJzBaJBrLolIrhmPN2kdI Jl7ZeuqocDtgy6dfX3COpKHstB6Wi/66jLDAcx+zYAk8PBJm9k0832wKEXxMYyPL+w//2RT3h7Ra 8tE7jDZFZWg5vPmx1+EdjQXHZ/GOPKoHA/9MFVziEvnIcQswzQF0ogPJ7BoqBXJnIu2Xa53sac9q VfICDaC7bikTLniQSB2j6TbI2k0mfRH4QQq7zu0/6pyCMNNG72YxOOd5EPCIncM0NNB6JeNeC5NU LcIdCUVJ9rGEpaVGEj7ybo+cJwIfPkwmA/Ca0J6wvRYl8wtAuYEzIJ2PjubA9e4TaBhoneCfFaJi O9apAT//37UFjZLhBwNfwXox5ZVTDSR33cfKw9ySuIyKlh/9sziNny4+oVjaiCLYF3gCuLa0aszS 4TUVja4HHa+53N3qfIdXy4uNoqEdXSPGRK0D8b8IcTCcvIJuRDc1Jq6MPB/Rp/QDqqahymmJsgSj HMxPuo4+Q1/QHUZHfkAF4lh1YgmtpfU8+XszkUqPoLJm4crNLJLfa68iT1e78TX7DTJ3QOFFe+5W 8KyMkRtGY/+DJYzLwTYvMmrAeZXiEqR8yLNdOMOE73uAss0jJCBSarEcqp8rpsAadTIhgjffsClK ytUq12TlqWwsj57tReVWgI27gUf3HPUJgqtPeH7UZjyWVUYLroWi+vhTV2jhsYCPDzm/50fARC4T eHyGGU4KySidgfzq0KW+JmMEM9cCrl3/TVmhoPaELxh+rPK9ezqLd6Kfmhs7S15blv0K9gQhRE5U JvDUunP5uuLRv0rwqHUFk3uRjhCqxp/jFOvb37Eu7ezkoTnxAbTb6i9cjnM43wRCDMS4vJzz97Sp rNTdRt4TGmSsdHCLX5Xrbuauq2mdvmMdV3c/CKlxVykvp9rtIY6IF8K0ixhFqukPZlT7rwwokD2c d7sjOmb3xESfqyAnggxYjKxQxajx71D1fZpLFGEnloMeoLz//C8oqO7R/9D4lIyBMy9OeHPNVuMJ 4lhGQD44vGWT0qGXQcgfyZaH+2T4RcfoAY44mwuN6xIn405SiBgjfUgF+ZJjzxqsh4c+WSKJ46jh Thg9l6LhlzX4QEbk0QGOwu9/TzIkXBzdjL621RdwhtLeJgNHaRiI/ju2uo1DgZlbZu+TmHuO4kgu 7htOQRGvZEua3dzeduMOMq+K/8Wxc8d8zwu5c0Jjr1UDFqp0W90p9YL4wkTXbkFYzQEtTX2isPEA VWy3J8wJ+3JZkRzonx/KqBVvvLJLK6/5iicYElsZJmANQwRXFLu0EUSOEEvIR0v238g+BU3L3wBu MBAhLgYFVqrvShLiZL/M3r+97zzo+3qQLwMhRPw1LPEOE5J8vDa0GbzQjf+EpSSV/4GvtoUt4UDH GJOZyNIBU7EUSPCSyQ9LMpk7Fe6yf3UE+Ki951ueEOrNxHUk5zZ+u4+Gipp7VSQQW8f4Vm1tXiyy lVU81Abqup5ZqkswUr6MvVokNiuVNkmAhNnYQZp6VRkT+JmSs8voTFuVWP3cKdymKiYZO4bpRbJC jpslJs0H0beThX+aZ/EekngoAnfC2aNUmQ8LWN59bEXdECw9LqBCvjO4LrsV3Z2z+CdTfMc8Ksz0 6tGWKugL0hxzdqhFYB+hkocQAyUxh0ICjJ+NVXVjHooSxVX0Hvg467vxI6PVXPU8AWAo0KhO8LZY rKMBuex7YuGTFrA2BYGCJXyTBFNt93dM9AhmE0+YDVJhE8GGMw+M5GuShTl1WWMM+yzY3dzaxIKg aoQWkizD34LqF6IBl9Enb+4m/qXl+BuB2frvk9gdB8ddOORZDaaVKoOJh9eJdzZ8U698luE/mu2C LOr7k0TVZRcqUixjvUfJqJUl6OEwekKINsW14h2kZv2PmjQ1rlzmtCvICvVhIBcMiDcYgEjhOUDa iwjBZlCd00tJVuSVsWDr6Dl1GY2b2RGA+2nQonJVWwUo+kGjXewC6pybESbpxEUoS0S+Ji04hIVi dQlpJCTpfuDQAFfjfQs5f5JLEIe8kqDZmWIOkvYFgDifPeh73c1RWDK5W0XxbMDsrDPmdXujVgBn b9get4AJvPcSAJNf5qwe4yBooL7LQFNJx3+OUtI1lPGkB53gsKnDzb7A6hRBOFs4NGNciSuHpjcd g5RxgVQxaJTpJVDSDCuMs7mmaaG7/voh1CDSlAJh+aIEkXoHDpyl8HO4tznnTa9RROyhgaWa8L8Z 7kK7FL/5ipRmVNKRPnyRJ73jv+GYJyY9FYTEdTbeLj+i81t0m1NT0KEBbAvTjGFA9YBOAEF48G6h h483dI9Iy81AeDaHDZVF5qOz8G2sNZxzl6FZI1de0JtLl9RLkud3g/7IiFFDZATAQgsXDGUQttmt UV5+rUXwYKsV8NAcTBBfa+BCoT4MHiRnaVmyhwrM7Op4WRypnXLIh0jXh8EWARGQ3Fe2Hmv5w1PF 7lgKqPMqNIka/2FRd8YTMD+q18AptbaEQk1uczD5rCHSmzJljAO9pgsTEiuuvAWZVn+oNjhkvy++ +8zyz8yOBFsi0Qqy6eEbDybGYzjpVJQfpJ3pvx+jSbLgMCi/rF/Zoij73jc1HmGg7kJc6lyjJ5eG 1wW1RRFBV/6NpfuFimINXEpSxOYeFYVA3E1PNEVq321QGgg1IMnjbAkS6j6Y7h1g5S4yM7PxifVQ KS6wJpg31MwhlgV8/x5ptS560HwnuuKdGbIG4fPW9mu0O/SpFzxmoOVYWHDsAVeeK68RT9tPdpuv uEsWebKwMECJG5Uhz6YuXsO88ommY95aMhTAWf15fGLSstLi8Wqhyah2NJ/UQjgfBQJguWjcc4HC e2Jt0BK3d+lhnb52YBTKssy3uS+rFHtEGY6X6E1j1sCw9ngc1wdcvBdhx2SPvX3W9x93LFygASwX V4auGSvvSVSV0+TKy4g6pPX8WzYl2Tk6P24h3mOjY5v9gKPsUVyB2ekcfpPcBIXDIj+jgd8DIMSv 870nTVLC2KsRq0LbHMbqQNjYgYyPWiaAtbv14iNfHgfNFN8wXmTDDmfOzIUs6vkYnniUe+4XnylF Z5MmOwwdmbREA90sNDlWvN1ZMqbVzYc7k6EF3pMDKOWy+H6DSV8SDJHnKoPWoKWkRmmV/ebAZd6K 16sk9iSr338rlPwLBniqri+nDk/TaGgnliOOrgcYSBK9TeAxpVGqoM7EQgho/mu3iKCzrXGOOQIt 5Xnt8lJO2iRqQj//RSrtiKeT3q+LP8BDzxj9jsWWYZi3sUVd4DtLBhnaN6XAjb8bQSI6Yia/0BlK 87kyTTxEElXafTJmNqpl+XlaqdKDJ0hEiuD3F82ucptS+42RIV4MuyEc9qoyi+oLLyTupTPRl6EV Fsb+MLpo0antqDWCZcQjkGPafpqPEVPCJH69Oc8FsKNN2rj7S+1eRxsQqdBPKVW1UBbRUS/nwh0h lfuIMUnnfwf9mHWRuXsmwwxqFFHRJneZ76iPGJEQUb8AB/FAKj/J56S3j8wmrHVuMyF4lEf/l+0j GhNg8ukMVS2LFyjD3eKUONXEExToNnv3boSXYhl8722JH6LnThB5CmTIlLQi6PLouLEgPtYKj6yY Bp/P3XKWFzVFKUBGVLRgDYTnQviZn4zlMBvVIHiCXVctgXZsC/sfn1GSeU23QewSOTlVTDNPLCEA hURKrIFH6SluCo6CwvWbktnN2tnf+SKPtvx1vk+OxygPK/N0gCQhbkI3GKi+O9idSHVTs/U9Z8F5 kA1zWDed+ffAMhds+wXtooItMr1+7XHf6uVuhQH2sjLH5rp+peJTDaleLbEqO5ankIZyhZoLXbfm yq13MjYPldqYadHnUtWPFlmb6B/RMV3ywmDd3ogUjchcH1PFIA50Z4i02g+lxIvn7g7+O9Gp1zbG g9AJygB3Bspo0fTXSA9GRgeLnr218hVJvhu63+5s541O6g34RTwgkHc8MSYmnxsOqJ2UmVh/op9h Vlvoeyr9gsUii3waEtd0Znatai5QDfIxGkoQ+u0cf2BM0nd40Ft71w/bBpmOR5zpnnjAYHC+7kCT nHvfOxGenF4clx4tXYo6u7nZu5m7gXtJnbvY/MRok1XDN90Lny203oWDPv9HVoLPa9tOnWutgt5H CJEXX8dUZJ+UTlfGX5BX56sg87l3wVmRyz+OIlyqufDwff7VBEeHF252mASsx7JRo2m6xJfwjDPt PSAswcxfBX6DBUCxWP0xwsxaIh0a6ctrBBnCIioZ/AMNb4ai7Egj3Q6g4jyHw97j6qFbbUWcwlvc nxs3Ot19eTe/1LiBxVTbW+UUWXZH1zp+KgPrnhK15NitJHLdwJgFaHmVuslTxOjqDk6obp283Juk hZgWYlvCGgRI/vYm4bp+aPj6ZzJGCPOxIo3I+GYIhODFaeBWbYZgNkph3zmcxT+uAiFCQMHCB798 qbAMGScn6jKpSk7j7EFU3k3lfRqyRscdPQjAsQ4gaPcMJS9CGChFBeXj5Ck4v1d7ScQr9mIeYRYy LLMIs1wgptOsYEeNexhmz35pkmDLCloqnLf/lRDMiDiGR9/Z+tHe4/e5oyUELzPoj9OummQqZDmM CTrZmYK3zMHz8Cqs4g4Jq0LF8kbTKYcxXbc6OyeGBPeRnt859G/5+2z18+9wP4u8oQa6XYEUZJK1 nagf0alskyVozzd2FhUzCCnhHk7jMWVKo6h7wBN1ygcsRMMtdhTheBONyM5J+qMIyvS06jBEKF0g aOimg78F73J0teQZqjybKzl0R5/Gk5R2cst8Q24pFJXqyyrtI5VIR5ooeZybwKx1X/JkI4cHpQre 57RZRDZcdWSTlplqlfY0OUMeW0IBrMWMYY7kj/mHaBLgU/NBj+0vyCVYU5bG1yGNCgBvRkilhBgk wUZmJ0zrJXDl8gbnoto7AaoiIZCToPAc8FQx/kIlurSiGHm6VTXQU+on7E8HpT3HvsK9T//fYsrh uK2qVwo1p1+DqmuNCe5+EbU3INeguWoj6DmP/lKh8QvGiDZi4+a92DZzmeIcjp9+M5+IMTQy8h2+ /zmOOzgO4eUBt43VmEKwFwutNr20kveUefOov2AVBEuCd9jPiMTt3Ti7hEkRl07zkwor3DoCt92u KSQ6GlhjwbFMFi+jleCKZd1iM0w+sh6VcKdCnfx6EbmbZdHyUfNoGUxvawoiXZ5cWPNqNsoTfQyO r0Zks20oqvCiHz3qKx101Kz4jUu+sCRxgqRyWtsZ5VZHz/CEMGhxu+NWVsmGqMotAZhFjSKvBD6o maUvza+clPOCaTBCdh7PDqhbcH6pTPu5QgQGpP4uhK+7tP6rcfzVCKThlvy0W+BV7ptJC3o9X/qT 23EGQ5Lo29lV97LUetGRPjOkr3utFnxIW9BIP3GSnEVGLp8gg6fi2c09AmtwZDed4oLeCKVVz07/ GnZw4NUWemulZXIGkVPxuPoY/twGZbWvpTc1PQeR+gHTls9E3BYv0O0qTVj5VWhz+9iX/UxUcyVq S31tZA2TzmfA4+NvxKuIFDISkdVBOvfYpu3qShoUSC7TySthkUScoNwZ/ZAn8SZdGX9PC2SQGpHi +r/XmZrFAhEWkZEvRpxd+4hdQYqT06dVzuXq4xiUkxa1tZSt3I/v9/bXN0n5WgAL2to/xi4cPfsQ Cm5OJ/2mQvHZ+V2WAy7TMtJj0oYpNPnam17fO4mVkLIFJbX6p3qBR3O+I8FwbxS6XNZYbwgO8HQB GoYDCtAe1e2q1wvX5lV8QQqvRlX0osUjbZWQs9ZCQLoKMt+nh6WqWZduQmaQaG4Lcr3WdNP3GVZv ZuNvY4NZAb+2GJfjd6gI+ewbP0QHpELypkbe98/8YcjtbClA0gU0tuw6htzb7JzBO64wRlcdcVEt QSEkbQj1Cuem/2mIv3i0db7FAGRztS4YfXXNDZHlUyZhq2Y8IK3HCkKfBML0ZKdhBf55EUGK2ZUL /GrGlB9PdXqiBBgWFgh9TGy0JPB1pA+iqcB3wO+Y4U1eYe6R7SpjuAFU0qZck+5dGIXzoOFEN7Ui P+dVuvI6lIPDtIsqmyWiB4WAtcvwo3V3Ww4UR+o5KQx7THok0QSqbOU3x4ydoi4Dc69T9UfVHnQg cN/mkSbeDdOdFv4XRx8ej33AilDHJVpXRVTcunFBjXeMdvpIicqK+8FU8QL4RWmJkCS0iZ0O9V3S TVg2e+AP54IHhzhvD45H2bVEprZK2MiA3YWekrav6i3SuhyZWvoQtUYf1UFXFeBjN+l7ac4d8qUb mdz4/qCSOxTJv8HeEBH7NYq0Aq1xlKyemaY1QZ5SRQQei0kDht0N7XZYLsMCus9jhrVpESRpcMKA 3WLJLWIAtAyMdsE2zcMLadhh6Q9yNCtbolShrzqkxOO0xUJKW6aaoXhbQN2D8ZYLblMTON2KHyxp CwWUvfK+0Q5eZd/101bqbRYbUh3vzfsTlfclWE5G0P2eTwEpsmRLaQm39Y/96BZFQN8fXgb2fXCd NtSznIB9mr/mZJt8fJFY1MOcznxSFqRQS4ionlfCHmVI3yl6S/x/P0rO06dw9am70kfipDxFr0YB 7bHl/jziDivdI4Juhfkn3BNDojXDpiOsatuoL+pzCdjtaQfVlVEP/wh5xrDEkfqkvc8tvpr/lDBt 3a6EVHxjrRQi+4Ix8D/6L4ItA3/WuQ2yNWcHEEIusfsLRuyH9xef+cKAKMZZOgRBuC2d+CRBaEvX pnG8accrIGXZez+cgK1QL1ElaAO0Gn7/GRVE2FqPcH93BFEKG/EExUtJcGlsfd0S6KU0oKpT04Vf UIFxuNiaOPgAF7D2ZN5AQcYAVbNPR3CsQDkDf9P99OOZ+ab6IgA7MZJrR4CMqBiVy+SKeuKym+se Z/NNytNstKHQQOVxY2Xr0xfeVNdc9fscWnDq/ywXPdZDFE9GD+0l6bKL1BQmCVw/2GhZDkBIhRaJ 5ioPO4SjA0Lwyq/p5HWrK3q2r8ElVwEFArKF9lKsKqAALZc8x3bi6Ziv42YU0cB/bxKhTYbZ9tR5 e4+w8mBvlkEH9CMsez4ih15z9UoGWMlP2F4omKvjnCvAmJMVtYI1qtUKKrUcjgIwO6MuPFWDBLlD R2YenPj+Kbhwt+1SPV0GORn1M7Za7DprU6Cnnr3SHUxM5R9TZFcsB/RuMs1JvF+kQxmcL10jkqkj gmLWSqXlGi/tPSZzfdbmgNQceCD5I1ngXKWwn0lQ2gJ+icahJ0oaEss30z6oTi3Bo/5slQVy+V46 Cxbew1t6CR6aKJIAjfkIPe2+ixGmRkn+BJaDZu/553dm54+OV/J5dNS6Q4EfzUmeFF9XdXbK56lk U3biPdWKIKP5NW54ZnFaQXaB6iOHIr0D/eaTkOxWyL7EyTq3miRN8EevyYQBFm/it2HCdRMHadz9 azRW9TbfLyEIVIO2E4FbdyY+6XLLPaUUk/uo8zrCL6Vf2s02sSJ+Or0tGYu0MFfVNwp8ZwSJkStb 1rcikMnds0Tm3sYJasL0oFdx39WQQIzYrYP1jrOp5ud7/J2NDLNpMcm7QGTPlG60gbXRsjhJrG9r 9ovLDEPu1k+LQwyBVY11xlKapQiyKxP7p91qyHwwfi/ClJkSPH32B5hkZnCz4Bv4jBEfa6X+UGix /AFOIDubt65Zx5HgYcMbFaJHjAv0frnqG3v08L43OZrmRtKNL4HWBStps9WRrpGVrEWuGWK6b5a4 NAZ7EzMeMqOqWiWX2nf2BBBLau3x0V1AEqyYbP4eOC/ViCfhaa/44NkypeB8DwJtlMFyqwJPuBcY 6Q/7itj3BEFL6s9jG0GPchTSC8jrOx0yQLLdLgMxzwKmBiTP781I6yUrJ9lMKxJWMzE6BNDqNu4r FL11udXDmYT8+ecZljHncK+XGX+9PfH9zUHNSbqP8Atn4tGNPELnZx3EY+2SkKtrmPjA19jPZJ9T 97b9kUSet/8JK7QhUJZ+NnXMlwR4VxV1Wptk+93udBLWfzZlq4JBmS8X7DBV7QT04g5RVTnWLslw c3q7hyTbwKkjFuvgv4mTtdGV0xGfEYFGlTYxAAkXhrfnrMjZeQ== `protect end_protected
gpl-2.0
5440264b626a8cc8f88755ba180e7b0a
0.925988
1.88874
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/dds/xbip_dsp48_multadd_v3_0/hdl/xbip_dsp48_multadd_v3_0_pkg.vhd
6
18,863
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block FJjjreNSAqoGMUHbyxtFDxN3F3t7a0GH8U9GDkdBG5T2IcrNr4vkRmZxQj/hWnSotKOpFWNYUwQf GJCsii/gaQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block TYsMQOb2Z1kLOvmQx4yykkrFL5yCTvrbRGCRv8djbYO5wGft8NYubxycq8xpvhquLz8FbL/WBb71 4JZb0FaUIC77p3oprhbdejx0bKOWnlGMKhjsJnlDpXs/EZhoUfphEbYrpjKMZ+vedOhV4GDGQA2J Iurg2BFp1r9r5n2+Rvc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block wMQs35vWM8BJ8QysKnI+jxS/3eBIrZEwsvIxgTH0j+8X6admGACSk+RooU47RfkUMt5G6Nibvfbb x+bKAwzzyAMFfcNHA0I1quh3EDSXPpPc6V/KZaiGWUBq/1NrvVQ2GLbHRG2tbtS8T9pijdb/X81B UA5QdJ11ybCejkgcNJ1Qk8IkeqyAcXKlP6BhXl1k0opnYsPyHU67brKZjrhO741DGjdjbkNKyHXJ QvG7SH457gaoI308ZNw+VnBBaPNuZoPiy6bIDeiB+6GiqMEWHqfZV+0VEARB7Kha6SqP2oaXinSv 2BC9rrHxPMQfzCNKGgeW53R28iH7jPAYswz+HQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 2XUKDqCUpczlHNJb+iPCKwLgmaNwV3bCBDrXf/tsh79tYhjfVlZetMPxnzqf8mrEJxRAEkfhoO9O 5b4fNiOXBxBMKFV6CtawL2Um0KKwPgS0AXWTyfcZ/Z6bKpkqDInROWm8i26hnpZMk1EEcvDp2PHB 01kAtt8ayC3XW6jAjN4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block WY5GfuGYs2Kf3nfBTapcxUSI0xosH7x3BSu9qyYApVdRKSWSbcne4Y5pDagzUr44sr/o3b40EdwU qVghrHL+ClCWJTbCirNQpwF6ppxDAZiTnys1wLJX/5TPxfN5yC1rNWOiUyiCWbRF7ksVJDGXP/rY 93sWdIGKLiLYAqt6n00BT8LgKGOBe5GF1mKpilS2g+wnj0jEN8kW4q4vuUtO/5XFrY+jt1QPFYR/ CVZw47TWbs44nZ3iHNMBov9yfJr9HbFynbUcsi5lMwN124/TcSzRIuVIK8lyg63hiN0G7G5FO1fS iRrvBHnGSDBFi3c/oPjhTsxByktSdbGK/J3u0w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12224) `protect data_block zEoXI+GLKxL5rLdaPtlr7LKDS7I65Rbp8RTraBvBTst9mvh91w0bkeXB25nn4jAnNxIjZwvYNDlk KgCSlz5LaXeKBolHsLUswACuByWbKIF0B1yQh5Uhl/DhmHaAs4Mcp2lOZrBk7vcJ4PSc39iuE5yx B6oE0+Wa1B/9WUwqzxb/racz3y/2nn5dTEDU8wQurlU1m116GZyoV00Ja7aqllNwI7rz5kUg/qDt PoJFYtc4sAi/CHpGauALoMpOnDwYiqr1gBaBPMYxDixx9S0vCmCtAEo6D42kp2i90My2IRUDB44j Lq5/KDJlf+skcGmuVvj32Gbll114bPptRBs5LWFYcH09TrAMXAvb9PgjAawqgk75WSucGsxXe2za q2Obd3wq283XG5s4aI0pt+nDh892wTndGRU8gkIZqc784xfy9TRW9X3vYxb/nHcxws+iLjvnU5xh dEB0WEUBhfxEOKbrMRDcBc+NrHdoMwKUHP+nHW+Idm+pdeaVCJ/xhP54G3rfeublVBgz2WC/VZXk F1hfTrO+WkouNTacRSQcidi/XcgdENVGag5GhnkpIYSoBrtcgof/WjPqI924rzoFO2kh9xYt3T+1 vsrSRQ5Q6BvtDCJtS8o/5sV4U+Thf+4db0UDgsbNI6S0JBHhkgpIKIOSUcyAMAlKLVZrLd35OURD FHeLKN7M7ISysHY1IAdM4iDGZxZD6KmWry7EW9sDkz96OzA1H2SZMhwL7NfY6SMcn9Apn4YfSyxN wdvaFrXbVNwnAtqZlbmxc7XhwsiyHTOLgTMvYgy5L3YhUI5kXPKbSLoZpi41cxVOLGnmPZS1hfUX XeFDkjZkAASpcCgDwIJ+cyQ3JVaI5K05fa1e2Gq5MOYSvYlsdoP9DQe6dDcS9EWcWwLsvpFRr5yS FI29EfAjqQPzQf+WHaq/4LRMAeNBSus7HZaH50/NWMYlOievpoW666Yhjlf4YW2JSUkSE/5jplPP 9zXl1B+DRx7qw23Ej8cza0lxuYx1iEfsckPzGDn60Hdz89wMn4XAl+Ww0m71vIxhrc+GYe07kjgi 1ScstterZ1yNJz0UpSRJQGmb087QwP+gmjufUEZbrLDqSs3ughA9p8RR4BIL33tWVIaF9RX656pi GwDaz3zfP/lj9BZjE3wP01ubZTQ12v+FnNDFFDvqDb9eezpyPnhC9myVwhVCbG/ghYoxFkUidxR+ zYDCqE86olBX+nAc2zNeY8QxNtNk3gz2YEo/cFj3GsHe6sdRGIKJtJhOh1iiYrIrYij9zeUI7J23 Aef14OpckcDdZtwTwGBOMIT3P6MyNqmYFXMFZ6LHt5Dy0lFR3uQVp7wjCkUfb43Jjo2MRomXA9Ui /sKaFXZUeg0zmWaAJWuUyGUyQpnlNgoGT4SgAnQLC1vkBpUhpl39W32U9xBVqVpK3I/i5mcSxZlF yUpEdnHAiwBirdiWVzuLGwbdQuj0C4vf1dXRU3kD1vzAss/OP0d/8pnzzvgUVD6yYrytFYUcMFsy 9Yl0COqLVqRaquYQdWTTUnoPnxwJk7NJidilsnYy7mAt/rLCT1+XXhZ/h4z8waJqMJzwTfENNAMO cHjaMEECsSGGEzQPMxrFJ8rgmJHeY22rcOAZdOuwbLtn1p9poDOFMq9hneFQ+pW6uBTp8T2h8ag8 eph0zVt0kDExHsSPvR6qQmWm/WUSIZrmIG7kw6Gt63PLzookGbot/AC0G7nZJLwwRpWilmO27t1L 24XfuZAeTbod1UP11dLYq5Tg+j76BHk7+veNUQoiU+c3PJCn17x+OHnO97yhsTOaeSDg1b3Vs5su BYwJieR1kIfEvla5Lfp7GE+3GZ6orM8TK1GTM0Q1p0ESCAZKDNMwx0KyfOnpUPTKWLaYbLSHNECp Hft9ryoidUFaJ2wgEJZ5Rk5AYAl7axscnO/0OtbRd6oojmvEuqCGeuGT2e3MIUGDpVkw9Esofh/P SHTmnJCUM6uk2t5ujq3lhaydi2/3tJq1ccy3Sb2gT6UemD1tPbZsiiXAv7XO+fiNIrP9prrT4Pze 92lDPYpnz3yENcRPHbaKzZb3aAeTjRDoUnf5lIjCnyvXt9IeQlXfLCwS85LZ28v9fknX3remJM7S BjkFlCrKR/4GxwKDoumvOlvUJ0XfC/ffWrzmWnkZwb+QhF8TKWkpK3ZxNuHh6uiO8mwHx0+TzT9d DuVC6E5gt7OnV4ZP5cenwQ4G+J3IQEWWXOADCM3Hjw1yPPABCWmWuAdT3CO8f9gcG4dx4U2PSRXm YgTWNeYqJ0OqqpSyrWwqNX8bb71Xh9jPcpUBSe2NQCii3AbyyJDTjI4oY4/AeDbvu4gbFfCy78jO 6wUDBsoE6j9NDJIFKQ5CflgwanudUkYc9GikqpNoMAx28Yix0TBYddGaT8eY6vh/V7QIUrIax1Uu 2SpNcetxoaBuPa7KRfggbvj1zX2XeXQk0NHJIykjdcWQQ1xV8oc5CyfpoyAJsKPCdY41v3SU+pFL O8y6YOBcYuDB90/unLPFl5in+YSwGYJuXBC7iPqTUcPBjYO/T/9i3nqUydPHyBfv4IOBQBP5X8ZY NC8Sn3OCrtSt5kXf2686GsUm+U1JprCx1MR8Dk/jEodovPVpt27KQjO9ZfyAhSNGGD1/DhY/FMIT 2Ws9jIJok+7mAoMDLyO+dORca3gSgWK15oCl2Pmz22OdlMterK3T1gEcTTKpOzbWfLlh5ufeM0yg mr5IAT03NhJosTUhwkGEjtm15A3SJ4PO8qcGPUTGxnVcYSbjVQOIWiEt83sQBcHbY5Bdt43m5IBf BBNJg9yH6ySr8Ct51r9ipzn07pjFaqmYI7+l54tjEC5oUo8J2iuC1sPWoc04DjdnkAtrgOP/p0nP s+s638Fp30lIIvdrDJrysTBafESTvry2ygopalQq09RcOYUzYWZtpDNPG5SA66IdYzkO+Sw7e2Uu vuIF2aL+JZ7jMg/EdB6dzprQosL65i58PjVKXnCoh6ehe78b5Sp3mgQsK8K1ELsyTmvOVx23w3Hd HKE+XCmDlEDcUOv7xleZutmiq2S1nCorisFs2SO2YrF+Thh0Y3bSRAcYy3ICF5mSM+k8lkMEJCfZ 5eSkMU55SOX84Y5XjcbltQXinupiL2+Gr9bfPaHtaSahyjyw32iWKXC7SciT1+nYvbBoon+PEbnR KIFmhMztcA/rHR76eCEK5Hg1QdbmcX+oGz6L4oiNdN2mR7IWinXJbnfM/D8RomQcbx+2Jsm54P/7 TybtdRDt4p2xTCQezxS2we+mYgmNCQ+c5sn/s+Y3CjnTGnbJO1O37lHItjLvNdkoP1TZtGt8mVF8 tUObOHerm6MJKnoIp8QbEc8vC68d17jyr6kAswovXwIwm9sO/imPcMT5QoBJdA4eWRWE82UeYIWb Oyr4ss7GoydR+Mtti+uWWU1i5SQPcdMmORm6COfZAQoTEeneePfXwZsHEUyExx3tx+uBMpeC7vWr Q3ukXtYfMphHlJZmZw1UC5TVAErF4b95iY3N7eg2AmzyTwgkjYo7q4kte8lMXE6phpW3+qSSzOBe weN4dBojpiE+loVELQI1/UkEDnyuHQvgFR8wNTWmqySHEM497GFC3JUwnYg45dDU8u61PpAfQtLA WjH8gh/dL+dB9Qni9yxy1bl5pVVVJrCPXlE+vGiBjhZzoCkRasnUqonq8DvAPhVh7o2H8GBjHJMr 0/azlApr+losmvCVfYAqKrKnjjlKPKgc5UEK7eDF+uhxGDEAUrExkvTzRmt5RRQ8w4fFskzJnUlv gn+crWTG7cf3w7zMTu8Sh5mnfeEy972Le/2+iJvSen2MXOzQaVe3WfHTHU9lVtVZQr7wsLbwvV+4 RRsLlxUbllrwUV+oCyBy5P1zpk1JaIv2LdBQnO0kXpsCujWJhXim42n3ywkDqufvd6uxmZDo+Kye OWaEar3XORF5KlXDruR4V4O1SrbwJLW13xtuaUio2V25Qvv0AQCpj4h9qrx5pxCEJKjZtIzfI5x/ /T9vlTv+9PuoegId5g+fVYqoDl8I3hWcr/QiHtJgZ40WRHCgHjvnm3PtMpflzDdhO2ZvnsNF2IwX 5RgkIIbze8hMw09NYXz0OcN1yz4+XZ9RJR8EikVTtMj3LzOHzjNzrS54bbDoXaJKu7Hrlm9/ew8O hgNPRNcF1XDPiYJeZ/4BTuzENgUi8Cy3c935uZzn2OqdjIL0gyXoOd6+Mro2z0N58etX7k0BfWts xQ6Hsv1sC5ROhOVNdE9ffQW5YYzFVuEEVYE8dobQV6hSAkfFdm/yWCZSM4usWnf2U9sRtjM+Kw+J RchDDk6nbs6ufNkiKwgYBX3yDcF14GMiychidEeGO5JKf1ZYy/bTO57EpnMCr3hYsqnq6eyTrSun Vz/cAfUAIfU0KInaqlWwGO3Tckr9FTeV6Y1PTd/LfZqMRNbzUAgvsVbzXDTH/ty6yY9k3BSyeeB9 OhrNjISCHCD62MQ4rFF6KUWANUJDjC9fBEtOqSNHAdcyDQEHVXhiQjk0BsMfe5v3BlEnVqU0y7sx iuZR7nDZBytrFD8ESdZZ5Z1WaqBlVtgsuW+l5WUBkCmVhz1TKzgYhhUhVgJ7LOVoDj/xgkyCo/W3 JsJc/vQlsnSK4bXrDDygAzZSVfSgpxxUc5qvGGTuSz/ZFtmCyEqwzAZ97e7QBIJnyU3x2M2ZE8Ml rAO32c1ulbm9GS4Ff8avZ/ivnm1meGhaC/o3vBHMtCJcwgzwC9Z9nhh19yvidwARCGlTkfwf31PV zn7hJSaNURBSJidJmHBmmdOGI1dGHAiKMsfceW6UHGg1OzYMElB++ddPbgl7PXkLZRzhcAlGUPUA d1PyJjcgvvA9tvFm+fieDco907pPMjop+Kin61Ng6Yjmk/z9E3xzgF+UwmFyZkGB3BcVFSRSGbEU EIGXrXVsK3ubmjf3HbqcGJqsSAMuWyxTuRG+NjdWvHeP/TbMsZfyd1LtLKotUH7T9IQaF/vJTnOl nwNgN27ju94RyyA3LQ0LcXCz5S3cOwKShPA/BN0quQnIvtAQaoubPfOtsBeIVG2Zn9gca4Pf0Sss mK5ydC0sAKPZ1D/HevMBodf/dqMWLOkGgCY73UnIp/HnIcFwKqVjTIEYgpC1a02cm4RQ0b6A8nUn fMWFGQt35/8Amsk64C3y4r17c+M3w9E5kWVBRjHs3Cbnf4ZFCbCfINgIHsaZRVIazETr4RDFoVYh MU0y5BJK3ovX8RVPLk6ATMnBQGOZkRqJFLnMlcSqRcebfa5Dvc84D3GBQK4jNRQdTm+8EPWGS2Nr XPFdd4Ique3TMLdXM/nonKh/kg0u1PZ7bBr2x0ptaYJZss5/jGYr1FSch8ubQ7VUld2jy9DvEL6i 2VUZkqmgq9VoowD/rswsNPthVBWWtpj94Il0+v2+WYgC2CXyUVkZ3vIfcMZ6Koic2te/IYR4QCBL Cpraj14lN5iMjQpR4Df6dVAz2Qk1KNO6u0Su/N7ecKmo6gFz9FSbBmqcpm2gdsxnV6TQErDDOsIq WmRW+7lfsMmCXRTfLxznKlprVhSTDMkVj2SwpGtWyc284YtzcbWzktZVp7TI5KB/w34ZhLf76hgU jOlcVFlwiwKwKYDT0Qe29RxuohgIH40Jw8VZgy31LfzjC/NWwL6Tq9EbB9U3LswnxFaZRdgavIpL 2xHgxDRHS2xLZye2KGt+ybSGOGDhWdFcEKFEE1Q+lJ3DRnD/qgkNbku2hs8ciLfD0V4gZDWVM3TK OogHiLbChn8ck4gFm/cWiV2o85CaomIzAYtr6GMr7SYzPnsMg7jql20vT3KD0Rkl2SPcXi3TIs1V owS0u2ufjhT1FhbmwwwxuKEsOfiACefM8eVyTsi/kFV0+46RrTfL6vHh9YXpgqOSRIKBOjWsGNpQ AgEoAX0vIM/wQl8MK0+S7crE4H1ZVJ3KviBKHEvxM+uKCOA+yBlgVScP4QyM6friEno8cp4SnvqE YnOwIcpIIVbJcbet07MyPrKwIz5jj0rsIx2aU5IFgS7RtyXJ2H1LHqKHtrtx1W6zxgPfFcSZT2R/ TUCBAcxNqNc1MeZG/ArF05VCNtT7VBnnM7g1oFqZPrr33KviUZF7aw+jvHjIHzS19t5fZPBxi73o N0KJCbse7GfG28y0UsiTUWiaIZDAlQpeK1oIhj9fqBCZb92P7oKIpgG/I+rTL9n2mYevHT8FSTQ1 ZDCCk8U7ndn+f8b1P1FKFk3WHrc0msibgblR3siN94HzJ0SeN8pLTsTuEiHs9Nw0o+PqKfyEB3JW wV3y51296dipg7mec64YMHa+F9MxVxlvZ1ckZ/JE3Zz8OGFIfwHDt+/eCK6Kga58LFvQrAs3ryW3 XK/JJZVmoyelkf/dTvwPzH8FxUFkIw2ydtyBadWBGlnc9SVkmz6VjFd1swmSBsSCc/xNI+z/96cH tKNFMAjhhvdCNE/MGQTX/51bIC1rjNiKcmNWzJ8ZYvZKi4iDHTCD2lJcZ/d4rV4jk5pkksmTO0uR nMtXrU32SpNud27bs8aMro8ZQmVG8HIU639SrvoV5HOSXXvvr6iAGMRdxkSihU71MQlT/+bctc5s JGL8QibQL6s0BGXJf6ynA4xIrf9aT6zEs8BVYsaRFz3GgPlrTzpAvnIRVDW2CkPYWOefBiuMBmDv khHhRDrawYqwFR6u/ya0dx5nb2nVxOt7Vvf7zz7BD0KFoGQiKTt6ebxwxEWP1ByujlO+NqYBLuyH Hq17R3gx0wvFOsoLVCib5FaLDL6PEW5WkZos5LysyeXFUBuKi2O3ZuQieuiMH9xMM5fa2b22jL25 Xl+GFLqZgMFdYSmKyAgTnlZxrsANvUffxshUw2KQEM3W0RA0KjPcjhtkk+pHF/SZsmfYz0iTEYKR n3aUzHMBdvpuuc/Vqgb2gWNSqyfuYInQI1GKQxk2ipUrgHQxOcPmy0mFosum3aDD+gWLNMDRNZRD INIvnIWsezegEhJoIi+1K320eFGIbQfTOBZ3Qn0DYtmErOZByQGpwB4Qh037ycXPQEY+WoOA89ck jbTS9uiRaR0Qu/el6Tu0L0dlQ3Z1A5vu18IOEt2CpJB4zQjCuDGCuLjxTMZv07+2v1+Do1ig/Y6h ygi7fBqPUEniXkXkFbOrsTGpvqz3+OirxD+Mkt/nq6alU0jslGOOtUzTi6oN7sCkt9nIQF6qVcGr VqsQaXpzsKFUFDR+/RpEzn235Ad+O/sHbrrTi51dWOto/OVxGX7RgFnylCRrlk4nQ1e0oxxTkT6O LMyysElu8IUgVeG/XUf+rldmckvzCB15daP1oBtApygE28id9Ruc3LWL1I74xJ43ZlFhcTMKWB9M T1so1oVQjisomfv/fyEBV5iTjZtB2wC9slB9qpJHqUrR1wvtsrHQN9FxLihyYFtmUile65XzzhEY iDV+hmXf3KZbyO3wztIwLMMgIGR8mDnZIAIwYsulTzt5xLjOFTteYGhfcQAEMvGJhcQWPw/hRxsg uVpjM+DPR5f38wnnwd4spREostrfHBSPGYzvLz9Xgg5mPj2Fb5fDUiKsGpJ1zf6bMjyf0rr89IbS S3Nnf/mAZVP6E+/9hdE1d/ymt2bdyDnzHAEovHlNklLgXrEc2CfHI/D5lBniceO/2Na8mnBPfgWH 0Tlvj4P5eZ6lmAUWAABCOBWUx60tMDNqlOpIP5sdmFvRDZ5bX5mLOIbzo3ERYteUxHzS/ytzTK6N RgWKWS18xN9xOaGfjSe4tVWry0WZcOABZpzj6mMXR8odnuiqjBgS/668k5P9hQNKId6lzOzVrfIM MN8hG/Aen92DtP4JsYE7p0iGrUad74eICkQ+RzAzJRJnj20MuM43f/As6FfQEn03vDO5rP1202B5 HQISu1MP8R3gZ+ZnFyE5I7Ale0jykZEp8rAOwVyNWPkIEf2uI7W8PKQKZgg2s91oJUlUBw5I3RYO mo5Bvevr1LU+zZK+ozHwne+E9V1GkkHmzXRH7FeU3g82KdnmVvFtWt8DjMhF1coT6R5Pnh6J1nnR keK4dOxtHxYYab7BfCErHzTBx9RUEhYinmtt44V5Jqwd+xOugSyGEJtuAsMcgmaV9F4O4Vc9PWvh 2bsvPSId2M7PPqzFphCS7+ae17+sH39cJXwWPEzP+1mvJ9usf6gM671WSwegZN2wZaaa9QEtgyMX TCddg2SgTAUzHh47PN7ulOiz4nM+SRMQxFyNNk289ayn7XWbtvSgSDCNl+Ak42dfatOpIJVPyeFc EM4lcNIestbAcAtuhYHER0xZ806z2IVPo4yQKQwL/amcRJsmoQ4PEvm9ioQH7jUMoDSLiCcCJEqx R1Mp3EtTfoVZZpXUaNmaGI1iekFowxToySthG4jzxSTNH/j+kHjOhFFTiU+z89N5TOO2UHoylqc0 Wz2WM1IJmjzV2c0YbkIuwjZfXG8DsWRM+sMPR48NIEhdNF90Rajq6eQA8c2t8zFSG1cxz+WAPrvm LOhkcs+6HkiKx+6FtYnmk9l/Ki8RMuAzNiBycPYh30enGN3TAG9uFMDzOcpErr1C4uQ1qrZSkQ+0 cVLwTe2SLe3T3UpH0K9ATOsmqDsPYf93jGHrwA7j/kikwHtPPRRfqcPl96Sr42XfyfCQZXLyeze0 DdT624Y4D2QnOYcTJyA6W6vmriBk93lBRJGfIBaZ+42/0HrZ7HIvGmVGlcB3mkX2Jw+VYBFcZ2GW bjd9a22oo9OxHRWBAlmXsg/qZ0hHvht7wRBeeci6GDDxQtav791h0k/Ne53esPbpS/sK6BsHZF9k klgwu8R9JT0r2RLP+/bFk2FPVPXrHZray4H4o9/bLm0b9mvW5XJWmPVBGPnDrl77uqNphQ4yCOUl 3u4okiGBSCGcg/X14l9tA43eUV+d5Jwq1JGFESgShlK0k7EjlTueMIxSyAFcYMT1ejCSMz3MF8Ix zNA487UTaStxYBFD3QIA8DnVIoYJGKnzWuMb6MS4MqdW980GyMKr3BUj8+nLizGHwIaiPt6WSQP1 oXVb/jC/B8E5+8CUp6NHpv5/3VZXsZ5yG3bMVgTVEhcTjKpbz5lf5iwKz2ObTZPVvJ1QkaKAve6I DKsOBv8m/zIuio5z9Z2RcFJs9rwXHq6+V+ebyVGFGG3R0PlcQQgMRUwpJcBZtru7E0SmqhJMgf7e s67WdQM+9ijRLYMI5weLkfe5pU3Wv7rBbH7TrLlgksJv6dLRxC0+CHKPHvyTxTCLxnCh5KxUM6q7 Su11nqGrlEtNoj8bGOLHScbrNWzMujDI5KQTk/wTRY3dF0fumG+1u4P0fxHCMVZexI4nE+O3HSY1 /cZldRQ1hXt63k00kazVPbf/LWe9Wc3veTks6KWTcvUbTId9jtoo0d3rIT8HveXZqUqDoJ9MLva8 0pv6tGjNEoE9vJUJWaccvqAUnIlAV+DHfIHcBucV9DfNqerqyjNkLVpg9v7ErHmlmSExP5uQRp+B EjhsvACwyeEOuIdzL/EC7m0JPwa77dUDSIJbQM2xvIMvwshy7RlaZxSd2UcbtpJqiAt55PF8SIRF LjEHl1gfbl8GEVeRu7mxVI5yCBUxETOg9zYNvk6/RV4Ej1+lYDIzy1WWdFTe1A8VM9qdfY2wmCZC 5/Rl5/6SNNaxasgb/PUZALpE51ztO7jVgYfK8JVO5S5iiM1e1CqmTHTCMQ5gDm7tez08zeiCck3O ws41G7XB3c2eerUpifAH6uy9fDTIR6FtyLNnVN9YDM0ZTsYUvwk4/sUICOkb+EFoC1L6S0bPlYaI 6YeWa37+Zk+DvZ9LtWaex1k8N/6ZR5zJXP5XKkXoOl9zCSFxmV9pr+uBptKu8czIR6qUyyBqyMm+ LMOPD0u+DZf7Q/o7YYe3ziWGwd9T21P2sk9EQL+alQCaFUcg2nMe3N7uCzsXYEV6lJdwrTwskfVA GFw5sNbK9u1Y6moPSAwdTGo8RkmjbX9B2S02iGXL7Pp59ycC0IGyFSpQcPAALH4Wzjnfvnagbhzw qRX6+B0ngSBvrLyDOs4e9L0vt12//QeRxvckkJLJTWpHWogDnnYHOdQN0kw8L82gaGn6km8ukQ1x sS0hD25FCMRWBXSVagCxsw+CzAuGJDVXyGZdtgjIP+5BjnMYnZcjMT0lI/RC1skVE1gWeu4UofxN BbS7HxTkKXnnQdk6WusXWOcI4Wf++M/ZhMeHX8TjdSaz5/BI4a0bKVOnmlyIHKi9frN/fFeIeels fIidBdqlo1PTMMvJnUPnfxfy/dhS+epUA5GnMEECrt8aZajhp3zc8CKK4+ZZr2qGRutNeaw32qQq M98iqQjYfJLQEW3M5O/wI1FKf1G81OpJ+lG4g3B98f05SxmW5S3WAW9kY9Q2mmT/6pj8NTBn6Ocw SJk1Ht3adTPsy7pFr9p7zLoj1SJJZHjS8q/EvjTJS+1146zwqVqGdQP0ruO8KaMXl5fkAmvXBa1s g/Uc88CHbulUhH/oqHn1+K4SXDfNQDws0azULQVN3AEqA2HJVyk3WYmSkqV8NZxLGMmJg7mARUfF KXsh2OlaI4mvAur/EQfAtAbWwXtL32qoCphZjr7bVba6+vRpV76UhZEPc08YQZqRATmromc0KbEa fhw2w6EIZ7+s0RBVSGq+DmaOxDy+oe9PAra/JLiebHm/0hsOjGG+F79eGZyHiaYs6BaiY0/EkP9o A58lfCG6XH9Pb4/wh0RBLGKaPgvnuGuuijo8obN67GhxFKTzgDQcNtlY6ziyN2L+NKVJG43LFQPC L3bvniaKF2wflgS5KHg1BESMEpAIScIhtULiOGJKXh11iC0napwGarlBRt8++dsdVTQ6Zd34jjmM 8jqFpjpSBvMGKqN5Zysyq+aT0CmtM5YT6uK4QbD315wNYUsXWw7tqr7ElrTB6LJpGiff7G46R/2f vSSdiXzb4PSHmCbHi/kEeIYTpsgnjF3pYhvWpiFbFijzwMhajRyu+F2yOTr8+PzZSlQvpUO9EQ8u 07nHdg8NjbwIIiW9G7vYclJ/2KTs1jZ3zkrblG6+cJq3Ir3zutCKYdLcmebQVUvQONfcBLikuZlK TUbrWZk0thUGfrCxnjUBwjoKW5uOTEf0+QkalnuhsFETFPfHjlOlzmDnmbe6YvfM8Tep7ukebtp0 WI8/Fa/CPvjzdP7bqIOF05jegCW77m6NOfPgQi0Fg8LGEiquX20SneEf4Nzy8Pv+j0Xr24/789Hw AzLsJPvZmMcqAgY921xtdxGSazodhC2xMI013PsY71kgEjLmiLlf/Ctr+oLybnf5nJHpFgQ5/biX kc1qsimuRFnJnAuE+7gfcPIz3pHBQi45RV+PJI4vXWrVevPVjQKLMTgixwXblY+jXYJeqcG90Fwo YRDAFmDVqPp/v7Mw7cjc/Y6KtDXTS7MPUi7xniiL+eRnIgrilR2bzqPZPBo9rRNWd93sWWvSi3bc mqyyVjPZS7ywLS3RtD1BRxuSZ9Z9F7C7hZNNUbzO6N9p5bZa2BbIju9J4YVgEs6czNdX3RGN98wN qmG8njwiUcpyttR5UI1xiDifk3y/RRzYJY/Zfxwuf1o0UN6nIYXAEYBELiDBIEaddudjlyXTvFB2 um30k2A1bMPT9CVfFUAhSxGGY3CizNLoHQ9oX9ctEGbzkxi5s07b8Zh94nz71fcZne4uYSXsJua9 +VH4Zz7wB8Pq9j+DxY+BfW6bkUfJdebFZRjgH0ySaZ90sHlkYMViZumhzUAfKOvVblfFMtr+5Rs4 QDqJ6Rh93VQMp1uWcCSnQpM6jrOA6e+infWAFDcBnEdQrZZq7AN3wm63mjLo4vRPcKDAQW0j+dJj HPOBl7DRXhSR3Tyrn4p0i5yBzMbRXdJs1P+8toWkggsF2l1EBqDqvuzAMt8YWuIcuAw62HDS4lRd 1Jz1+xwOxhswu2SSzxBb6N1j18n0IblBZhlLyb+UrQKWsoyzs7FS2nxSY7iOiGE8ILFz04rwt4r/ 8pG9/HvhfR2rhgKzv2S9sAyPMXKYc3bApxKOs5gUqPVAuzeDz+uEFDKmRGlYSc+n9glXAPrEvIAy ISrXKoOh60swk4p4D7/ytEFVFJqRhGvm7kPZRXrSgJ9ZeaP/hYdUWmMU/uu/nuHZvEj6eHJcxXXl 4dCetfWhOC3DcjRlV6e/OzSl4aFZiQSdVzJ9IRyLFIzgOsk2V+r4IJvYtU592NyEgTffOotBLJ1o 0fcSXPmqE6JHsk9eT1pGybXWrSLM/pEcvkQ+8y4HzPlmDunAZK4UjR57Pbe1VoLgGNz9nnOV8+Ta 5uI6Y8yr0vzM2rHmZRTDuGX0ZSUbMSpkWdpEP1ooFhVt8CmZPJx2PW+vc5Uz6r4FoShjP8b/FlmZ fmH4lS3xfPFsPHxocsDjidt2eP28f8zJsQL81zDJ0DZwEhd8AiOAAO2+7I1a+DrPn/ESNpAt24gm MC11/pHak0VuedWOj+Tiuce3f0JbcdjtApHMVVFgcMnd3SFu8EEIsQOjItrb6AhfaHEwrQjuAZg8 JoUbHWz2MrD3oS7S4oBZFTgP/2FzUgr68UJg/hr+2aahnyrLn4PAZfRZxI6Eh+SIm2PbvlWiCo/d uDPp/1/o9FP22fMlJv+TIslRusoN+Vul2yq+Luc0KfNAdNRTxQ3KIuhDcjMFwVZtRe4uus/sKFLA Aq6g6VYS5dQ34+hNmET+ARvBD/vM73xkd/HYp5SXSI5WflxV2PhV3kZHlXuNK7ZUarrFpMwIfRph F4/LdVSg3ArE3uE2SK/iUMZHE46nOQuz80jGsxIXaW0Xx1Gh7yfHLMDgyPg5010h7rij8rEVpRUv jsvnc8xhXZsd5tVNmv9Rw2fD5tQVKqyS/awG9QY7VgTchQg0boMSx3zrGBrs6MYTgrIpD4kbpgK0 DNyK/4avva8atksF8e4PEQxeq0R+xEAw0kLJQeEj4byU1v97KeI/n6fA0jD9DHmm7lq/XH5SaXM/ S5xy6tq187LM51aGy6yI0JPr1bZAeZj7cuBiezcaw/bfJAjRWS3UocsscmCgWXXb4Pe1HxFdXIXh BFTpOKlT5NLXVyvZ5ub/5qxHjh8Z8QsJs3xwq06uOiXC0EnC4zi5MaPAmVhUWrhQA1CaOyYvdkeZ WhMC6JdLizYR/NoqIkzKqBD1FD0zJzwBLF9k/kYB9LxUELwI0RhEupgHrUw8EoGv1T7N8GrrhiZ7 dtlV/dfMatBAw7okiK0T+HD8BAW12Cck1EYLOW2u1tRU/RKMfM1q6ID+f4eNld7PrG2ku8MeV06z Tt0RSSJAaRcxzsUYpte8rLfL1Kdi5thccCFka+IWJFogiz1GkvDdogXLgYSHHxqsa6jlnr7PfzH0 qypyALDtwdWY0lcdRIRzL3wpNmdUDrksU6vZpKeClEhYOZBn6VC+XIKIF12ma81N4IH3NbY+HqzY zCCxT/DesBvCyoBMcpG8/iUZKtScj7i9qN/gitlm+NDZ4zpjgVUouEbXulsqOM2TZFgy+Y771UGb tuYPY7ttCQmrbX9bufWdb6d53IA1t1DYUoZdP0FXjNWFXSgh8EB3PndVD8SlDAVx9W+OgQxbP6TY Mfz40y8v/886JCFjTPuKJEhv4X9eK84pcg7SVDMJuLdyBhd5RHJq/YGYnXH8gcckt4Do2UjL82+h rFGo5amOIyMYJGRG6BWnZnqD3KjUWWsV482/zsbZTgI9ryxqDfpKijyoFA5S/HAIKaFxlXSg1YR+ C1nk/Zfzenc6lqAUtxeEqROVtOwq571aLUNEpHgGoh/RiAhbMQU9TBb61Ybf/gezoz9qhvq+Wr1U NgTn3R7LdSKNp/HefA22H1EoP2+E1YqWO2Qr8KcukLaccjPQKXeXIqVbH0xTRqqCJWsbkYoamRvc NKi/XFqwk3KjQv4XZhBm7xhstwvPudjg0QyII4npL2eSAk+hG2b/edHwMeoVKFRdp9Eb3sIVZ92a aZNf7DMROu2HKR5D/c2iY8N6TZ61MBV4lAGu6pYXGagBy+0wY35ahmTj4CCUscH1g787CYkVvB2Z D9tn5Jltn5ZCrhKDaTivXgBriwtBuGQrRIOhiL74gRjQsncv+kAasaDHOUkb7D+4Cof9HGzelWL4 2torUDfefPy7WC6BdFvl7J6tVbZk/U63anvYbpEXRES4/RjNjQW1mVbIHsIzDw6N7hNbHWiAGNla fruuvIZ7/wSi1fA5Ojd51craWX5WFpIEhS4Z/eDLJe4I35GpyKzfNk4l+dC4jE50NsDQEh/9rzFo 4iCA7GavaQ3q+1MIhhtFxbZbS41WZIjkIhaDZXDVxYpmy3Jv7lCRE93Oryiu1K8bAyEJbOqPzyxa pf/3u4AjfNQlwGLZPG22rq5RqZT6HBzqHZSy9wX699CiT90Vc7Jr6y0dOYYHVbsd7PJxFo1mo3Af gsQ3+dCzfo0Fh3QhKu6pGcyXDm4gHfcW2Q5JIAg4zNzXBm5ub2LUStrRdhPrDtcRIFwgUvgZ0/Bo LAECQcd98e6Ribo35zD/4RjlQrQMJw19I9So5q0Coap8anL9YR4AjmgjrYjr82lITAF0LAvgX0pt WXA1U5EdvxrYtV6Dl3Q9i+BsvNdBopgC3QWN3/TT/0ncECtbBOMLpkSnqGjIh4tiaF/svRx7Wg40 auX88gWubv1Jh3Pzua19letaOeawdp1DdEo0Mf36ANTo67nEd8W1aitdLBFi/PwJ442woFsO4kpH urLqjCOfnj12CaKsnJVuN/FYoeH9M56RcvUOBtpIuS83+577tTNxpkaSz6T1rTn7M0QtYfxX9n9J /1DyqW/Gk1+aoImJ3MGYDCmX6V3MHM7nx83mh9vUxyiPT4Wh88t6lL4/rj4LJflRASG6F9inKN0c Z0QDd2AbwfIe3MaewyOf2IAbZex1+mNgKlGt3jGs+HCuQijYXpe1iaGiEVJiuxcCvOmp8rCsdRTn jLJBpsqhXU8xIcjA7N/Oiu2ko2fkjKjA1RxOrw2sA6CubH/oXqjiMZ0lY5WDxnEwmZLsoy1vOWH2 I40dhUC6lJW2pAKkn24dKa4wOfFrO2LVsesHfGU8ccyHJeXwg+m9sTLVJToD1tUXzELg9DdgDx8U Z1RTOzYFqRQ4PqA647MjkWshMM6U+VkkuNnlkmuerjDR+Egl31kBP9BmRS4oe1i9i2Akh49/wK34 dKLoclDsQXdrK9OxMRZxzKv2NeKqfiy72cbCO5N+MEhLKKgN2pS5DhVoTJCuATNCYgAJdGGxfN9F dk52Hc0UXl/lVMPLUqc96OuLrgpaaT2RrHMfNXRkLDrV/5pPVp3DepPFo2bvpm79EISwUzCEGA3F nkJdQxdckTai+ZxMblmsZPAy/BSrUdlVdBzVJyvmh1Ys0D7LcnD1SLbrD8kbmKzdY79Aoy+RtE6o f+JXRuD42NvRhtfoM71U68ifJZ9o97325o5SfmUXEa85t7DZK6UeFpGwnAgc/RWIF440FgdXee3U okOViasqfMzCj/el0tXqGBQIZmSoBkKQkmDuzOgpu7PZ+ndp7pVjGwL/8pFw1H8IQMMGJMy0zPY5 pmcBcufMC5TJKa3xvHpvFncohS373sH/c+QU/e7AW2/BsuOs2pC7FI1RDd76Wfq8tnMvg5Bqijny en/t2ULVMe9IVyLO0FqrKHjQieM9T++0ns6aKf78FoFegCbCywCJYx2xu3kHe7KdUFzslnEK0GzX rXdzbDUtGAhrG93jpppBqhL7l9LmbFyqGXmporpXNIDtlpeAa8GwppkvhiI6mKfuLtff50c8fDiS 8rpsTHte/BEv8fOUZydI6TKqWNRTvjQvPPTh+7hwBYEvCs1hncSHjeNyI2vKx+nX6sVmt0nLY+2V AZEXM97wUIDIKtWwSEbPPGAEqkxY1Tm1EBedaJvp6Ryc7bt5UCYq0Ehv6MlpNtdYTuPAPSBBGFTv +ykDHfEGnHbKD51UKAAe9Cm9yKmib2YHUDVWaZ0kYuAr+T+U4wRwgjNY3MbyO2ICk3mPDe4WichP Ky22wVnu5VY3p3COXFrKdadtQQmfvcIPuhcWE3Df0d2JwQAbVICCX3ga9bbmKqcsuYiNKDaO9UeV kLdy+rVvl3exw/s40ZnVVzvpGbIV1PTr82+abD6EdqXlogGkkl7UC0QQkWvThwYL+winxPgNG9a3 4k/Xuw3CX6fVmKOhVTfIPWHY1YAEejwOolQc5freejfKGSfytB9GQgI4/xQzQjLtbLFbDFnR61Hk UEF/d0EZKrM42XIhIZRKcM60gXgfjJdLjeY= `protect end_protected
gpl-2.0
43def558873c81882ffc84187982a609
0.939564
1.856412
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/cmpy_v6_0/hdl/cmpy_3_dsp48.vhd
3
75,620
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block dtQaHS90qil/CdkdakRWWdY4DB37HhScID5ajfpgvum4OpY025JZ4IV4PkYMCoUTR1BjrMvu4lTc sLbsxDPtGg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block iHwGOZPrlFV0H5MUxhX2kjNMrMqBIArPRXTLww4rZ3yQ8J93INcKkbWtI8cAt2KwbJe3XbrCnU/p UUdYRF7eHqvKYS+umxgfab2lFzAeMDC+v+SSy0bQ6OLf81nGBy56Ix85tI/+9N+2OVYgLHSzY51m 3MV/hd0ctUMvEhvzFgc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block NgmSPhBokeoUeQoAukR8VajLe3gbO7Lp8HoWRsPm1MizKeZxmKU8RfJXGfC6pYG019Fh7dAf4D7Z OuAj3XE51JpJthXKOsKlfEMYH+0oOqTJlHFopuivbG44DPaFR3Q5Xt7AiY7+f9sZZO3iKQd0Qpxh EJwNoTeuwZz04uQK00ETFVjzpLKtCOHOQbolscCiOMfOWl1pVpeayDfrirC77U4gaKBL1MYJnPyR M4YPGBjaZXFQ7AZzQE+qXnmgZZ2QxidSLKV6EZNpfsbmsRAU9vLjuzh1D3itYVRqk8PQzr/+MEgK LgxeeQlRZscWcwTwLqevL/cu+ouRJZbBJutlyQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ZnSiHGQWAPewDi7XWmR2/P1a1PV3TsMkDlnaK2uisF0uFho+wlZG4GPUZ9vBKk80W6RnwZ+OqyCz bnV4mjED3Pyh44KntotYK3jZKeCnoOL+KRzBARJdc4fIbmcJXbtLiz6i9FEEmhg5ZGjWMSv/7IGm ED2V48wUR6sydE5gn4M= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block P+VvIr8oUJ3Nv5YsjaaJFxEZMO9Ul291yih3Gjq9LRZhdnFZkx2UeKYNkvE/0JL87vIPEj/2wiUk hCZlb+q/8uhVkXtTeuxmkeUvmy+uCZUeM+0k2BzwPhGmXbrRql8J4nLcqTbyMX1qXJQbKuu24G+o 7umtqVp5gQ5+8CAWK6jlIT7yflLPIpHx7MQoyH5e6q7KRz5kuCsgThFbUKt4N6GWCJEtwKpIjvLu F2hE7D+0BDzOVNcvxA+w/TTqXN027z+9e1N2qN3kL5DYYagIAswNNRZSv/l2+NxxME/MFtIGt8DL zMMGSjYwchRDfXyKze3BbEeWh/kus5GXZ7b7KQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 54240) `protect data_block ISTOmXa7jWIbrFSVfhRQfhphvSV4x3YGwM9v3piELtVd962D6AVqLIjEK8tXTPfcIswdluiRpzUD brdSQYg1qDHkQyp+k8V3QNVhQeeX0HW2NFnDJQJKQ3DUnhC7UnRBsV5LjXNecJFLODzXnMlwWSL+ VvfeC+6+EFOFAlAV02UaJ51IzF/iBFo0BLYBVNsrIraCFSyoXj5zYNa1RBkaF5ddkn5iFUBclm8L jgHll4zvgq0V3fDtRPr9osmBDY05f25YbdymTpDKxJj+rWp945vA3PC0PLLZN69UkhEpz+KwjZkY PLFhbh79ONRnGSjABm/wsxafrLZWZt7cqI9ybvKIFlKm4mWLLsdbH0+uV+5tDumTJxgflZfN3OhO LKrWeDAUISdkMHp0J7Iqf+bsxvx//P7YK+Nz3d6KCy6RfZfRL3NrInrWiiOq0rcXO3GLMSjFueAO 5cjV/4Pp224321bvMK2lS6VwWQJvGVdF9lZ6+Cm/Rd/n27HvfrU3k3+kZ62MDbgdVxrjKMuF99Eh 9yNikMJF1sgQnH6e0YkJYCOmQS5PuCRIQTBNdDF5zlFMPWTcvIcaKyqo9rFCAXwBW4FIAlzXQ8KM hPYJg1kNnL1VnhxgJEOZ8qOrRfVSY9XcBPlKSOORpDsgci7C8Hb4WfSpEJfTNGC/1uxesAKlqEoO Jmf5g2rz1E9ttfIi1V1o7K+w95FdIkmLZO//HPBG7kdzDNVNtMb6ZxDYQr9EJQXf9a33uWe1x/ZJ CFNWv4DmrohsW0c6Badtvpt/ryAhyORCsYu0suxu9CfUw1MoQRUAVbn7imX4E5staMS+hEk+CpIC VC3chEIjnJd5WeAL0wDjYqit2YwXhTwvD3UFgGshvRKJ1qLQIezSOE5zIGzuuWVemZ9P3WG6jZQR PyzPDU0GSVawY0gtPdSYw8hbgE6UMaR/T7tiS1dvsIWgROMp7foGgkoE9xr3Z4AWBXz/6ir878Ez /iFOUYeNbcW3LZFXVo0EajK8jH0KwM5z+MYtYWZO9pwcxfNcUFmYMsRquve8aa7adbtyoqzAufpq yR4z/lVNgirAnT59PgZXwzMnin0vP3S7sW6a6LKd8AFydfcE7vo8vaL4BEsLaF1nV6U730TkS6Gb 5nT4XXfod60hZRCzVKSLdLsoaL2V/6XMs81EoN4lUPruuau9lJwXraS7XmD+DUtYl2kG5DWt3Z9y QcVBke7PHiBVMTH85vAOo8lCIuYKJs7aMXTga73VVzSHwWnqrxm+wgd8deG9vS4GrbolH3vuJeqN f4T38tlh3PAkam1kqTGc+VIYmYRUa2p4aB/Nj67e2mBc6ccvLJ2FMhQmhtyZYbnWVIOmPLEwM5gm DsFx+Fc/regmrsdC1Ur3Hy8N1DzDkWxh98IJzXAJ2FxSkUgj93zvAy8fzmvy4inu9DELBzQ7DueR znvRw5z8NRSRxmtRYnWD3VraEeC/4A9GEUY+LxHKJUWaZ3ZzfCcmcoypJpPvQ2yPeP98xRBSqxEh RidRO89zI+Vo3kThrbjeuJimhNAgjw9Niw8QL3h0tcv9LCrERuR4qxy3QZE4f1hDYjNaqSpZCtBg wOxTwHwSrW86d/GLPTHrCciIaILwKqolP/uHrpCd6JlcubS94TllRyQWE2iDeYvUHPZL4DquyCjn 18vQP7BrvX2Yp4AdqtJL5ooYTd2y4sYpMMaAzrFJqicRrtf3DHbn1eGK1J4py/M3Huj3lC9KSCLM 3g9XeAaqqDEcKI4d1iP1aprOUb6MoACXwtV3pu2MKhUFgFcK1sX595RlcuumXrcoAyRxpg4sxoX5 EtbP/YyL7pvkyWg9FrRuYUhUPpCHam2ik819ed8K6xvTE9I5j03H/WN7BqL9IQYfV5jLb9MnF77A pqjD73ZZ6EKHOe5ADO64aiRLFIqHU3FCsSY2A2+MDs2vg2PUdeADLcFQj0L/hB/8icY6myrTxuT7 ZHiPJUGXtez488t9YMQkpk1WWbHDwCxgrjFKuuDYBN1t+l1uHtbRlqJlRsvvHqR2LsBbLCgqyu2K 6UDDrBbbN4ZVEEgqK+lWHArF2DUKlJzp9wygf9QW9lUBt7otwI+HLOZBX7IezDzng1JIRbfhhB/g /CGbqFOugGB06U/vdfV9zS60u99eGfcaWN2sMw2k06rMI/hia+MI8c95CZ9wgmdENL8c2Z0OrKDH Z6vYy9ejkbrBJPduFfzMEHBmnl7Ey9TILYt6U+3q9hgcs9ElQibm4PNCMfdGEKqJWorqGYUjwY0+ 8YPCM5iAWTg4kiCzmZQeehdX4WRsLdYy8YiHFQ0kc2+H9ca5y3U/fRc2kz0LfUaPHwLOh2XYqRKW sU9J8oLP8Md6IIXvfDe+AqFSprAlgFTqAMS9J4MokkGEwOwjHFnm5k0pbNMbZbREkk8/h1GwEwK4 1Gk/NWRauF0a2JEpUYtlS9AzWpwcQxhRdp+pv6bUSvYNahCHBbxBUToBtAOnTyQdF32rhHJOciQU G5MDTkp71fTWLNbS1YnKJ4ER8Y9q9bT/MXZsc5DoNXUAV7BPEtIYlfWZxpZR6y5a8ovgPLRffqCy 13dy1FS79AcgtxYAvO77VRStD6wVA3j6Hh2VyU/BzJ41A2GPyYTzqVvU2XrzeoK45VC4CSLD0mUn fdIf21lRPmidDCMJoQdxP0pxbyXyVkRJSehlZlG5qwim3iWgxbOQbQvTkiM5YEJjKOx/1DmBGY3h PQQX6gBadq8aesZVFDikbVV1h6h3FAb5Qi/Zsf9yEdbk4nzNOjPtY8G7AqqNBi9jVnzhtnrhkPyZ 1FTsipiCrHHHXTu4k+1peyRnbVX34AVh63PMDXSv8kh77M5JHJWVDgPMK/vkU9bkrSqFXyBE+uVg yvphTnwKjT2RyrTusdj3+yq6TZSPFe/F9jJ5MzmeHyWjZaPaffrUHH8MTb/0pytodLY0QydZjdBc 1WZfa6zstyW9vkihytddfW8t+GP9bpfnmdldgVLppJAyU2Rzg7yuYmNAZX1/T6Y+OtFtbvVuFxn3 VjCB83jvHH7a0PfeDfaoGHL5sIeZz9FaLyGrynLmCvXfRL3TzdpdWf0WLqjWfZ6tw0SzgMZEvX4r jl9AzXzqdTWoFpvGNOyrmwroOpITWno9zPlcMgvc0bOqLTLdvvNIB9E4P8aTAdzk8RYLfwpxQ5j0 JYC7+Gwehohxxy0kToIlARztSPTWQhKVJdtwAK9z38/ZT8hPNSy5CWiRd/q7oF32D6kRUv6TZMu9 BNrfRagaAM6RVOSBZIFTxncWDq7o3fSDqm61CvwaiUAo9tj1AjbwHmS7GkMrKULI47Gs2ybGG4Dh EXnC4Y0Vd1nU12OK9HuO5Q/sUtvxeXuPyfLX/VPcMC5i6KqSK7Vm0W1cFW+02naSAloeSZIYeg6g TtKRN/MHVP2Vtajgdd4wvfsn+pqZ7ySZwnveSBeUDxw8t2wzerKt+uHkxcoS0NU8JV91s0SUTktP pTJiPerYqdxYCCyMb9tcHy7PLWvBQ87vR2A/YYoYi69Up/2XEdeVtcJgImvE8YdsWHFbvzkJuvs0 OtJkBzcUvi9HNvpNr2bxkpzn9TRVGVMKXWtq/Ft0p+ldYtLyLAj+8dIs1eN4QOSWZibK9JExtv97 cPgDg9KSlTP6vrUPbGtBdvWAAQZYirFnc5x04iSsUScE+KzcSHuJCXBjUNM/IrM5kthOpjvLoay6 vGLbtT+pgE+VivyQa60YkQwVBB69l84vfHAMlzzHg5lBUxRyyquYZnRcehSoVNMT6wJstQ6sBg6G HL12LB2JlEYBoBzOBrNt7U77So+et0F3kwtj7tqKVWUBScNnlL5i/ymiE1H4rTbl02p27vjESB7c 1A+hHhXVlD12+4Kp83to6bT4Bao0kGr5eMkY7d61qr9E1TnjocgaR0NXmSQnRz2n0f+iXf/TNtZ0 OvmiRFH/NzIGyF0bs0ZaSSzLVUmPqiGMqnnDWxuSeGZhC/YWnuQ+1pf1AomxW4ZoOiG+ErbhsBEp AjS6lqN4ELUaW4sGmfODr8nw1dGlzR8uRJZJ1Y05oZVzoQiqUXMi2Dv3rDEVxsXJlE7d1xWv2RYh xc0gKcXaqh/eVMrfXiJPbXytaOtQ1FwnjEcadaWlZ3NUIFoRZKJu8v5yS++6MJ0DjMEDfCKZeB8C bO+suKE0H1apIoIZ8BYQeyWsp2Irav9wYRokF0FyVJ8bVn405dQhPURPDNpzXIb/wnPnmd/S8/6u YdT+DsIOBHvBlNAH15lg3SJSkvfAelOSOH54PHjwNZcG6shBpD3hFUrV6l7wck0FtAMTPsH9CHA4 As7XiNm6BJ8b4uvkVlbPCAdnAqAKZJS72RZgydZ6RRcaE2d2/wxFH3qrI9gCPbrT7+53W5fFPllQ muxW0yta1EOxbIIHw0ggXztz1C3UkdNUssyeTNht924l/js4vg+Z9eGSxAavItI7halomBf5+gPc W3R/VBUQikDf0bh6b8AQJV/Eojy9pX9EAiLJGfeuePYrtHMhIMq24xzMZhIN7lHQekRDC9Pmanww cXpki+12odwFNzbnLs4NTbZnxMPCvWpZB+tDeu3iiYvBDD1+688h/vylR0JqnPUIfAsFHdZ/ng2z hdKnq/Ce35n/6vnT6NxrK6ssUuGdEFIQqOOJrfjpL4NIo+Lm0tioni0VruAcDAmHdZle3wMpAOmO kU0fp3VM4/CR9AEbqeK3ZM2Rs+cYB3h1Cq1JjkO/4QEr35/Qh4IAGQ3F/rOQ4Rop8LFzPCasp31O RzywWrl7cNMXcZzDUTsPkksz+lTiKhKhqigWDDtK5oo2glNN0Sa7ZGK9BIXWNvFPtZc+VlTEWldC JrIUNUloZuh/MHKWD+iRCHigpJ16hm7lGW/Zz5dRGFP6ZXrGPqVMx0Jes9nU+EOI99Pz46bg3d+K 1jYbmpORDmBApld1SkVWz4hHqLuQ416czOyX34KV0uYUjUgRQJfWaOzYbo+ni9irExmOU8apsZ/e bMPUTzV05+o4Ehz82xJ+7Xeqbb3NKzOvPME9xz41dlgw9Lbc58oUwJ55fu04wRZ3RgL1Co7f5gjf huG8MbNpnB0JQVNxXdb2Cz6bhUR2OPFiZKDLRcCszZeCddg+A+uSfu+8JQHG5/aOtCJt+gKW3B7D PEYuKIXS4KCtemKS9gqhdNULc/wqhz2YOgd6+1HKfeK4VQqrl8jW4cGHWBfsfZ0pN6YJC0RBQvLE 5z6MI9xBsWg1pqR/DyPplKAOIuRTDhdm6ZwV+T8N1OwGoJ2zLxWnkneehwsyVoy4KQpEv+UwLm8j whrEOmP5pjqHlnfsn9gHogLgb+0DOrvFVVdZg5eSGohc8yIl9GWjoULONIYk+gLHuupMZ05ZAq7e i0phnii8l5c9Da5pVEG6p/8yC4SksR+sTVuXjNfX0d1AN0qNjDuRL05N36JwpIO2I1VAFmzyE4j5 +yo7ApIlOaupOEbkUZwfMalxJd5fzGpeRk8vg2lTQk2SwaKCjWZzX9QM3Vhu4rOXIJilGkrQGVJn AMp4RHh1nQm5iv661YBCofHYVuGNwFmwmLRkyEQfxJ0ExRbccPlbUISuD0FpzNZWHLgSN9rl8cYC x1CP95g1l5m+E3fBOaqO3QGVzXxQSqO/fnVe2GtFB4Vb8JxyEWVDcwtKBF9xh8kwTTJxSXp2MWqQ t3AdHb7iygUBdt2QUcVWMdRz9uvYVfTrIAxNFDmX5tIXS1KO2noYcAGbNR0/vT7SE+CNyA0hkHeI IGDLae7L6MdP/OobVy+uIouY5NKJlsaNM8aYKtS6jLywSvkeG9CLJGiAQqyYDty+3dQKyalK7Web OO3jliM9YbhX0nTsZ4orORW8D6Hufz/MzMLWWfVy81Ak6E+g42JoWxilCI67rJN0lpRRjm7/OBWL 6AjI/vsZO+oA4mB3B1pAq2NfgyIYRgvw8+6GjqFoQB7/C7fNbBoo/+oliWM+SFFKzkvuc5qDGGhu Ku/vsFwHMe3ptS6NWAV0IQAcNTgFintYA6mth9nQenxvQJimJHUePBVB8JCQSD/eA8gM/zeQGTHC 0a4oRhRFDVgIHsSIq+64vcddeVU0jmzO07gMVIshuFOgJHSd5bz0OaWf5ULgwsMY3gkuVyqWKDcU Feu+b4UBJd5LHObgRYBNq/vrYvOQc2lu/gz4S72vBjxsHseIyx3K9r9KJsD0Ce3lWA3Y3w0tNENM g2YcnjRJ0CIbDZACAkdoyMaO/+d2UEnvVOZ/Cko9F5NoSORHHmBfSFC20oCqaD9TL7FM2/Qm6s7j x/LiIgc55EAXRc+kjQwEJlseYuc3FOisUG+jBwUmbxZe18Q3RmOqggz5XhXV77au633SPUPl3NTp af7UNf31WMjaUJWWHafEKIhJqg/Go2AnV5ciA02Ig55z8Fjh9uvSDiTfsXMhe4bUCnpLTZuAOffj +r6/CxAVXAHTEAG5AKZQ8dBQcv1TMl/3EJQoRxqKjqkVG7JxSsgZEP9mn6O4xH0knSnSTRakAXWe E8bvUZRSxSyLRPXxBD9Km3rGaBNjNyVJDjhYrEFVaboabcSeMekTw3OUc2tiOLqMc4yrKeFP1XwG rBKjqCcFSmdbqM+vipjpuTY4Efh0CWmdJWQTHCw2dydSu/uB8HeIMnWH0Q9oKUED+KJyzTNWrwL0 DXc4TdMV99ZtewFuPIHafK8VzDLT+ib5ipEPa+/DJe6vVLbp8b6jLodpMTj3RRLgF4iW3KHDzVtZ 83BFEIsuRfROd86dybiqJIHwoneTvnbV8Kifa44EV5DLiMjsFiIWBf91HkYwkrsHi/UzjmFbwiA7 4MVF+bdoVm1+FaZyIqFmbIRElEkYEvrj/a3XHA9/G9Mvoq+NcTrxG375J+zuEPpPojtWmYh4Gfe5 3z3mN+NfZvS+LrT5e5m/K6JEXdcoP6PRHnzqr9QZbt0MjvG5PexmCzkLBTGMoKh9dxrw6ckEzQdI Xa4SYGPkqfDAuhBJdklS61ds2w/UK1EpgD76vngx0H2/YUliSq7Vujh+IElwLDGmmEPIsNJ1nl1F xQrnm2FIe2HxbJXUNZYv6WAwddpUFn2mebERVSavLAGVk2d7rPcmdnsvNAzCTXvyH7Z58Xz4U5mc 6W+zk2+9Yha+ztydweCQxPpOkPE3n6yavJZ4BvocBDso8jkiOlxX8CyV8c1mWKpMeWXE15LuzNGp nyq7xkQpp15v1KJDlIir4Jo/Nkcp8c04BlmBlxjwN9tLFRjpJ60Cmfb6vY5h36qo1NSkspiHgHVg pXGbzaRTewVN9VwqJL4J6iUo6f1L0FKpJzaCZec8umLp3yuspc6lNXPROU9UNQIqaujVGEXN7Qs9 hESAnSwhrVLKWsxNJJdSwDqCVEw6qiTUC0F6ao0bD0oRjWA7wJMZRPCD6NKcx1vDQMqEhuqKahvT 2OvMzYLEM21tM+UfjMJGE/O+OXlHDqEhGbuhWJ+x4K0/Yw3HM/IxJNNdeYokz5s2aC/WoRbVSnz8 iUI3ZyZPGNRFkLPK4WRhO7w2CMnWzFPYGDMvpeE1HKE9kZ19E6MXOnAdYipaCkki97CeByolxoSM nHSv9BoUnWYnl4BEVhpuTx3JEkaIrcTMLObxBd8Wo6Yoe+UpHHaydmCBei3cR/63Pc9+0V7b15g3 zejaHtr1pHCjb8QzrUAhdL6vBxFUVryfh/4uz7E74mv1P8vZclWaB7mfynt6IjOC+mgMwjk2Lc5V w1aQEl3f84lhSxdaXZ8Cri5hgMGi+QV66ohbyjidJdMKo1R6hKluUrVddPuU9RcG5RiAdho4o425 NNQkMxtFVweY2L0hyN4kmK4bNTNOO/GNFCsk7c0wk2gp2Xi6ZO59jlR6+XXkLCAkaack6vOHIaTn sRVxIgUspA7dwVoFgYuTEcT5X0/hjOkf29DueXpzcgbRCUUrzkEmNF8nfzYy+qEdvEKn3z7H6dID zbgZPstMCQqisnJfMzEoiEOlSvONoG7zjdilWpji0ayR1qDQPFA90shXsW+EaC5q4d2BbwC/rzTr hJ2zMlwJ6uhxtIdFM13+LAcWtwKsJ922F4aeyOqF/vcGXCCyjDD+ScQ+5dKsGsp0QBroLuOv8DtM mz6+0lHvlajSZ5emAO5nVy1NqCxBElzUF1gGjWS0a5OspsVxxrXZevLeELjGhAHruH8par/bl2qg nzlZa6FM9pm9TbkwQs+MkQudlzf/PwdgTXOVh61lPbfHzGFHTiaZUKieznTSHh2sQsnuRS5kqX/9 p+XPPyLTMdPOkTVxecDDuhH5tbGZ5/oAdz97KTEKTWXfreZeriPrn9m+bz10BzmU59arK9OJwQ55 BZnSjJBEKY5hDSwzmJI0zlvR/513sGQv1U8vDimPsrMv4o58nDRbo21p7QL7SGPVyjuJZ2GBQile 3BpelYzyA1QtwMrJxWVLL7JQ2g93Cet/MUnDqeRZ0nrSQnf2hsT9+/YrT59l26YUJiugXRG/Y0dP rja1C4Bmk8hwETx8AUX/12G96niE4Cck8iJs/Ut0bgZmuoayx0r5nmCVZcEdNCSXcA2Wo5MQ0XrM dxK5/BO2i/SyfmS0C/447GdY75DqcCKO6ABwZkzksQQQEQYNMQ16OKhcq8Vk7ub2EGr9STgvKeUB 8YdeP8cLj+QrOfskzDR2NxD2YYo8CEpTtDzurNk/8XxQxfygkfGiO41G64u7fcrj9GciWetAKsuq XKilmdCEKE3UZTuC/QCUsw8TWXvGkTb8QL2IZmGkFjyaEpuTJ0MNwbRGwvJYCA6hRARDFrrZam+p FjvuU20R/0nGcah3nRlqvr0YeOH7ocDDrBvzYWRUwceKcHZ5a8pxmzmCDk9JwUtkpRcsx7k4eXhf 1cPQ6DRzfN6xuWxTYttMPhcmYWMtt4LvVLhVDLzoNYHE2q5VsJ7HXY33LzNYjftBcQIdeXkZWkHs SIzq1MW91hkgvsfeIfYN8yKuw0At6pbXSdE+3beHAorb7lQXLAWLv9iJinvhzzH/FKx4U9P7O5/0 7ep9hB2X/Kp9mQwJGN6E4LSzNorUhXGPLWFUFY+SCP7BUL0EF7EnjDtx2VB0DqNr0O6BUs62Zl4q aexRXa5Qi8aSKW9S2Iw6vASuMWIcbgY8z05YhtEcEokboYnwAHDTuQwT4dldJ7DE3Wl3YLXjoq+s xYYul1LFowSYAeHQ7pRrBw+NjqvZcmWPCQzFaEAvVvivtV908iTt0XXiUodzqfgWCnLzFQeChuNf 6qu1tQG1LAacz1tKxK7yY708ze9ybEIfxDo/HVbatOqulus1cgecJMWE5zWfzjapLN2LZy6bD8Uf A8f3g0MOEpGfMuVXIykNGsUBmxQhFe/du+rVYz0MXjrCko3HBTkiSriopTyM8S8H6bQixDcifHKI uxPthi11SdEPxoWOjraA3d84tCnDWLMngJTYPm7oWaYe0vAyB0/AAO0pRO6AoZisARcp4uMIuDzP 8mR1fd+6RKYfLGhtkOSTmMEckGyHfIjvhsGEsveyZJph6/lbS9nFpv9iiRZ42lNVMxYn8PrT2AHo lG5rjJz1veCpUFzrw41iuLUEXQDQmVN2VT9ssgVsTYNzIhJqb9atCGUyxQmV/7VOCuoYqLLVnNM0 /qHlZClY3ZOogxpuHRzRm848MbkOd+Q+7qiOa+BnIlZWKI0F11QLVf0TTsY5zRgOgUerBFrKMvYW E+iCZJDOgPCylLRAmR0Y2cpv065DXj3mBQ9/QfTt+FJEsxj9Gxq14Xy3bTYVpwiTPDxxyhZ987Ln L8fo0r6RG2uSUKfiJJ9yJ2Z+0npLuDTwNYQ62mHvW2ZuvEQGzHp65JQ8/Iup/wuHhF3/7d6rDhV8 yzEooJbCvfwL12L8iewGL64i23QH6nuUmJxcI+TLA2vaMyaCbhutXXGJR4OykRePsjKa7+YHDFsw UXNQvBm55aGxpMBaBiwj6REr+JxCJJ0lAkDJkAmWJjQg4zOUjZglktsG0lIldb5BK1f5FFquvPkr Ou7oVwnkyqhvaA/hHyBCV4dZcv0a9OpOfYrmFTZ0lXvQD5t14QnTSVdsdXRFFemSBDE3LWF18gv4 BYDADb6cIGoJE9MZCN9sMDxSqY+OxPSl9GSwEFvb65fr68U1cMUHK8BGdCIcY/hU4RxBDp5YxkdU ABtjjLaKZOvJG9RFIxnLIIptYoVchFekm8OPwmcDE9hplk8drDiZB6M1QJLT21mLhSEY3qI/69dI IpNqj5gBRLly26L3Sng8f3ezIfcJdd0B6t25ANFfcsTwPb3o23YWnfmn9BousobUCda60vtYoU+S J78yPf9rTleN/eyBKxYf0a3MUWagIr0bdYIkzhfth30WcyCECo25JnKZ8K43D5TVIUGuHzQp7tGx 5htaIh13fveelp9ePEzd4MKt+SjHlvJY8f5+NpPMWXviYQrQtfV8fm8NopiOtGS69u1UPI9OEV4w 0x+UvolZxkTiH79udcDl7VXfOIcvZBI31EYvbzEw7YUaeFLYqIRx6d5Y0F8Dl+aNSnBXvEYa9PaU FhnzStNWjvYflcYJwYWKR/PksqW6il3WC49T0/kadPfmnlw0D3F0dM0+dloPyvo7LzxNgMeb6xfL lE7i/tpaqJyrG2VblcCjmFrWWdlQ5ulJaEmqFAiOAPuyo4VGYUdVviVu1Kq0KzbE582iZ1LaqjfB 8n/upiawcd6P/vLxIlrhb7DjPuDVZDtVY2tcXViMQV4C+pEoX5eQYyDfdRQsKKkSTcs88u+widJ2 t/7kykIj06rGP/GTfJxKzeUDBUwes2VO4FRjf9pjNHGjTaS0bhaZHHOF5+CPVXukAzqSrPbYMmlX IaxaZHDg1TyX7QgM2ZmUf2swwIZAn0nGIvl+1XQFaskMnwuIfuKSaVAkHRlO+GD5kZaV05TBikwb FVvehkHJp+QaKsmLYPRdqgN/PYz3wiGYaJG7mOAuv35fvYhkmlujYJ5QqOAwj/XCuLJSCZV2Ot2W bOW6w+WHXOpTPW7rSSA2Sf9P4HsY+9Rxy7Yevx/cHOOOI0kEskOa7ddRlPO/UPehyfux7gKZ5GoH Oa0FA743HBu6T0vnuZnulR6SxhKIgZh8EAvpot+2+rvHuwmv4HLbBJbhsBsnNAlkbJ+AaXHutHIB npqkSO0BP7DXPgkMc9GPdncQxO65tK1tP7JookGxcK5By/pG8Pp5L0oqiqtEEDXHJVb30yKq9oIl Tg9Ayr6igrA4lhGukeRCiF4BRmDf/fyXloNJYYjGMfizvZDx7iictec6RCwfKQxYibDL3UaPHRQx HvtTBr2LLtlO5UbEvkdRxV2Imcn0m+OGXqvGcgHwusYyBkA2iMp4lmWBJXyQgnsODt4xXYxTBGxZ +TgSOU2tX46AECJCOqcqmliBLYRFrMzKtq7d74AFEP3Fy8Z8QNcgnaIG/TmjGacrFnp7TutahN40 ZoOFU+6k232zitSOoF83ZpAWilG05b6gBsAdyPsizbi/qCad8mHehwdWtfiotmpOIKAbzdwLMqbz KXIpJbbp9HcI18Kgs1+ZG36XSa3v0qKRkSrZjUaXA9GmC3gViIwQYRLEMtH9HUhcsUcJ0BmueSZK Gr15FV8ccYh+qW6smoluRU8NJ5eD6TGEuZOm1EjTMbwTSbX5djGbyZ7fX71RMJ8O25YgGh8h12Nj mYa76oW3IcksTBgQpg7BQ7ikUzdf4yP3FE+v5/HBfl9BLRQmrGUGv2pcKca1Wb1URSPJW0J/KI5x Ojq/3WKHAsEclYCi62+3uqG72QFebtBG/oiFwnna4x/jf9ui1KUdmiR60u4Q9q8FH1ynleNCp7Xk XTMbem8+K94umgKIcC+e8pW6v/D9JH5mE44eaARrjrYC/sVpTOi7Y/0fT5RydT+WJgRYc5052Bik x8YiGixVLQZ79ed9jGiOq6yGb3e0NAkzChnoxaugy1gYoYBmot/JqWgngDaQlLl5xE6lkzzb1o3T PoCeXVfoaOhT9ftPov95VPo8y8UgHXnaFC48PmEFgieJiUK9b3Y/3hgVdE8gtwoX7c0x2xkdYnUO +D1zlmw9dYZZhEzSrqql42Ysu9hb/O6Ck7DPULub6B9pVP//R/wX2WBXKSudMX2htovlCbIYj0qi Pn/k6orcxrxenW1BVj8thGaiN146/X/Y5A7GL2lpocjKxQah2XqH/22KTqbeam7Snvp7a0xGiNWR 8+DN+j0qy1VbouOHI1MhTh5QfoMcA8L10jgzrGWpBdg+ITkBzwlf6KaAiWbgafkviad7gqC9P0Ug 2onGMekXoaVPazv5oMH2XaKSgkkOGWg92TRPnplPLCzsSszC/CShijF9oqppGDqBXnO+gLYvbapc 0DCLmAXBHIrdY7ZZnkp0gPrChGKr4zO4JPEEtsLhVBNgyomqgxkVGVRaoomw8HbqSoks6Y9GFx6+ NLfxUN7bWfc9r+gA1LNQtpnEXVdCt3d6w44RzkhSpT+OZEXTc3ofCRV20CA1Jjw/Q5sBpnPRKvBc dVriKoS4mnOQrg8paV8GGEnreKLvNyyAU/L9KvxNVVm/XvOWDgi1g/dd4gAyVPbLm5yOx5qM5PtE CvRHnD3gYlbvQTn5BedyzLu1vSCvCjJ9FQ2PXKQUPJr6tqEzRRyJKNuDoI9ie8iSrNwFVsLeSJTF OdqEX1RpsxSUPw41Or7iO0mUlusZ+yN1B2UMQSARD7TlZH3ieAe+a09om5XAIBa2mMCc5QxTUkJI oOIafKnXnkqJBjRAAL83eDbQuwCBhDOPTCKd5nIqpQcdH14VK4yjdQ22IByJhLR8MBOftHVXxEhd ScCUTWacKliuTNpCmDpO9RkPmSoopuSUt2g0P+pgE0T+NBA+yTkRlIwANlovO/sRidPJq3SQ569f bpnj8uNlGk/fW6e9qYPdqNFzhTv61FwZnejOA2NC2r9FYtx73AX0ZJU9LxOvdasr/2SQkRH94RMh hY0ULmHZTotreeTc8qFPwNcJ3nSAwQ1Hil190bjtJyc++PAJ+JPqhR2AUSClgp979WSrSXfwrYBY PiuF0UzBFGpyYKaZnD+RJrrc5BSHDs/jhRCDjBjvA2K9nJAQ3LIuD2Pcwp/4HqhtDH6ryen+V3bU TXTeAkKVgVRJJpUNjk7hTyUuV45WxqO95OOk+48hYlOjXKmIzAXthz/Cw3m5fMoL4C6n33sd5ngO mf+GZcumTp3Yd822KJrAlb993SvH73MVnh5raIsjFwDarAWq1nM6jv/zIa7RdmK3j9G4jAJ43M6E FKO7TnKLHk/K8Ls+z6VOzgzZAzASEtFwVjRrcMQIcCQysJD+csuzuKl+ZjccwQUUxsLhoNkIafrw X1uZoc1U4vFuM+5zi5CqaOV/61HHZIw566JHbaDb4NpHdrnYgR3bcpdo9PB6zOBzftBC4ic44Fch u3YRsJGH+LHqCKUgKzV0XDk/+hIxiCW5oiwhTTuX+1MJH/SjfYTQ3jdKCtY+mHZCw6XbtYOX+1nM hBxxa5Yl6wpbYBMfD9poqjL/JgQYIFvag+IXM8T4kTcR11FjjLu3ryR1onS/tMew6CJAqNmVHXPk ZecZ/O3nTCp8rDaPDsmKrlGiFQL+GwbHbneOcZBBY+cITs/BtO5zA8rtmenmDgywAFo2LkIL+BWd sQzRAg7yyZgOIwT3kNBUbzQo00ytMrDds0KQfXJtfjDfEMV6ymPxOtro9vleFMSOzCctMmUp4nvw /BuQczQkSm6m/5/JXw8K4avktPXlgped6rQ9P9dP3iUFwb4CiyzwbNwKCSSvDXFuZ40p+zkBkZQT EIfc1h6dBQiHnEiswYytErKjafrkxz6m5impuVHcs7TEwVjierzPxjlclACv1Imm4HdJhdNrbkJT EWnID6HGdsp8Cfb4700WL8WwQ9Cxl+yEbs0jThYl0t4Uz1Wr+zBeCLRii5mKkqDDbCYQeQkM0+wx zTt86etn9qbmej3KfDNbirxtimgf48uTkrbx9OMGUsOHgmikVihMO9wjhNz3wMIC5EL67s70lVuV yPTAmkV/NbUYevpQVQnq66F5OENcqqH8TpnmigBLIAyo3A9ORrXTQZxbyBR9MaV0pn6mQB2dtWmB q1nO+cHc95WFC6F04Nx46esRtulIgDt2RBshdtGVVyqOcOM435TtHfyx+GkWJ8AxikKInEC5yKCL 8lpt6SLjBz259lphAm3+Enhnug/wGDD0mlbf2rhVr0Sv1y5xg1g3DiZNSlKoNr+lvRTyLI6mtwwQ z9FPKMu9mUAsA7mHpI4VrN3qsYgqxL2sAtuunrpLSGYyy9TEDRqkdtN8K1tdKNIfobc7rgosrf7f +K/3qN0WGMWWA0EQvD7dxGvIK+e0ohA95NH0GnRLUgWDIOcyBbQYq+S5tc/KcLZt27e4f/QpAB0C HihGetrLTwGvXjyaDa6ZutAqGIA2SLxCRTneyPmcokiEy6IUrGXxJ5WmLwP4ln58BXRsuO2P81Ib ABuxISjTJgdaUcFr4RpnCr7eoWR9yHcloJIEx4AYVjLqG5tBwH3n2i9+IH5rAtaIXh1VI7MGOXqF VCU3z/LtuHhtPgLvUnbX3kTF08MUMdmG4lFV2i0gTrdzOW9E8b+/U0lfoGJQNyCLWT6XXufd+Lda PsPuElNVZp8m/JqiISdG887eSgX+uuo3uEHpC2zfILVoZBH3PvcX3odA3CMFTfBSOU3lpvMZ0WFZ r2vmb1whG5LGZUvskefd4HcEt1fb+T/pClxuZFbOXs+fWjoTxEdZMhueNQUk/x8a1m1gAJnlvLou Co2sDmKT5cpcwhjhxx2JoLeBtEH8sc9dGz8qMP9oXmA11AdSpiX9EpxVwV5ntz3pKamGofD8vutB 1tPgc3dAry3xhF+RTorKGIloc307EB/YvGppac/2GUXp/cSea5eXxU1szk5+GFBTJEMU68pssz+E G1MCT0q+OIgskvQYJMM+IiCR4DlrbQImtPVJKqEojE4sE66IX5G9RnxtvxuHozBUgOit8835t10z hpN7lItyIHGQvxv+BaWiWq76noFmsdCzjNV45pIS167y2DOKSRgsYctYaoISsxApDR0QgAb1wo0+ l4e+hboCMS7XdZwn+dIBOOQCFHqJx83826XKkYSOItwcbrR1ghPsGHPZJNeeOvwqdvaZCGAWB1WI R3XfAIgJhDYDAt/0a8iBhIbKw/ZhxX96YhCG03PAzw5yFhC+d+ykifbmXhm4oIC+nAdiXU78UwaG rLK5FWItsNQmURhiZok00tQuQ0SVK1PLhsO3LLMWeTM21aL0UTCVGBmwCon9b1fF+FEO4Tt93FUa nuR0LjMErNj+nDjJGtigZr7ER9lIy10adz9NehNJQrj2Vi71iD7Z5XqKoX9f5N1B71Z1qQcxqkLS 7IY9BphpVGuGgmIwfWZYx9CFno7ooNHtZCkZ0qWYPGbiM2Tf9+PGmz/J8KYKkwS+Dbo7Qv77eUYN nQbDfifJ7FZhZOY21N0cfC4Bb/ac2cuqjf9iPzUiSn9Z/B4MOHGPeTkUyWHDcW7cc74fr+XkGqJt 5IQUqHudkbO+wWf2W7bZzBO0NZiivYE66RAAvQFk00J5vKKqlLHSJYfY0nCjcJeuj4/NdD1HOTRk 8y7OOwoKBF1b8DKlyz52322DhskOKF7+ZlrLQdynfWMiu0u9ukJGJeIL+NARUqSJgGJQLHEuiU4o boM3/+dB9uV71srLqcTFgUDUzq1Yoar52ZMJhM0p8gvkYxogR0AtocHuSDdsOYcvOcUwPMrgAmOl 92RfQ1oEiHlfvTSDsEby5MCVMNZwQ7DN899ExJMDdAbEZaX521Ta0UnJApv8rRF7feSK5lDmuokN Zk67FzQQWD5Z5qRyhxnHhNXjiigeq1SvR3psdyaquhdCYH7m1b7RfwQaPDsimPT56AbBd6zD0dHh +GqS53IwDN84y+8PXg1yw4g5mk3uEtoBlToObZkCgKGp+dpVaoS3w8GvH3AZ7f28IBPRtNgddMVb Ok2s1dApQevQZfw618U+8vCYNtD1Ed7zybp4nx4AF7K5QjZWqeIcXKF3krwPaKghARNbcmvxZxIq +glUjSqfnu50n2aB1i16FjEb88E98PTETN4XuU+5r7O2vpwGJw7/uHYaEqBNl+ErhVUYTPceGVrM qURJz89woDIAV/sbvBPBYgqKMO/8bIpDZqxYy3BGaMOhnSpOJIc/vxPCqyo7vOxz70HLIb72BFdr zxZWRAD3C+BqPZmTzbI/cVQUUAkA1kKmNs4fjpZeHhP0SKufH+Lb5tjuogLMYXBk6pGaCDWkoH4i qkYw3jo3A/VonTNUvw9aeJ5W+HmWwyxizEHXu3yuIt1ulNtHlmaL6npmsck5BbiivECQup4/Ozi+ PbxBopM47LMqJ8pPhA8A4UgDgK6Xp555kgN8f2SHDvkmI4hireJExM4XRW+Iy2CHMOLBHW0HfYHd EsA96laX+WO827fApbUbRQ69ONLg81ZjIKsOJHGeBJjQgC2jZoVPvFqYljrBxz7WsxP3aJGCYN4t Z2feOTD2bownNQXavvzUZyJkEiXB5S8c3PDy6MG/vKWV/tp+5lFm+lRu3wKuLLKvFtjpZk/0kBcb xxt9VWo+PYG4MGBknfW1dILInBt9PpycE4hysoCuqzwJHGXZhDEQ7UjDqqEUM4wGvHQzlkKvSF4Z zM00WykwP+TLbGPkW+A1NBTQea8Pq/iK3dS+xNJwYtuJ9Z76+DHYrNAYrC1NdOEHj6ao3zwzcesn iCkx7ylTpLiwq4zn6P0p7g9YAQUXulpJ8OwDE5Ai+FRqJoXl/lRTOxsTkGWav0SAyXXA9EF4rEQt g9Aso2etEuN4Wzt9xp3jfiB78Mtl0WpDp4/8bq6yqDydiCTCMHWJxULaH++P7hWoAXkokEORIkyT vTNGnlAm+32TjXc/H0RzjrrqZEEyu25s2fJ+5g4HQmvRqEeyH64ogxWbHixFLDh/gg17sb46htr9 NnBK0wrd+B18sIbc8ESF0jxfh35I9KR2zJvil8BRftry8rcmqvoHnRO/CdIo2/R53odjneaBccmw 02b8m1hgPNVjYEHX10196lH5O5wfPeuEiOwrcvX0Mg2o13fr2uIxMUsn6nAJF/gYTBWW7qXOYVp2 Y3H+ShdPeehifjxLvWdfzWKIE9Si+lb1QKagaTPwec/UHOJiu/2nVKifzSPpxGE1GVAECeYm5JBS nkV7yQ3j940RanacZZm2F1e/F2/gwCZxyC9OXmuJETIWjcZIrtfFZtdsAEGcxfgsOxxsGWm8O7VU fiot6PtDIZSMdtXOqh2kUjJrMXdQQ2YX71/tYL0/6yvcAoGUzSPt2KIJM0mYaAjYaexwBv4CQbR1 UKvEpo+WHUCblVLFPRxgnRg14WHltcTgX25sRz2s81vCgd95D/B801X+oQZ0pMB/XBYZJeXxMW6C 5vsRnkpHv9eHye2lA9dURT616auE3842RsH7ECycpSC86TWra/CzgTSTwzvW+67Oq6R11pWsM7ey 1/zPubjcdm6HjuzAQWq6YY78+dMTaxA5apG0HYQ8B9ZqtawT1hZWiLTXZ3PURhHyeaw1oKd/MllH hsB7tG0KssHEN0FpDsV/OqAuAsIMdhGRFgeHE5xwL9/6UfYbDmZ9FuYZe+XNyXE5gEoSD7woK43l M0cL6NTqKjpAMMiNGrm+azqQhfhw+9WC5SGnlmJSTuokgEL5bMI/Lvj9ftjAoh68uw2fuUUrMk/D 8AqEfu1syNveocMVuKzljKtwqKWBsK7W4SItf8pEpIjdtSzCFtkCEtHfNPFnCrZDyuTZ7hyV3wye H31JqM+ebatzdZsK+rCF3c4hOvlcSmv6tgsk1Isq2c+lNB1Ufrbuug4AgsglPCYvy/CesYFrGbdz IkMlF4KvunPltWMumbDu0osfl3ewtQVE96ZGSLPHPsQFP23HMt/CSo//vRczJsOWSo49FgeFDXYg wwwgkMOPhpefFQ2FMoPn/0nUbmBBJCVWcSN/+jA7nz6Txw+0kI59wUj5QzUZryG2iTwWZpWS9wj0 2xadCm4w0VgFwFPvGQxTkR1JmIndTgjL8KPQR/CmAQQBMPWLi7062aOBmOufdVrphagXqjKhum9q q0VIXBcIHBr6FXOAsYROB3atdmXSVrg7pOeAyuDGo65FR8wh0b31jNcB6mulqWNY5IXRs3hrzMTu r3NsQqKmaHDBc7ru5W8rdZ8khgrlE0PTa0BAW74hvgPS16+YyanUVyDJ58K6I4ESgrglrPu6M2k9 jt6tSKjaxxNWAKCrr4GkALjGAvB1LlKmrDX7dKxPy3oXBiYSf3AbpcsWvp0haVPAxXYKkuEUp6AM FTABipwlC65P1l7w9YN1bGlVowPxMfNTLQ4OWXmsIvCmi6mKb0S5slGfBIO/VfG/HBiiOGytfG6L 1tNO50wryb1xD7S8ElMh274fRX5OFgyIJcZt/lUIVtNQJQ+jymPLd5OeWdZcZOMqD0VZMsHmXVDx 9cR0gK4ZNz86dAKQc+2DJ1p2OmdCSTFw4w8yYH76FPLtn4iHC/Q1Hi4rEmZA3SJfpJc4vL1TCEQa Qbmdy4HDcrALSm0roacjdCGov47h+JjCbOV/c5O40vrGO97LXX/MQvijPxEKHWFwPAUdepK16lQF w2+cvCQnqunmu5qmdn85TEfLRB4VuXD9qTEG4BgvcXbnXkQE7OoFAiCmIjcFmyA2heM0/R82NndN +F9n8kz92mgKrC3Ca0O9tcfwBqv7GOYOpT3yuGQbUE24ED8vAVGwp15iyxnGW2ea2Kfpz9e+FIjC xPjKAyZwCo0Xkh6NDl1yeQghITCChCyWUAd2ZV7mNQgVUXBOSA5LqXgKh3aqcNmhGxKAjSLDJ1OP jiLfGlDuO3oatrNHQHAcNGfUgc7UNEO0iv0xevT7Bvh6IEozwqkIg5ZYC8q/Qby6bFxGHfe9VJAO OGBAOL7xdOGhHXaYuUPP6a3UZ2WfTIDheRKl/2UArAyqKBFwc7yqn4+1a3eWfU9Q59Gb0u3Ojypb 5MRT8GxxrsUD+0vx4XJiukhjSIiJ97KDtYV0ZyH9QToNdjujcuorIsI90+hT3aldSiN2aAaRVU2B rmWop6JiSTlb9MkaKE54ccdcPvISM9wljivWwXm+E1sPCjnTjjR0B021FNcwWk3u8YuBpF19boW1 zAlCVQF3vZZvCq5s1C/9tqzASza4zeFeVAOOeVqsSB0FAB7VShAkKaFBj7iN2YOLxMe9S65WJ+H2 9O0+RLNCwrVad4xJ/p7eyaGrOoHi9rQLAEJtQ7+fSdY3P4gV0URUlF9ugAgpQ76Usgh1SSdRwSyE KZP9PHFyfnaUU4PDf/mOFlz3s5lbU+Bs6aq2vZgvTETNUq7KUfuBNM8DczAu+CbNR4JujJ/M/PoA VWz97idFfjC2WJWz60aHw0btnTXr7wKQocC9a5xHqN1O2yruiKeYbuEhBsrHCQWfO6F8WgYSgj2Q TJ0lGHGOhrSDPihQz6a7uDA8v76HXGKrmnIje2a42Lqz1ZzyByM6ddB5x+nqcQ61OyksC0HRFCMO yl83F02Xyilcz9JVPrgqVyexl8wm4C3nD51WtkFdXoJnbKQW00VXSf4YYk9Gfd8mBnIvX0AAfhck to+m9RJZ4G7DVbVuD1GnqGPW6HPOVHb4dgmoGTauv7uEOcz/dM3aChPeXm5KnR8v+jfAPyiTy70N 2T01r2oxuF2nJXQhCtneXx/W2WQXb+Mu2fXzIDAwtJyR7tkb2c7kbfqeLeTGTI/fpCwu0SSSYYQZ N8XzGW2zXopGKm9v14Xpc2fI4ATtkLfKl+kQcM8rniwNFgFSTOw9RpFu8M0mcMmEF77fiYf/BtGp qe2lzmJbO1RCP83nj/2CdwI/WgM2LAqrCB+KyzSzYgsZo/N6oqPtSP0hwFwCHnu+TjRT3Vw3JnGR wWUN2460+Z2nzOu2zEaEv+Fk9W4rOO1hP2gLMj6XajVxfDLPwEeIPPbt+9Nxp8+Z0XDYYl9sjnCi emZaePrJrwJGJKw/deQADoSYXuuUrL+IoDUPe9MXDzHUTbGtQ8LF9SVSsNGeISFgelmXRo1vjNRZ BtIPvXUPQgjyDau0SKzWkSFaeFEQQweH0lt4DpzZCRozlzyNj5FSyD/MM1O53VJnH30B4bOZmhyT qpByn32oC4vrRdTK5HW5T6bVy549NPx5EXp+CcdZc90DLXkMsVqODmDFmIvXnrDu9CeHeMNUz8O9 0JVgIY5ymed0Hv0Sn7AzisT2yFiZRGJCx1qkdtpwpg/kGfKpJu2eT+TVrEtcug3XsffGp2+1KT34 VpCW+PwJN593Tr63es7VDtIMttoWiz4Sb6OZeP+XGq9mdp4KyhLDfi0inPKqaZvBelY5RiAi6itq A7lEy0CPFBb/YCbVq5xK6x50CsU8YMoYmpY2U/JvkaBNIJpxjAkmTfK/97tcVroAAqsKWKH7SN1z VRJj7OCKNrZO7gsyHMnXD9ikL/qsaK9Yxmo9xM6gib/s5eyYcSAPTwGmyLdWV7qHw9IsM4P48Mrl ig54Jftsggrjh3iYrkz6PdzF0LhwusdSG1aAMVSqIzzH/uHI0p7TMfBQKxOur+qmvA8xO0oxoJni 8Nz5WIlBpnJ9j3HohD+QP4QXfFLoJhnwTftmPsnrXJpF0dGOp849cl8fzVzCXRhh9lpHopDuxzmH QzQPkq5Ts/dcCeXv4WRLAb45SRyA8ghxH2pKspulDWf86n8zcIErBOti8q7syPpesmqOYZGQTKGv LLj9M82e0liFhFNPYxTFfFd23H15kwcg1C3p/MTMBoZek+euBbzfF5nRyLf6QpQu7meBr0S5sk3Q quibbgHhAEOwxRqV4caTUB6f+0eDAQ11DLTGt4AuJLAR0ER2TgUV14oSeRB/aZXprpQJRppyl7sG LqpN/KL+GOTEYlbgarmjCuyRD7RSdn5FA4Zvwn9hNx4ZPxL252tcdSECDFF7cLTt/6UyoG5hTwrL 86/Q3qvayUYAPPzJILJkIT6wz8GgFTLA1slozLyBXoNtU76++0TVKWOsklAUPrVsoJJscaXUn0dj xPc6lfdnytGlGvub8id4e8DcnReNXuLZIQFZhHB7fGrUYA0snv1nTuUNNdTBUAkGKFXsU0C3ebb3 p0lW/rIa6m+APgsB53ZD3CZtwKmx3tK3uz7NSkeKcCBf4Pl8TAz/rzdE+AxwCekiMb/PWEmOiDrh UrIy6W2fRa4RbCrQqwkzOBx3TzstNbaQvOcyRETTi9VXBNWKc0DOpu0rhsT2XbEGian/uaBHlEnU XKHRJIULys8p3gEftPIFpNdXS9rQof6yAiyzwIZTsuLc9Kcm3RFfrDZ0XcSE/aj1VCEmVY1UrfFR Oja7xCg47AQWshYdumza4qLNhjLkfJq+zeFZfVCtw+kyUVD99fXVI3Ei5KRqNglH+LnM1NYOtF7e DFmMz88FXrO2KX/P5boh+jaQFAsPtnY3EuBz9B5b9vU4dzUp5o4Yj3YV7NkoIHbtpqtnx1A8qYke F32+mbTPXEmYe4cLvU9pR22a8xrjvC8GQ4shU/yy0TDQPW5io9KSS64+B5to2XIswwygRmaDwe7e MFrNhBH1My9Dtsry6HuMAPIMAAnRuYI/cEaCv0S+lZdqWIGDcX1UwsCX/kLMZNN+66/tuEuB3qU4 gGUzN6s9m8ZqC8BOwfiUku5iawW39Ujzo40LDWJO4/IFqyOerrtgkJ7ed+Qm9WN8ZL2Iof+Kpl2y mBFqU0Sv8Rax6b8s1phCgaxA2XAPzAwCU5FtmBdGy2wg/IvXis7Ve9cXU0QGPBpqG9TnjKpYNYCx 4eIhVVReG36nzw9pPQBtWgdB4DuBRWEGkoby1QgTnee3IGu8JWy4B1FD5NrPOIa9fqwhsMGO6FSb 43CzxJUD8TuBkd0rulJkHzTDrDyJU4kK8U3KJDMxtjfPjW6nBs9aGdZXINTLoadh6R1x08U2H+tL oxcGeGpybXdXG/WbHDOV5p80ulqapho5dBsumjXwVv1CHJqJ56X9u/jqF+Tj8cQ62GUnoYB/jRjb 7LlahLEA5+4ZHvEVrO5rdKbHr8liiVwrzcLXv0G6kzTtv7/lqNNZ8RNMW5jhfg34zsLPwS8QZ09m lHgKnQJ4x36kixmkQsV0ZIAZdBT2lfLRvbQRoYJOLsPzHt8WYZnacJVOiWF1lIIBi06mC4PDC3Hz Rz8Bo0iPcmfNezKkiAbnnZ37mn15imm0703mu2Pdgr5lHr3n4w3RY8VuNR9H8ZM+4bD8Gvi5Nf8f 5Db6kTGCyy76LobfUAKydHlORaLAIlBqgQh2Ie6Of+zo750Hk2SHh+fEBTOqSC0GF90HDcDLMZFD YUHW4ixczxZvL2BQxlovShU12JqxHRtxxJxT3NNc4oQGAkZ0bXug5jwdjDtEnOGy6iR5U2B9sWui JgLUgJ7EVw0JeuuKhEs2cUFK0ags93fjg0mZ0yuafuJaRe4W7WLHZeVz6nD2DcEW2BXi4xD3bW32 ut1nXE4xf276mqxYy2BSDTb97yaVGn52zd/v18NgvfcyhQwrz69RQzVkVe2ojtfKQIODwNlixMLZ pEbmrXsxbSx9Ulah85Lrie8BB23CG0qYTSNaLnScTiL+VaiyeGaoGOMGHhZsO+onMfDpnr+bw+tj Y0q4wprsgWByJRuywMbtW2GfKLD8meGFCWfP36eeoli0zh3LQjPT9mq0CPB9xJi+hPEsl0Nw1bMn wD+gytqFWMTgdrQStaFoPpfzZdSV4wocx3YXQ26v4GCjf+ln4y2e3aWFXt8+FdSX40xWy2qW6eYZ lPvlgbqXN63Frq+viEqD9LPJvXyIECJPOg73R/w6jjop7xj1BoQqpzNYYOcXns2X2VFIL+iFFRRK VhfFzb3UnSMqW1PG4tSOOcdEp0yQUYTQ8N+71oeJSD0mI1ivW4FmQMy4HKGPiRmfU8sezrJ0XWIb KtrGYnQ6g0L3ofwDZKdSP+qqbrfKOYaJXGlnBDaF+S+gPmKAclnq04Yn4z4ONxzwIXCUCuENNsSL Znpq/x5NMh7gkg75wQqXtrq5Ee9CWgoIO9ktK/PpuFYtG7sipCiBAUWR4wjvu/V76tvZld+LRRrZ vwMpxCZ0yZQyfm3lNVHI8j/S1ftkw7zDQQ6imS3WAjb/l/7JHoepfnKYusdLBhSEF1ACkROyJ5DZ KLNfVXabwhJkQwMGxGA9qMPVTNZQf08GYwvICWxcJvsVq/utu7Gf7PpacENMwNNZ2dsbJKtvuQRd KUj2nVJQDTjhVtc3xiGagm5iGlV3Bh2gOd+0R14LM8GHBAQolR3EbaYVE5n4Fq1Zgyu9se5Esewz yzmTlekCkQbLQkA3jUv/0rUs1sFbYhad672lsqSAczR+5a1IM1BfNGHAP6R3cUUXSv5LgiDmoLyj 2LwYuQZ41phAqONFen4NNHotwxwclIjRb6kebglUikI7oo6oLolQsF9bwf5+9ELnUMR+RVflDJ8B hapuoPvWavdSVeMv/a+Xqoztubl2keE7x+QwalNZ7JSO3UEf0nJPxr5PRkp0XxZ5TgwWt2W+ba3I RSSONBDB27+kYQ6FSZ3QFvL48RzZSFS3qDjJS1w83PUaQp9z41aSxyVr9zmeGkQXQH0y54OL0ZAe 9CAfb5Z668YPzSqNb83dk9+8gEJ5x/wwkn4gRQRuedFnRQt7+nblGGefl+FEeBOzIShoMWk2ZNUw BeqWhR07sTrA2iztpI1M3+gebgWlB2NcjCfHMIrPvfq5eBujwmnp6DuTe09FmVtftu9F2sQUydco t3+juY/6RihquTbGaasJkswG7PU+fCdzkO+ZCYA9FRlSFIqJ8A2dEa30d5BLyj6SgbKSFGVVoIE6 O3N9xRE5T89n7Aerzh02LmGfNkx6jE9/aK4egP2dx71mp6AML5BkF4cKrYR5pYpmisqC/b6iYTqx 8+2uWUFYtZl3vILQ0VWomayKh43GIRQWXJfJS7G0xnO/9gM9uabhPHNWiHo3oYt7vTE9TqPJiBw7 TDvjwjO34k7HZbYvShBBZKvNQHIAjslLCVkhwEYOWvZQfaxgYKWrSAIfJzPxYEW5T+M66d+xzzwA TDW94SH1jEv+aTDQ/JxelEbg3S2jKhC0ugn1tGOl7E+JZTZ6UP1Uo5YlTnJaMwz7D8msxOmHEKft Rsi/u1KuvTZJ/nxH96flWQytdvCyfk2owgy/JpFuWLXZ6dhgl5tXRoSkcF5Jf2jsdequm13fSD3T WvNr/VzjPS70grSzlOOG1EAbuJJDyt47SDXRqcReZuJPvn13T6W06lZ6fSKaI/3ZfPj894v9YpqO NWc7MYPW7RtOuT6U4tHFZNb50cIEMJZnZvS6A2H7YHHmeCrMRLlmu6UqYGYDaWdUVjfs9kSm4SH5 BCmDPtGxZJd5a0GKLkFuGacQ4IkJBvHelkDYTuPxRhgyqg/v2R2dagIJEpYgk8Dq7JPoAcF6zZGA fE289iKz+9SsGp9HIPbQnWK3H1f8hRVJhi+hSPbMO7j1ED9lkHRg3jqSF9C1q7WvARsl1ygxyTJf 97/a2E7Df1PFFInBWhTw+MsAKrToH/F2INm6kYlK/Fhu3Y4jUNHXDeFHKpkzsnct3xl9zcMf1nn1 JJNqFRcfgRajRL8I4aSvFOE2WXnvVuUw3MIh+zDNvyBtZ2KZvp/OSfehlZ6EALfJ1IKlikecTnaD rU2Y2NyGXxwRIK7ZuUeDXEMlSrMI5eATe9aKf7IGVANdzI5/UIRhvVxiRtS+V6CuY860q630xqkT T/1YC9l0IbLISeYTUvcjNZmrMgiRYYaodt0EhLTVwG0lQmSBSBuaSJNOjQVVSj/iXmPkddSZMrD9 oIkDfRJS3cIYJjG0FlWNbxGKnxSBgjpNsojhuQIYCdqnsQyiPrLJc0BsOHLEDfnWlo9Rpe1HXbsO v8j9Q2T4PBj8e5bdTZbMAFmAO1E0M8BAGAzILUW6gQ1/4cINg/oqRDs5mbv1hbhJeeC3UbQ1p8dE Nj7VQNAWF+PKrdt1/ug9NV3Pxprs3kmHTSxjZonRSVYjXk4DWpmTP72KBGZlYetgJNlCTUpQvMSe IhKwMq2yi8mtrvs99v0PFRPXaQu07yZYlRr/DYuy23Uu3UGJe+DXkr/imnxA8vanEijljsexkoEm 0rg1ce3/FWmeJ5CiNJYqf/iWFi54EUnUKKk2jBh0Dhm4IgDorRBaTAFs4Y6SgWKnYLDeC+idYUVa c1th97UgdZf2z6ttA9zgWRr1O0kqLdMnqaW7oyG5Glla6Hh7xIiALZeqW4HIH3fSzCXZ8xmzd57A BFVj90QH85Hw4HOXj8+4Jz5CUwnX2w2cfLJHzAEhVo2zcSkpmoRPgWhjcdAZ4tQd3W7qD3liP9Qy ModJTc47KNG+8uAbiOc6BmzmYFA5Uie3ViYfEu9momK7r4ldYpwmpFfT2dz3Ws2l833WYcDPszEw ywrqUztizWGO3Z/caZkTzio271BZBpZLBjvoBtFsqvNTsrIMCzRrJlpBxp1xzf0Lgi+4ykGoNTI5 PbVd1iQzNneUiVwNnW6JhBcDtNXl3+ySlDQbfqJz4v/u6g6xuf7eTCqk6u+mhI1LIMokPOMbtgAT wGgxAV6pPLxyNNiHW6N6YbqLFSvkHCkpZypte4oNWrW1O8sacqtfu3jtb52c/bPgatmDtnZD/uWB mL+WcHe0bEnI+ilP+KSb0TVnPKSUNMQK5V9vyQNktNKLdNyE1PPUZKqst29sN9hma6j7VMg45QP1 r4K2N6w27zXocX8D53Br9fCgxd4AUIdAFATq1lkzmFPJxS5hvxO1+qJWsYBHwR+RaIo28mNKFyhw y/x26L6P1d7AXXGBfs5ozWCRAirjQOwcutdnPaKouNf1i3l09KW8SP55tIDpPZycbBwJiGrZfpbf QGiKecg7+dmC3x3ZWwidWy0UMZY2j8wiBvRxTGfay89705dvT0yLB+wrtGmgifZOaGKObSd31Yss W/Cy03XBcJMlgHZCPWaGA5MpUfWwv+QB6S5yTyqSrVBB22ZE+5YiKSOzROCGbkg8vsao+bwF6eXS Ww0IkySRjsylvm5GlrTUAkeYzE4Yk79bI1I3E2++IZJyIAv3wUIbnYdCe6a+tXqMW/2n7k2lNHf5 MiPr/oIJfMY/YMsxUi5zWzcA/B3//Z9+hfyFWa5WBrvpX7rpyKHs+dYgp4vsf1L8SJOSloZ5neLQ OUKmR7C7WjP44HJzYBiJxZenljY7UbVlJe46sVOsSl+66czryZbG+XwnVg8SMnGBrEXPmwY8d5ig pdSSLv5h3T/Md30TyucdA5ADO5skKjP0Ab6YCC7C9BXszDWO9NxMsz++NEeHXcsJsgSNM68hnVwi QtSxNXTYBZtk6+0ko1ybe95Xk4PX+bUPKAAAFcIzYYS4qxRfIEDH479bw/yhoUAYuS+mvUBnOqIx B3rM3ENRHPfPvh4umxBZIdmzGYOpiudrfvHBF3yQ4ylU4UqEN9CpLc2rGFCiZXw5NWKCeB2sea/5 +uyI8GfakvS9gZwt4P0Xbntk8E6MR86RmSYKu3UnZZu1+4ROnKYboz0aL3OXXjKVGSCJ/fnMoamS eqtd/HrNtgolYrsILs5EoHCgPTzx1tgP/X1G1At9afWbOfl3RyK8JerjtLOJa3ejnrWJ4z6yFqP7 5DH3QK9vdqHqj6mOh8H5VAI+G++9dAP9sUsrGFbf32OR0N32YxMxHVqPe5BYqmYZ1AL+OKNVHeJo 8/526X894p7IJvTXUhiCCrUMkrLmiWUTjAmMXL5R4XKq43qnDrsIWDxrh7xsuyrXsPEbY2rvRyVg vYPkaJx5KjfIae1L/51gTyTNoAz72QjarABUoIjIGD8R6GZDCKXx4KmMe3nVxwSjXyPs4DijCyGR wWeGYBEGWAjM7qlxqeC9g3pasUY5W7U1lkZoJD0b3CQlCNA3OR/ZTa8hEIvZNQFsPAU8WxbuhdWn vhOxlgZdWRtkUth8csCnJz6eFcgN6FxpqgA0KR39Rcdg6JJecucml+TOo+vxc69Z9pO4Nt/4Vwwh 8X3AIqhRNwvWrEC9OmEJUVO+3wmOyniQq9IE0KAD6mofRjeaOdSeBuiyChJp02vrF5U5WMeRZpHR Fql3js+Zql/Uo6K1BRk9j+DrsALYx4pRhkbLkwqOKT6v8k++yBe+eNUCwsG2PQFLb15+EtOy+QBq ZSggI2OblcqdxYNAzLQoqRMc5TEfKa7C0XCE5b2FoZgxzbQcH2PmZwNm2vNgaWOrURADl2yFpI9L 3viv7qdImffGBI1eV3//yj8vEPEXKL2j/6fisV4G6PfV2IrrkR7QFgcUlVkxFHvT6pTgME8JWdXi UJ8Kz4I98PFejkd0UYophfef/SrerWXoc8jvZc1gzPqtqX0fSVUTRY5wz9A6Dq2VsJhg3NL6LU6t S6uAwS04Gvu2T9YMvQxv9qV7JXkZOEL+1a8pw1oKNM47BoLGuvQ4oqjFPyKPsY/rrziD+njSUrv+ NMype+JwJ+hywRCB4br4quO5Qbc3PbD/CMjVBCG++CcqG8U/C8KUL5iX+/gWBPUDdgsV3chz65p7 1fR7sRjWGdz6aABd0Xs3YpVqnOC2FLk5I8Rm2x8obe3pcKqs+f1icvm01PnmyytWbBXmNUQ1XiGv eFecTIo0U1Z8GWPufIcKVgjbBP/sW9v42LXaQ/DnULn1dUe9xaJ77nlg9jGIF/0y07FWrOU3fOiQ TWgzg1oJ9qEvif872OvAw/0lGCaqSPusXHdcAMcxaJ+Ykru7qx4bLa+Ffm0qEVaQzAY5Wj5mo0B3 d7P2NQ3RKVTLf1l6hT9T/efcpofzDiidThuJvcVKgMdO9vmugIGCdOa3/OcpFlKjeGgu6BjUNyXT XGYguJqMFPUSKgfgAgnSyQDttyN5B9fubiRjktXq5YBDcqLD7nK8ZS4EC8wH5cm1ub6RMGKQby4g W1lXwB1tlHnmsdfmNLOPacE9AcOtxIZu+h+wz2pf/EhgUv1LHCbJd3f6LTjPuh22TT2k7YEoGHb2 51PNYy4wAQ6Mj0h8WsX3rqP8Z3O7Cl5BxgeiMyLa/n9l1o/6Us3udMyOMQVGgaOqNP2vQiWTFRxn p37KTUjlVZ6XRGCo18ZfnvcfQ/4t6kGDMdDDCTgFmI3VVobJwpl+l2z0XhNOcqAR4RELHH8KA8TK C7ShNTcqPvkMPjIFsHGExWFvrgGTP02XazyFjEnF0p50W0vCY97nnyOz3w92dHLkdbVUbGobHwIJ l72JdSOcEfKnaatgnGYIBUuWrnRHeU+XdIEBbxGnauB0J3VLy51gpg/muvQsctj4yGG1hhGlhOvh cbCrJcMICV5AUfPSyteJvV8htH/Xa1ryQwQaOeUxZmLxnTSYZ5IwtODHihFDXCZH1QZMFjxarkhX 6XdAXJm6R56kJRRD6vlGtvQqsq3WnyqeXCeDcYqvIeGtT7TPzEd1pZy2nmc3lxDmQG8TvPOSJ4Vr kTMkYf5sOIPTmIG7SBGxgXYgxQ56GerLQDMR2bPB9vwqJuFye+PYnEYe2JwR2H7cWZ3zbPApvh2t tMtGN+gVG0kroNeoutBXmas9T9Aw/VG3L4RpK+0eixM2+yVQPCiN7BvTKeYOCwBniP80B9nuV2sx VOmXrVHTVczVwoxwr/ByCXHqJmryfPtQhI9uLivaqYkeGtytXXy2Ba5gEEuk4Lq/GqASDkj/v4jc RA0HmAo2I4LEbaeZols9ZJfsdGaPtMIRq/mtm7kAVImKCbKPrUD1wMTcQe0K/MpjIAR4U8viE8T4 JXdQUVg2EciNkX+h5AFnkex18+n6HcxTBdwyo89A+tY8FtgebgEEOAWi1pfoSL0n9edCt+FNMW1a 90kCd9Rh9DoarqcGmFlsq3oWeJ3ie1duYEjMG8K18TvNjJthR1V+fodECgdalTzBbGHubNEbr5AA 0wLl42TZt+QjBJwIUh2QXbne5L+VKlV3X5fCu0GV0HgAzWR8tcSu7T5G3PKmMIRlfVTvK1hsDhER uITGMSxIEaHvMAJGARNfhNfTWrk1FTAMFhRz1+KPAqpPSaF1mN/JV2FMVCccvs9rl84M+nKaT5FJ xFoqem8O9ML0PaBeQDMAv0lWby4Y8AUOHzgb0D3K2aKeENEi7gF9Wpd5Vw47C0qsW2tD7wJ4WAkG WGvJgsPFzkUoqj96N71UaILb7ujWyozTs0MmsOJu9pVWfyM8j00iOtuEdff+rjpItpk43bqj5280 c7RH6rQIwZtcfzUzzIi+QVBb7dkvmxATYKM0AocEOPCCBPOb9AsLiRgVErAvq2mJIJIl0+9576wA sFAEePTYPE0ytiZci+q6ZMvCITbzAn82s/7Xght1shBr3l7W7aiRYUTuEeX9jaAex1BIzDK6HK2w zWTN+YlJM6ZmjokuLV5snAFhDBU5x7IpSSDKnfVCPa4VeuNfwsav37FKO0UwjiSzyJ+muSCePJ45 b6p+MiCAw7fpMJXHc0XIF7LZjCYqQaEDt/rTqcXNtzHhdvbyaX0mQGtUUItZN8eSMtCkX+L8ISqz D6KMPBE3/jnFaqeyJmGEh2UCLTHAGWBBtJA0p0bvTds+rZ075auQIimcMKRI+HQjGYujRzAfo7GC Q6Ye0W20+REgqCDeQTloZeVCbvDu8sDNuVTyP8TOSWXZVezE3AW0J3c2Zyvi1b6YTX+E+XP4++cy 06QidxqatRPFO1O6ZQZRc/CYR9rg+C92E9dmT3obX/+jgWJxw2LMzy8LKHlrzhuyfQA+mmi0WZWa 0WZIJsMnS0peO1+f/qqhYgEqs/7zo25ViYD5wbGl9SH0IdnbWnDkO6w8QRPKBY6/bFPDmpntXczR xfFT0MunG+kM4TtRWLOFapgYlOgcrm2zBk+KelwUo9fdh8+tX0yUiOOOd1K+Uj+a60Q46qYFisRH tN7dQ/uNzaDhP/IJEenRNafEUD4EFb9nIRI10DX8hN1GNwsKF+MNiIw2ZWz5vQnRsqipUWydm9Rh cHBpKZG12zAjvQxfHR7tBRWrXjuaIiiMN/YX+jmmsqUITbue8PoapTndns1D/LS/oSz9u/Af1qW+ tEvSVi1wi8rsU9/60eu45TrezpxM2skeuuKzNcGAtUtJJlnT08RmnkWNWUb6cYIm4k8H4gu10/cq gJGqQHhQRwAO87yFis4uJ+AXOgnJ90SJ1Esqpy5KRIr5f97vi6N+khm1ihgN9jFSPjHUDSw8sb46 9ZnBGqdZ+oHMb2WJvWbuo0PG/aRUaz+pxXqqPx4bj1szHuwHRWcu10kv0AoArrouWNq/vxC2mfi0 f/7VQs0glchG3gCUZwQF1LvAZZjZeceItEcIpwF5jMg8jjfwLxaUwUXhzjU6C4ZVIaE8NpB2QID4 aSDhQ2hgpFIi1NvsCdg6J/T3/xzv90iF7sLqr5l8R2bBljs1I6gpA5LHnfK8LLrJMC2QmGUTjkPJ MTq+6qR0j9C6hDgksy22xDT+6vx8OQpqQamgWFV1OjjbV36HAvEhb2RAqt9f8NuT3pPHHqNpGJ30 uZnfU5hqDni1c3+MwzqJAxM+U8hqQLKIV2ZOOzSDFO7gF3pjwzcujDNTTGza7/8QDheNJ+KOKsx4 Lbr8UhHaZyar949JgRgqXgHQKouCeJ7fYesjcLjDZ5Txt9tLSgnRPnG7crKrGcQnjP6XBpKQZl5f asNCq+dLo5xFbmJfpEeQ9YUWlgHl79mie7snA/V6XssKyQeeNP65URIAXLK+codK1RO91Qtwn1Ja eIiwIpyr6knV63ACq7fHpcudGuK89OZ6CJf1GXrpmZqn3cdHj2hhZVNCiqreZWJgBFFkfxBUg5xQ brRhcNNCSEoZOYz9uBkLWdDs/72R7BGo3DfJT2lgCpjOtu9zE0hDOEdxoV930xbNvJbhw81eyhgk CCdau3/rSSHmUFi+jzAMMQylUtKfcss0gwZu1G4ks8J3koVtqOGcup+uqcVQcWPC4/DfKT3JQ7T0 8h0HYrQvBrJte9WhAof9wfBQ6modnzECxiY2N3dxE78rHMCpnlRxdL+EapnobuaHDA2XIrhQLWcf Ug6oWECkjIe9oDxOoNmwiXfaT0hfmSTfFRDLN1WtQVNEdUe6/7uEh4ZmSZwYR59soaMNea9+os4n 5ntAtnmE9+GNUq67/PV4GBg6kMPfS4ikRMUiwVdzZoUD9EgEUetLSJ0z4b5LhEW++o9FoAyzqDew 7NZ3eeCP2Ne6jShUqiYTQ0Bm9BAIYTgmSkpLrUiZYfiUfCVN3Tda/TnhI3ei82O0U4CVNeR2f3mO Jm5WXkLghIVP+2aeUABRpl3SyRDtwS7+cLF8AvNhZD80POU2C/hXdgihpPAIZkqrMtDjwM4RNRh2 MSJUQc7yGirGRx10baGy7kyGJrQecSzRH6QsOWYmVDPuibxqTNeCyP1+UT5H68OpwbIQL9+MKHDI gwbidjTu3DLEEFjVfdmen314WJqN3DHI/4HZ3pCKBqpj2d0eCc9nF1CTHukB1xoE6wLAGG73LZie FdGZyowvr7XMQQpTlC3SjTn26xSM7IiBoP47C54a0Zqw9nGCerRAu54HA3jeMnMNPMYcUt7GSNTf 0LucmBEthbBLLf6EKwr8+NMBRsP9MjBWejINZajCQdHD0Z3GfHUK1gt8vdPKuR4CbpKhsEQx9OQF tqVuXhYdVS2AjQ+ekZOrHz6+lrhq6Dx4rE8HWfA/QF0/IT8MtY+MeMF5GSl/iuDowvD6ppkAFxft sLhEgh6B2NQvAfbNfFzfhcWOV9dPhP1IL7aThEm1D0Gzmh8U6JUcCgA3uMUxa0LRAHtujTU6TAss t18V6/dlQ2jpwoMe63ZY/B1YQrIWSh9je/d9Q/gZWeGa7gER3Y4P6eAkB/0F3Qvuw8vPdzHxBjDU GMDDx8Wd/lIzhX45S9Ppss3U5Fr2IPXl1mv4eEhZMAkuor6H9pG/H/CVOdG8wEHSf7tlhckef4zs oq+3u3uWtS1QyvaClYfeL48Zm6JIYASRR50T5hZK8ghNxwA6fHMh5a4CLw9bNIpyhnG5TGmu8xDh WBSt9JfxzudIY8Sro7/Tjyud1rocv0JUNFva1Gp7swwY8Gtu4vHlNnpgn88p+VbDjlVNzeBNKSCD qzo4K48q0q/pibw9q3R2MtLSH4Sq9QJAuNhQfEFnBODyjAakDhbkLBK7ar9QxDn0dqK0zkPIE8JA WU3eTILC54QgVSp3Yzn9xUHomZ5/8ch9q3heD0pdYmMiwF2Oavtdmbz0svgNBolEDHcHvJx/eq38 VOCD3QgtZkpLUuy5BIrHqCF03oTvJbt/PV8FmubZ9GI5po4dxuw4jRZo6ZxxY+vitEwVKTyyhwxK GT4FSRaNf2gNcJ11WwtljrUkcYSq7JE+gKC12Ieu9KevBh/OvGcl5u063ExRgleyhu/Wa1ms5MaV lKQIi1dbgf9flF5NLAIhNNuHFjy5iwtMN9OP2XiH2ByzV1M58mKkY2q6SSIMj4u3Wfe9yAn+xs7l +ON3yazIRB1WKH5AdsaWg9x2AMPKoVOOCfGzwvo5Xz4vssuZRe4bTgVMpD6TiwRFUoT+ezlVoWhK XJ6wvXt/EL9+a6y0jDbDx9MkmWtNfPFRicY95UnFbfDLgFnMyzqp9rLjcIXjCZ7gGuc6m564fz0K FpWHJ1KpXatZszepiRF/z7yqpKHCH8e/T7t6owcWF/sOFSRFJq87SGmsrrx6P+VdkSOO3o3UCeZe sJqJS5b62Y+OkLrsx/Z6YSO3/4Ki/8jc/aNI4u12KOgB0TQ3hiUWhpRCSg31mpRGaHTeMbvboFYt xh2ojq9KuQ7cqaKbk/JobRknLnC+xGTTM6RO7mwDaMQgBWyImpDgkqDteaG2HOPdVu4LOy3wRoPu lKKYeQOYkB5Qg4CrNsjIw4AlAZa4KL7LoJlAg6ERfr2oeG6K+4mnr96dvscl9d+0NyR0LvkZaX/b 8UUJEJ/vb5jZtj9loA3J+ShpIKhIcc/I172jM2uSKtlGspwH1XkG4SCfBRIWHVHmQoJZnmFF1SB+ v0VmpEfjjYrI1CdHlZE+OKHz6bcKvMJnbPDsnK9SfPrbN/vty4I0U86DI/nGethr2eBphl5DzFU9 JX4+yqsDR+EDYQCYGR6xBaTym+j0aopsTDly00ZU4y5CmXRrsVOSAdAQD5YbtXhktgUPisM1uuEp 2ir/JgzTrz05aYDNA9KzdYGp3kV48VwI8a1tww2x9UDtZ/PeiP6j6SdIBn1ceLvGKGrR/tMlWcdc jTaXJP/CHaMOstLODMhb02BvSZgpEfpTWlDFpgTQyIMrPsG9Fdou81C7lbvMCdkydS7c3aK/2Nfn slAgMN7AHFBQXmk3DK2TbNV0sZy/yHkN6r7U2iTxTbGK2flwNs5Pz5WA6o9vmY72evkQ5Nx0wiBt pa+a/4oflE7snJyOTv588rFEO6aFE/zAoqdpnRGgmCou3KHL17h8xHiFEp7V6QqrsrKEPdlMSWF7 mLeOrW2lNKn0Ql0DHAnw93nOLhe+OU+cWzR4M/RM7ldXfOT65I/Lf9O4NownjiVTOMdHTybOBUT2 jXubVP76C40INpxcFsAsvLaAv9ynjiFmGanh6qnmY84dk/hOptpXSMZMffMMrhmIrfMHpGKGOZGc NYAxjoexL9Vfjp2Gw8RQ5arpcpdWsTT7F3AQqdroq3onZUgCIthHO0x2gmK8ypFXW0jTibJnJYo5 0B12veVSZz96XjJpY5qCNzhC8+4idYcXZfYLUHaa4W4CZ+On3mtrKcz7zoAJLRcYndfnDU2GBvFr gvyXP5waOXuRQYMSG8bZ9QZu1JrHvtJII2lWau3/65yxjXQk0674K2cUXc1+9Glqxfa2LAZptAsz ZOfkTcLb9a3P/fSvtkv9zNX9mVgYUw/9DNsYPK+/GNcp6c01Ju+n6vp3i3pz+oVT8SbFsIoFZEPw 8nkDkaF9yzFipWGRsMcdXD0oVPccHFMB36bLziq86UUjLNFBX3W+uLQRI2EFcZ9oTgwmYvJjwC0D ddXt2rOgo5b9GRFcoPRC0J2MLKe725a30C5lMcSWC8MpbKpY8oI4JGoU5hXMtYWeG1dwWsxNZEFx zANy5kEYFDPN8A2cANqYGIdKE961s2Vrd4o+AHCkgtPGOuqSYKxodmA9ODlsBchs2B/WwpAx0x+N jkcC3eHu8e/5o41usksrexkzOOtlYzkEG9GHCfE3inUjMRJjRtYFjJqQO5lU2EIpH9m4oFhlPV43 XeKwrb6MT4BGBhLXWx8ijAdWoh4WAoRj7Xbr/OT3bEDKaSpmI8IAJcgchv1dOeRQ3MQENsrJO7uK jukSOrcrz8MyfRCPq34JwVKpj1hu+xcAxTPSW8Q4SDMIbGDO+c9deqrJ0gKHY72c9WDh7aMR6A39 7Ui7WnRFAZCibQNBetomDzUu2a3vuMzTkzImkFistJmEPfdAWSNEkXCF1PaP4oDJ4v+dAPvG3XSt w4YP0x6Lp15WAEDThccNp1/FA8Gp86t0q9rceM+F7ZS9IilRky0rK61tG0Yy16D1TjwRJyH7lWze GSxqNURWfUPICTYxDpWClkEr9RUc9emaYNf7kqFSOnpZ4V13CRljHq3gv+GbssI/g5Z2c9eppXJU jpZCYD85NJA/zqjm2awR8CX0OlnzctoqP8WQxl3SJfw0KxybPrWqLDIUNS2QmwMsPgXyAbY5VFuk xg/9+siNeQxet/Cvmpv22L74EQ2gSYpnAajQ0ngCA/nT3Q+eYA8GH8irE/hKnDa/Sz1RVffEB4z6 j/nxepWQzaT75cGtOfu2nR1ZMhwEsEToWMc78ZucI753C0/GGKxcJC4VsojrBkxQArkXTsQIjuhv 4W78+YSiCmIt0HaIMAgnFmK4hjJziQHof4WnnnuacsiI+j/vqoIS0iptEszQ4r4TaGZjfRh+HSVZ AzbH0zZFeOUFkekuTvBS9NmdpLufO8Ypr18DKSy7POMZL14mMXOZgBEs7buYPLmdfD4j9cXN9d5P 73cReS+tH2azoar1VbPXKntrZiLuyOAY/1kVyUkQ5FlVpQueW6lBtvHUiVPRekHZYUz3wk1Tij54 DymtR1gGMTUMmw/XBK+nXL3cVGKDiaJC77BRuaJ6UIZR9hIXuLS2rX0sE28WiasTlbgtTdlVTgDb w/TcS1z8E2Fz9fhJv2h69J2lnVQk9CKphOwg5v/yGWWV8042n6kVZV3RaeAwOVONiHcUeJDHU+NJ 56e+QepZMC3ZpanW8SYSw+7M7OswL6Fnezo0/zorvFXADd1fHgx+eK0Mryy3r729aDUS90d0LdTm EHlN+KN0tUnNovi0t0aRM+YQZBu6hMZIYVQaNY2a99A0qzSdh8PEwOk8U31yTwMfuhv4remt0pd7 cyLELeyZ7oYawHDoXoiC5kFkQ4UYAXf2ya19UQCv738S58tX4A79KA0HsicsylOG8nVx6ivu/cl8 eqSJgVHfIrAMpEMzxJ3VYRyhd8F3ibEph76/2ab2VzNVFCcZX1pbByGLi6rbpPt2enzt/XNjEurB N7bk6bbqIEJhSCdc5jO02PryWkSnXhgzSdfO9hE0ADC8dSr5MfXnOOaEbb/6Tmy75Q0d5SJe+vj5 nv+KANF1CzzANhmT1ue/RCVvvGb6lXOjSLd3UXH00DU7cG64CHD9E+GD2LOqVGGG8ioOl21j4sMg yTreSUZFe9JG/Rvnj8bGm8zYbBeWteQAc1lRXBBl2SwaLDIeNrNayTOA6ywYaTNOz/GgMsu2EPFS +YSgM/hyY4d1ZRipaFmNQ5ma/ntfyEH2+WZNFTkMcqMpmeSDiwIOR8Bpz2hfwoQgUCusHNOhG+RL AWO7SbPsirSMwZPGdAdfYwougmbWJcdAO2OrhYr0XDaCxoZ1mkGh3yXMEE0G0CLTql8os8xFKc5b VgE+k0Qw8ViuGQFBvxixzb6J0Ik+VKhj7mC5HWmxHW4SwwU9z049Vk0QnB+6sCUCkXjD1xg4M7vu OAg6wEMzHDaB1oKdXRSCpS3DNKVNkPdbi6HSmycWEwzVLRKx9dhjKaa0Z87xf8J5RUss4fWkLeg/ k2Z9XCO4bP/uEx7NtFsHCqQxzbXi9zZgxE4gQkvnYx2yYGLNmogrXtSVhFgumZmjUnPtnOHUWxTD MLFK5pakpajIRA3qiNvGcr6NECZHacqxpTIDYjzZub/f2lxmXcUJYdcW2M7e7DVKQ87kyLIfzdEb 62n7XXtCDeVqGQD9Vg7xECMjAuzUyPYdBttN0q5wDfERWQBCwADDI6SEXb29PB9RTS52zrlGviS6 DzTNvhM6MkjfVdvYY66Li4hhgTW41XQRR84jE7RAoqvVlnbjYNJJEzp9WbUVmx5EeEm7QmBqDI8V cxG+M+8wDgU2zZyVYoQi04DOh0eRbVDc//HYbYEl4dTdUCmnan33y/lueDRTriKy1OSJaKG1af03 yZ2fkMrh7dxwX0S0NJnYb5/toRvFw/0ctb44cCfCmim6gxSYWZlFTwrh0TbAnf1Qz8ml5kbkVoWj wyksoaxv3yoyAhUnjrkIx357I5PydhNMQ0t2mt4QxLIh1HUT5huGVYPYxarPsL5UaMhr7AvwsivT eDEFcWmcvHVvbbWsxauNXHAqt2PZ2wZczopQFNk1hOQuUzRKmgHiGPHEyV1HdmSzXz4dXlgYmFAp 0Um5r77weaiFop1FbVPoE3VADz2y4Btm7sI/Ybqwl131JVfNrx4uhYLv8teNNyWHF7th8XxW1/FI Kn3U344Rjk/roW5tZLpbxQ5dH5MxK/7ptZt+JuwI24W7YaUOUKaz5N3cW7o8CwjFWbdeMTokL5Dh NAyIQzvEPRSgW2W5zc2fEgkf5utE+O2F+9IHjZ5biA7N3Nt7C4KMbLVCS4g8bq0OGAAuihh1suZh S8fPBlrCxuJAcXFonpTHaLE8Le3wfyoaChC6s+OgrGTT51OYHMyAdjHWIsoaKONk1nSVlWOsk1Pd QreyGAYQK1xk4APTstFruCYe7IliBYvRHOkrS9ujWBVHLxrOEigOe8u2//PHX4BinaMXud/WLuNP dOSB36uWNjmYU6WsqPac3YiAhoDOE+u6rjl1MqQ6YZjycmyImSESKSHoBmHqiixAtJnSKtk8BfX4 MtEoVT5ecvPvk7pZeuuTekHrHGE8tDjmxdqJ3Cm+udec9LPGJ4z/Q3okQ5lsPAmj5SKZhTZkVbfI LU0BUhoaRvF9BBKrpHIsk2IFp+vaDknng4mJKniPSczJvP6Ic3cs61w+e6hvHygG+NrGrcYJWeZ7 8wt6HyKSNUiggqwLkiu0vV5Na+Ibf02i31ad8tnrRboafQSw86fm1Zp5MNO6kFwu7CO/zdt4C41q wrixtR5oCQIoAmxbFs+HKTgBDEwJvaZW/qJUkU9okbYg3Pqt13uiZl8/q9gQ7sJKGecbjjj5eHPO GvtTbaMhTzRFr5xbLArT78npVbxABJIXpIB7j29Q84VQTLN8vSciteYh/2q3RoN651OUdQ/4gfZc pUWKHCX243ooisUYQuYB/xgLXmRgbyU37DUYX3kTFwzGjXW7U7NT7GQJ5uwS4CSCDUkxliGCaVrg rtgqrI+wqUJ/Y/zWq1Wrbx4AdiURqZQE/iYXx17yev63g1RleHWLecja8AUOSYv4KMm1VEHDi9yp AVtBVmlA3zuCJOS3pqNe9+XKMPvT6eNCOYr7Chriskqgnbl1BTSIcZ+oUGYA5XHeuxR/42SdoAHp kbyUGuj5C7D5hg92BeffOE1uIXhB/AzmLkD181OuNoTxLtB8MeDI6ERjrxs35eWKPNyzL9bGhq+c dtZ4O5L2/SlQyYDMKAgqijwykCRO87IkZdmtNhM6Mbs4VyeYPO1buvV/HPtSxLNPrcT6NOv6Kr98 uQTfI/rMI+EvXdTAk0Tvk+r8Kam6SL7ivyJ4VsxzsPq/1Qj7G4QiscwOPQrGzkFDc43aFdrJPHDj ZF8mnB5tWgwyfhj6KdprnuEIzHH21AIlkuX+zeOqSeOZbze9RM4JthFrc2fP2LWaPF4InGsQD7rU e2zU5STI18PbA8ezed5mTUEbX14cpEkaVaWN8m8k1AFzkt6929lciCA44Qpq+d3RImotoJt4LKvH QY6SExBodN2RU89rIho1NRX0LtE4oObZpcF9uS21J/aAD6NYxaQziwvT2ElD4BXkrP5Oow0QsUcX AxGyfUnnMZK4rQWrLnqOfTH2+F3p/2gF+a5c3Gn8YPikaF6Zeoxp0B4oq3hNHqiz2ROj3t8en39y fEdjojRnLyF7UQQd2mEZl0rY+98vXUbe4jA/EYS6WZOfc6BrZCR10YnynLtBS5eiqbi/pqk8XhXr 2Y8UiCbC1B9dbI3bjePfv4blzAiVDsnj8RWE+9OYIDsMZw+1BcBOgum1bXuaiC4q10Y11wIQ9Fb6 o0whQtw88oVDOLBwZxYrBC9kTuQvZx0VqhbS9xAI+94MdN8XKWOQCMuxZeoDwKGJTVSbJy3zInxv OvPzQ8kGy1FOWSpjBKFbiBYHkzzGhstWx42M/2rZRlzh46Fx7iUEwEldxdqbDi9UORwWTIsmYq/H wJZx4EbTAtTIvs+ribXHExDdwbo1+ZW4jfEDQ7F8CgXZrwyEXaXf+BkhgMFtAjeiM6iw/35qNpiC 1kwaZfqDOeHIx3swSxDH2rYqxyWv/gZ2GEfrwIgDr/pAaJKJdh+4HEvYUQIE3VXHYCH+S2er8AQk lV3CSE6r2mDfy4FfZm+BsBakXVV4TQBh/HxOKfRHxtFFvecG7Alh13nNYy47WiwR5pPj+zwROCRu 91+mVeBSXpYgonFv3LatOcBTArRxCsiBdr4yYzvEtqo7304KxtdZhoYnVP7G5sN8DAOtsP+8jnML i8+8861OuGiOo5e1f12rAwwP5HJk97XRI7aXpQmupHooZiQFeXUqVp8xCNmyWVtj4UR7VdShfp7q IXeLWYhsgB4e67z8AYHuGlpHR48GsMc5LO9IvxfknRTfmIZr+0Vhu4BURsSTRrTYA0vVAzfWQE3Q aG7V1sJR/a3b3/e81WzyXy5pmnxZTpMN05O4byxSe1aYpnqdDfi1/cGTyHfe0GzCZup4d2FoXri6 b+rmo9L9ARHl4N2SRzoswUJAvc29yPxoOlIvcEdBMeXeDW5acT7nalrNSIk0xgK1QQVbyGpERQev 1TmBpih1P1jcXashMl/eqOB+SQDuY7pIseNJ78n9JUORfMNu2jx3BI6BLQlM9q+ZVFxjPzY8OTS2 yQdO2J342GcfyUhS/0st4GbMqgKVJq9arXzB5yi4fOHDxBoNpFrw8T/Hpy3EONTMj7RnkNnGStQC LUge/a3OVQ/rx9qcoBLPEdDArnfptLJS+1s8brPLMtcrTLM9oKQgirJF601wMJrh/Da0VLWTrihq fhu6RfQGJ+BBfog5MGCUxjX+EjmPm+bxvrLjn0kIzAaZwsShapke2gcZF3HEUt6WC9ehVIMa4EWT 8tOTfrx7scugnAnfylLSbgqd9yiuPX+squB/gt7prlB6tM5jlq6xWXr1zXlLFPQlB7P23T7Wj4eJ Kmu7pyvV6j3Nl/c6gsmVYK1/eHj8tqh5ST1JzXaGaLcMuX0xwV6xiByeQML/QZG/Ye0GRWinMSdt u3/lgXtOPFlkQruWSh0L+1aKEDNtQ1+jKc1I8NumeG4Coq1UwhG57aXGyvnzZx07LX8WYr/ssWny gvmdVXJwhBdFDCz+OFm6mWArketF0D/HmjkcTZSSG09ddn7VgZ4IeYONnNFx+d4fK4B+3De3Q1TQ YudA1q6muxmT/jzAvfmIziv3fAcQl1y2Ws+KqOh0/6TsbYpF3T/Ytq3PNqbqqWKVI4AMhW6BXvJI z/v4VNKQNpIF+8RjTPYUX8QkmFSi2cQoEZ0I0BOpZM7l/1zp8vBsUldFGValdV7K9p7RNC4e2Sb6 gyPBgIlKpKrPscqE8WM+/bn3VRuauNL6+Qze0MCZFzxVOrO9vu341sw7uMTpvu2drO+eVkrdUMuL RdLc9Ls396xEt/Rkv/mV/tQn12M5Dpzd6K1lrb4D5S/N5fvKrrkqGft9qKxa67FCwUayIsJyU6CY m5Gc5wZsqtZqhvKVevbrDWDk22nei9F4fQAl2pD3gAdNZBDYDeoILwtP1UOWIFcIgJUkMmx69dLC /2YZcBMKbA/Qrop52PjxB6aN5tqmxLGm69jg2m7c/co4DvWvgQlYi09+EsiS1ri3egq4xUVpFuAV XwDfPofo0qdbMFZnYDk1OBZU68V48uDjVchT31HoPMtMifhtqS5cOJicVFRRIqq3NQ5dCTLHQvAp lLM6bZ0n6K+GLHBllOrtgiCp7ZuL0kvOgQAN5kGJjZiT9RrzJtS4SKfiZs8/y3N4Hm6yDQZ6fxWl 2ScX+J5ZZL1a/rRjDVRAv7NHHwGXTyyZUa7SFC0P8cUwQFlhFzAx1tVRj3H14CLhBtvtJHeBcZNR B1b6M5KmAV/WWXRgN09nU92wEC/lN+/LCSb8EQtMS1HsHCdaMCXm2THdpIfDX/hq/mWc9SbbNq95 qEKgBMR5BqPsGN/AWFJZreRCz+bt6l251qBdOi64LhkFUWAFu4qoEz1lN/tEaaJtbCGQwKDOpHIJ keF4s6p3RXyeMykqYKmDXaE8XZj2dVXBfKyvV+K9B032zi4xqUQ5UPMMwbZvElsVnT6NwTPl5g/V NPrfrEFWtU8T5YfrKo7hizmT1VmjM6T4x1FRzm8pAD3Nrz1Q7PhdZYnzOXBNzuBAd4otlFnza81e 62LAF+UUg8MtjJ3Xh38uGBVcX89KM5n51vvWZNCbcQbMlkNYqd1YS7ES33uJG2tH1fu5zSMZ5QEE q4k/JujepqsI2ioHY0U8bT1lURqAY5o20WXnxpP2x0r6rtw4ZfVAA8tHJvKeKRO0X9AZY1KlCbSN nthRFC3pBGDZrA/kluAb5/ET3/IhIiJJ9qat0NoYjWht+Avtd5a9RYzfufWwYeycz4kkY/3q14bQ cgeg24UD0HehnbZKZBiIIiz0tfPcNjorGiWBQWs+f6ESTU8PIIyYyU/yRTGqkact1+igyzyIGG0D BQS/9MJe7rylRRFMrfwmbBWJ+suCUzyEaRSHmgjH6eY41v6R5WcA6SxTyrkq3ceQT4xJaQHth4Cg 6Ed718DlNQegEfuPvhnol1/ybDZwjhC3TopVNV78RO+mY8hpYMRzrwCIWsD87TUNAVmXqNezXty5 eCy4bMpWo/nuirzj0w3Nwpp+Hmbn20X6Zjol+L7uCnA/pAF83h3eoxNwxk7rnrhxHbhLjhwD091a IDcQRs7cvxL3PlDc9sj/5hVKv5RW6YLj/aMQfJL/qWxqWExI+4ojk9r9xVS6FGdqRbAxYXLyoSbM J53UD5lGJnin2LwjxskX5BtDxH2L4cORiCwU8ZxN2zu57tGPDtgLiDCupjasMW8HvyeJ7L5oxfHs bZewHikhaWgA8BTITw59AT32IMMiLwbgiIs+/z66DmEor/0FT0m8rNrL8uyGpdJP18QQKU2o4Ihq Lb2MeEvTbvbB+1z88Kn1WKDg6HAGFfguQ0JIFKU5yWtDTkPyxuUc/5vYnMVnN8imlQ/iZmseEteX fA2iI8re8e+YeoEVG6brk6dXDkoN31Ruus+QLSd8/u9HD7QXXHJwkrnhO+GjWYn3u83j1vOd/Z63 nxH/CYNtgkSrwTz/5VSh9B5PIjlBqU0aOtPEqUCVroZey+epwKImJ3EeeUvm6Zh2rQVWRnfaGmM9 4ImU6RHv26+gHk1UL62PBX7rpo+aTjvbSPawwzDogw+kOhQj8RDfidgdotdb9eGA0h6hbRKozZW1 roAuSh9vf4M5TlSAMd4JG3QECyfHrOSc9YWegyCHX9n5rb/lqmJpFSbr0IIrvNuxIEHd7hs1Nfjd 8ua1UDO11XbiwWNPzDarDlhoHLbWCjb9sU0+Dw82uZUDUnJJexNrIePJb28L4fZONI7HrXGNL7Y/ z/LLbyR8ARI+6ko/SvnRf1lVPYbzGLcPvQ7X1C4yJG9Iep8BENJTU8nsILG9a6ZLc5FMHA/yGKGr r/9LoOXUd5so/b/2Cp+K+0XR+3+wr4Fl6kaYS9RTfr2AkaYKgd8ybHInQtYdxb1hEQvzvsz1yVcV 4vJerGcD+BNNz6Tt4qrpur8X0at5u0WKvrv8EQwcctRQs2E/JcLZlUxl+oQDH/wuvdW+KFPAcwOM AqiK0olSqt+0G8zUjyIXZcmsosbMEKRmPVrDE8zclFt77O7Ibvt69xbujJst/3LF7Jxy5ViVp/hn KXrLK7DAty9VwvTem/UHSL0kPePKZh3cNpd3hzWCgYpf4uABdc6H2sAaCbNhDrmunUj9SMlQwOgr qYBHDTyHirhVxH15VwGhoP1r07kte7YWPJsJ86o7dEUr9qYI+M0Z5D2engUFoAaK0NQ5lZwr5+L8 YoQR7eomkU6QV3gTxqKEmrQw4L2+kIOm2QKUxr5Sn9vr5gaM08iNCbvltqM8ykMJqvOcWNJ1h3oE njLEbb6xh0rBZBmXHQvoM48Wn99xUuBVRh8G9pxWGWlXju23qHniDFijxTS4/a4aBZgXv1U5j0jL 0idKFrTwW4LxHj3y/xqqnST6ylk1r+bPlT7h8lj5TSJDOQWgHMcu8y0f3GgndwaKr759J4B+EdbJ cMZBZhReFbtv4RoAa0LzagSzZhdryk1mrrrKnRgkhsjKNDBt9w8UkhUMgAbmmzhg8mSoJRi5xBR/ mBtgt18JPCEpDCucg5LhL2mkPhwN3jT/ZX+f7WBdKM/bJLf53h4TdHMsLWEm0O6eNfbvYjf9DEQj 6A2J75L6W1hxHnItKHkkKJnsR220FgD1IrTjLWIaKUPVPQWQ+CS0WujdYL4/DOhXraIY6lj3oMeK wCYI3G7X/LnegHP2GXCVb9EfoFJPgjWVs1bVc+WPwSyjoKZ6nYf+A2mOm44yk/uKqWmD64SzsaRI n7YwASjwmodQeWC9pgemuzp5YX+nKc/+vOPUDd3/958LHS/YWu4/T+IACH/nbIkO75MinZ7aljka mBb4N2bPyuMAmFdayQ8kfB7IReeOpkumSY2uAFJupyOKbOV+djMHyAiPrSyL1VcBuBJLku/OIH7D 52GyPUtDoJ2xSZefb4Ptl1Bhk32vXEHlTzoFtHQPBWXH45HuTjGq1jOgPWT8bM7e29c3KhBpAg7f aVqQ+azx0mWXYCkzJSEI0Pfb+OwL8DaFWc8oQZ/uz0w5XzoNhftdWDS9r1R25gW5cdgyPRNCfMe1 rH8suhTQTKF4jGoSqNFFrZaDlGInh0wV8ukxpsy13jGgygDEe4PjXN7M2ouuRAtZ/20ws0CZFfWO +Nq+VbxsrRx4gsn4QGhCpOsuS22GrFakyszIyQeYP13Uf77Oyz7i8XHVpNcCAaEpPdJ6Y5HhO9kU Qjr6Y+T0GAhy98+NYbokG8cXZUw6n+uaKe3/a9K/2YOR1C276LnlZ8nLgamH62yjtzNcT+JdKWm/ e2smusZ6BJGssBkFw8i7XGuO7yPfunmRO5OdtPVTbzyWe0DlYPatqq4ScFRnPFo7Aqa1rTUJGXGW qXmq8B8yadc3112OyFW3XX/HVgGqLTyYRl036vS+k3l7LRQ6MdNYVqBV1m4zA7tBd/mF7OhsK5ll 01oVh71O1fxZvlw/I5nbcPA2ipNW6BvBsSrZWzo32nKWULCFhQdFsjwtHClivne+tZe52uXhbVW9 RO3Jp6XhXke66yBIN5WuPEMQ3/BgtajnQobutQ1STw2xNaOC2a7GBy4QlcirVUVDoc7AA1AiqiKp VgJDGXdehHmGaUC+Nu0Vl/AjkoDi23yCHfsgZBv2cHNoFHFix59eQm2Pq2jmF6qPA7HzbqxnUisX vnFgBtVryG5ZiEHRRhuu/wZGp5go30mDf/sFreo7wnrhGPiZQkPMuPO+muwrHt0lJ2D32YkddOXf Pt/xwDYGRhAssaiH3kdKisdkxTQfwQkPT7rLCyZhvztz2avA7jFH0LvS/Zg5jRrNDznqMftLp7Jt gaQLqmWpu1wL1eNRdzyq5teImJaVEhVDGdGlrEiNMNsjqqJs4zZAQgQECjrlh6VShcrUOFoI3tRS iWxc9pvPVt/VY9T5gH+8MJl4juwWXxK6ypgcvI1ZBlT+iDwcy0MjnAR10enk7TlTedkT/ZOMuuq6 tbq2uhjZw9138woMkEUoPqkuYNmDlyOu9QgFsCSgtct4114+7NTjzRjVuBmqziFSayTJqNi/GLBA HD2j7Bduaass7sGRTEQZJCaNyIBiQeMkOASL3hT3/gH8irTAysaNjT64DDElTb6DdR0zv0UUxEuY DBhC47kjRueIW6L3gnE4XfXgdKheMwypNmErRRHu6Oqp5wC1/eK6MthNFuggsy988KYsDXU3QVZu ZtcFEYtttEP51fC8DP/K74EqQVhyMrLMUSLnsdFYXFGagx7OaBgiYyvnNHmHJetOIE7g6EnuXy2Y rfMajYbJ18ZMACBucHAmCkGLxiVGVXM5tsFYLwJdK9t7sA/nmDmqiNwmsu/UrmZHE19GLV1FBzE8 3UyBytjDzJSFaJ125MMmhGaC/b4w2stVONvU35QqXuRB6bVp3TYvnoBblNV6ykuwGemG2kA2bgIt Lb9W+0QGuLyYtCh7QFDQ5eLYVqqECZPgD23tcXtXGgyveV+Z0/jW2GvrLTQ9AejhH1H+76zbgY0b G10qS4sgpEYJlBjkCZb8RLOm+2h+zIfWN3F30cPtbDJKJx8RggrU1Uiivy68WGHIe4BZAslOsQfg nLMegjGFRiTS4AJ1TvkaC6wSEmY+cwi59M5YOnBFk2joKcg17D8nfHJ3qf00RNukflEpNVGI4dLL BTmREXLC0RogRdLUIP6/zYQ7Iag1smWtQjrpIk5EYLFe4AHeAjGU/5B/Wl3FxSIquAeu+pK8oF67 thCn5/MezAoZdpUvDpjurhjZ380GwqzNRVC4qdKy/dtHyF/SooVeVtsrO7EtXYTOjDhsfN7wzlaj N0RWn4YtxKdx/8BU0sJsigQLcN1gPPPJsIBbHTSUj+TT8gPs3CyI/EGOouGxwuRAnjk4P9nsh0HL uTZV/OqEQ8K6bbg3t9SKqJezsp2S4oz5Z1f5gaRw3Oug/g6cZSv5qMhQy5xYAhOA3K9/R7OuUj5A fQKz2Xeo8mS+uCQP7h90KXoly/xYLmyU0QAn62RIPu1JiQ8LUvs8FEFEuOiVkfgt1QsZEGJlkOiF HoQUqYJ5UqmrR8Gutt3XvfAedv4Xa8WTmReRre5jn2DwBkI2voQV7uCJupuVMxn1gXFpKFCXu523 J1Tf36VRXFfSoEoJg3lxzxcAD8ydb5UXAvwufAyd9+xB6UrWOY+sw28AtEFugn7EIf8rIAxfFCSh 8lkumy4dyigP9Jk4PR/MQLHUr4HBareDfW+mFk9sq6J7/mTAhMjapykZSTfnUMq8pnIsicPpMEnG TURxpC4vmYgJjAaqbDYocecxjqshKOF1zPd3tljpczL19A1/u5LUZay9Y/QCsX4qLeiJodqdcxVx MMaCpM5rFZ2cZGA3jvRaNI4tq2r8Xt3luBOyTgtHsAdLZr9Es9WuCOpjPtEDb6Fyk6b+IE6DFb7W VmK2uicqRpBdWFo7rlXCs2yTqqgbAmbpQR+2aY59Prz1Qr8yF7EptecxIMZsd2fFocurwoX9rCIl 3x9ldgPWzSnG57wubtZqFyBnmpgrb2SmwNDbiEvo3PwJ+lKd3AiPgtOXEoheV8CjbhPaQP1lJ3pj xvhVnnX5zw5l344YYQSzUDXyxYGW/18jNrBtMHTSI5pzVlmhlaWycD+e4LF5zMqCIVg68BJvwd4X H9VhtbnhrnAzfjMYMcB1V9yxSp/ck7WDwcijwTvEcl8IQ9+YPI7PVebZaTZwiTt5oNtItJuf3QPK JJpAvGQZSPWbi86VCpHblHTYRP3Gb1E4ncHM4665Ds5kYjvIorNNCHc1kycd7JscAyRqTmwW1x3F Hoijr/G8hQx28ANg+fmc5Z4GfsyuEFsz43+9e8pMsnRYrIiJjv5eLKZ1rCvpZVaJdyz6lV2NeaSF fjPZxDNcoBUqEZw1D1SqFTCWxdT6O4Vl2Z2eJnc8sSzoThC3JenB/0/rek7WVOSZ9RGHn6dPovzp aMq2M+fF4kpf31QHRSjbZnjhXT71n6OPV7Pkw3cLmQ6Ha/NduDsMpOGOqiARIEFrqP6JBGX09oD7 1YyHp5pbFcwqdmOg9zsZtYbDqt4vZ3O3ZnZH88iwJqZ7ClnSV8SLMpdfGszFUJrYFZHGONBzXTwV xf69Eaf2fpU4vVdxyZNHplHNy5ReK3xdGBD04gQsxw6u4szgfdXw/x8+JYWVkCT0Y74mMu6xOdfr wRe3QgaXQyEtEFUsmZakzQpmeLcUyHb8UZdb0H6IDpsXX9/oVg90ZdSoQ1/xO5YKKQMaM5ZWyO1J uePnxIBwLScfLlcXKThAm65Vfugf9D/XKMwjFuYXiZrOpomU5f0l0VP3Vo9w+eaI1kOOUSO7D9wl j0KN3l3kSamF7+6Sxh1u5CGmMjKBQ/u99fvdbqkoXGU9BsWxP2tuXz+GiBn1awRZ6FEYt2Np14tw iGTsEeP+4UKOC0rvhdQLsNg6qjfwisXJKfCblzqwb+X/xwOgqjpavwWAUOynwV9GJ5bgf/vYDTVO NcGugPEBHu0YKrXdIGVCFvzenOQFUhRnAN9utXXYANkS7eOIN5CVb+de/cB5XAiCleNUMScBJllu VddIzsR95gH55Kn0KUuKUeHKNGddiYgVchQIDlK8HsvpVrakIGtOvI58SYXneCWkqRc47RNeXZFu pC9UF/Y9SwiP+ElndDKlndAYluLWyGgPimxAYSix7baLbFVhcLqShG1e8Bf/L6MVuu9rOt4ToJhc ICHjpSbUqsS0021QU9DIgTo/rwea20pmyKTjc9ytpDMc0dHvbXk+ijtzAshWh0c+v0Sdu0Fw9NMB Yt8PE1K4fYThYD/yZ5e9gEgeHKBatnoBKj4PKCpAMekcVvWTcQxTC4FbLinz/7K2wQXu2lU7rHow 7WAFGE7c1AQlraGYPU4ydSeBW57bWYWTs+tK9t6LKh7gbDSmNiZG01ovp9BnTM/s4/Vg9AM+w+gX ZYLCrDo1sCq/sMp7RHmV7EIQ6XATnSXdCPTmbhtSv9u9vfkwO4qx/nc2Eknu4Cdnny7ODLmHfPLn 7+5ENWyvnJYN3nSaSy3S/LmSHCrFjaFmCPhPonJeTlLq11YBITb0BORwjtUjs7bp3VRHnU00DraE 82M3oKfqvQmFHOLGLArHh0GEpRRWOQQs9ZJ9hlqEx9HMioFUCON7hN0SjGkpP67Hz2Zc+iHGWsnS AnHK9tay1ybKVnddqlTTlpmHanQVJGHHFNALnmQ4Bd0qYeYvDN75q9Kv31Jlq9FZAxsSJjkcpfyL H24pJOtIcalsVJznl6L3piHDgKC1WAJHk5mToU38Mh5JprtbxNv+Zj6ueyz9zYCifbRDHj4NwUtf hp1XdK5Bc6opLsv+0/QBQn9zX/LJsWpgdr/ePEPjRxlkEujFkDIdFzajYMaUIrLnio4J3qZa4Cl2 O1fd1qKNZLWcxtNNzG93GHpphFXKmxGBM0Fs2A+4korUhid9EqGnbgAVTKhCz1QpPcsxC7mGu269 eotC0flX+ZBMijHS3kP9325W73Vv5PJHgh85tHAFuOflFwJHL7pRPDEIReecsv43qleVGCX7z1z6 87VJoM7VdgPX5WcmQEmoDt6oz+nxBgMdWNJWdfZoE7RfgsWpZfPfS77o/Oe8gMVKHlrUmMm0zZrt MP7WtkGCDYP6H0fW6JSV7iULRbaa4DbVMo+V3tSXitT6rL88kDok19na24NKx6rWCWMr6/TsqEby Yuerji6GsvUIomMoIHreWI4fsyZJh8YmSMa7qAwZ9ZOwx8ltolMf/tdC3/lEPg8IrJqmECPTQnXt TIm6hN30nHZMTtnaWadtBbAvN6/kR9v6Z5A3MLYyUVGQ+jpYsTmZ72x6fIry3pLk2B/Z1RWqF3OG dBC4rKOnWscXxcubr50Xo0CPD6z3SW7p2zQJIo+zOuBgxN8YF4hfupATG39W8pmEU4dycK9h+lm6 9Hv0IbcEq1pY2paXrAmarIPxS0tqShM+uhZ5/B3Mtxncxjec1wMxpRjWaC6ZT+u8UEZmh+gE2nAC ZQ9oJdgToetm7iaRLmZpwANa49o3/Di/viLzny4Gr7zc0rGoCUG+qUpTT3dUwwddZyxuXPjtI99B zEgv8v6YNXZp8mNQlpYkqV2hOVQtfiFwa22d5OOc2LfYC0vM8IDt+0Gweg9tv5qesvcPICO66wsf D/2297kQkLnC9tEOKogOVO+zlkAaAH2wzuhQa3KM9umV/ULwk/vIdId1BE/X5wlgHBEpZCVIZTbY UJzRZFuzk0hlwJ7OmuCvHNGFpXD6Gje25bR3eusLLThSndYcZQEQDwcQvIaG6uKcucGq9g1o23Tl h+CKJy09D8ROfxul4V0DAZRiiXnIF4DuYPYtnLYBD6Ag8S25kBaeLwFl7SxKI2P8V1vQ57uTCqB4 a057wwgb/8Baxtaa7Vez6Xkr8u3ve4+Rk1sFWExMVd7jxZtO3piJBbqDGhN1HPQ9jZzaaypYbQ72 By8OQVLTIjygMEREWgMCBUgtol5lIORKgI8ov4vrcNtAcUEj/PXoLi42oxsO5tlh9m7CsEWSRXfJ F7HOCWk2c4Vr3dY+a5JgUIOi5jr39OOlLJjemuYOXSY9l7/g306Z3QNRBSR4AuVy+X33JDD3/U4b Pic6L1ToF7HBZpTEcDQ3Y3T3+Cx8SNyzcYA7Zcy3OP8FlrbJAz2nUYcH/2v8ONSLtzbos5sxPXj+ eSRyUvXVjSXbhKruJPqk23RfR72E2BHXtL1aRbdXRqzTiYWuqSv80OIwbfuHXCbVACQYijm7sFGm 6lIhjzZQcUlo6CNzx2kHTVkWV1BPxbBrgIkXe5/5APywGSNKkQjFyhg8K0EiKnE1Rao7KIoU3mlL 1xxfebZQsYQM5JNMF28PkGnFtzOs4/tjy34hEoNlTjb+iCyYRNwKGnBrkr6vWwiS61yLBUUSRm1I fxifU98HT7oI5JkfLjJP6a9vaaWU282/SG/biV4dEtx7UHBYFwhRRzTS+RjDWJO0S0ip+QX9OeI+ trpbXc84on9gMFNDWDVirp5DHgA0QI716ZjKG3wUL5V72WKTqTm9kU6WIyQkRVZhv4vHQOwvoU4C PwshdiaovxXkSAROpybk331saICLbcbmPaIXxY6546+ygT+Y7jy44R8Ue9gy2NjrM+1dJiD5Rpm5 OVvOukjWTkiS5Xoz8p5iJ+V7UEfVQzFPGEWUlNYu3QXC59K62l+HPLJMfIlQOpvnhXPRDJk+fcYG hezXeLRUjoP9NIUfC+Gse5W0r9aUAoo61BATyOdiCq5HnQAzYhxLMxVjIlRhqohMc1oKDBvhQd3N /9GzUpiCczz7YVlGBr8S9HiGfyInW8MNsVIh2lDmGj1+70lWIgo+U3n3u5pYmPnj0OrI8ugaBSi2 cM6nV/QDiAyLzMKWtkJrDqoRPytR61teZBr2m82Bs+JU0eWE6f4IZ5OgNt8pmgPgNmfpqD6/FYsB i3OhfP08ORnn7CkC9hT47WYJuk8HokKmUQ7e9vcL+N/LDsMuB2+HFvaRLaz5AWiAtyVfSruwybGi nt3lZV0x3bpw6y8fxLMfjZKKu4SWa05L0mEvJ3lcH9Hzw5BCM6Am4DA+NDGtHHi+F7FtYP6mJ3w1 R5WCB7DYWzmWXJ3L+8e3Yuu+dus4pqBr36B22gwnuB+VAn1JTVmTKoCsePybaaPRxJc9u7Eocmzf x7GZqP77P8GBVWzniH9wCszis8ikDFK1XE2CimGH0M/0ISQzUidNF3MECoVQJua+KVw8ruVX2HE8 sR1TK+KseXh8ZEPNpmKfmlsVls06iJUJozbcWCZpVi1E+LCLmDm1t1VS8JaRM+NlnlD3NYp4V+5T NGGQf8VAOetkOwNvIYoXYMPH0aZZP2anndKbnoNp6QqRStnkbU7eD3R0Wx4Ld/PM9fnj+ZbZU+gA 4MPenBOPNDNsC8ulaquccgIFE0tpimiWjN19r3AXqW1LFCc6zGe3n7niQfHx8/1A4yxh6ffEzLjT 75SDW+HePLOB1T9dg1NlNRD3foHmxuuwYZMFn3S2xSdt5W9Cd0+uM5p40CmRZ/aIFoWHLRc1S5EX E2JxO6e1odKrQSmYTs8HlJx8qCAK8eyUYxEchc2qaJ2F2mHnTP5qdAPtdxXNtnKoumlIVjaJhLTO WeQcVCPV8xXQ5xKbqUd2TYtS/AY7kq8mLoZR+dk2Yra65murygthK16W3VI/IK5WuxtcC8YjXKV4 e6tD50N4ExcF6jfjKjIr3TeJjKJQ8tmXlWzRYbjCH1+2d5PKWXkSOzvRfgmmsqYwvXlXCY7aLVbC 9VpoV3qiOMCu59kNWnR/IZIgOBAQEFSKUHJOZ4ND9QOZarhMbnFwdOlWdhWQciQtxKHayfzjangv FTuyX0mJEGbP6PXKC71QtnCQ8mZEe2L/DVrJJnC07qkTX7ayH7VDnHilWuNT65+QeIfLZGucvqlp 5yDmL/dczgtCAYJKrYdY/S1Ap0OGsblj3FfSLosGGZPVESWmGlVe4ZLsmn0jHC7miPJqvcQiWPyt 62mrhUFpclZnibHtqAVDL2QDKNeh0I1F9YH94xAnvTHHGML3B19eSLtco/5h98c3q8HOxenyOhEF 9qKG/y03DEBbzbJlQe/wXO2USzSs76WpixZYWAYHAqD8cSlEt+uXbfdnws2dEAELPY8BOpu+IRzZ uojfKLg4Nmbb+q8cS+4A6TKftDuTF3mVlcSphO26xR+CUiHHtrVO2nejcoqr/gr2BuNCtML2/mXV gTqehrnAkr20PYsUJmGbdcfiyuvgXCQqu1at2i9c+NggQe+705XfsJw2hpcXzeV6Ht5ujL0UmLNr R4O8QDPP/Id28N9WLfjOwCye3xI9afY8kAgZpnodg1EC7n0tOaltt8BgNQxac+xkGRUkCUMQmYqx mDP05eKS+TLn5Og/TeFESbEJ8rjJjrgY46IEGprQtcEConUVRfTdw/dpIFxawfzX97qKC5tx/ctU Jniyoen33CpW+etzv/UL8Bo0LNI3SaZz8LVdlKmtAOikYm1sg0jmjSsJUk+bYyDxzCq/gOkxYZD/ Sw1FVbKKl3i9TQtPFPMau5RDZOWBBMv1BOBkOAMkdiCn3KQ0wEI1vFzcEYdqVB1+u6FTKaCRr0vI HVhA8zJG1rjKdLvK4h4skYN3qKLSJ3BOdybeA8LGlVS+GKfwVzJ0JCnkMUqxHi5pa1/65jpxPwcw BgpZC1iin9LHoRkyuwpeN2r2/pLJHc6/vv40GTAuaFejwdYXSRYXbtiFUkILcgcF6+sJoKQiHSlt WV9MFIDE64JWStKYec8JlgKv+CrDh3WN6xmKPd6SZeZmp6Zi39YJ1WIQas9xZFix6Ra8HrnvmRJ3 jV/eSBEdR1S5nPonOUYp8r6990ZFEju0vzX7PU3AJwjhH1aopOPW/u9pE2caQpODp0NUo8lxUB7B zbcbR/klnLVOn+I025GeVefcER/IcbRJMbOG49tMYUm0O4cIlfOIko3b4F6o//p5AkdtqNf+HduJ 3hb8mcovH69slrTtOpw3TKG7kZFOviY0MYXRK/LJaJ7WvoiHyvV3iPmUIR8PDS5/YGD8gk6vwHPH BHQ98tM6JQ1VZ1891DF8eEqUsmSyMQyFWGNu2klSyF+P6rwk75wOP5MKnqx1IUqFlIcfiO6MPSD9 26vxrdj00/HY/JcU31DW43lCRu8nTS31rB+4hKaN2zSm1bSR9iCHdWFqmArMRl+D3O7rCgP4PGZ+ 6NI23FvF7MBzrtXbdnsd70aXttImQ0MHLbyuMEO5VxP/ak6s/oXVtq+cLwK7ptsuasTp16CUEE0F LhWDkomaklaNO6bVT9T9SEu9s+mFd7Z0kQaKiHF/elp7LH0KRaKys1UcQGdovhk/e2epgspqwGQH jtrKx7V4sTvc6FQP5bT/BaPt766nQcotmvdvhLcFrUzb2diIV/FJnxxzsj+XmlTxjzGQ+ArGaoXQ TSK2g1SJ3gPaNtZYveQrjE0J1mTwRUAZ6SXtgzbcL8HgVBA3dOfe/D8J+Itd+6VdX0+l1lYth5zK /lKoikeSwb5AiUSeI5sdzqu/Vn2YzaoGGyy8mFZnIxuSRId+D/Omx+2jEQOsgf3O7WCoAlFGVoHM Bi1WPG8JXDy986ZY/zX9PxMIvdbKYDAvRAIilCfV73serXCAURvxD+cIQbB+5DevXgiD63pSynGw 7qprWCLS2ZjV21HwgU1Gk26/56+5QxK7Gd4kdz1q1uYG0ab8Kb/EUCdcuKlqN+OJbHtGlpYHf6Fm JvarhRjofBKchs1QxWTFEoRFEflBG01J/SqlHu6NQv0j7iu2adhD3mHaHeN+J+XnhAS5CJImKQRL 04UOjI6iKlB3xCWKJBVjb6zYbhUlmVMHdY4wlvEdKzEBUXrUHTf/KHn0RlRJyQnj2x3S14iBf0+t saw+Oo5+CtJ63SVUX41aiPaLk0HIrT/20LvB/AvlTA+Jx8FKR/9DI/PRzfsXnzTKWThbk6IgmEqU Zi5HMLsGgd1gpFNHyF6eGR0C3SaKmq07Aw67uSVprvb18/4Jb+EVaIofLOxnCdGX3P5oOFs3Flhc k5yppFGvRnyR7yuX4w3RegWzenmQb+tsYy/AyY70CZRq+6+ruszu60d7HMsT6arCirEVFI1qR4+k kaHbkN/uEvNrp+YYJ6rWUSCXbnlpSCg87/HxwLmQphwpLPIQh3fZXFF++vf5KcAE2hd1K0pt0AQX hb6Zb2OKdV+eTFcni/NxV0OK1HweqUxXYHAsu7gFC3UDM9UVRW/FiN2+MD8D7lrVpGT6rhWUF5RM 99nOap0eGTECoauR67q5PzVHWTCoY2hn906Lp5cnK4VxlMOI8YvT4msEdswjQ66+QLnZeByxdKSj 1mGlbBCtwLrYK7O7A3kqvt3waN8UUii2HI/NBAwOktwc180q/OwffZD4IWt3rtP2JEOUofa1vxZT NzvNUHSb/ltdL0qsl96iIP0Lnz+QguuBoiI9Y2xk9OsDtqzcPr7w0bkmN/8pEExtpirIqm8hYnr4 aF9QHfOTe5TktaFbxLBxW63ZkspZA/Zjto9FVoOtxH0sBGwbm/xeSfB70AQNXlEH8abGsAdZBC0A Ho3nnkixH9RdxD+3O+a5BPHo5bnqfK2oUuiGtroUvoD1kP/vMKGZs7a62soZj06ZZpBQP0mCjlVA 9oMETJLHKv5G2ElzynGHbpoJhxm3b0vH2iD44E5t8qmLykt6fpZ5OAg5d+92/EfbEO6u9/XAayn4 o5dWn8nOAyOlm/UmYUM54YEhcZVfxwaadaTXNkUY/ddsOIuR+mjnQEaIx9MY5FiFpvpbVXCQBbnW RxkyB7howbeOfT5dx4FuYIh0QwkihN1GyqiEY+t/l07zh3Ll8XEJsxSjm2aG3DyZq04GnuCmJxvG epPt0uMHt6kS0C8LL9kEGbv344jl9sUOk4VSU07hZQy4lIJGdtN3P1/JK1CiEc+j4h3By9XoqHSB 47VSGwjZ7ojRiKtryFbz0BsStJYc8ul1UNBxH7VbtjKImRqLsLbVh4g+XxomzPy4BeUSFXB/rC30 LOpGmxzrqt5SaI8BjlcO2WhtYcVYK4QYJrsv5U6bC8uPVXi52uoXjY+aN2fdwFunkxmtFQEck1u/ PvcIRzj9pdSziH0cc8UPf8hHibsw5EZlPHjDuk50s2RwP5cX6nNPpTFO5IdBU0cAaB8LyI5d1wB6 /erVpoLcJ4vcEwzgEbsJX4F3PBr2HAQfk1yvb05q+p28SFgYRYWExQw4r/+71hzj6XY+M1HH8HZL WYoIq0C8o2Gy++uLBpMn+LlNbTJqtscO6hI4LpU9AyYu2uTW3FHiZ94cpKd/mgXQ+avq86Xy3Smj FKP3pi15NH8gDsU66CzTXZWdDMoUWgbY2vD2z6xlEdmVGOU+8lz9UTsjXgk/NoleoRpygC60IRUb HE1YOp1w2rSXrn0N4j671Hij75qsxjKtGSrKKKl5q2ladACSx8G7KS13ynMwP383n4eFGC8u1Ftf rqS0HJf2g0JtYnNk7XmGmvDkbUfyUZI46p9M6FM1TpbAvhJWNnrA5ZLo9r4sQoTAEtmspVtg/UyG hOAQC6X6cFIXMaAXJWiiIDIbrI2rSbgrIsIXPKixy5efFcomUf459sfQiSpodoXSNcF0wSNM5WqL LT1FWAPJ17RcCCfJ07sU4uhlvwPFuiwVtEU/ibB5OyQNBTO6ZHwr3p4u0nW+z41KklVkKKvTNN4i ybXTAOIwC1wGi+yHHXNN7Xq8R5hm1OSVdX1Kidjiq1AcjY0SXAYoLAJAIdddnd7oQw4Jdggc7NTB WrJOJ/E/Z93fN0ftmnrZuxtoha9vFbzNrPi6q4NGIyLMN/HkSLUsuxf8OYy+sTwBT8i13sbr7uaO xRPnlp+rnIwOOoh/UkO+tD0QnyyRASbYCpVTuUecqeaWqDKqAyrev8HNjKCWvso3+bHIoi/ZIwtF DMVN9j6YJA5itNKn373xUWi7N+0v4yTceJqn3sMDgiooyI3JeEAtTw+FqEVKXMXLVKQLuD/Ecm2n z3drSC4lvLN38CfIG0EwUxZkPkHhVZrtgl8yFKd5LdXCX17oP2cdt2uP5rfLTZ901Hx/dYC817+P v7/qJ5yJa0UIEK5hceOtmuiir2eqsMWZeE1HA9TVrIYUYUKvP6bBWZhYgBNmaCQMC9Y3s1mkbHbD XcwwnHqB3J8BktWudBQi35FAxba3n1vQXEqtbqYyuH0jSzK6cHlScLAZusPuV1jrvZkSXcu8C1wd SQ0BaF9H2SfKSWiuqooJO89CkAFquMwZ0SWKOqwV/9jPEBaFw1zi4yRNyyDzMa2Y7Jc1OXRTO4nm eK1L2YoXGTz8Fxk5FRMWt6DSHNfNP/8H3lzY1NxIJ5GvgdXf20qjoOgdiDPzawiQH2QEU38w1YZL u675/C/+SdkiuecUNzXK5MYgiW/ThG2usw3HQLxdiqhVDL5+82DPvsS5PvuGdrZAcF4NBSjTrpRw mdVzN6FlrsJqbydYw/YOedZ761zC+1OUaROavRCw/0yCYfPvgY3q2sfvrWq/+6QXA0+4RqtPg3pj KwMgYkUfKzp+XbcauTQP1ojhEtxmM6wt6gRHMsdjDU07ZVQ6cv5t/Kyx7PAT3n1zv8HVej0eOfVN 0ZYK5OoCnIiKem+AkallGKET8IlDzULh6O7m+m+UJKOVgGd+YG8p64/mEkf1OEVo5laMyj7mD5H0 AB0s48/wg8sJZxL0u40t1sNOuPLkQnrr6S8d5djL0KLvFh9hxj9U2YYj+/X555vkPDYktZlNqZjP 4aTaeK3oZk7rn9j+SuZaYRjr1+fl9GbFYxr+SBZvO0nCb8Z5Zkw/ibrbYzCsCGAE29BGgcjs3mgw IsIv647y1FCrs8HxMih94XumyJmswXuO69Www2dYJduAC0mt+2mxMgYiw8l796/FNKSONrRZ4Fla Ofiq2I3CBfNEs65MkYBXcLYfxlDDr8FPNmZPGCwFDOAblbA10llzbqkJcxhJCpwwqnQqytc2RkrJ vAaWgOxgowgxepwQE9VFGp4XyA5YYooyu1AbVKcMWIh7g5IHHf9pIC/t4usa0nAfVAlupTdP0/aO eW3kxUTSCbnUWQJy9Lu6iQHON/WxjITZZppTyiH/2cGvmNKM+8JOkcNI/RocwZvV5gVhVSaeclZ7 bMNGiezdylmjiWeGANGYviKsqHWvw+hiWugYx5pfIJPCLsgsdBXo4WmPUA6dzlgGQGKpyx2+l17o itta2GpD6Xm97E7C/WiHnBxP57fLOCmmWVB5McjxyawLDU6mF3hARadk3tFSTxiAiJgP2amoPbuE gC5T/sMNaEQV8g4bNjdNeUb79ZxdRyPx1OQ69KTGFY3wLeJrxop/t92flB+5Nayqx84fkCEfeEPF NDmR9VMBZ1XhTtj+Cp5KBkaxGNLlvgWZkRIsk+muizYhOg8lP34Nsf+//3qzFq4xUjPIkcMV5d1z zQdi1jsgxedES9viY/CNuggu8/E+GwYCOFmIWIBlx8vOOWL8JD0OyfcZNRPxcoSx9LfAmELv1Bsa gZYfVBxDEE0+o7Ckq2yq0qqR/nlM9s6YCtfmlto+jmg9WKemB578K4KIzwtwNbAWMzCRqPmC9KIq ServiBpisWSKeZv00aAkDIRNSvkvLCpsMVaUSMhPkn/IjGd4OPJoEZNSNBu2jKL839QvzFqzNSF/ 7yvA37Gvu+1lC82oVDJFN622LgKrwYRw7Zs5HrWaW1O6E0Glup1aqtUcozLO5CihxvDa2U0dwxM5 bY28TKvOKHzpAIpJ0gk+ZnPxRT9zgyOg0fS08YqFl2slTgsyozWbTyxJRY+DcyczlMHp9wKkBrdy 5Z8GIKgzSno27PD50ZeyfKwNguR5m9ClVxuLU9i8dE1VDQdjgmncbX2BWzzjTxjjT7YJZRGO5qJp Hbw7iHhxyqbN05AdeShtDn95nHe/JYtkOEoRW/JsdXfLxfsTH4SYJAwmOVgG990Gi618oufQEHyn uLXwKFXjfWnEY73kzZpWBYzjUp5lwdbkK4en81z5K5OCzUBykP4xBnOXPqyPIl+LSxVBSM0dVQx0 SZqNPZMf5q7VC39rPacUkh3GSMmiqBwZOZtdkNQNpb3QGigCVWcCJ57uATmMjn0QFFDOi79qEvQa oiOsHtQSfiig396lmlbNQw+34Qb5mTptpqIBYfcAx4KcAtZerAfMP0anQGW612ZzOgQepBZsd1Iq AL98w48oKPcgezQjfkT1cm6R/pUBReutxocMtGsqQspTR0svHvH7eKJjkzgnaxDU5Vhu3bJWo2aW 9emv+RxQjkiTAh4Qx+zMWLYaBpNjCbPFI5q1RFnj1y0JKBouJEIl3uGTkw1XTfANg1GwLuBSOtMh /TJZhVhoJSDh+gmp7L7C6FluV3CAdg9strJqj4zhQRupY+r18skpQIthgkPgo8b9DB1Ywc5Tot7j a4Pe59hoAJ0o/514WiokCjowBlFdSU2fW6PxU9TXmcQMW5k2D7yQ2Wnbjfj1AKiGZxsAxsXjbBs9 C2KYBujVIBVYNnE4hsYfu+gOS5rlwc5dLtOn8xQ31AsUnUBgJz+UU0ftv6jNfyW8OKqQw0TKobrt bsgNu9gZ6FIIUEIq48aZVxXxVL56lvI6LAtEYlwHZTRGv8fMTHPnWmsnf9fL7scmPmoV4BcVTFke Myly8IZctMmpDjOON/W2UPQXBJSJRVjRHTUxCytN39nPZVTOsY6aD/E8n8xiOEGGoIFGV7cN+GmT +NQ0Zm5eL75aETojtZh5hENt/u5yfYDFrfO8bWknZuz9PPBMC7oTWPefb2celZHg7HJVjaAL4lMi o91xkSE/ijYYfWPfzQwRrky7VBDISge6wjPBvii4oijq7U21nZk2o4fBWmSRIaN0swz6ED1BAERJ GGfVUoAp0tCToKO4ZUXGmmAo9+F3WmlXswBaNRBEVp3pJBN1wUcoJeEnrtIUxN8zUCzvFz/5X99x 9KrxV4j0RZ/IH55uBR+b1p8b4aui7R99rYtx9dIx2cqCwRlGNPSwSFXpFcxZlxTp5BfuNO3/rKs0 P+BwQeOMF6aH8JJMO83r8JMAyomuBDbUiWdcyWnkcY81+2y7aMajjbyfCoruJgWs+qlHrjMFGwyY +lDJVUAW3Ldu64/ihAjwU1lgf7tFVAlYIR3uy6GzIHJMiIO4zrd2yPaoKrqhMxXGmz7u8ZzeZTaq usf7l1r6ghJoE4aEjlsrnmDLJz8VzL1u1ABjPglGHnavqDAMg549XSZ7pE5zWq6trZwJvugqQVe8 WRgIcGjQ4Pa1sQJnyd1UikxaS9KAGv9NW76PE6rDb60Tg+n9QTEG0TEUXXsMlbdyVxSJ+ocP0DTt /mJuJGtnPRym+MWtRrX42zxIQV191LfabfqsEvJ0hB3sRYZlnQdmnlO/CMVkjYXlknVdthAMCXE7 2xEbtX/KfTosB8/ahkqCf4tZ/10v32OMW6vY//xw6NPKXDM9CfBgwxfNUY5DraZwtqRmXy4ArQsP 3bPlvVeEwa//Swh6UZ5LtOmRAlEvNTmQWYx2Eqv0gQrXGzM29AGe/qcbxsxFhuhjnSk2S7VCHxC3 o6JrdyJ+UAE9Ns5p8nlA90eVdv+79TFqzELijo2NhwFSRsi805k3EjJM5lnVF53C2CIlgK2v0HMb NRAkHOTET5Ma3r+PJDTbg0CYzL8p8+2Vba29VnoHzRap/4t04wN/4BLhzhy+DFqgCcg7P6h8fXw5 hzksyzP6TebnFvopbBpiHiI8MLBUuiZrOqxZi9gLARH9ieEUT75KIEVtw5ft3fV1aGUVuq/xRwnw AICVukdUMt8uR0I8ry1rZGECntpacy1iEZlinYnmP02QDfi8sB/alWYlD/P/sDRz3nGaNOoVhKx0 0/zA5kA5N7guAKS+Gs/Hdn4+PTyMUJYvcHaokm0Gb7MCO4LcY2/rl4hfe5zHnGQv4/jub4vIi49K dItvYa3M8Ioycs83NMfq2B91TDqwm1gJ1Gl77NkKwC3XXzNLtk6GMBXhaA0dYfMGoX+2CjDWwdrk YHwlantnb4yfBnrlvjJkOGAfK3fyKbvN9Q0A9+5JJKb3LqDmL6Sqpqmq46J9Ci2dZcDSyamLF+CR /RQJgXYVDCdny1J68I/9PtxkHfXQ+0WrxMX1ZmsltN3jidKQp0fEQm8nsgz6yUu3q7jRKRTrGYRS 6PYS/Cey+khuBmFiZnoV6Ak7eVEjdXW91WKT6D6sqv6aNItPLKdleRNUsEJ1z9jOCFuYsHuvd2qO AMRxFnyaVbXDI8ijHCj+kAt0hdFaV/t8i67uuXf6WzAFCtEfODVKBuj2wg+VWHYNkEtjMMCEdQUZ GbpnUJ5r3I9HFidseFb8ktAKC4hVr3DBlPiYa+8qT6iIrHeTNQo8WvxCRd5CErAXi0xzT1fLdu8d HnoguCeQpknNmoMI0vQ04PnuOGSeDvqJvOwMWG1ThlHmR43ppJZVBzrbr+fKNtyK8IRhqJ9sp6nA ICNcbox33rHeMQFZ3GPAMtOC3FRuBeSSdn+ZsDfGxpvAa2baO1182oITDDMXJ1SIcJBjZ54Nka40 8gTf23w7p+8zevSKS77XsSpliFqNtsWhV1bppqmlHyGc+Pa+ecv0z1gpq//LL8YHvzzz1CbEs3Pf EZJttw98tzvb/z3ObDg4VABz+fYFMT3jQ0mkwmNLCfe/YGUQZDv3wZzuhwy+1X4RMPZhzpK8a4wY wICdVFF2raCKImy9APgm4sCIgJPUMZJJUKVt54IfcHl+P+ZiRrrjY4SFLan7Nf2CmOMa6JCjIYFf IkIH0SQ8dHiua0DJB/jEMWY6GNDyR4t+mum2/3dfEwZUTak6yJza+S+VCw5Wkfe+GwpZHigkdywn 4SdvOCJl+SRqjxmj/NUKnvQzzWLW1dA6IT9YuZCaHzm+axUSykeHZnZqlzqWxvFekj9FXCLGDe8K QXDlT07UInkf2Zog86qz8EG2S/wBth46dguLQvU3iNm0IPffLHxV1o6Y7FIpXtbdWfwydw39qPKa /f2fb7VZiPUQPJxHfBkrZgU1Nue3fLRzVFOxpgyAG3NbHysPl4RxJWhQS9FljcFxDxiC6/roIO/A MJCJraxO4MoPoR0f+S6OnZoJ6U4XSr0S5ATT27gYBAgNIKSbGO6Lw1AkxRLcIJrmXV0sNQyhOYG9 mWCceNy1XFNbBUh9PaAQY48gBjEPVqAW4glpDdYZ0bU2hlUmtfnwm8sTP5452xeFKbuT4L5fveQk KWi/AIV+UBLPu/oAkuH7GpgdUWGxD7g2XHGZFnfaDyqv9qc6FY0LaYTJ9wKe1WmyZPPV2NbiqP5+ oG7fjyIf215aHyAy+6AgLt19urSg+e481gxjwuTiHZnt94AtzCbq6Siwhztkrp6FmlaPJ9A493Vw EXRYZZMSwO9T+vMXbFYUGoMUItm7p7QERKPdJQQSJJjweMdhAXp5ARlxLixZSF7TliP6HmTFooI7 OYnIAwQSMWsNakJ32VtRst/yux7tubCY3Btjj962ykJNnfB81/RTC/vUfTVaNQoD7+NGc0snqxWu DgKtY+Fyc2N2LVMxr7oMLIfRXIsiWWvtH9ORwm3PhEI2dZjkjU+mWxTqmxyGFgEk/MZAq/MozHHq LRlin+9IoKJULWuh2xBB/W8hKgYf77cE+uAPd5HmQPZ3IXIYF+TcXCJtOylAEqYKdvmr67CNPu4u E9AcXQzfnWf7DSP76ptqbieSpCJZFwSVoCNLWmzh2KKjp80UpQMua6M8eds6zDwoRpVwIlm3VVaR Re7H4X7T9pUkWUyd+uc6mMXoUYyniUqCnWCn7Ol5MgWkGUra+ValaEdquFNVNAnupsaS3iysa37F J9G2uWtBeHNq0y7II+WcEZuXIRaIE3AVwrUF6VVPD2jXw+j95bds3vD0T9gJmxZ2QTsZoHOen3Ff sJJGb7h98WYfUAvBABeUQyR8kL+em0izVhJEhf3JGkgyaj0Jt91umQidlAooYILpsipxWT9HGYLY Q2FG4LWNfy5Nr3p/mqNWSujwlbLT2nEZYAUKVGHSM56grWcqjxtgAb9x90ZijxWVf/1EzCvpFII6 XvRbVLTEq6x3PVuH3Lz7JROfpob1Ea4EVIb6BSz8YJZG2+W9cQYBUwAqOmFOMFKrNvZ6azdFi88O /bxC8tFUrTgy2qMonCDyTalFibaaPGaEBY+/zk+2Xqu5oGee0kdSQIsA4h5mk1tY7DSk9snwsBzb msLQyIvPvtgkLbr3I18En/pI2yZgzcB8Lb2wPbbl9KHBRnBYpECcHOnj/FG40XdCIXu2wtGL9nR9 wGLhUljlBqkoq/qLU33VzAmM8uGy8E0WExt4J7eq0YAk7splYL7AQzgvyLIuKZwfbU8fnowZYqRM slgK9ShwdAuxLnD9/6WnxC7MeiQEDIBjriToQq29x39Q03JZZMgZPqu+zDv3IN8GoTe8SrafOFAD ub9tEycYBDscOm6E/7uYu39gt8WpxQdAcxdWWuazlqo9VesbvkXj2TNSOr5o69Q4U1p51BH29XWC xL4z5sXGV+PT0V97z5NhXEALndlZ+xBcv10GF8kQ//bwI1svUdOSeZp0TAInCki/7Wn1TU9ikgup uJKeJJ8tn+A+4WFZajexBZ7LVEs9hzwZzjiZea9VuAkx+rZtpnz+9L/KiMh7dX5Gm0E5Z6P0aaAL kWYa65a9GuCm8DfIdGARVeC0a1C+AmYayuMxPuKWNKGv9GTJZLAfvh738f5dG1fKO3Nd0+ThIHx6 ooNp5YlQbAs1MhWkh0tVFZ9YeNq/R2+7+9r0YFYUycoc/KLZlBlbgvDEVYjadLZdWn+zR/NxaCGp /Vuv/pvt/kmVqkgiCu0AM3FsVNaEm6ayR6QEH1wW6pJ4INgzUwXwj6P1nYKMQjvOww7ayzlTXPVH 2tLxwFWWNSJ9D4HV5FiUedCuNHUz/HeUXh3rKfJ2npZVftxUP0NVRT5M0645F+DMVvQj+KGJzO1+ GkCSulSZMjgZpzC3SYnrTVWoK00FfcrPxuekG5iywMaw9WF1lZpeOdQcFR1K7XDLCW9gJSl8Fc0z b/B6uQSgvZ4okJ/ruEZ5ZnqN97fqhbSNCts1IlhJ8zLRGQsgrflr3d9ueW9Yo3LUPnuv7Vtti8H6 tR3Y+Wti0ZYoBX8DUTRnQZosjjkbIKLZcVDgKd7KzKLjGm2d48DbYbW/yROZ3KkkbRiqeQJ+lN0U Ep7M2DaHswurkcgTEU4z0hMpJ5W8wTV1lbkzU7Tc4lEQXMDJoiqIWqOK9wuCX7FhvDhni6XnRX59 QxW9BK7qLHAXnZTJrBfKVVTy5Ld7SqyL2uz+mHSi1dBBni96cjOO23pSeEmIhCVk3eiMAUNH8rv6 Uz+rvYxQ0MnRKH7emfPqqWaLI0CHPKVf/LmDIO1RCfrrJFANAIf4wR/cisX/8F0ZYiYXeYIoyQJ8 pkFm2c0dzJbUOtGjpnMsE7aIls8GXEGJyisc6C5lBjALaBePzZgG4WyjXQL1/VIZwuDkDTFRVVoK lf7pEOBVYZ2sBaJ+TacEIVB7czJStUmDEsLd87b0K4LUVrudVhx97cvLHqP2acC7BdgvjE5ZX0md Z8kfl2+HA3pdQBrvLWi22v/vMXUTmnpxCK7W34dEZE8bZWvTIttY9UVDpg+q0G5QVWfHJ8qg91n/ UX9/1+QGWf8Xbp7V21vOyTSBnnG/6YpzUxy46OnVOoC34ZEy85iILWFVkg2nhBaodsJU9qhIwCKN zNaGhJfo+svJ98ZHFzHcvvl8xo7K9ao0Ch/zDVuc40L98o14HuRD491QLycmUKimrndnymk2iJjf p7Uq9LFEaUSn0wri0TZAqD3I1bfdNBFJopdedOZ+KOyH0GBfWJH61RAE3Rw+VlZinLJiJabBu7fO v7/5CutXwZl8g6LolVhtZQaVfJbWFAsuKqGdtZMy7O5z4gylX6Z/FQ0ws0iA+QObAKlNWKF5V0tU g6xekUAoiHfPhi4sH7hg+l0ytE+Dv2j1Bp6qilyitaGVwKUqMCDLMTR+qHzux21gsHSvb7Jdl3gp i9XPwsuxPxlDriwg0qjKON6Y63wo0S+0TsHkyfkrXzOyO/LSkQf16IS4uPL7pIweRUh2u3KWqZgY Q+F8quk+xgfvI89ylz4VrPKlYqF9Uw9R903eLIwZ0d4++9iNYdPvTl1mlWYN5CYmACKyrEfj7dFa gs1N9p28mPru3m65NnT4FJ2qLYu3QhshYv46KBjJMvimyRphvJJ4w8I8FzddnX1Yibc7GDaMtoP0 C6HvCiUqZ//uSpS9DObgA861OQJ3Rn5qrtrBxM/mA41XtfwjfganbuWg97Q1w856g+Ean78DL+5L IpXRBpM3Xcp50XmWmtVxSwxHmmzV/FW1BKTIDcaRQYItxDuO8zHYIUAEl6RmL0LPAOGyfWeFIao/ pEYllxHpvgdCxsj5imC2irc8GVwrl8W+4DfD3aA91BlAkmLDFrbqqa/gXthwfv8SZ3a1cuFkRbHH qAPYfTdFN7lQqCly0wYzHCARZp1T/HoXsfgG3CnL//gcD6aaVA1V4j36pz507j0BjgLFnVrmXjV9 Wa1/Wvhxjs7r3766stzfjkgRMJ2/w/h5KJrd22ZBM8kdDafSQA+a2IwI1nYMBRPfn5GS+0d8gZ3P cZv4tYPxN6ty1nU2IfFy3yxiTmrRHyVlGBTtLBUxt9H9pl2nEIDaBl+EPVRdsUUBjDDGfAIHcRNX zTr/JZqjwLpUdHUckGJ568HbB10xE8fm/yADb0CVR+IQ/tUV5mdHht6DmO6ZXl2YPv0ActzrJyc/ F44d29J70P9DcFgTx3qJO16eStLTjoh1vbdo1y1gIpix0VYLTOQG4Rk5CGla45qI4MuFdCqTwBmE vR0Hhhj2KlgAUi6QG3Tzl7FM9zEaYo6oqE7Zl07/tAUUryjfaU6Ahgw9Y68ciUs7wZ3wPtObxVj1 LiqAlD5Ff7BhEBaEWYy/P2Im9XkRzxdmwxcjzg/Zktjqtxx4ICWGXVMd7sFuVzY9BVmcnMHdU2Fr OQy/NnOP6CZF7iEZffw5nY65mNtmDx96S+doK5UGJAXpABI38x4w5nLgweB1CUkHM06sGd3V4Z6j KsSQRT94m21b8a/G29FW/kvDxBmWXu+2Oen/utH4UW0o+HmGIp8LjUKrX+cYyeqluOvwEOuWIcci 6hj1KutBqaHtVBKVNZ/HxVuUxgL7u0uzE1O7FbZAVzD+k/56KfEPyLLhtrz6jNv9GisDbY4mJH9s mdwVrcktps7+fTYb3qlGzyG0XLIjqzxadTDm2KDYtsSt58G0EWFsbcaMNjsbqhYa7VaSUVazwLcK qJV2CphOM861PJBYzL2Hvf2jwikQmTnV5ZQv7nV8377WWlmy2AbkgcrGrZrsTvvxD8ba/fcWXWZI kYtg+/5ql+Ed0dnk38ePIZ3yOe86lYtNj0yNrw5rUqbIRMf+fgUO2FlE20tUo6LlAgfGcNM+vM3g OGCnkoCHLW0XMfVWWiWHpmgeJvWQR40Fgt/rPdMXmE+jlBN6B61g1HrLfwJEX7G6Djlj/j3fP8L/ PaxBx8XyyRKJZWtrdiCadxx/yARFh6Px38O85G+wBYpWnQINNmaAZLkz5Fw7kv82z4Z23QzXQO8l nDB99qL6YFnOhmWU5d0YyWWaiwUCl6sZiKfQYlzAT8EbWVd9aBF5jRxRlPcjHPx9C190HfjFCaMu 47rRDDem7TJjZdr+KMnDI+v1+Kdr2Xais17ADR9aJfdrF5h01D0+71uXQXiXE2XNjNHMIhX1O5Pl 1qLiRl9BuJXe7FN5lFhgnFQd6y2vBjtXCabWTW5IbVPzIiLYNtXwqYqJwhGD3aP4zzwKfjLdxzi6 SOd/TGQ9Mwn/pBv3G1i+narsBmc0+bryoQx5mD+ik2PT/cZUIT1qcZEIopYkEQ82qWTKuVF4ARcD Msl7pPlEbwdqGdj9n3/9NZQaE5SdMgWDaSI68MwvY/4/rh233s87B1mlkTThRLBCcyjPyd4FP0JE XkAPzIZ8h3ea0p80N7uAKBedCrIuA3IWybQKkvDKkxZptaAgvgyo72yAPqfEpwzlCBMJ5FKvAgGY +TyA2bMKRLDsVoQ2CvYUDpaTbKaMy2UkgaZOumZD0t6XWxypdJRfYAPayP95vxViimZ1WXXsON8a SlkqFTOzo6+Ip7b8cmqscd+5xAE6Qb3hGlDX2mw04VBqOVhZ1W/8fzUyjCMsDNVjSDWJF0xlp3cd v9YURbK14oqYFWzx4/JEamjGxoJlnspKp291NcpcCDMGacM8zAHQqdAcrh6OaFg2vxodI4hP1Smp 2ZpGFyfgkwGcHyqV+CdkapKncwJlVwxAfC7Zbuts6kt+ROpn13Fv3u5AYI+3r52ZttzUUaECFDl6 +Z+GLl+nFT3fosk0rtAniyX4IstHr7ykC8ajWW2h1FvQsrjOLY27uXrb1O+W1BiuYdhNJw2pH5jT RwihQXa/4+K1cX+gpSHHm1BLTLzO3QymGljQao7GuPU/JMuedr/P2H6tDd5iE72KPxeWqhos7/gr RmxmQrwnAW/QRMcd37IrxamGiZmqAzQSKkZWQB7ZHY6+30WNbg6o/+JoAww61fNN/WWsn/o2Nhx5 iDKhQNzSGi4NzinsFlHRN0DNuZRQXb/dJJyNtLbcUyeR/teoURJSXjN5Q4ejiF1M1kHJkYtx3/0U F3Q56W6aP0cxUTcyocCZIl5yNCT/Uc+ETrFPBSbcePBiEAOs5az8+csZFVZaZ5nYBKInX83Ooh7Y gwqtPvfBXc1voarkFvzzAeRahCQE13K66vBaSQf5w8eVvpI5qUPJ+3NlUf2UAMV2FzZ1NbIpSAP9 X5enk4ju+4oHgEGA4bhrxCL+pHQcxgNYn/LhLuKQHL0gHNzP8ogQb1eE9OEwpUlShqWis4RAwZiL f+cUNkqtKOPqf7Yjh2eGwbRb5CEUAEQLhLFf41yoSE47ny2udHXx/tNRDSIbUO7m0+Si8z0hzlUq rUaiqM6+N7kwwXV7GZC/ctdTnztaxqLnm3G8NaEngi2/IWMy/ihLJYyKJlmEdqcokRTINft/6Pot ZM6IhT9W2pZvkgmHhrfmCQEwzjjrqr/68vS5oUK6FZ6t2wsjR2dF/PlDhur6wd4NwH0L6N5p/3HB UjfChsJqATMiFgE1mlEdFimFQ5jPAr1CZs3dOS17+HMr4ZC7KBB9g5cIDNBC3fawjxUXT1d9EfMN CpYdLJQGKx0hQr2bikq1Fa9v7xiMu1iRF/a4lneb1oasWYHOXxCw/TnRlYdhXKuyuIQIimMmKace OsGr9YGfo0yPLdxeXcy3yIeUnkNClveOmZ4zUPT/A67Lm0rfN3LuvX/uGqq3snqi41DbGGdrmW+h QJSm4+2dS1OQIUFIh90eaHOfR4XEzFPuzw9RikJsTuFX2wlwvuidpB5Hnm4OtOYstl5xtmayS6jH VVV4fipidje7eFEPW7LtaBhpvYKgZ8ObtyijKhzHAF9ju+9OPHMD37435fga/u1f8+UI2Pce5TJn uvwwWJHCBaHEcz6rBpwvMJitE/eMKMew8guu33Q/x6A1ztzlmD0kWk6KXvjCti+BjRvbhUo+pGVY uCIqII/ydxZqZ0r2p4uTeWdxEch8yjxOHOxkcUG4n4iDleGPCHOQ7+aVAaPQJ70z9eqNkiZVfcLd 25Xa3xqkhIqYDJ9OwtBtsAzZiN4lpwzqpRbaCau3bN2EkKj0kLnh+Tq2RCZfp+1OYZT6Vjkb4cEF 5H9LIaTnkdKpTh28mVjUr3/kh1vr8Wc1LUNxKm+HHnNPeq/AMT0q9PpZCDGVNyukGvc0iXg09Xr4 YkwgkZHgoBiBEcGzgdKJ+vd4VMXMzSU0F+THXWhb5ZUNIzWQfiPX/sgDOfzeJ1TasMDdZ1Hjr4j5 7SljFS/NGzLuAKjDSWUPeMP4q/ul8+EHgFD6iCTLK6uRmRIkZL+D6w3auIpgBy4JGhY6JxCcgQku VzclpsuMu0ciLXpT1zg1QHbEx1UHFAahefJVcF6SQKvVn/6gMot3rLautyxHH+Jn9JtayRaucN2J 2OnWNS8LL9ZcfZ+z6R4YYWoht+YTHVJPNNHie//wvSFUeyjyK6ViFzIHnoGINc9aLhHp5U2UGN9E 9+HVrCaFrhM19leaSg1YN3QrQhkIz5ZM5nBjybr1TGPCVbeLnyUHwFM8mp081yWuickhoWlacEG3 OCL61u4R60Nu0bAIND0g2+F/vab4YnurQpu5JJAvRLkXY40LSDoFTL2V7ipHRF2n9BGMk1nku+wx nEuhhb/0PH/4jGhT3c3JuYevf4Le+ib7cAIjTKqBQtUt+0h//bPegc8f6LFTdLWwBXqfX9T7u8XJ sY2laodoI3F5I/HvSxV4C0Ygi5EWIegqeGUdAbHJq7VAE8LehD4BngOE12xQM1C4mJsPP8j7VxJE YP20pk8wz8hbmji6p7ggCjUTnOzlJI/y8N+qaDejf53YX4gt8q3eSuXVnvfXkZIk82jWXlnLcslj aUQB/E6QfWqCQSLZ4foMwoyacjuvu2Wce9mrAHpX1i9gjmzdA6S5JOF970zEJsmwN5BoB/Ht8/v4 hh7+w0TFjwwVySJyROzRqqJ+mgeAXkYoK54UUCwU3a6q5JWY67Q4EFLT6e9/NUBjbwPQY31K3ATV UOyFVYn9bpg7R9tTOaTVxyBp1QhhBFKo/RHQhMx5f1SiJesO4NlrKK75MPzLCiH4xtC7Akr0Oxe8 LHvjkubKHmb4/jGAROUFm4912xe9NTHVYN2FDd2lT/8z1cvjW7XMuq6GYSSsLqsOzPjFgAfiOYAz tR8bUZOPHmCkWCQ3Ke9Bl5prZjWV0J7fOY6bee+N2MxBetHRLTttu7eyOGbHe19x1nKgcxG2jsGi jYq/rUcL3aC4KUjWHetRS9nfY9abTYo6hlPbPQPE0RPJYU/1X4elsJ/U8/TiJIkwhFwRgFhdqLZ0 IuiPzcXCKhTGZRLXIYAOPpbKPiIfUVJO516/X51N9+u8CvkRTUheYF9L62/4QYyVaVuFGlClI8yN PL+i2mfJStv+LgxJC7CEaTxFmw3QNfCSBrFMzQjkJ8ewVNb9INOztStO01D8sJRK+ZPsrcBmOm7G VBUqT7vtx495fiKW0zpPGWao/LHEOY576OmscEQEhrn57PqO8mgl2HIQMXOwuZIbRz/LshjC5WwJ zKRYyyWV+3B76SZG14SGNJ5oeS2vmpaawGxTu6d/kruEcKO24JBo2w0TtH2TISQvOBiNcyvAUOte XXnXw/P59Zjw4UiZ7XDiSh2vwcQ51i68zLOZbPNip33ZdJL6M7LALocPhxnxlbkw9KVz2FLaydVn WhwXzJY+DRLaPBLPWPuGjrNoqjrf0IcsDPA1W306E4BHA/sr1O8V4NiCVxNq8L4S7VzceAenxYPv xC1ZilvHQlxeVp98QP617k4GQKdwO2MabGM5+nAUWfRrr4GCA17Kdf74JzKoLKBjTcZz3CVTt5/A txMMB4eGOeEhGPb/Ma9yMvpqMEjNcO3YodEHdK4+NQe6y57mG74G4nCSYSnnGS0+ZE1EedcYzF+i SGXj4U6cu/+jFESu+7QAbB1HiDgzJMDd5fD1jl0Mbm/Hi5Ol5gGL4EWt2j0AJoZXLxpU3K7BbvDY EvhjhKy42/7K1c/EV9KS8JZdCG8GdZSXCxCDk5Gvu1IIAE8rw8kZ4oLKRGDd+Xb589ScLCRfNMgl rxvGGHLcaLuMnXy4J21bJKN8DHOhzIqDxKRQv+5aGVYjLPQGvOri+W9qYZXFYR8xTXKIYUVQDPRN tlc+2AbaPmVZ1ZA5rZPUEviDZNn5zj/hJZg+ZAJxMb6cBF+PZ3U73rlR1J5p8DlscI/xZ8LEupmo eRACjT59NGgSsITv1MyvV8U6ZFUbG6iPypTF5C3+bNBokhHzZTqm5TND2OPQ/0U6pEUa49l9DVHd BVC2q6qWjBmW/7jr+z/jjTUyBbrhA0i37vHPHaOj2XAPUxJauBPQq4xdgP1ofEF9B+G3Mipgf1v1 ETzhganJB+tswwH5Z5fOlPl1ri7CvtvGYkfMqVrxfkP2M6vbEujv4f/qcfxWbmhtTXMgmzjvYnsm ayfBHGXRJiEHV0Wg9CBKUm9L3XCECt9qc/gtQ1tYMstm6dc9ikRDP/5XJcowcABfrX4D2d1rHJ5L IRDWU9BJnS0SUWNtb/OSYNYExF/3cOUpYEWszodnsk9o8MpjdmAVcrFqKPHhUzEKyCKQ0X+OnOD5 0CYQZz0JRhgkwn42N+aMb+ff6Y0Xz3PNs+E3w8coAJ2UxFbnFp64I8sgYwOBqkdLx1nQBkI7gJwC zwnXPoOdveFUnebTobtSjUh464w8RYnduK4Hw3nwTcX5mav3KWjc7FtxgWwRXMy7+0+NMIFGdPRV vV9Fm+VTlZDY4iE6/+UPMBTBMegl1pqw4XWMgerWiR89ufsaOBqO+PGJpiyQ739P+sh3aPQhCdqT 45a4P/oYjdHbIxPW51TYt5xmx+r/8491N+ifAyh+cIv2cOKrD9UH/bvHzhGkEwfPGLX/O9YucWMS 8OZBrlPsbHtk9NihIJQdNHl8DhHHBi2/y9KC0IgHe0I+bIe3v3spo+xPFhoc9OxzbIIaKEoU+nJb Q0fMsEYqc/0CwNLVANX4KRPXX8B+ThTQ4tdJV8Og3PP2mTsv9145ELfOLtsZf6CHQU6tW3KLQi0W d1ex0IueIAFvdxKfbdJMaUFr9lHz028UL1sLbTWVTAozfG5Be/Kbz3fzkof+Whhyv2r4kttzU0kQ JD22VLGVLLIAbEvg76OCXHkntR6P7ZNRkGwNR0lTIP1W/EyLDfrQrsOAPKvpDQFC/a9ihcLeFQsp VZHXOBujU2T8yV1m954ohwh1Puylc3Ro0nWPxbC72VlUNgASkHtwAP3LkG4MVHd8IWSDieMgrL1E B9+V0hZWxWk5G/2aLNj5rsZfBV7kJiIGIYUVRJPriEsAgDKFGh72PqcCaovwTVzOJhIQog1W+E8X Cf5kQ1/txm4Yy6VQ+t143repGu2m3BZo0nl7GR3SyFLZ7VBLKDKzHggDkOwNwdQhdvxLL3F90dbB Yk5uNtyEgAVuyej4latVuA9PqGVRtTjgus4mSct9NSK0S5taCulWPZRioKGYlqm+AT0wLBGkaBPx /se1sC+z02+pZkb+ele2W0/ckiqlG5krhWO63aEAfaFXwYz+11CAQDUQEvnnJHGED2zygouZhyKl h8t4mknWOJWzUsPOEtjBIsg60bazobf3Ph5qNm+TQFQlJxmAS5h0Bx2WRjFEdX6CovDaFd9ADn/O 9KUXdJGJnTR4IrXWKD/dFvUf/WlirLgBQFdrI81FAHEgQ6edYxm9EPGrB+EE6ayMWE06KKZpZitp rBIvsiJ9ZDbgqyjrGSpb9df5wagJqMZUp6sx6er9XP7wNGFyVVpyFGITjyqUjSLgvbTRXyD4yWQ2 fV2qGUSinOlx2wEaeQ8zqWDzWU2WwoOJ6TSh6Xi/QqicmBF/hQl9bbn1VrzbgfCLPw5GkkuJCPhL koaRt8SYWr/b9rTjCxXY+Dg9EvO0NCtiEz9TpjyJkuy87FgurSTHg7ouDUKSUhep0upZa8u5EpS0 EyTBWxL/xSSDrcw69vdIJrssXhuZTXfXrm28Xg2h/rn8yhCU/sUBAAjIc2ycplGc1bpx3tD884eH sZveInqGtvqmIMjTSCQoS+xsIxi4BLizy1YnkcBtIKgTIXNc2fB6GKKW3/X2XQH24NE+pN1H4nyw PrXPAYThLdS0BONQ7cTWLseevudH+sBUAVB6oiRBGgpFjbYKzIq7nyAqswePjY+oN3VB3NMTXb7t sczeTIA0uqCsyp3aaQX66R4bwYH08tSqjIL+RVRvQj8YP45YPOPt9Pr8zwCWIqzS88Lk9K0D6IpS Tsk5Cc2cf02UBojCpEUDhx0eKe14lTKNXRFpyL0gWYAYNzx7wvh1XpihlswViFo4Q1yU9r5EXV28 mGZMl4XGH0A0xJxcqZOJvkSBev1IE0cKHjOOT9RIeJblCO+/5RUoA1DhLfos9EZaYU4if3wGu5/l m2SuzFG1/IPx8fldzPfVk0r5EzZG9GaDsaTXLoWm9RK2IJw3TtPmMFJ84M+DjA6DKhmNpgxFDAin 4+khnF5e39RmVAldFuRUnti5XqkcqVHL/PeNAzmK5+XCDGUuURRpONXpitQb8FbDta4+KqiBP+fX 3dBAgWYWT+PuXBShExWW2HZohy+4HW/IRJUhGOG+C5HEuX6ebGji/zRF4bn+/QmleOYFtO54eda9 apFmdKneFWq1WGTHn8+0Lhk9O1/iwJf5yPUnWTwRJ86V8IRIZZpQEf8Qo5SFMpK2s/xEHaCNlLc+ j1Xrjbbjz+ovNFbyZkl/JuhAJqzPJz1PN46rH4sbBvxYvx3aatjXmffP3zQSkCV4XRcDzMEsdS3t AWsqFY/9pC1wc9IdDQBMsiKxWx0V6PIMc3PY4hZl/I3tc+o/dDW7YZPUSTfmNYwWbhFkxZ1vbXbq SPlinfyiy2GUWdfGnsmodGcC96RuVhu0B4md+AOpytH9gppHRbHcEI6BA6Do6urOe2dUZr5ujbMn d5oJCLo/hzjFHFfQPWNW8wQJDwBlhpeijlPy48nIgrMk0B6PEstE+fi2GRBciXqCQ2P0oK3Ay6gU dz8VWie6HKfyF2SCMwKRgDRFlPO1nGY3uPrA8F1Ep3Uh4qHmpJDrzZiLtDmLMfLU3s58/wKt8ily mpUmhCievM6Y572fsfifgbJMHWRpr7lngXcdNn9Hh4i8b0RM4LhTrG7U7hUX5FPpijhuv2rZlpXL IzebPkxrYQLL7cfPAoh2v8w4Paz+LhRVBn5+2eyj+ANZPtw5Wqsgrl742xzCMSPXrAw0JbEmglnb z5Dc7I4EDxHhZmkOFn0rsa3Q7GmxDemx1JTYgxzu/6MXUrdqiYdvShoWA5fCmXCZlEoXQmkFVtyC v95BvAuJQTK4ZAhQ/S2UDNz+ypHos7Ny2gbgdC4kXU2oFU/q9EMyN/67nMFbfQIb8sTFzHxRGxSQ LJoR/sz8dYXN/u6Y7sF9Ou57cvAj+yQsBKfx6cU3TOzDhFN4UjR1RVFkIwhJvAzJFFQQOvr4onFq ug2LLDlIyGdV+wgURD0I0oSkoU4/V7FFeB89oNyCGhqdiuXLEqoUhhXz2wLilYQsyjHC2Pq6V0TV XtaRkeSoAvENyFYq1qP9Gf3anVsnCd8dE+L09ItOn5OE4i0cKB9cZAMLFWbvDUfAhf4Le1ghTZni 2b68P135hSHkn5j1ApVoi+ZBuaWo1gRm+b/mQUMGJ7YM5vIUeZYrNGlNsH/Nm1w24eauIZ4ma4X/ mNGw51UNifdv60YNY5ZtOztljISdjeysJr4M6LBN2qgE `protect end_protected
gpl-2.0
ce58c2b02390ba677bea84bbe0eb5300
0.952116
1.81626
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Combined[old]/ProjLab01.vhd
1
13,895
---------------------------------------------------------------------------------- -- Company: -- Engineer: Rob Mushrall -- Timothy Doucette Jr -- Christopher Parks -- -- Create Date: 15:43:26 03/25/2016 -- Design Name: -- Module Name: ProjLab01 - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use work.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; entity ProjLab01 is Port ( CLK : in STD_LOGIC; RST : in STD_LOGIC; --instruction : in STD_LOGIC_VECTOR (15 downto 0); ALU_OUT : out STD_LOGIC_VECTOR (15 downto 0); DST_ADR : out STD_LOGIC_VECTOR (15 downto 0); STORE_DATA : out STD_LOGIC_VECTOR (15 downto 0); CCR : out STD_LOGIC_VECTOR (3 downto 0)); end ProjLab01; architecture Structural of ProjLab01 is signal OP1, OP2, OP3, OP4 : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); signal RA1, RA2, RA3 : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); signal RA4 : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '1'); signal RB1, RB2, RB3, RB4 : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); signal PC0, PC1, PC2, PC3, PC4 : STD_LOGIC_VECTOR (4 downto 0) := (OTHERS => '0'); signal IMM1, IMM2, IMM3 : STD_LOGIC_VECTOR (7 downto 0) := (OTHERS => '0'); signal GLOBAL_EN : STD_LOGIC := '1'; -- Determines whether things are enabled (allowed to operate) signal IMM_SEL : STD_LOGIC := '0'; -- Determines selection between immediate data and RB signal PC_EN, PC_INC : STD_LOGIC := '1'; -- Program counter enable signal PC_RST : STD_LOGIC := '0'; signal INST_EN : STD_LOGIC := '1'; -- Enables instruction memory signal RD_EN, WR_EN : STD_LOGIC := '0'; -- Enables the register bank to read, write signal OPR1, OPR2, OPRB :STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); -- From reg bank to RA and RB data registers signal OPIN : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); signal RAIN : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); signal RBIN : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); signal IMMIN : STD_LOGIC_VECTOR (7 downto 0) := (OTHERS => '0'); signal IMSEL : STD_LOGIC := '0'; signal OP1_SEL, OP2_SEL : STD_LOGIC_VECTOR (1 downto 0):= (OTHERS => '0'); -- Selector for data contention signal ALU_RESULT : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); -- Latched Result of ALU signal ALU_VAL : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); -- Result direct from ALU signal ALU_OUT_FLAGS : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); -- flags output from ALU signal ALU_FLAGS : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); -- latched flags from ALU signal RA_IN, RB_IN : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); -- Values to go to DC Muxes signal RA_OUT, RB_OUT : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); -- Values from DC muxes to ALU signal ALU_DC1, ALU_DC2: STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); -- Data contention ALU values signal RA_DC1, RA_DC2: STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '1'); -- Data contention RA values signal RB_DC1, RB_DC2: STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '1'); -- Data contention RB values signal DATARD_EN, DATAWR_EN: STD_LOGIC := '0'; -- Enable reading or writing to/from Data Memory signal SH_DAT, EX_ADR2, EX_ADR : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); -- Shadow Register data lines begin ALU_OUT <= ALU_RESULT; CCR <= ALU_FLAGS; -------- Debugging I/O -------- --------------------------------- --ALU_OUT <= "000" & RA4 & RB4 & PC4; --ALU_RESULT; --STORE_DATA <= "000" & IMSEL & OP4 & IMM3; --OPIN <= instruction(15 downto 12); --RAIN <= instruction(11 downto 8); --RBIN <= instruction(7 downto 4); --IMMIN <= instruction (7 downto 0); -------- ALU -------- ----------------------- ALU_UNIT : entity work.ALU_Toplevel port map(RA => RA_OUT, RB => RB_OUT, OP => OP3, CLK => CLK, ALU_OUT => ALU_VAL, SREG => ALU_OUT_FLAGS, LDST_DAT => STORE_DATA, LDST_ADR => DST_ADR); -------- Fetch -------- ------------------------- Fetch_UNIT : entity work.Instruction_Memory_TL port map( CLK => CLK, RST => RST, RA => RAIN, RB => RBIN, OP => OPIN, IMM => IMMIN); -------- External Memory -------- ----------------------------------- EX_Mem_ADDER : entity work.Shadow_IMM_Add port map(SHADOW => SH_DAT, IMM => IMM2(3 downto 0), EX_ADDR => EX_ADR2); Shadow_Registers_UNIT : entity work.Shadow_Reg port map(RAddr => RB1(3 downto 2), CLK => CLK, RST => RST, -- R : in STD_LOGIC; -- W : in STD_LOGIC; RAout => SH_DAT); EX_MEMORY : entity work.EX_MEM port map(clka => CLK, wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(13 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) -------- Control Units -------- --------------------------------- -- DISPTCH : entity work.Dispatch port map(CLK => CLK, -- (in) -- OPC => OP2, -- (in) -- RA => RA2, -- (in) -- RB => RB2, -- (in) -- RA4 => RA4, -- (in) -- IMM_SEL => IMM_SEL, -- (out) -- DC1 => DC2_1, -- (out) -- DC2 => DC2_2); -- Dispatch control unit (out) -- FETCH : entity work.Fetch_CTL port map(CLK => CLK, -- (in) -- EN => GLOBAL_EN, -- (in) -- RST => PC_RST, -- (out) -- INC => PC_INC, -- (out) -- PC_EN => PC_EN, -- (out) -- INST_EN => INST_EN); -- Fetch control unit (out) REGCTL : entity work.REG_CTL port map(CLK => CLK, -- (in) OPC => OP1, -- (in) OPC4 => OP4, -- (in) RD_EN => RD_EN, -- (out) WR_EN => WR_EN); -- Register control unit (out) DCCTL : entity work.DC_CTL port map(CLK => CLK, -- (in) RA => RA3, -- (in) RB => RB3, RA0 => RA4, -- RB0 => RB4, RA1 => RA_DC1, RA2 => RA_DC2, -- RB1 => RB_DC1, -- RB2 => RB_DC2, OPC => OP3, -- (in) OP1_SEL => OP1_SEL, -- (out) OP2_SEL => OP2_SEL); -- Data contention (out) DATA_CTL : entity work.DATA_CTL port map(CLK => CLK, EN => GLOBAL_EN, OP => OP3, RD_EN => DATARD_EN, WR_EN => DATAWR_EN); IMSELECT : entity work.IMSEL port map(OP => OP2, SEL_IM => IMSEL); -------- Pipeline Registers -------- -------------------------------------- ----> Stage One <---- OP1_Reg: entity work.PipelineRegisters generic map( dataWidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => OPIN, Dout => OP1); RA1_Reg: entity work.PipelineRegisters generic map( dataWidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => RAIN, Dout => RA1); RB1_Reg: entity work.PipelineRegisters generic map( dataWidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => RBIN, Dout => RB1); IMM1_Reg: entity work.PipelineRegisters generic map( dataWidth => 8) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => IMMIN, Dout => IMM1); PC1_Reg: entity work.PipelineRegisters generic map( dataWidth => 5) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => PC0, Dout => PC1); ----> Stage Two <---- OP2_Reg: entity work.PipelineRegisters generic map( dataWidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => OP1, Dout => OP2); RA2ADR_Reg: entity work.PipelineRegisters generic map( dataWidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => RA1, Dout => RA2); RB2ADR_Reg: entity work.PipelineRegisters generic map( dataWidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => RB1, Dout => RB2); OPR0_Reg: entity work.PipelineRegisters generic map( dataWidth => 8) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => IMM1, Dout => IMM2); -- OPR1_Reg: entity work.PipelineRegisters -- generic map( dataWidth => 16) -- port map( Clk => CLK, -- Ena => GLOBAL_EN, -- Rst => RST, -- Din => F2OPR1, -- Dout => S3OPR1); -- OPR2_Reg: entity work.PipelineRegisters -- generic map( dataWidth => 16) -- port map( Clk => CLK, -- Ena => GLOBAL_EN, -- Rst => RST, -- Din => F2OPR2, -- Dout => S3OPR2); PC2_Reg: entity work.PipelineRegisters generic map( dataWidth => 5) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => PC1, Dout => PC2); ----> Stage Three <---- RA3ADR_Reg: entity work.PipelineRegisters generic map( dataWidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => RA2, Dout => RA3); RB3ADR_Reg: entity work.PipelineRegisters generic map( dataWidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => RB2, Dout => RB3); PC3_Reg: entity work.PipelineRegisters generic map( dataWidth => 5) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => PC2, Dout => PC3); OP3_Reg: entity work.PipelineRegisters generic map( datawidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => OP2, Dout => OP3); RA_DATA: entity work.PipelineRegisters generic map( datawidth => 16) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => OPR1, Dout => RA_IN); RB_DATA: entity work.PipelineRegisters generic map( datawidth => 16) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => OPRB, Dout => RB_IN); EX_MEM_Adr: entity work.PipelineRegisters generic map( datawidth => 16) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => EX_ADR2, Dout => EX_ADR); ----> Stage Four <---- RA4ADR_Reg: entity work.PipelineRegisters generic map( dataWidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => RA3, Dout => RA4); RB4ADR_Reg: entity work.PipelineRegisters generic map( dataWidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => RB3, Dout => RB4); PC4_Reg: entity work.PipelineRegisters generic map( dataWidth => 5) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => PC3, Dout => PC4); ALU_OUT_Reg: entity work.PipelineRegisters generic map( dataWidth => 16) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => ALU_VAL, Dout => ALU_RESULT); ALU_FLAGS_Reg: entity work.PipelineRegisters generic map( dataWidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => ALU_OUT_FLAGS, Dout => ALU_FLAGS); OP4_Reg: entity work.PipelineRegisters generic map( dataWidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => OP3, Dout => OP4); ----> DC Stage 1 <---- ALU_OUT1_Reg: entity work.PipelineRegisters generic map( dataWidth => 16) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => ALU_RESULT, Dout => ALU_DC1); RA_DC1_Reg: entity work.PipelineRegisters generic map( dataWidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => RA4, Dout => RA_DC1); RB_DC1_Reg: entity work.PipelineRegisters generic map( dataWidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => RB4, Dout => RB_DC1); ----> DC Stage 2 <---- ALU_OUT2_Reg: entity work.PipelineRegisters generic map( dataWidth => 16) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => ALU_DC1, Dout => ALU_DC2); RA_DC2_Reg: entity work.PipelineRegisters generic map( dataWidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => RA_DC1, Dout => RA_DC2); RB_DC2_Reg: entity work.PipelineRegisters generic map( dataWidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => RB_DC1, Dout => RB_DC2); -------- Immediate Select Mux -------- ---------------------------------------- with IMSEL select OPRB <= x"00" & IMM2 when '1', OPR2 when OTHERS; -------- Memory Entities -------- ----------------------------------- ProgCounter: entity work.programCounter generic map(PCWIDTH => 5) port map( CLK => CLK, EN => PC_EN, RST => RST, INSADR => PC0); RegisterBank_Unit: entity work.RegisterBank port map( RAddr => RA1, RBddr => RB1, RWddr => RA4, DATAIN => ALU_RESULT, clk => CLK, R => RD_EN, W => WR_EN, RAout => OPR1, RBout => OPR2); -------- Data Contention Handler -------- ------------------------------------------- with OP1_SEL select RA_OUT <= ALU_RESULT when "01", ALU_DC1 when "10", ALU_DC2 when "11", RA_IN when OTHERS; with OP2_SEL select RB_OUT <= ALU_RESUlt when "01", ALU_DC1 when "10", ALU_DC2 when "11", RB_IN when OTHERS; end Structural;
gpl-3.0
4abfc4c2b03892c13122e446fc091168
0.52688
2.843258
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xfft_v9_0/hdl/half_sincos_tw_table.vhd
3
55,738
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block P6pYzAEPmvSVXcBQhLlJwPl7ZgAtGAWfErHJEXfqbFLVmKaYHXLhI9XRxImoFkp/HeivyGzDiPBa LbxoyhmvLA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block MCQ5ROEC/nEKXKVmitBCShjDORpLb+q/rcs779ST14xxUALqvTW1H6w63pV6rRBhN7a4/HpmpHYB oWl+8f+k75sDpduBuxq6aCSfWngxxIXc6x1ykEjE/WYM74+Okh9oGkscjfSKQHVEgmXBeIaPOdWH R/clUsb8Yi2qE8pYpxI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block T3Ff1X/1GJHLfE38VG7SGh3Ml6zWneAZKmRtzuNSO1NWwa5O67OoVGLEtBQsWOdHo5hCW8t1eKsy puUH8b8r1rrvW58wkARLq0wWI1s0m4Fql3JUjA8sqD90VZxn+cSIiCzc3SrTN7swuIDjmwpSN+gY yG7LRPIZjzSuJ7S4Qfo6Ftt+W2AjdMJyJZzjP41pzP8rhEn+AsNO52FUIA6k9BH+sPJ+rIKZfaAe ZzNzh+c4P2LwBlBZxuIKi9o9F6WRDpqAtgsmUNFYQqpHp+ktQjdBeDHm5Qxn5DYWBI+AR/t+E92H nvpXUwJOPkrTGqpCaGxSUVcjkcS3ttcQ6tJbFA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block mFwyhs7hTCh6LzmZi/XWaGffOwJ4iZkwZWTLGiipVt6MYfTJGEvjZ6piuy1uucPPEUaZwBH9knZj Orx5GFdzBqqdI0o+V67HP7KgDvcoJKat/Xvo207EDcfe4tmq2cKV0SNIGr3LbxlttSwHy26avOAp FoP1HxBUFKUDvv8KjLw= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block O1GyIvoke63EJwLRQEPVk9yhYKonMPRW3gXuXpMKjJEaHc1NdemsXR8obiNVf/r4GNOE+B8VQX55 VgEE+tB6ElDcoUL4VcxzO22kzXtvjjoVGt91UUZT33a6RnC4tfwQQ+WGWovmRr+hAcl8iRg+w4tN w37DGQraBGrptScvC61+lkcg68bHr3937kDwbu4y67Mt46Pl339eqLUd9/EuzEf7uMxOu5F7+uX/ KumPLkmfVn41qhFnHIoVQt03PNOxv4eoEK+1tcW58zJh2tPE8jlwCxGKSs9Prmqqjn3agim7tI/p aIF5YD1ss6VUpDaiKoLVzBEUvWEskv3rgg0bhQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 39520) `protect data_block T31NACJvgg8WNHzI9pRtI4mdHrngJldJ6sjJUsKKAu0Mc3h0dNmcFpmtQUFBHbTDAzaEzgVQmOne tQytpo44oAl1YiaqFX9T7Wa1Ws1bEzkiKtXLvzj1XeIctnX53QfC6ObPC91oTyFKNZNpDdZ39A2z hGf2wo1JvYKgxTF58p7T8XXLXZknkFqBFdduNUeqsBnzqCD4+IjCzloFNH4jpXRNH/5zbB4/q93A QrEGbXqGxDxNOd2bW1W5CpRitk67vYHHy2VWkis8Td2Q5bWgeG40TdAZfTu5WB48wleOBr91CzBx ejTN+VjOi2N2hY9fAeqskIuO66EkuPScsa7GmVDX6VGfYUwsRJpUaXC64kQFXdLd8bsiYWPPJMcd obxVrmWM51DpFpWCUEFwTJHF1vBqc/Ysspp3w/ERlAVC3fRlJ2imldrgMi58uHxhHtOkse1t8cty DnmVb6SXKyBuZs+96+XMnXaEOgMBW0USf85TN5pTBl6qmarTmehO7dWURCpJxsm/eLUAQTT61EJp qoMjPVtojYVehTyAQsGRk8tqntjPqy4SwjgwyG5+thfpELiNMs1Yl6AouvKyACV0TGo/Di1o7Jbw HwaHMkTpoFIUs50oPKBLvk5hyv0GHM+brSBqpDBtoYYlFoKMcyL0MYW4zvKGWSwFPPRVZYmdfhYY Df+0G3Oxg4rN69WKwRbxLgiMJ1CpuSB4/S3OhU/vdLYeXObsrAUv7ZN9vzYkowT6fvakhasFHaK7 /WjFULDpPQUSgu//gHAOc2s+uASPng/R0ikdBEBMdT+NSMEslFKX4PiWulB5OwBwVxo5JOlnRd04 /8HjQzn7icFHKqK2JL73tdcIkAZJXiHhfBVron9uBAJ00fSC50EcstkON3n3qzpkRLUY9SoV+y7L bfhJtQGQitQvw73iSatPrWR8Mqcm/r+qAjpgq3KsBXNexHDvYAqDVVh99Mv08tcgOeEIRMgIa6Km T0Pll683Tt3FAwLlKPunuaARZaqhiQZGHQu1smhoPGsxIVCf6SQ6Xat3/xgpUqukL02vrN+tAWPI ACcF8ISjFLdCUCk0C9I7fxGMlts7RVR5QWlw+e8ZE6ZJefLYr/fjxzto+ZymZrvxCU4Z5wdwGUtp vgnT/2ZuzPOW9hqYq/Zu5HHWkm+ynt0ku/F3aJHIMWaEsjZ6Db4DUsKRJeC83sGGtG5i/1te+JoA wXLY9CYFc3p7tLm885bSTdz9z926hfM5smafwyt+avJo1wig2vjJd/dFDch2A7Zo598gEEt7Vt5H YLiylOP+N6fFPFDqcGxv/FHVeneZ7CWdGa9apbX8KyJjYju3Qz9CN7xOEAwZtiNI2r8xgfvvgcNG hkS59fSxw3+W9++htGFi02XweSbRljgh5FhH4xR0jo4i5H1U9GqETPpAi6XbRUMUY3WdKRCdC4iD BCcgIn4caAVg4djkCdDbHi+AnFIyZTHyZJmDcfjzda5wWc8OnMMt/kJ4p3utORPgSEf+q2LQ0owx DprA/gKXDdEJqkfCslHlCfsS9PSFv/Eg7iJUPRaeJeJjAdbNxx07pOu3zd8VTT/NVKWTlBLO8gS+ ZgswkjsaWdcaK+8H3RaR/8C4N9WYxSafyqtE3+DG3Jo4FSHkQVUjmwl7pnJri3M/gi7uylKnDNB2 Z1w66LDeSVO4awVM3SrYRB+FobJsd/cvFpbkKBYbnYUbb8lQChxowiN14sLShRVNoF6yWGCoTQ/o VG7Ib102UUKcXsQrRox2SVqLNAKEvBUynossXmXuSyfntNuqit5Moaej2TfpDKTzeZsrUXmbAiI/ qDpYuxfL6gGZ7KepNou+VgOZS/Og6JmZLKmOce1mFXqEucExsiCqER9kwSDYqaNrtifmQnC80vDm nq4+SzFPcq+eaME0RyFUoDJgXOZaLTPO4WouD7NqzRb0aEi0nfPEKhCDQ4ZZd/CEmbG43gnDXCrj 7k4j5RJKNvxup/aYiaM4GvqUogiSC80BTY6jHJLjbNNa9SGqxY5D0ntWUiHLhInVttlaQR9g8Fqy R+9x6eh4PrGQLnBGKtOAd44RdQzQUBQFMLQzOpgkvgKENkFjABy96L3EE315HNaoyZTXIc/jkBCm NLW83SGDzXcFi3PFhw24y62rOTM48ICqiulPvEVEHi49i2gV4NFAe8KiYKksfP5TRzBcPlHpwxcb NElmcIpRzKZ9eFCDj9fJsvwXRtJaTrUAg9C6Zj2F2HMQdCHwv/yBSKNc+EVHI4LpWqrOSAkUoDFJ j/ZqRak/1hvJj4GoK4YgYWTWp2gY/x6qNfmnvFHKsqQzn7vle/8rA/yODoIdB9b1cRQgLESHwidV e6QAgnYy6Ng9tUieIfeemRjERsw6mx3LZxFFrfj/deJon/m4RArjDUiK8/PB3gPKovWaE9huVg8A Njwn0OWPxMam5xWNzyvyJs8RSGIScI8iMA1nY8lW+44Nv4S/dO9jLaKSRkxpo6LfhGioU4CyyDJv /V+csRIJpg4eSG9TwCDGd4YP+raZWnhCfrWx//KD9dLIG+lUQg7sOAeaswpql9w62pnVftJRP1zx eY5LQpFZgtouvB2A4hPGIk9AD0FuGqbmPAS1WzQNEqcQy9E8CTsxzMXwvqARjIW/qgCA/vC6pBSI XTl0AT2/FVwp/XL/by7mBwb1gTC8SN9KVs81CEhJ3XjXwv/7pi+YPWMs3JZO+XqYxbTgTmtcrK/e yubZtwQ/U78IwTdb2S938Y4SniUBkGPlP7YfI4qtMigDXmMMhfr0lYQPnNlN1cv3o1MjYQCSLtvx mBnslGacTA2ldj/U+reU5Zj625xrWyXgKUp2EkDFfFA+Geln/brx9urgBKvgRp9j1CtDSn1IdRW3 Z1b3Q7UIHquKJWklWFWr73AuHgE1ArG/8yp2Mc4Rx1vjqLKV/Qovj6eIHJRG+kqud9pfxYM5JtAY qB9j3jE+FY4rZ2NxZ2Vgx8aBWxwirW5hRr9VKH3ckf3pD4aOhXPU0Orfo4qLtyMMp5yIeR1KmRFY TcMTwwsFyq0sc2Vek/V3Wk1MnC94ZwqPd73Y2acNxeatwaefvIMYRxvYrsY4q2maGhi57KoRWGtN 8HWfYoGdRIy3apl2NwGjNERtRuKrFvuHzYmqbNJE0AJdNDyrg5dbIGCIQNYEGHRQk/902W2lJkWN FyEdRxLWLbfGauz84GoCKZqVoNSiVBX/h0sik3QDcD4Pfpq1PvJurI+jENJYuECGY6RPD4XJ2PNZ 8g9/L8ZgsIeql50yOm8AW10UJztUwDPV/XiKkaAs3K7v3eosLWhvmpQBgYw93moF+uMBZLBFgJ4M uIAxGJJyUKObCT9dkF5o2vYtWAgsBUqOd9aku+um6lIK2zJhrEJutmW67SXcrP9lY1ScfzkQpTUt 8vy4YdoLA+h46ugmCDXvCR/5uvqk2l2/eXfEeMRUx/XFmco6BShwzqZQsFWcHsEtEBcAUf/YjIFZ 06dk+/xy2kmMAA2rPtIrN5J0fGeHP5m1Nye9ynu55lAcPF+bn5FqWF5AJ0oAZeL04+eMLCh3c5xG Eft3nmCXORNf3gPNR/3koRysLw50gP8C7dryYB9aesmcUQ9uU6+9zwP8KMt1yzt6uzeDlzC3EGW3 8Qjc+a4zpwpRWz9wVvyHICxhPTyV38ifMVJXV0baPxQjH/gYFBIwsNJ3yun7+LiwQYZX6vMOtrH9 oIkafnEW827N9fOpd34rJiQQGNXllenleTSJl55YM50oWWtF7pupl5OE2UvkCRgAjZ+KqXu2CirO i7T716YiHBvMRHhkfjEPFZ4YltuKRyJ0jiILYEXuF5c1I0U3V+l+ApXCeP6Yzn9bMhmbcq35qMaa sl7hY60KCoDxwQxBSqciSWntdGRaSIZOYl0Kw7bkio7PlyNX6B4xFHUAA69VP3oBiUrxQvxNz1EB hOmqNshfzQjzWH5ZknwA49BMS/HbsK0Fjk49RPKisZVKM3RoTX5BV1fL3L17OJtAP+fNu5m9Hs6e 2pbqXu2tCKJ7DX7UGJn8FwCgsPk3PEZ33AxZVIehVTUP0aI7lYgsueCDBWsnfvowoDSk7k9TxWtr 0WD8ed5FSRyVcw7QcuGxQ0szZ3SnXUM/ybTyha8iS0To+crJwTgnulgWHFF9zk6lEY8eba7Xb/+Y 0tuFI1GZPcjZzWXfBJSOUDg47bvWAzhv+lmuTI5VepCHrTFnjkeMDM2CRZ3f0W+YDcWA/BqdYlJV kszr9cmx4WicsLojRgt2c5kCNnEB7lzp/t/gLQyFPfqjiFPc1c2A97njg3AVZVrznfnaxBXIq1xN ocKFLsVGW/6GLPPu/OT+yP35prDK6W/DoNjq2QMnpLBryowL1e3rglIeGAt8PbfvKxMVvsGc9Ls/ 7aHi4Y0JUFo/byNKJZOEiVppMjITN6uJ5QwIibWeJN7ko8V/MZyRV4xcMS0G9nEa+M7wFgit3g4u 5nbCih0FSZpFpt4yZPlrnd4HiVD4fYL104LKobRvgQ0N8v4yoxYFq7ufmkn5EyVQdklRk5lEvji+ jaiZNVCCdA6JYz3aWBO2Zt/u2ypSULLudZ4adYreSdDoX79dBh4W1RQEpM7MFKYcryz1+6NdKuV4 rNmW6mccnvR+QKGLX1BBFjIH7NiVQqAXhgyPo3cjB9T/ne13DJmm0MA/adTgZgfVqO8Y82NTr1oj jFDNr+g+zE17gY4r1BGLlO0Wc7t/RkrXqDR4skbYwZHaZ/fAhbxj6z+S/0Jpt4pYv4w2tvj0wfuI aZGSkU5gff9CiOwdQs35Wq4456Nvk2DE6iDZjVqa+2TUoxl6+nnQbRaQFryVIw+4Urrs4/l2AeMJ FSkTnEc9QIHx72rA7oTf0pRhm6bBVt4nbWdfIEIe/5l3zXeZnBS1uexa8vlDHc7vmGsrFb9LRPOk aURgS/MiRerUmlcEpMC17mLhfV7Jg2JrJLtYpscObVRezZFOqbTKH0NnDiOq2/FbL4xCtP7/i+22 RE8lF6SYonLVd43SD5jYrqkZgDMRC2wgzUoO1zewk5c9CLXOGUfeuprhiOR9TiiIoaA/1d9F/d9m /5IXrwJwk8gKyDlpwUeCvATs0ZZRKrAMT90m3hzTW1lVbIWhn8CLX3rw9qr6OsUf6HhtlykpMD18 nvYMoxtNcv24gpOaSixZGKjnhv/oHRJ0LzFD1++0FvA3qmSracAhifQx09ux+4mdFCCYpHVtlpSz hYLdvLp/OyHdNhq60F4MCLfuH99QOb2ikTMElgSwv1AAb6FQQ9IPI6knXsUVqfLE9vCNFMVUX2ci TGkUvPJa3YPpfDsZkQeBza8zJVEJ90E9HxegjJ8aZThdnuW62yzuGXaI97Qlx4Ayf6HoWz4BljMC eNW+eRkFP3JeIRHIHiWDrjrEVqNoWSZV/39uIPzH48BzW4N9laTr12gKunLbhnBYcVdqUalZARZD G7cgz1tkUdPUiStHpv71dfK5ScZyWgbxyz02vq8R5kQU4ZN7JPII7JqkAGw8f8np/NDPkvleOP/v JOzSxWrj7OxmRcEmyz1uFl0JiicMDAFRU93Iaz+WUTzR7Ndbm0T48hTq3rLHMwIDYbM1mSaAjq54 O0SNJ1ZSaU/9CLXTofPfIO18IFEcLcD8fxYNc5H5gX19Tz6PtOWgpu6Cap5301GKXKfkbfJj6oTT QhNvwR7svC0P3W+7KEnk2SP+rWWOLv9vT3nEJQpyd4cNGw1mZ6JjCERUzWZgIYaOVneIEAgJNS8b PIFQv/4r8R1uutakP8E5TijgNgH2RxKlFJdiahnGObCpG5M26DDWZiIrgLP6lhfAzxejg7rMRwBa HjPSaA9JB5fvAZiTr1sr0Ep8aWeucQRdD/uoGdth1zeC2Y0bAlv8N7Hn3XY33vSboLC+iIrWwp4p AFYkj9eacNw3csjCLOD7XGegoBrrYUUg45wW8L8T/3qL7k56DVfnu4IvyUKDt4ksjlNWkjbi6Br1 DSjQdjKcq/5XDXL9k5rH5n1BrEhRA500617RUhYq1GdGSIP5Ld8CpCSJvaEqvKCPIniyLSO3v5JK 48HkeRFD6A9wi01A3VpYhyANMXNPWfujAsPqp5/RZ9HmL26pkpBkJVAnZs5pPxg3uY79DuJAwIpi xgejRPVmY2W0wncqZ9rjNzDuCJdQRsueNXISIhbC7IH8MH2DJZstItRknZe291BZO8EgDzxPd5X8 Qv5h2reZphfmFIdXbaOrKeuBUEhmAeQZQLwamo9TmM1rizQF2Ig4yZcM/vbzGLvm+XgRsHpAJxmd VUUl2925mrXWL7x31CiVgBUQ+CDIicQIp1UMLPZHeGpLzuFk8N9K7FpAkSzeCNJmbJsZ5hqhE1sm AxImC41J+fNq9tQrNqYX7PbmRq5duCdV2Z/2TnwB4BGOxewNoOEiHVjH8Kbc7zdpf+oF8nXjSWvE JEKk0oJ0IpEwkakJSTrubuDh03FG9OCLTnp+V2Hi4o6V5ya77uu8yjH8SyrMYOAPNjyvA+zQ0XgN Jb363r4FGg133v2oF2N66NT16mKV5ouKUlhA1vW6cqYSgOckHj54ouv5EfJ0RGrS8r5aPVh0VPIO Q08FsQpspIssAGt3+uRoIP8pNTpveWBa7RR+GdIdSjE1bOqeCNox9XC2hdyFQ4z//m0vPScoQtFa FhgWaksM/+A62wZrfsNHW8KBRFS8bmp/qbT9Sbkxpd4ePjRUxVC1GqeSfEyL2jvpwlFsjoOasaxr TWzi8iMNwHvLOGGnvx0krbBR6bFqIrEzzmpHKD7w0FvPxnEgBQqdgnLIX+NCUiuNWQfoCpz87RjM opgfStO862e0HZSoXcUxbrmvjpyQ2iJkEaL1byGGlFa4pQ9UuxOus47q8goeU857r++M80BVhd50 MOgsCU9elkFMx8YBlsZGOyjGhwIlxP1uWnceGoeQSEqmZQwSVGy6NZ97OcuWaAPZm/JSw8UKP6p0 PO+nG9qRB5XmZNY0D1EUnElErINPW+yYbmHVEkMSX2fg3wVluGkxbBvMB/F7QsK9fW5RPN0AoDPR iuG015dQqdTeCFy9FuXQqQi8LWzPaXWZfHQN1sixbgSfUP7jab+Vk+CXV/LnPLMx0BurYjCqajpR CUxK7TQbC2PzLlNuVVV+jJ6Oc8Fic+Fn224+vvI3n7pMFUeLRESwGI5tHVSBZirVUOx3rtx8v7Iv QGdls5VXS/EkkI9zh+F2JI8D6D8ZZTFaLOrXXvPDRRJmhzNh6ZZt4wZjI4AKN3Ic6oqbYZrGOYql s590zCUGuaoP5pLo9+CjB9cjg1yPAUn4kSOOOyCWv9ljE2EOmVlA6Ai8FVYyN8wZ7w6Qu+aR80/p v6f5KwcGGaaqL+3uZLlUCgQkAQw+z4uyv9Gv0HtIfGoRBiM0XkM6JvmEurWCQknvw0ZrOmI5g+6r rFrgvWa5MSdabn5nZlyAfoPrw4AlUYI6ZHeNwUTZFMaqkMML9YBDL7fRZq5wCMLP2d8GwJ5LhmoN tzHtjTKfplCgUzk08p1+Mk1gYB7WRo4Ufgnc67uEC/INrFfQxAb1iPGYbOGlchJHO7I9xAyELMsX ktqwRXRWtE/n6ukWDylbv2KowzV3SQHmp0cYNwOj3jbja4FNhvTrA+II9LG4Y1buwWiY7MUZdQ60 qt0UrqSH+NmmPVo43bx88VTrigmQCrQ9qNB2Dv2sG8Svh3AKG9WAfzvBlAt0Fk+pOdSb3DhHtxyS FjmHjPR3GWiP+Ta3rhQvtd4lwd36OpKZJr1RYUYnkqT+fUSmQrrn8HCTcbsQgi6Wi+l1fEHO6jda At6vru+4/t1FPskRsHeQHhopX6TOMQOD1xljftzXcTV0SxB+8Bk4QeTe2zquh6pimdoDYnKTbkpz ycEmg72BwOgm61i/deV3Gk+1ie3ySqDlHi5FwwcHRdbHFXg4+bEqy8EIy/LOKREC/X+eh9hY0vru ejOoJVhLVjj+yp372oGKfdazk7eGWObI3Bkc4Tbliwa2V+IeoXSokwjMlXbMO8b3/8Qk9/spBec4 u/pA/Ee1C53RhERgc6jnajRMhc9oBBbYjIO7I7VelqdWOm+zfPBoYHwD1LAk74i9A/OESiJJcFuv V35owFbYxkvomLomqLuzme2vaZiGHEIl2rzqmdGiF9YXYsHluD7kyHImXzhiXFXf4P+QxDv/yZCP /vFrqPRhjH66KRe3h4xxWMc6ECecTd7bOde3pBuo5oQyIWLWECqP34hy+6E2ZMI7el7AwuW3QvEe UYnUYzvsKmkcMq2olxUc/mQMsj/aiRjzlw3UZX7mZ4zGD2W7kN3mZrHHA+I+jdVID33Ytzr4hCZJ l2Rg6T+3G0wwzZpc4LnnolI9+irjVJY+HxZUl8eNIVUwhNcizX/QNhLQI31yzscgRxX2FNCy7Umg GhGaaT8iDBEoNbfc2xtNYg4MjDWcHPumKCIDDeSp7p3czi/YqqXcEV7MimvWaPbPZ8CVaNLgTncD 6YGPp9EMChhUKegZRGU/C1vZkBFgfwFT5nR3/so5QZ+xY+QNpo27nKjNiBv3c+drkyc9vpta5pfM 4H5IbtCwKP/aSwhU1JY9A7Ijhe7tSNeASfkzVXbQpW54ICauZBquPylN+7j3YtlHA+Hg+cLdTS6x 3oZf8kLqtpghtWbKz68xaO6kM+27zIPISWPyksJGfMwMDzvKm0TrNQdMvfdXpR9+2FmKaOvzfeNi BysdCs26Ukno8sph2ywz3DFUwvLIkjg4QAJOcOtI4vSOeucc0HzdmtzyIpGdlRBzdGar1Wrejtl6 nL1Sy/3r1e6nbJJE5Kl2CseGqTvwYVW9NSp4rsBb6s6bFSvx7tdVGJmliR2XVhJCJOTA9tAQmrXr NCqQhSS8P/KRG7YrCCkDvZG8s+r8zL8sIUlCaojBDF6ZXTt04XBUAwalb2b2vTlBQiQE3+vdwgeV wv00y62bfzi97ES0soJhM6AT0gKzmGxv7W+nvwFQDyiJ+KnW1KPjywRal9amv56cQis9xomSaVaO wSEehDwxJ6EW+17eqSfH9H4w9nSBP+x1K7q7150Lp+A31UUPfrVddtYZ6IHM6AfqHoytqzYCq8y7 ETI0dowwneiE/lT0jBKxvvWKb+DpUFhe379phK28xW1s43KG4fqdIUErYGBMdLSfeUSKCS4zfzKt xFsFQNYmzG850N7bRI6UkHmnlk11HxvqaypglzQJ9UbFZyoe4SGZW9QojgvPLTF6kaaAjQryojer /RoarC7RaJNq1ct4BH+b4AZTQOgWyK2zcpwLAc1hfY11+nRYU9OF72TySIrdEOUULGiLxnTXCEeN V2UeDgSGqGdNmEplHXN9Ayzre/pEO/bUGlqUBrSUQoQk2nHyj6J0wP5EBaQvXw8M6TtQTHrYOep3 2MMun6UCvqqTD9G9WSQsMp8+gW+qT0kwrHto5AJyCfzhPXbtFuQKmXaj68P+Z6Z+s4D0UcTI4ZwD +vnnkie8i9453OGn+AV6rd9GYr6mBpCYxNdJTH16XD3CcgSrF+/J3qkGcqx+Dd4kzkB6Of7YmuQu 7792dGs9KotOYpYofJzWqO+eEXqPU+QqRKKlwGPAep79qDbGrQpgJPQwCSX1umLokEOyxKPKjSkq yvhxQO0pI8ZYMrWAo0JLOg4xCz7AWRNnnpIGxglysn+RPGCe6C5kw96UF1YE6rzgv2xPqZ9hq609 9TQ/7U8TccLeva5WKd24BO+EqiHYFmWB9lfTMpohh0iK3ulUGqZINZYG9P2hmUjmcEIr+Xpg4Ym5 dQKCeVhiJy6OIPvZh8cp71kzk5zDzrnH1BHgHWw5ax39gF+Og93OxwfKiEBRJsFqK6VuilnMLt4v 2o9/7l6gz+4U9ImIZyRZfFtquKmFlr8p3HyLUSbY6cidCwa1UceYkkH8Cr/0arz/iLvaIgI0Pffo yYHs1KXV5Q1z8ygCUreiLy0v4W55W3mNN8t0D4TfmM38GKssMOAj7NuX+0dqYlXfYtO9aFhLVXNH 89C1lO2lMJ81Lq5d6kV8zWFwiPYRbXA/JZlm6iqFhYka5Jmc40ME3aSbqo+c8xU3NQJYrWuNE5E0 94pN0LY37CVbE73l18mQNi7+5Q9oByovhnTyroddlS5o83LcqddxsPsVTHNbCosoCYt4cZQ2DpiA Qi/5dzR3jmluwE07T9jXFPYsJVnWRTJYscUFdFyHFs8qj2OuMK8B46ZBQ1ynB9LFdaSpzTNaJEvf evwOT0U2wdNw5ny/NMKJSoOM2z/NushSSzi0HiQct9zIScR0xFA3Xa01QiKW6+MSJK9Ti6KJYula 6941eZz82lj/9LTMVsWe+P5XLxbuPr5ZPK3VVRM3wSSkU+eFjWtKD4BdQN4r4ZfQXUWiyAUZUGcc 9rPAWCW8GbqOKFS2VkUe9s+x0oEylKaFsxB7jZ47QOg6CVYRrUwwMtAc5aqe7n3owOhBtgqul/vu mSPCbus666IkIo7gDYicFamrCZg3TPrsFkptfvCFh1f1ADD8Qi6u79RofHzvWuBQjVLH3DbKiNur cnzQ8lmI8AJy8aCc7fx/6lySfQMpkiYH9krRnwKR3NQalQCx1xoaZcfOJ2Y+78dE0JZQ++1qY3hG ufirbNqggvUqECq8a0+lr+mpGZVOIW/jlRDtYDd63RsqN/+iIDAxpfjib/s1lswpn5MDe1ScNwJJ k5TDoXZtn8qJjfKVtFxMAdDC/QClsFCJp8C+xlCLUpRSrL/VwBwEmN1bc7Et09tJ4Is2nnOwGSDa IHxRLmQ6Y4D2VwOBdMo4ipXrlUVzwauy7zEyi5RMWaJ45YIoBm6q5rXNH1jdqvBUdf33DIXABEBW q/FEMuNv7zlvfYVN4I18BMhDdE+OCONc5CtJHWuXBFpe1xCgPqWp/ReOhXx9x8PlYJQmbG3wHQGx +KybUAkrFY5xZAlBh9/BlkKiMuAXsvVS/yG6z5ONa05F8wYLrQOLn2AAYe6yO7GcjqMqzY14Qb4k i7l5L0Hg7lvkezPSMP6W5ReZRVkG+tAgzTXVbY7cWr0cKLcvLW/pv/8xGoaqkqyaIBIwEzaOL7FE uLypgGDqK0hm4inK/anLPP9n02wPV9pZQ1EK49snT7OyIUB/Cd3v0gssHq0xciBwb7l59kmwZzQH EUEdQ9g7CkAStoHZiOYHHsFkW6uvltTMGd1TX26rm5njIuEdEC5cR5T7L+NP7qBGqffNZorZgMU9 WW5FCwi6lbPH1E7OtimXZr5izxPADufoYs1O6sCnBMpbTI5kvY3ivp8QW2jXLn+tuc/hnSk2J/Eb zkgYBbPocSxMojX291UX/fArcwTlig84hphmOFa19u29kHthK8GaRJSrTxHsvwe40CdVEVlsmhfb 5l6cNpgTU+quDKlWlww8nKF90LGVAJP/u1laA+/ObMqwdGS79FxM/uUaPujCQk8dQZir0vzqLWgO jmuUxP0P22b/YCtfhVP9EifRasyb+0+736pMg6eS0tbfcWY4soJFzwfiVxgOkxj52aBAc6VYAAZd 7QD46CVTgOl/goI6gRZUgoPR8DG+yBNF+2U4tOAgCCTjtp5DmZM9wUPMO+hiVBsY0DfXZF4aEDyq XICrwpMArod6E2Vaeksckwl7f6Rl5aJq245p5284qcuu6N128Y3v+rLXuCCxG7M2Rky37Orq7S81 iS6aZkl7xT3amRQwl3TA/Hmy2Zey886cCwZDYE8I4l2Kq5rXxhVNPV3pJTp2tmfMp5WWG9gzfAnh Bpiih1Bai6quSzFjWVY5h9VKIO2gNMIovzkynHamMA0OfIFLEcrYylk1dCSPaVPSiTvWEmabq3L+ miEXrE8gve1rWxPi0XWs4Wi5bREHlSV8JmajAxSC0Zx5SpjdHVnDCQUHmBP0rfcw9t2QEwVnwow8 QvBnzG9sSdVAtTqKufkyOQjsoIFbQzTAUN0lcVceOw2aVsh6Rnur3LqvDsiO74wUIemDENvQlCYd 6SdOyioA9zSNbS1dVsn1PPHW6mAtX2idg8+MMpWxLEoQlvN6GyW2gX9b4lrj6fDqlecM04EUa4xv IxU4cfrFug0xzNDw+ypJblrbUakcwgkYNcoMDZ9DU+oSJUdeqbILg6Rzvr5JHXT1urBi8ss4BoHG zZhZQm+/h/y27QjKM2f2eOlQfgRs+IwkArYwdLHapQ6G2echMXAJDzxWCvaTDhicAwAQA2x4YROJ pV4IgIGTTVnVhJ5uG4zr8Mm+dHB3p9PHYSdfajPvNdosABr7XFpyW8uPqXL8YOHUrqA9AeDifq5a x8geW5YrEA8NBcefTcna4ZtGHq7KOoQcslSd6Z1VNKxo+PmOkj0wJPjGKxyvC7tpun2qLt+7tJ2w XH38kVIh94zR/v4jOqddEjIGWyUlqtRpUk1mFWARICybcIZiw6wKCq+N+xti1O3BdYpGpTOMAEZA 5xUVhD8PfpFO4vcQn0bRaKz5J7cBNfI6yK+mTlRifVMQFfKnqduqCJrb7rSVSlq48hyZQoSqCweq PaRvzBbSMoTJKO5sCowmW/f41GeEwDSNwc8UH5j0VBlGht7+VfcM7BTE9r67TJcv1xO6Tj5n51Uz zx3YptLNTwhVxyQ4yM8+iHqUrPDVWvsLYYMWsFdXf/R/NsQ8vQbF7uyNtEDbQBUXJXO17sBicjeR NaXHSiFFzD6iKTiF8zxdzj+y2XzSgSMm/ZvjxCCofUT/NuCZe8CK1edyWGU5R/bphdhE2qUo8iLl AB9ZeUmV5tr7r2jFlhlkQhm7Qs9f8LLBcq/S7klLmv2t67bsg5zEXHYRTTcqUVarAMEy4Ol9VLlH VLUd5l/yfeZqqyWQnDXrA9EQOOWE/n/Yn4EbohUYsM4XVrcnyrxnNRc7DMSjBpTD0EKttlg0niQR 8J85JN6yypbx7w9gv+TjKo5jSdncpKTbgW4uhx0vU2lP1sepC9hJ9yY7/anMJSrt3uTPaV0gSoea 964WV0o0iBf50RgCPbmxFXMOG8uqOB7hqKJ0Ww86vxYZ+NK610ldmf+z0YCNg26mN4C01LN228vk w7ruYpJrUxs2Oh7m5mLLkz80Zb6++hvsBO5HrGuRq6b8wxBzC7C9eRTSYeYKgHT2Ah6y6HYzpre5 FMW3Rt9KQWGdNXFEcYl4W0SAne5G000a0V1/TsZyU8p2WPWFf5u/jCdw2tJg2wSlxRzIJVFGeGVv 97YM3f5xfXNDGI40zPqbiCB6ewLQgrssR7Q/GamD9uWJjC+a/tA2TbJPwRDRNAAaqdd7O2WYyVCL Vb8U/pYQYT2vwetHQN2xPKhQIm9ujqRbLNTCt8iPo0o62IlttNLyMyBJWOq30RXgOvL68kOwrzU+ J3Mr9IA6QDljYD5/umX5oQwfExiONUmCALwb+eQRFN2o4B8b9v2IUA/nzGPqJ0haD/HAW1Ts4dO3 sIY903/VADc80qLpLH9sTJzgWF7hxNMXWHBEKf+Id5RtvDlSXQTG25n0SjDm2wly6WkfaJpAox+T T3G+cDqTzfxmSIk0pkMB15/+8apuL90yHGuVchO3/XkLxSR66gZiMB5KvjxHAfYw2QzGOAXnSOxc wWtldR80YEk0NNbbxmjTN5V8X5DJ+UlME87Ft0Qeq1AgbhNrYZuYVZBKKr9xv38OUaFvhEvEQ9GS QLLX4AfnYS1gn1y/HvLHOBPvtBeg14hUOXokv1XOepkfiYcXrzi2faLyRswecDo/GdZ89OGTWZf9 2Xx+LE8IRkFtV4rWqqTmsQn9AaW3tB76gKv/X0dS2nPEI3Hh1FODJ14TTCqcTMaO2dvIuecxmMIV eJLESOi3gXeZIJCLD4u++Ews14mnabrQN5UUBHElna+1fKDvJ+cj9xF+2A3ZuchDXNbDNJuYtcYH fHtjbbXaxdoY+dmPDnd+RHe5188Ff17eiKqvBaz/GVEOLEQ6JvfJtcnNFIAxxTTpvndXd6OziLyd um8HpxnJ/zFdY91B1kms66KGLqRYefAUe1enAhNXVOVgYWh8mlUQJgigsx1VVrgRnTgB79ZNkgYs b8JSgeubGBChzpzNllOooQVIvsQMP66XAr6vt3ewHp/NSqzWvG8yOOinBQZlwvdq4r2vPNmHaLho g7MOPbL5grPhfw6J+afJjBgGfoF9qOKQ3Sne5s+fu/7OLHWywH444T7gQydeM/jFU8iSOW7SvbRi Fs2SGa/JOXpUGZR7nBl0PPvrCYgKrTIIHeySm/k4OPCUx8tgOPxFXJYIzj2+KiOZkOTl++XG2PJ6 QT59U7Hu7iUsEkvrK4u72Gx6vkF7B8rYIBcRPYm29ib6xHFYVF1BBtCtUCnfeXlwwKPM8a31U9mK Bo50PmMoWkpPrRbDcgyipx/DfH0Adig669/GOwdI4n4rtHKoJaV7xanX0NoZazf1UJwdzC04jmja 2PE74ZlP+0sBLsYX9WZhhGFvrdDTdnjxYTCbCycSCHc2lpEBzCeAWIx9fMJQ/1rPitE1Vhey01ek iTgtuREdSlMZc6ZbJ8ye3UkIBf8afS5uU3amfX+fGT5Bc1sLeP8EeGP+4bO9XTwYEhW/TCOZOKSE ywYfvkFwBITzgaJVVurSagbmFbh7OTk+BO6tSQn2p/1e2drgfKaf1MMiWDGKwNpQfj2oDRf7iesp JnzRt2hDMMpuOt3EP3vembkYUcShJqIKqcmmTwBRjvJ78YW7LRGLXwRShYhwz8H43COGrQMcMu1K Ykw/ESQWVEBFJII0AdeFCWPQ8S1oX2zqGAWGAKXuhPjtoxfMIv6ZMJvJFZYUX1LWrCxaIQhVKbXk yntM8eTA/boGDLQC9OlLLZl6KhIE0C/FGCjlQL6XfJd7pi0KVLA+nvOpyjNYvhSli/flErfRtX6d hgFm4quAiVMvbt8UpHMafrZqfkoX3sPsBjl7QH2sYszIzYOWUM6huum/auoBFCa2lV+mbhfbk8fD En4384UNNr7vzFLvcE9ksTqB38FkUhxVM7n/XrG6TnNwB6V8LcXe+dRORSsumi6iqC/vjIOpjI1X UZNhvdO9dJMVjYTvBPwWL45GgmjtdQuA2JeECMHBYLNUzoUO3V1VuyCe3wb6HnxF4TzsfAqDi7ZG RRUNbs0urUSbXuFgO9J6N6PZrxpEbPu+o+KG4LK9ieE41v0jLuLZac1Eu6bPfBZoO87wDnarzLNj bH1Vx4REl/wFB83Q77vfgGwYferqOdWPheRXMekzpR408J51Qg3HVqQ7HWQY7YwV95D/AMnHR98f b/FSI8msYCSu0L3LhYvV0CIscE235EbBoEoipYQ0wcjtjpUFb0M+R/S45SHYlsaxeIJEYJmIA6cO LUTNPzaoe1poY2pgAozOLD80QT9MegqMajJKRwu0xf4eDGTg7bwnAjRIeTXuAO2Bb6UO8DFPWuih 97nLgWyBTz+OaL3ta99DmYld++Gtq8Qxg4WZ1BnKBpnB3JRwgFOiEpqLYwRb4WA0zNWU74LCONXF LMJ+OpDqnoAZZmViQcyYPvwo4cdxpRIR0EtvP+t566ycEGW13L/pDRA9CUs8xtxzxjcHVK9m0d2m TBa/vsDapVtlIMXaASw0PYNZu2pDRJMUwBI8yH7utGlklqf+nKo7VjttgwxQJmGmwtKXkOKykrX8 DJgCgDp5ioVf90+90zied3vGl/W9fYGFTkBdvu3v4XXOxqcb3Wc1yM49QB+YLEcNf5gsvmPT1n+3 a3whN+/UcitFfbAo/EbUf6nuZEyrJ3hEIW3na/DtBzDSS79x60GuFLBHbpi4HRzfeZ9JUkw4oK2m cTvhYWHr5xjNqrSvcuTxdQSWTuPhdP703TqlHCJw2mJcho9xrLMGgF+xWnoxqgin+qzNugHdbnbr hbwOOHLAyd+sRYq31+F9o8kk3zsQYrnB0fKC81mKo6o9MCKHFwjgLaOXdwFJwc/CykX6oypK8Tcw GHzNrdO/39fkj7xrI/zlnVv5EiDfy5B7Y7WO9Dc0P9/hd7eRnWarfLFwB31GcmDUBpTeRUcZ1vXk lEJze5+3IDSRe/D3MC6CwiNuyvmT35UppiA38QUTid+dF4i+8AhEsCm+BHBtqCnawe7Mua+2Ebuu 7xHcAvqlHW/yHeHL4MpQnPpBESs2KZFQEIHOROrxsiER/IzKGc8BLcA8uTNQR9EMf9QdaJrMjPfC 7Iejn1JygJkdIv7Sa6PxQvlRzJb1vE1v24uNJNzPX0mt1rtVq5Cgb0rJ8AoSYbPiCBhH0nFX4nOK +6COImzny524BmToSCjwsznhKDvfYt7LjgWt0bFhR0vSAtHAdZLS3rAAGzMvHVN1/oq2tqIzq5c9 neowckiywzZS1Y5WuE43yk9rL5+ry6UMaJNWkXPlv92f0TpKRv1ApKu2M5yz03nvw7ZKtnitJsVa HHxrjVT6X36ZCJgstr+rnHgLfFDfxyxbbd6+hwFCP0LOBbu7IWbEx4H063Wh7mEGKRkTTfS7nW+q DcAKCVxoZJkCUDNzjPWoa/JaU6Ef0Xa1VwFMtTyhWqKYIhL72MdtdBmiduXWhVWHyIcYN5qz0IKg +kv0RhHQEl/2DiEHstamaLyXA5Kf1/DX1es53S2h6cF6BrXEHaQf30kCdtI0FXSD4tkTw2q5Zeru swBG5P8pvm0/VSc5nhROvvzjwN+OPghjcikvxBnIc1ChkEyxnmK/RZluZJX3fSKhhsJ7rGiQHs8I yE9mSMfg0OdIQ7kWLtyCuccURkUYXv4DAOTgYFsMzrH62XlvilhvQBNB7db/53bREiemcRtQZCB6 ROXdaiFFLug8NUcSnpo8Vm8ssHgaV8iLAqFac0mZ6Mr7uuh3Lt37ptaMrdgtiWu2bQ1X/ecTipWt V+TaMRUUS6aRO8CwbP9g6sIpB1ztnDHWhMTeNCTLQedRWmf8IMdc83fDA8xj4wNr++wGKGC6SvDs Q3TdfK9h1bPj4gBYoXiqd7ZQL+e/bUWk78n5uCj7oXZSq39ybbJskXCccyrD/5k7oROfeG6YTEKk pZEslCajSQR6NK3dy7/st2xUNusj1wcKH+cr3QZlLdxcg+Ly/L/B0+qrz2L6+3HOM83mnK1d3sV8 fxQ6lfzqOcgvnVBu9miKVwplof76r6T94mU4n0XBtFZANU3qRjn4xYAoujbdCf3Fl6m2MHI1ZwpF gVuZVV42LXrDLJV4RCSPYkv67kemOo100Rw4wQmvrLYDzOkVNjwvmiKCQb7zG0Ktd+LnkaViULt3 kwSE6zf6aXgSC6YjD72ROBIR59qHtuym4MMclHHOuduTsRgv9kvwyVOH4l40SueceCdzQNOj1O1W 7E0Sqc2aQXXKmDqVZWG4WxTJD2xZw2PgP+HkVO9LcfxXzmfKk1LBzd5eTwllmmcEzjla34g3RJTu oaCM1fRlB2pZu0sbNyqKV2zMvLzihAXCy1RTBgsbbLHjATYsgIoXHH6OfmDxq+kEyyb5MEKZgfTZ oM9Hste5WQi4PvMJioT3M1P49veUwvEZhzW4y4By4duWoc8F2M9lzYfR8VRGYpMYYpxPOE07l/Wm 5Bqi6x3hQax1LyqLIcfxVWsD0Li1ef1qHsyLkCSp407+XOxG4FY9ZjIZEyj6dmRVLzHC6JeEo/30 Ke1KJLObheq8n2e4nJrLl+ojuMNHfs6iQ2b08oWgA7YbtTcdE9N2aYloQbLQA+OeDCADlU3ltzXo zPYAMonWygGXjMwBLzAr9w6DkazFyWmV2FVd+sSX7Ihc1HqVxbsRwB/C/iR2VXV8XeacVgHLm+NN Sdajt6B7MZHu/eljZHguhEHUnGNwZ6P1SxKcuuaPFNX2I0rfATjzNSYuExgvAtZwz4+Y7VuiBWos MaX60lkuPiubbOftSxaGQ/XKfWEUkugcNNg1TFjnrN+6SFWfba/uGdB62R9mJS2mzhF76QzxDHFr 2ssZKdO3WkMAz2Jtuh3CMSvKb07UHhOs7MivoJRHbWeG/4uYufP7OEL8CtAfoGEHwtuSdTzS6e51 v5/DPFoVXxNnlt0i4ye5IY7NdY/sccv9AN9P5sH9bXLE7jkmA9wJTgL1HXAdJ19BwxnbltIOrF/D 9RO9gZwCCBHIaXWG16b7E0LJ/bNhL2ID96mzIp+ClMuO7Gzk6wTCl07/rhIwXc/mornWghrexYOh f9pVGmo4zENCQZDESE2hGLBoBYzCYYDdp3alY+q5BOREQOUN4Ry3rnh1V6TWoPqFCsNM2rDuIiL1 FNkdVHfXAFMpnM4bXj9XcE1nbZ5C8UNFxP67D7IzLHxFcYIQ1nVM2tMf4PyoUZyOR6Qqyc8xwDti TP9le4z5mVwk0moyeM0ukInOMl8Rcihb6cRjp7tVMyq7oz5YBNEFOE5PboKRKXobaVmVOHpH62H6 zUA9c7yVWqee3IrV8OtaXJ1aKBJyWuEZECyXVLR1mPqQfEt9VtdMfx93Rb1kvG119RZoztV5LEy2 oVcA/Z7iMe8f9EBJgq/jwz2Vufkizg/XdRLUrKbb7/za/5uIV5B/QmStny6cr0Je+0hMKln54ddN IqfR+POECFvy+q5fmJcBEveSfxkOHkeNAvVIIRNoXY9FrW9WZVvsxk/iyR6YPAgSSwqgA4KBQSR8 wdIhlDM+XSjmBYW/pIfxjFVLhp0dxu38PiY04uPPzcNv7pJ+zUh9goJrWsrtPpMja1yt8tzdHH1X 2T525WbBuz0nTm2ll06RlypvJtcYychTmfpY2frrz56BVGlsIMOHeFuhfi9yzlwGXMqwDniRlXcT cSVA2ZS7E2fe3OdOk6WuQACFNz3F/8OkBTo5h24p1kcsLW6XPskUgWuyVCHFN2j6eXx0voqJ6q9q efiQeH+cKc9aZsq4VBQ2L6aUnoAcEAule3urNAg9DSS3H/k1zUNS8WEsw/7hRFb1LYnq3amX/my1 wwH9ocLqSJzjm2C2AX42NKFD8pP6VdCKO9v3kCA16zXbRuJVwamvLZ3fStLE7M4KAZZAg92GdkVT GlB7z7eO8NUKvMMC6m1qxB+4l0D4tKI/e137l6p75OJ39K5SlmLaPJL8JFF8iVG+SrzAfhdE0gzm OZh2iopvfevOXKnEfaPZceyhEH0s/Ag/GiM2JslmRonMMJjwaDhoKUsDPx8XZRJFp/j6viMrRcOk 30gCuW+gWXswdn/BjvsKcP6vGhTsYmqrqJLRRzoAlFvZ4MiU4ePr97Zkwgxa9//0V28gP6Lrs0Wz QxHvHv3LE7+roTbB0Z10nf+ptneisYSuiWfhICJKDpNRwbYX0ip0ZpwD/+XC0RMobMReZmOuOWJw 33YJ9rjw35IiVwNwyWw1vIBbw/i4+aI0BOOsuLYwRDugKUVW7Q3BPnrfUhzo6X7ZEpSByDldg1iy Xl9HSlAfQKxI+9TFBzfuObOdZ1fMq/dp5AUGxxtoCoCs5iofXCJC8BN2kjEf2WTmwjgj5oJldv6p lhSgjQzDgLCp5p9fYtXUA4ubC7MOK7Z/gz1rhSSnX1AvVidU4EVH9SPbzlq/zfRtG3t7gjY9svfg XzX5DTyCEmLcllYZxQF/DXCCy3BIYTkE7E5ppHEiSDaxGdXzUx2conpJn139022GTg+2mfBBQI/Z rS46pnUxXVO52JUGwmzPwh9yLN+LnWPY6CSSKc3AviSiMxbqZo4GAYV6ncD9vMYRihu4zlSiaqLG IK+bOKQOUAWp5KtCikQMcnlzXvJxVkRkWqWaMQ7anqqySuHHYU7/c1pKjmLTbO+iQpbrnzhXTvk8 XF4a3j4TwQxv7XZJm85F7RUbhcQhmSG4xH7iqbVlEOGxx6kj9fOzihIx3PkqkDQ81BheSdW9V4wg g72HlcCu2Kq5qN9K+Q2gZh5WA6B3ihM0/1+Jc8b/LCH2RdElfKGS+Etjmg9DBZm+CqZx2nWRCbcZ wu7J3vBtWVZzIwwXr6lagPTe1IjenJS0CsvNYTdK7GOCV3EiS1f5K/tG9nlV6GK08BZ2H6sXesqa Au0WzKaEAyQfYQIQI1E2dqpoveDJkifn3GD/Bq2u2ieHFcg6H3zYHWNKPvaQctQJllRdfPhlwUhG 8mGEZK1hM5aTV4zkWltlbXE4/fGBgd9x/M+d6U0QTCgWeqBk2TGOFl6Gea6qiS0uaZ3BciY4M2IU hptjvP+FiXWfKgZ8IDpU5s4KArXw2lIJLGArvlOT6OR1f4zVxJsYZY84VPK8ZOjQhm3F+fRVJvSU yoY+pz4jobdlBjuDW7+WePxmMvZSRMijAga825UaV8Sszh4BteeyS2L+aI1Cza/8dy3eO7EPbxhz 9tbvvWnFNgn7ZqoA6YnuamZytanL65o8PvAgo32MkBjRInvmOmp3qnk2C5lPIukiD2zeFHaSlaK7 dPPDtrlG2YWvlzwEkj7Uu9MV90w77KM6p0SRe9HCCqaTIGJvd+b0nHVmh0Ng5z+4Ch+DCV76YfV4 ihwtO6SkXDESZCjFhYwjqMh3PbG7TJhOkX72U5QZucgdo+5YxfiKoRs/H9XWibs+t1WnJrjnlyny 5w8Fq92hOOtvQX6T2CPgqLfMPXDf8eBzqXZP7FnjMoxnZ1yPb1kFCFK/n0oCg8A31mks4sAh++DA NjTvuH9u0uCmp0dyb03QWkrhYKnv1zRlXxsjKtwCtd47s+T2Atlrai5AwBAt0lftAWFSc/hXvbVe Rgx68TutJoHo1zCrRx+r42/Fd6jNlXLuoNLC8hXcuUc4DI3NwVRzvzhkeOsjni/k5iGph8ZJZ0Oz Pfl5YY5b9dqDCjfxBAbphrkELQ0p3W6OzTOcL1uvyc70+jPUJVPc9dA+h1xvI2bhTqRUlJvaSKFx vWA5ZWI4pAuj1ibZUGoGN75PshZ0/R2xISkVUUgMt23ldu2MVDckhwvWoBNoTO0wHwCiXmQF2Iu5 aK7gVMxOWFZy9Fa3Zsg9DhLEW8A5IsHUORXwwkw3+iVDl/t1vLgVisj/0WodC8UAmaRuwqydgVLw PX5mLO6npiPKQE0LP+zWb9mi96wfMAsStMRLqrcvOzVzNYHDscgSsFpliX1Dvp2C0lVGOoFLezVA Qo3G51lm1y1rhyFHo/Z2mUoNzM/+fqs+9oLq/ru79YP3DAS5apKCs005oOOzHkbSyxhyJYc0BAcp oRl3ywxlNetS7rSzvIMXzmLYfJ6wPXDkl+f7p5X7IsxOhlXW2u0RQdM9TBd21T6d0M/y23yIzdEY K7sGlzry1f5LfP0N54drmGb+UKytdMYaAeWm+TXYWnoLErjJRoVfZDq2zI40JUDR+KpJYAae/dE6 f0V8RlQ5a7UOQgtReTx0UvRRrQxa0OXHf5Tx6GlEjHERb21LFCyixMWrpiUbjSiL95Ff93XdFvDj hjbjZA2W7VmJ2QdtQ2qqv8gq89bvnAwjV/KNTE7uasi0UD3VKBj1fD49d79W/FEM1tyPLUayi9Cw q9lSX0HJgZh0L2r+2Eo0HKrZV+UEPi6RtGVlrqBiZ9trAOavytPytXFCnaV5fz+d4c7yYgjXm6MV LCpOZlDVUlzFBrHZ4a0TvG32RTm5BTNMMZXNvzUTUEXpoUG1SJ59cp+NdPI0E0O3ODHqzU0JYuAC elEkTX6wQZgIl9QRCnvesyCUEhZjemYRQrQS7QB2pFmIYlCpQh16Di6V/FYntAlJrUI/BwnobzxH OX4EW9nPB5kbnyMCMNuSRVRbrKkYzd18UPJk+VQ8LixUIq7zdseISEhDNxmtX3gWgbSDYyOvZGsU aozt3oM7r+CS4A14p7WZJknmtsq9VqEM9uESZStfJr9o0u21fY9VLOSLv1UGfk5g8Vq/5JIuQU4s aNhH/wB7c6Is0SLhf/IJiKZ+8UzmYCI1TW2EjIce4xGhMigHxdOpmG71fy6Nc3hz9frOT89h85HS XhetP/xKoSvdfteNZeYXgqAdXl05wZrxKbR10RZHS3wZgU+tuv/PM5oQgToUNsKu3iEyNHdT81c2 D8lP61JO+5TEoI5RMU3dRYqP3gG+1w1yUfrnqQazYAjxtmNQkuQWQtN2CwZHaxaCwC4zX9Ucg/9O jUhFVLTtBfYya+ta/QMHdMxnnt3r+OVBGUVdT13z3PyzlRczRLuNz2WHTrlb9mqlykwWQITWpHzF AIxXFf6HVuzLLVCupdu3u4kZrl5wtlFru95MACHUG+vZFSt9cY+Il0iBEw3/Z0//sb7IwiKKD668 OTP0TXcfeOCQzOom4erp2ekf6ITjigg24ZohGZBNWNpVwJSjoqBQ0/zM+BjReJX6fWc6G8j7QVWW W52ZIUI/52SdvMPh3bB442uzCtrB4+c3FKYChcvkrm2QMuLDdGd4wEthlDMFYY/63yT+g7/d6Qyb 5AKIn2QVoJ4tj02tdRyUExbFFI2IyRScBFD45a7NvEH995L2ayXg+NucMTSLMGmmyl47z/6Q8GRP U4YHysIRpQ9WwI0GGksGQcvSEWFwW2jJ6UVyKIzV++6gEpDl9YNKWNiz+6GLGCiKeU3YcnRB1dm5 FIY93cT8c8AX+ZxS6mtAEV1KryCQ2WiVSRKr3/vBI+oCg2nQtwg5wFwT0PzmPRtuu3/YSjtgL5nX 7tEZsFYIHQyUVF7+hQWwyWxoRKMR6VLRrbl3sXhc/1XoJEX2fDXCKA9ZjjVdtXK96amwJSku7iqr SPN83WMQ2XeWf318qSq3Qy1qAPw6jqdi3ithunnQE3mxFNm80GuBdFnuRl4WW4qG15u8kLuTkBrG qumMxxxGqM/p+i6iNUB0hdO02aiE3RNyAoPdVCnnect4Sz2PrWAfMqNHl9g4+X0gqyoiWimW/w+4 o3w6R4WVZcF77mEHHReX8QY0aC/glKG3rTrfjwcSZhZQ89Q6zt22PILKGivr9ZWY7gRcPVK3aFRj cm38RpTenQLpWE6egtcAkt/RlbZlPjK4wsBF9cuwM9Yl0abVdW0lM63THvbKnsVelBg0tFYo6n/O CNV9nZUBww/xHGCuhZYe9r+92W7PEEGXGqj0gDFAriqIgUl07xVo5Nt88YIWb4r36piq1K89h2Wa kFz4TfJmjlu3DhqXlL0Kox3GANnXo/KdUJqQ31+LgVEkClukvYUSw2hu2Shy1mZzs3c6jwbdN7Fe 0IvoeK4zX1Gl+kO1n+4Y0zAk2j2fdGiPxEgCiwDg3xQdmwCHIMjseeFpPNjoWPs1hPCYdNJgD7os oi5tgRWCUBt1OQKAcquDfj3IHXB2a9FIRrC5wR/TtFGuT4GX2iqfdCAP3mm7JKPQWOQfuiLDdqvT lkyvX9+K61ALFkZvECkvr7f+mKHVnzQrFpoi0uI/Wz0O5i82ON9uc+drm1CjaTBpC0/uobQbSeSZ ZLA92V0TWbk1Oqf3tuPlVnGhGNQZks7ztGbn2uOrJ7zZVSFVTMFu99V4JVskdOemezzGGJ4+tmnW OPQqR68miTD0cVo+ZIoKkeNRObEuLdDvUmKVrhdEgBC+yNoEBWLYvLCx1vbeN+XdnnFBiOI0BO5D 3fUorF5ygxWGykZNhwipdlZgAeK0GMW10sQOfuD2nDY36HLiTSRmpiKsx2K0Du4KiUGfc8dqchYs qY29AKA/6In/erVuxc9gCPSjCEDz/Vwes5mwzbCkL5N6cDYBUf4lrKbYfvSV0XSl2H8/nmZZ1rd+ 6jU4IzHArjYmOHUwobVT5Py9JPBX/l6RjdL405QCvDauz2Y92fEJ114MC5ax+qoh1mWSxX+sW8XE 5JaCzLWC5x8PIRwQs2YB1n6ga74au5ZiB6nTcldsqndnZerlX5PkqR/8CBLproWJwd1XQKcb2LFT yLNK0sWS6+SscOJ+SQgULjMvCa8JKrccqfrdoXnauV+/H0ET8W+j/t0VbVdO7Xtq1fgDpGYcFrny ScQdcvhmEqF3n3OsiniQYorj79cxNmg1og0Q3adh1CFC9UobPGRYXx94lWG6e5oj5Y/9vPsXQqHD EDCRne+nLvIebqgE+L07Mi4mgo02ghnMj19jPf8AO6WqyeNRB0YOLKv/8ui2cYY85oWRemya3lG4 nbH5pcy+cG77oX0iBgWLCIN9d0LFDlEaPHszJrUldYH7MbMgX2btgUO95djRabWxaXhUPmIqnOTj Z4XQqz/kFCaty10vMH0FkZbmMCUYwS8hYgDGULM4297ngJORChp4Sx7kroVaFqo7MFToc9uQmy3g 91orlW51g6OJo70+FRULIfOU/we/gBvUMhJMBhKgBDeaulZAikIv0tID4J9PlfIobrB/EKJYzF4O um+K5Z9rXom0Iam/dWDR4D+aghEQVWuWh0Ys5mKc48amyeyfBdiEVCssKvesQjf5Ed+eRj5IOO1t IyzA+XdtlY1m4gD0207y9tvrg/lNyf16JH98gJ5wmV0xO6aJqQI05lxwCUdPGVwmXyq79sf6X4ZC TNexEB2d7VPV1hsbvgtduxpLZMR4FDjrJ4uN3MfwnH5f+K9/qSauGes11lcoGGXrDnOXcl+hwqz4 FVl8B70BKoZ7FrEXSBVvFrfvVA0AELRhcnJZS2V6LxbXoJgHGw8vxcvZqxsAHdUilPH8kt+nGc1j 4zN8w0vxbCdObdDS2zJ/NXI2Ew2rfxCuzAMc7S+aiFXpsSSyMne8/1v4DGL7a64DAFeNpiCApsQl iWgPNPX0WNv5GAkOmUVzjPUNGZ7rmcWmpXYDmcpAFlKMx5M9LACV2KW91OOQAKMmG0Q+PHYwODx5 tPF7XVe7SbXxr9R5RlacsbK52c5m72nHTR+d/FSOIFFAFvL+cvVyx+OZeUhnEFDFY6XL3vTVI0jy Ejn1nx5ykp6qE9v/kIXzoHWhrf0mBVzbkWu4PL2hvWo+hBp6pm5THdA6Mo/i7VjVTWP72sNyji1u Iv5zXi3Vu+4jVuPCj2KMRs+DmROMt866kjgIwgIS3RUqmtjKryd/hiqvWxJenVG4gonVO1NjxFL7 HHMLMYcQecVEnxRqZRQRn8f8MF+tZ2bFgWfhQaK6b3V0dHhM0jAYwf60WWdh+z5Ssr0Cf1qctWUJ 2E7qs8pumd7NGHRxEqPSjjq11f8IxLNK/cQAacqUAOZGbcfKCmfWesqCEsxfvg95QKdhJ0sT//we iUWZmrWoDyVzbMINb9b6hwNFZOngdHzMtXZdXYd1TtwAdNhVGjWs4I8LsZhPMS6lpJLsC0i26y1z 6ScOreSwO3F/jq+zUdHpJcniYnzYl6HcUe6D/QP1iQd8Tg2Gs1I4uOGlonAWTvqTfG1Ps3B/+qzP 0a4wKzk4E/sQyzU1O4PrgUZD6eLqjUtt2rjlcyDTfLs3fnShEYbKLi9s33+aYDcgplnq7ZyP/fs2 1TfctaJ5sNLCU/+xBjq2YUtBsT2FOndXWZrtzRpje2/jUyRMSGoPbe1GhmHVIg0IYIrqrHnpsqZU jV6YvWnU9KR35scsosKQNPMXsJBICD2pBIyFe/4u372X00NhpYz1y4msGSE4EVTeJFZkTzY9iPtO 9JDmRhsALP0Ybau6whu734Bbl7fD8ZbdcJ7lmr9aXJmZEHmySfm37us4SHSNLTRSIfbkTcy/2rvd aT4iBpOHcowOi/NeyCUbWmHTSCSzcCtUX4BHFcdpXMEjjAmSpAm8z0vSoaoynoABSf53lc9Zs/32 YH1uz4OqwbYsSplNDTKpVO47nQL0ErnOVYGe7rkTyjVMDbcPIRrYwBwdohYVQWd6Lc8wdps08o8e n+etp/9mcroUNs//PiWWk4q1Kprb4UfZBt1Oams8rdmdpQHyOtRVGO5JNPPozaI3fhhj/whTJCHC 4IwVLl6WLOfoABNDuUE8ltTmjkMkCn+CQmSHukQFmvxMveDGMj3sftkfiEYy4jHpZA8EIJCepItW 0/lt3m//iKZcJFtrPYXP9ZdgkSojf2YxXu+AJyhg3nZ3/VIFt7ZMOuyRzvZ8lNZW7b3T3QdOvPi6 Gr2pdlaud4EvSrUZ47FRaazUDXF2n+GhY/LRGFH0Q/i5LSBetfxZ6Hti9XkQFmKMRvDFx5QHFZdN 4fYaEiFg3hTY+Lu1YN7WPXYi4KBsGzO3x3JSaPukzE7c7kBe7cIyZSPQU+vadqm1X5eLWbKYzTKb vgXiN0ZMcsZoXTrpPlzRmgXaYqcdRAvTAf/Ozw9kqTvtiwSPaYQ3em2DDDf35K4M547mUKVNVSJS JaOQlS4fE3Kfdyuizw2jEUFHJ7Nh1YzVb9nllVtMe2ENci1lXSxPvjSWfukghdvR+dS9BR0lToFl ZHFnPi97XYMaeWc2LIPsNqOxFDpRKS4T3boyI9g8OBlIinDMLv0t7I9xv7taPFImgUf8+qMbOlYF kuRAfltCBcRwyKmiSWonjvL7R9ugIV8bEE9yfTdnmJEetS7QjM8g6mK/VxUCEV09n2gN7nTwV4o/ JI8DTY9sp17rqmbZNlvm4Zm8KHzFXrI+DVhCAxhDMlIzXTF2l5w+bhyobX8T0plrJbt6KPyLs6Kp K4SIoVnaqkKqQSRj6K/+gMoFTaUoXnRdgDuqiGIvLYSPTQHGKBJ6OItynNXPyW0mFAF7Zh7dukdq FSyHwHpjIyQNx8zuDqZkrWMSa5GMYU6IuZpUC2gqkpa/pWLR71Hb9A3S9Sdx5S1A0xvsCwK4djQF 0387SXshUadL0uEgs3LnOPicGOoFGmSNSRod0gv1yXx5aEzmgKxshg+6OC7N3LERKHkwGyd1nibE hAuGw9W4pKWQRr8oCdpIMYkdWzgw78S1V7oMf1IRdY2xGBEAKlrDmqCWe6JJuHyNdBG4xumqou84 z28dMfabmzvzp1ar88pxDQFiQAfvmF2RfUYRz5Gy5dm21YbnxXUAgOn5ghHiZPb3jDSgNb5kbNTb gFD5FbOrqt1id9uaR4C2+JhppG86hjLwds88QqTExCdFR89plX9eYFIqquH3Dyguxo5q6QnUYYTT QidfndHYeMLjFp2oT7CXy0Qhidh/5rqUbFhjFTsyb0IPHKsbdP6Bq50a0uVfWC+1euA8gb48S8Ck DjfYc19SVDg0soxHRQ0lwvezCcGcR8k2bpoiQ+rUfzoQqPg4CvDAQvtLgYONo4AWksNLtKIgpxnb 4RQkGfawo+PmpMoEzMZwhzfeBBMC8ghFSqjZYu5+hnqNpVi3ctSqsw1mRKqSDVRAiF1CjD4fkvxe mxkImIqQ+cwTKleTkmOfO7q6EVOHuNtg46eLuEEkRPqzzJfhdvaAOzNqFajV5Eq4wurD8+lC3PgV eKTAE3n74EK57Liuw//KEq0TooYAzP+dbrHifz1DBPpOQav7SXvFau2Yo5XuhmjLC0uLKA4GDsZY c9IVtDYcupRN/p+22TwtMhDlyunLog7shZSHhpenGP8F/ibk5221KgT3EMo74RMOVmUrUUgPNnRI tqRPCRFNGTgtwBAhL3AzeybzTC8318vGHUnUZ5hyIX2A6kvBUizkSGaInJjw2sv6OYPQcXNezDfG LH0CI43+d3782XZAnkON/kpPa8H+aXKSR+vS4clSAUdT7HFdgp52JSBquAoWrygks2EEL5KxRV7d tn7m8NbnHQ+aGHiah+41jyv0unIhtlkfMTaqrQ51/Msr5YgpRreoiyx0xeiLoNevh7vRvSBDphdl cVseX/Z1QUTsOppzuQCftHStNROfC/jObLEVgxgmrg5NGyZaEcKVM/Jb4OZ8adKw1tjLCAjOWU+H oXW25+HjCbXYCF+8/yZRyzd03AStdRmH0uF5/VLyB7SjQD32+xZKjXMtm3B9jsReBFO18cEybH9R gnskb/ubmc4eNZBPloX/eylR589r6mnCyl7eSybn4yTPJiMaDiSArTUjgAhuu6aboina2A7iqJQF 921NdzmoVuawlUv1UAZ1plVk+lR1s4VUdUP0twV2G+jW0e8fDyfn71DbZWDan+CqeqAEn6z/tD7z lpMSsp+u62IU3oUvX0ey1+2O6osOg7hUYFaTKBI+eQkqfae0E5nplusr6Sxr7YGpEmf8d25WbkZe wp5rLwFSnuIUD7uYKviXbNveP8AOksKi4ULJ9zDITcvrlOjUqQNzgu8zdXb1ZiPduZ/6CPSVNxT0 0V4Faira8wJvi0hcYhr5WjBlVBTt/lFrPScCznY6LqQmmIlr6H03yjcOTyNL+veEqsRrVB19DdUR tINwYvxJAtzPLjmkOJSxyzRtQvgD9ZHyawKUCvm/hOvdhN7aGC4Da0GL8m50BciAFWzn84o18EJ3 ziMiSh9nrvuc9QRbCNmAyGMgK0/p5GYWKh7/kzUQCjUE9E0uaokIJ+2rlyJIbGDRZ9O4zZOhb3HX cH2b7Ud1jOT03iu4r2FGa0+q48Mm3jE40rL8ll4dPEHONAMWA38omjXKFVdYyd2kILImeoAE8XqJ qvs9j6JTloN2MwIwLf4BBZP8rtJ3PCeOwBTZpa+pYVdTF3WWQdd64EvcMuqOV7nweagaYUCwcH7O 3EHfNMxyd3jGR8mPLWbKwrIMvMlbigUnijkL5dvLuYs44wo4KAWTz4354SbbBhNZKcjIdtgHqM8f ubNMr7LCGVhW2zTrfoWadS1fjVARL5l1t0xARCwTExnFpjmVpLAqsiTvRpMEqf/WKgQbTiMGSl7C vW1f9FY6qhUgTWtUbd30NptskBD5IBksRw0yYhjc8CxGsUIqddy3DwBnAhprDLaFT5HlpMRiiB/0 OHkXUz9AXW92A0obGpcjRM13obucvuds+UnB11OOHf/GULrKAsEXiXqvInVATRuSneX98sr5pCeF WWzDWmNu5oi9yySk4/EU3lkXA3SWUHZh2ZJQRjpFn+1pBO86Ea3lPezO6VlxwDdWXK5DgOdycyqB yS1yMoKz9y1aZUVHgcUJ4rU6Y1UNxCEdKu2WLXGBLhIpLVakMaRqB+oAaVATCIjrOmCJI60Y3rzu /m9z4Qj7lzsjrvHhR4PMrRhz0IyUyYKcTrjNMpv93CuyIVX3+X7V0S2NeHbmLwp8EMdkQy9l6yTE /i7idMERA4SEO8gQDrFf+7D0akiPfeWGTx3k1zXl/Vwu2z1Iy9N6ATnw5L6O8RaakuUBXz2DRrBE 05E0SpcZpaz0vKLxS9oiN5M17C2+7liyxcXQvEPVt4k0qLPcCS+400gg9s1ySVFGi/5DTX+yn4m0 YinkXRHtYftzKoyrXcSjc7c3nGNRHEqIdGtOel5eYxx5QnY3PvSUazTMsq7FLciQaJ4SxJjkcmsi 5T3sMmKlF97GsyfWHsZ5ZqPw0JkeFeSkLE64M0CyWT0O7V3PUKaX7eI1KnSWgSQ3ogZDJ6RkEUt5 tirC/CYBIkHeJqDYNx+ied6mtJ1gyqT0HzvZxyoVkPzELViAD9Dh22Bsyy45AvypHqwgk9EarnG7 pznsTL6AWwGBnC9hveVNYMQrFb8U06XkpoNR+6QeXxhcr5vPuAl35z0MJ1/e3Di02Sa6m23uQLHE NgJehdhQSco6vzntKeJLgtiUHbcyIJgHPrpqiziN7sqegbz7IpK170TozdJPfXGeK4VeHsYKDon6 XAjCm9qIJDm/2tL+LW6R+t6a7wVxMT7OntuGmuw70zVduZZb9DPC+JoPaBefEjxchQSE44wFyagH XXsA6pQmOE5LbnlhoUg9tySKdgWgJW6ZD/U6m/ecr1E0/kQFLppTl9hgkdfdwyjtjhcwCDcoBZmT l0iHdaHoTvPsWwUSlhM1XPdycpumCP7HC/NGCPhEoPg9xQUDssUg4kaLlbA4vL+RQmfz1rTxAiox cOtxsFCV6BWh5WuUpFim67A/oUA6S0krtPNRQgnFZKxLRaqhAObgoCIXnS92YUOK88ayF/wVpzP8 1+DojzA8wpiHT08SMaYxc/TCun3B0El2SLF6lpU/Yc9efzFhMwA+4sDfLiLcOlgKzq4CXYFSLdsE umzWtaneC8JD569NUwOsCXpASndc6jF8ImgzdfZ+hRLLd1cXtLPS4Ems3Zzu1V+h+FrFd+m9LAPi dhflkmyPTNWqDIOTqzEKq2t7hMvmGtNqsSBNzN6lestO91jZ9UiuWB1LTZpwr8VJJ9V2X0B/swZi s/mCFXNaf+mUakEofOIhJINac4nWuZNt+FUab83JRKB0QjTCM3QDy7Z+/dbjpLRp9fxFTFNy0vlQ 2KoF5FwGcdj/cV1EEVn1ApI1/gmknWBEgGFq2cMp2H+y80jlxthKSS0iviFBViI4cm3Lxjq1mCuZ QvrVphYd6jLNkbp6DfoU2e2zOj30RUnbVrtHrzi9lcD2VrBrimtDkEiYxLCmMVX8fL+pdOXHQYvY y6j9w6Io1vfAgvkk4JHtxwCtbMSPGukZIzlWxlzSzz3bBru4GZgWVpLixrx6wlkl1wVMECRiAJ3Y CLt/7ZrWD0CzrDlIQt3Ir8DApK6zZms2eaFB3ZVZPTO9nR3jmZtlwuMxLhDpKrUqlofOZVb4Iqrk j7wt/Dp0VWRBdI5TD2kEwybeQMz6EqP0aIZj5QDXdRfxvgjld6+WPYDm2M7K0PK74rf3ItoX4eOl fhRW1kJC0SnJCbnF+EhD8xICzyhJDaHOOj+1tK2m9LquJ/z5uWyR0+eZuci94qb8VVY5Cvjw3R0v 3A5RIJGknpItoxFApBl1HoKGLFRvDQSwlT5dNyf3RqdNjuZsi+AAMHr2pVaDjK4f1K35vNS44xoq OSNFY3mOt18iBjofzdpKbiV67mXIw6AtlYMnqT5dRvwIYeB24WlmkaWqUyomwG/Qcn7CornQRT1f /FSGoXlyjDGOVUZEzdZh+bKtVCM1kQIKQxMT05bQADKvqA6W36xcXmwnOD9YGqbcdC6FWtPeHSxI GmsBmAV6eGaxP1hE8/TDbaqANz6ntaesbTGj1qa0YGEg4bd46PzhE0ef52IHUxSPpYMq7ut8n5Dr jleki4+D4A+t7DKBDUkquLtdCSJu+u3yIqTjUiBvIVSayEsvEcFl8njqxoRsiHU8r+dgpd5G5/1V 1xfh4K9rIGKzJqLDuE89tmQLANUl+R7mKOo4sMtvygXzNbrKNGvPSmj+VHMMNb3RasO6MxCf4a/R reZiKCSYahHfs9ogMldej+b3RUQgNR4rSFfu0+/ejLqa9T5OsLS8gjzrYFPB5GSOnVzB7EfFIFHf P26Gm1VWA/Yd+c4Xz4rKQprmR5h9dZuvilj06UQU8lO+BTovUXeZphfOq25xXSgYt/7z2oeZuldT GngpgNSQFdi2ffv1LeLLt5/YLe/FkbSim75VskCFweiAG8kVslvYhUv/NIP9UdLoQnV3TXeTmtel NERVfuKhBMEsSA8N8TGzIjvilQQ4LiTbe0eXpFRrF6zj10HibXL+KapNY/hWWWUFyYjWL1SuZ53g eSKqfyD+uszh3XAWdjx5uces4Jv8NgPhlOY2RrZrmdqLnvNpY/y3m3wEjiIAYP/CtQLZS6wxzrTI LtIfOI5zRC9BamH6j8gjjnWuYTIt2/D0VNIDP3tJLtQ04aA1CNERYmNiV/jauzCKUJ7FMOETFB6q 5G1slIBGYMyJ+7ErWEMycdtA5XeAxN7Kef8GnmRiZSoRaLqGlShwRYk2EjvWzNJNXkc8Wyma9gP7 5xLTjGpSwiiPfoiCZ+vP317u6nzP69lqCHneTPNIqTwbIX3hXjsfIWA1/5JkkXdYmIsApXLWvnDX Nh7F1qzSrFcQQleLsnoegYRlfJpDTIElX0aO+ZuYVxPqz6zoXfpryCIBi3tovBDyr2DrDqLuHTJp Ljv8gPqtzVekZTI4c+y1WMVTNBu/zdiH+hKR2tlLBYCFCFVe1yeG4IRgZja22Aq3F2wwKGAvPmrr Ls0Shu+6aohjoNGrzzio7+pupR87GwCQCU0EOX7JD8F7764R9Z1UbPE0z9B8So80yVXXiHhI2Zz8 +YZ6/zdDaqVMIuu9UHHdRDaXhvLa57piNOHCVzSl0lC4SdPMWg3NhKBKtTScku/sqYxZTjtneWhL prdhiyT4SC1E/z/R3pBpaRRnfmNY70F3fuD4IFnCTe2th/lSCT0zes5Gvs8ipVKzWLJKL6Bx3wRV 2LjTNK+omHEWIPqA4gBEhGNE61R5Psu1k4B8JE3UrEEELoPpBV+kikUiU/3sy+kdeiFqQIlYn9LQ l6lEaPxdVm0BRr15EC7vh2aCTI5Y8VLC+cMsXv6EM5Zg3NNQML2CWGcBajhxLfTK+HSGe2w1dQAQ MvQUnv0OwUybCpqGUjn+bjqyG0exJNuYoBZmAzPZwzRLiuKM/DOmpYZ/3ArhHTxqCiTIWvMmrTWa qmaeFhXslYy/eB6SbJSz8c/gpB0rtu/RhNrqKfx4OIgYvbmiWMmcnniSTIR9GI2FvZXqtM5ODegw C6verSeunGcSzIITG1iVaUCDDER6Y3gdZIrN+d/DMQ9nnvBTDBL0ZBxhNQ+3Q7dG0sOg+/ch++1M sttnDpBHxS3ZMEEDmJr6xLxqA/jn49JbEvAVb8l7UMnhNshEDUyN/JAX8jTCE/4fBJCdxDpNYiKk bCB8jOfI/N7V9CPUdfQEdyL8I6Uky3fg1kS/AzmccpSMVitqwFkPhxiwQoXwDPd3p7CPBSyqBHGT UA5m/x/zUaD8IcC3kbQsxpA+LJxEQFXsVUqQPSOFhUG+zFHbrj6B9w3WwpLpFP9Wd3yRl1FGOsEX P9p/ZdZbMJzhvTVF+91wBD68Y+n0hagrxUsQo78DtrYS3I6wrPshoX4PDgb0EwclQ4yXAp85XgiZ 6/OcBPpBfXkrHX0yT1+gYKaNxl0OQKCTt9kqILA6Rh/aC1uIjtq9LBjFVeQphRQx6gi2eiefSeQp gbfX/Y0rdnGZ6YrtO3TLD3fctMzVyKs8o3r6/NGrpLFEkYEcgPHz5fZJ2XerK3fqAthLbeihZWBV DtJcDrwyp/ok0Sx/kUKi0fhXQBPA5ViKX107iDrFq5hz9PI4p7O5AHBcfwJ0/1pYhWJ9Aw363Xxi MCxtaY2tTmDW8/tGWhV2XoUGXgqMv+YnqttZbcoOpveEF0PlOKq2Xv/1HF8KUDi3mV5RiwSJOtk0 +Dw3g0dMYgvY3FuA786w27YDTbonbEfSoUJT0M8dnCE/zZ7SIiYLBhSZDzWvsbQ90gZMImEmkiOM p0V10H/BU05lFQrB6INStuwH0u131Hpz5A/B0oqMHOwdILSNPXfTdOQbT2uHzw2mawMv9r39Qc5p bi1YExtLEC2V6MWD4KSS7kZvmKQyeM0VZXFJq1HwF6rVAKPAi1PGdfFezqYyUzT9KX6s08apx4CV YYSUhVG62LujheKiBNQlpKjSqouhLvy/chB4l9E74Fd7i4N1tK09ACkVdoo7CXCeIerevXp23iGz s35XyRQm+r6toBB8qYOcJs0k7VHHJsdapyz1VYpG7YdKM7OpmcA8vLmBWjMo/hhyvGeRZcXUCYb8 lOvZlUPHkhRPXJHllxkNIiE4xG7GBk8coauN151WwgDCTaESPrgmPy6iEnsQ+Qy8SC01nvHBZInM BFUqewvBGFt3h7Ko1wDK3y5GOGHxNggmAS7hc2IqKU5gPRuoz93AxDl59w0EMmPLoAFzm5SHvWZl c0cUEwHLAboX1yOHUO67rh2N0J8alUpkO5CEEP2aDRd0f4B+gMhZZtmqUCbKk1Spl0Y4bOAqD90S mAlRYmlXxPoc30UfFMnINgSUrHcl4yQMtCFp3DFnlDHzphVTXlJ69SlTboKFuuq9Og1y6BxrvUVP trgKN/D+8TSYTbVCPVXoSpQeRVUvFpLHQH3MI8hij9Skj3RdaZOi4mfJptLzCM0ep0Z/6sFmABjQ FSqU7bz0PE9RMNy4ujeFsg4d5PRDgFmO0HPdmP/E3DS9ST1Azmcmhdkfdp1DFNIfJyiwTyVOqCQH qZzBRd61zr51e1IoaL5O+D5FzdajCvjmPu0lT6802PzcCbRoN071fHiu6GdjOpxfVKCTHiBSCUpg 2IfAOu46PrgPAG6TTgYaE7E7bTva/cqao4LFohkp6quhhPiZ+46RVsXmlxrYZsa3+aRuxX4v3jVy 0zACBhXcr0Fx81OuX9QYLvJdwOTfmIG+l+t0PJkAWcUjKx7wpUV2U0FKkdQTDZGYuuoGd+6JGvEU QgM5EgdlPLIgOe4dlU9A2Iu4irupciyhle5+HHrtjbNLF7if3YIUnnm/Y/Uklu16hjz9MYjGFfpw 0P1A8dZrhiU7MwfuxuyD9J0dGf3w0nzgr+p+xYRMfut6fx7PIob/S66ViO4EjM7PekMFjthqpAIL L1qOKG3ijZSkqPEL7oklqBqeWuAtGHO9JO+rQeJsqnJc8aoo4Ppw30fvt6BcqTT0Atf+iCMnCp/f azEtYegWdkJ/IYl4L6PdwYD0TgefYucAmtvCa9PS+Fb2mK+lrYOKrBl3fBUWwKi3k/2V3p296WKk fY/GYgpw4CmshQpt/3aAb04FVeoRveU9QSZeedCFXVQ5EH6SkiXiUwTvSITtROd1Hvn6EHPs3Q75 4dtMwe1KC00fP7kaiVpVbrNiZ4G0SWPloFnebHkNBhNujNG0ROuGz5HaArfIl7JRvnPZwGh3UTEW Bnfs9zP4Lu+E6Ao4Njvav0aqGhVNqFef3MUrPo2e+yKs/ql6uOrThHPu2szSG+oHq0xsYrlslEgc i+TBHZLyHNDYJ0cSVBeHbHDIvxceu8qRx3uWS58nqjmAeM8xvCGZ5VFOkprqrcyXUzgqjbhOg+Us /22K00ht3gdwj7kp+uOCfz55vWLSQbrp0Y+TVajf9l1N5qPMFMnIJ8kklKrc1rilm2pUgVUC3qaR myoSQhgrniru7Y/ergyaKPEYjEPSQ2nCt2ekN0PjMuAD8vnPn8TibL8V53TTYhtSEQtnSPkRHKgK 9wUIKlaROKngiLeRfRGHOmuT3rJ0BluJ7IV+UVUjL4R9wDxn4WoGnkwIitMhybK5jDGy4xD2CRyq KPNG5jVUa7NsR6asE3t2mNxPfJ5GoDXnWV/bnGICG/av/GVTo2enAAyBVLDeoT2sBs0Dz1ihgpsc 94uKLvhteTurj+UYYreF94VFsCLG01ISP3e3ced9viu1VyjN6gKOjys0kqn+5OhlU/YXRShIjii5 Qv9ff0g3/GXUEsv7XngFKOZOj7W9IiQWJtXQPqW74HeJvxW5FgYwfsKwR4qVYoEJvYax89BUtOuX yxAD/gB+/mslqWbOzE78n8Nf56S8QrMMDrh59UNUa+1+wMhkqRrOC4jdvbxlUhP4Ngv3L7C6UpjD auGV2oIzp9nnZSETUMyH7Y7cSsiHP6qrI9sPPtxefk9gtXsoyQb1uvZx2Lk43VsGt1GTfRH719vD zqodZaAUMUmEjrBeTvCq6dyiTCSkcSkHS5D5DNDlqrNptSUOLe2J2GsFbJzUPWzrY5Fq1TFlbKFX oEUOoQePWT8zB+QAlNnzN+MD0WFEnkU+sJFOLJxzWvWwrqQd37Mo9lIQXVJJPNa3yHVBfY0WgsGu 6AGimxBNzuDb+C89OeCm6cM73ScsrrDOEI+zTq+2uQFJcS4OIoGQ3EeW4x3uOZw6vej0rq46uhof tOtpsZ+4xfYD+UpFDENleWY6oP9JZxJ/2rjGdJfc1riGr6e6MQRR87jxyb8HBCvecMLtOyNuAR4h +97rcp/z/61bnMIDcDX2HSFQ9y9fyYM17Vwu3wQs4HHpu7VgChx3ATuW99biFGYMHBRv2NiVLjPq luwT7HzOeIHxajaYahtLo197fb70Sn7p50NzAnGg7D2ZqNrgGzOqZQ3RDVHAYB3JyQ1c349d9JoJ LSeBBS1pCPuU3B44TUIDRTmbBoBV+tyf5tQtIAkRWpd5HGx5EBw8QTuXbotNW3I5KjlnWw7mDUjc DVx6f4l9vOkbe1k8olW2+COXl9WPn5zomEK2h0lFnd20fXjkanr0twv+yUwKSqk0VMuVGU57NDEu LxXGSksPfbWwSnmHzqW6dxF3oFpYyrHSxiwj3lLcVMOMGaL2nMD42uUo/m5LFw0K56sBtKD3wgze QNPx9kbUaDXa59nfz/45Go8JxHJ4220vegzFYgyyFdjDlgEvPMTwGXHr93eV+aSoFVg/cLAo1Ryn vKAxTBgHmCbfekVz+FxBDUwfefBy425fqD5mIGrKe0AuLPRGtnQRf5nonb/4zdF1c8NEW59YtJWe 1NeKej4fK16R2IGSeaUjTfOpL7BNzerqXe/22H0yvecHnXe4vg4GchkPe+z814Rjb6gWhwH3fXGj yMQBko7vkabKmLM1IvHY1i2U7Bto+DD/X8CJKW+oN1rQl3s/+M2pMouvTNe6VF1EVCfgIEcWKpP5 9+1UteSit1CLJoVXPo1ZwhdmKDlP9VZW9Sm5vxa2aT+kH03kt13cMRlM07lftgHsyIFaUlCkyjD1 GCwp9T1FpG7M9tqMsBlyvbomFxdNbT+c2X+HJtrgXyoqVUyz0Ds2Rwfp+PEJH4mOaZ97U6dmqlc6 8diy+JaXEYJdNM7nHLE2PwJU2AE99Gu+uv5YpwGpeZuxyqK+9E4PoKmKBiIwhi+7x7g/Kg2P5F9X PCZd0exLdi5K8byh5eS+RQ6WB8yK4pqx74U3m/D1Ba9Emk7BMhtq/uBurQS1teYjRATzN2rDk1bT 2LfY5WY4bSxmDQNa9a/Wxoh+p8YQPbT03T47a2PVgfgS2nkV97fTlmvRlEvM2W74LJ9ZB2PCRpMP 5wj8LTa98s/urTradQpVWuYuoMkb6obH6Un17pYZKplhTw2UWKdezi3Idu365HKSMGcl3OpJ4479 L9UlkL4JrK6MVzRBrxeBJMSaJmf6Dg6Qq80j7yLtvzp9qTDQakUqzZ4/6bbdM/DQx6y0o5OfxQDq TpRtA8qf5EtpQrQt3U7+P4pVkiuvsgrfmUsR61m/H0UY8dv30dfctaaScfmRw0HBvWTe/lXbTvFh d1oyyg/Q1/SCyQjXPzx08DxLfrCQEtBfF8VTwDJ/aJmoiswzJrkwzX/kXmxV7vsPuujbBIN+ATLj 3i4q0tm32SqrjKruUqmRWbxJjJIMK5ereIjr1H8UDM4S4NxgJve5dJ12tYJqNNJTSASdhgQ3Xs9R oe92gzXs1gzBqc5XWFCXQhoz0YQWv/vZ8gICUCwocBRJ5uARHwbRAlPXHnYJmaCySUMvQ8Mq0+af pdjpQ6l7lIJCH8AoXM9aiDxVPt8CwMasuq/ATci7tMoiHxy3PDa7KXZ35dAqCcMUV8h0b7/FBfnX YusSiyE3stSTbKVBS1rSKIZGbAbM1HrtjcYNTaZndENCzr6Lmy17xiaZgK1g5tSTCg06aQ98mWam IXyCQVZAfBGj+doCHepklRarW292LU/gpQBiJ11gORBof1L/gqIFZPGNmWlaraJ8jidbuQS2MQr7 rQttfb5n6DDobt+h5WOiGAuwQK6F494Wh7GUtDtnKqQKdBxfvBG8pa6jU1mo/1HFYIjyQ3NatTEe R/WYvL/j7uTzpqEcn05hLA26MCIMdQpI6PbbmaF1JKog7RKvLakfpRcvkKMpCtmWM71IS7hqkKXD tMXx4cmVax5mvLFhwEXj6UPoEhfXMIaLWuOOweJ0YhK8hKaMjjplBideC0Q3ZOD6DWpRPfe3BMYv VU8yB/js9w/qIEhmo5uWDAww5Tpq2cgTxFV9zvGyIpmIpDTZ3B/5eLXj8hpOri6DWiUjuf4IcPLe pB6H701zeDiHvMtnVri2OgWnoLekYSwLaV2kyBZBaFHIQhW+N8jCkDSWibWY5pJTob5j3kUl+HdD TRTmVaMQ/rC3Av93o3hoB8VpUK9O/3XqqUJsfPXgEXiuyEiM7rioJgsROEgIPTqEfXptCP2LiipO tLPhe3hU5eWSROwsR9/vDJXDQPg9A6R4dEFUoydPHemYdsb3RFfFHeAAZdmPWfzJRszg7bIoC7mE m4cQBpP5TX64Z+Aiha3ZHzb9xGy1HR60lbOslwwc627JF1DQewJjt4b7+cu8TEnF8iuHhbbjCQ7e 4OZD6yrL5jAo9Cu1fCnMjG75ZsqRH0tbvQEHXQZImREel/nfoqlxYT9PXQd8crlN9JWU+HIPpQQm hinO/BVZ2FCVKJdDV903GWAZ+BaCCeC6BsnISYNLO9kx3QFCQH47rluAtOzZ6Pa7FDK2fyWTgX8i tS/vcvGyDuwg1+UoNog4+KfLQPl2dNlr6HUzg10p4r9fmKcZqfIqPr3dLPSY18dVVEmDP/nOtfa5 PcJ0FE0V8EFRShyTTWnKW8hV7Ss6nAg7IlEYCaFZhVVwn9Lc948ntsFrireAWOZsnBiXndS/YzyA FyRJGbd9yJS4Rji2bl06pN5Kf7iqbtnIsMP6Wba9K60ZRaKLaQBzBH1b16ixv66FjYRyZoKDaq3D J4gIr8pXLZy9V4fNG7DVrgxTHYqYof0YwcctZ7C9IclSI1YHlNjTBVS6bKokDU3LHp06cN5kfs+Z 5wEI8euReEmmsZVqE0yi1dGyFYkBWSYLl1UssznInFa58cwaUiwDZdcERCsBmKf92dHHT/WztqCo AkIGgACHgYaMlFlckt+iQQvKYiBV599mazGGBJO+hvLj9osKPNpWLIMcuugi37zn5/edCotWpuA5 A5u6aesABeyqqDG/gOPyG3ybmgxs/DbyYkLPzRnrM4TOL0TPIChKI+ccMgEzjthc6FEdvtjixx6w IykJA3bq53gGUnV70ZEdgPH05DiGHJIBmevY7WpJvl0Jaez1DX2nXBpBsWsBVVmNBSwR+Oq9A74K ZcYdVc4fD42EER8wrLQmksghIpxYBqra6M+PFUjN5IzR6wxQgBQlQxXu+AJEqbcJPqHHNa+gBFfn NkvI2/Fa3GZUsrLbyU8nLJ6rw7M9jpyYMJWv0ajESSnqg3huiJsa0z+8dzFnKxAvZGH0R7xKxRJu QV/83si0jH7TRMFv312OWotcRMad6jEvqyMbUmUhiSYZsi5PJ9cW+g6ARJ6lM1Tlzs7iu2qiFkkb dgN6kvIddpVT3B3Ztho4MMJiUa3ZY0I0Xv9rSgk7jgqmwxQCvOo5Dn1jKL56N01dpLD+hkYILVat reMw3JEdSnzAcJsAWyTtEB8wZBDox7iLER30JHr80Ir0m7EUvp2T4m1P9kHviLfH6xXg9a+YXYvW Xnzpx9+xqY7NXFZd5NvG8TVLPtvBW8dkzK70CidrolgCegrDrTsfgTUjHZHBxoHoapyfL01noc8f JujiQKODpGHw9yIgLwwz14fYswVBhbtKirzAPFN/9AuKUuUdGA/qU9qhkGKtPpZ0kxqHbuDKz4/w yY846etoknTgNJnbfyiU4VrvlBsFIRiABaaEb9RzkeKRpQxdIchNno1v286mrAZQea0pI3kUGxGa VatzBIToKvYRVdHIzj5WeUYDsGv/JlHLshW0sWW72xbbR3Z51zLqjkR9I7EK5EWulkkOCd43vQiZ QgCg4XRxVmh+WXshSP3yAZYUfi+dlNZ+e/iePZmXUGHykxoGKmacR/0UmWzQmcn0jKckCX2h+n6e dPpTFVMLo2W7jZHItPKywI/rNq0hkqvIvj/99BC7QFSwEomzqbYeC6znIXCTCcebAVaob5ZViGzF fQ+Iw0OsQVrkQVPc90IvJv64JEKfFjbMp8U7DtKRTo9AMI3JJ5OPt1zowSqjR/OaIOlHEgVrZ167 T8/RgNAfF7hL07am79/9EmUIfnhSx8FipxMw93Zrr1VFCrDMFxhn9M2HE2zup9XViKioJBn7oedW KYPI4FLKMCQ2XlJnczId0DajKBMQXPIvafY6glJN/hN4JeidwtTJ4qhFXFe8lSO5Rtskd0TFiQRZ XKCYXaCRbe/Mrd4sPXw8O/Ei1A2kym5AEr0hm9GI6T6iq8dD3VTqzYDSwGoNiBGGYN3v1NLGeEdx 7csQLcXBkiH4mvYHSGvXoe239NqbLGh7z1WhZxzPjHPYFPF7/v15OeiQYoYkr83i3VqpdPLTAd5h +SCF9WdS7pFohjeOaU2GwurADtG6zkFBwVtieYztFP+P/PHhUrfa1DyI1slvgQAQavNCyysjkQ+2 Xxx/9ijwSdpv3k5iT40x9JP9rxoWJHsIDwu09JyiAQ1H6b9clfOVd8MfU4Jj30+XFhSg0sEo4xTw G+asfMdBXmMdPJmWdDTG3NHtQGlqq71QLcdMrC7tN1yqRzlXbP4hwI+BmIrn9xshE/laThExJ5gn Qdmrdy06Mdq9Lt35+mu3ZpDTpBtbR4X1WQHXK8XyEBrPQapUZ3qoDQNSGJ4a0VI8KT8vHQEALv8p Lgq4jzxLCPY98IRbOMeUAGKLyLmt4xS0xEIZDPSKriFfUMIfnxk0XYBGmHtk05AqpoqG83hXR3mo tjeY6qnqGUhg5402lZmzF54Yx8mia+QFNomA01irlrH0Cy4X4NE4Yi4Kgl2m4KTZJhzM6gkp4oDo 0AHt1YqxeSWQq176SUsm9ec7IU/l/zPiNau3K9Shl9HCBgngNIugSly8mhrHhjCvaZnfWPxdZTIk zSj0jAhFvuyiFi91BC6fZMDldpTBwUioQPotAXcK22XlOgzqd3ESuR8l8geK4i4s7WdAyJ71QTHb axzzX6cAQcIpEQk8xT4lT1SwDVCdvgo9GD5va9zmAy6s8988mnCFKEFTVtv1udl0gByt2MKd5Q7H 6AbEtcKIxn8SNiR1GLN0Eoeg4KAUJxjkHCnKKSRtm1xEchP2kssSS7AnassMTUyfuoJoXMxbUioU pVbsb8pueMdZcumIMw+oGUAKlDkbzNvIxgGICcOx/1WSmOvy93LQyLviSg+xt6eEK7stIMD5e7Xt /D9hov2opljkGzEcZnE0Foco7frA4Kzt1ynpROtXzkfCiN8UPf+rX3LJmpLJbnA6fSPC1qg/92OO t8/22xIFlEDBDozhwrsJj6jaZzq31Xm1DOGWe+ZrT63qUwZbqGOtCIqTgOTkM2xaAuL2TMfTQksp z81Cu29UYsbXy83D7mUJ7XwQ5/K/FouQOLwoqPgJLPtxkVIiVVRunM++0T2Kx2AfJwOIai6wFgVP HhPW+cAcwmg9o6b+06qZVGnThoxDzhfFtMWZVdFLhl8zZe1zjoUZbO5/1TIr6zdDtZn1yOdTKwQy jOs7dG86anCCwKsq74AozQ9+eln8YdDomFvoaweqnYQW1uJbFC8RxSiFp5tdp31jsvRHkR72iA36 HfHEOKPbrn6dB2nVsJYC8M92yb+mu/BYniDbe981aoFVMUcIHIIunxx337ze1ffh4eHCZ9vclKr4 i07QKd6ynUeh/bYAen9ByueqvOa1iQUWiGabJeUvb993PBX1ixIjd83SafRiXh5MQ1deTbdbLbeb USwypjNMdpfvLozYHqDNkYy6DFnQq6uPD2m4bAGbtGwa13GlKSNsjoI8emwJCe1fQM0LixeefeAN f4U+kVS2XjtNkfkS6flo3M7JBLCn03UvcH74NL2vSkfnU/94wxScXZXVeGLdRLN3lF0pcvcO+JN8 fI4Bi+Txi/ltwnkYvCDH5cXrPro4otdfCSsn81mQR34fzqPUQX0ZlO/2Iyu2REtwshalk/jZPFmI gFOHPvQLJb7UTjqcwyU6MZBM1g8InoRnLDUVEBFgYjTNs2HZ9W3tZF484CZ409Ek9//dliX9US+N mxrXsLQqRCP9AfiylHHuEhZy7vRYI1YR47+6Po2rO3lAj+Jb9ExnyLpVt5IxDzC77nZGOuxhgyBH uTKAdhKDmmahuWHKWfCUMMpgPZGkakk5oV6ooZb1neaLNFItzILlKVZ6j6NDbtkgEyiqzQ/KpxFi jZ/wL9pk8KuVwdyqt+36x/dDY+A7nf2sOir3yhkITHsCwReVFPV8ogJYw6unjnGmgn7s+gjJe+7t /3vEtkHwsxZTObP91dLFAGg6eLak84XoJTdu68lKVCS8c5MkfdBgF2pJVyiTG3ZcjiuVPS9XwH2X tZygs5RwDaMDE7Rk/mvyVYCd3S+YaXKVY1GsgOmO/JUVk8TCV92BF30XkOUt8XHqDCbD4BMkPdu/ snOY+ZwRhEu7fdKjugsez8e4Rs5Rr94iR/nKRKQ83PTr/PlUOcV/t9AvNdMUD55nKaTsibFxO9w8 GuMvHRGKbDdqe9KCrZDyM13ZkwehPXPPytDKRlFYhuCLg68XDQt5F6k8AnEqIPwUfRWNrS6yz0Sv nYryn72GqdGyWg2nrwHoB0I+gpPSkfprNSxPkyptxVYP+x+SNE71uXOZs+I0r/sVgX99Ao6Bjbx0 MGaEY/T0vIKqi7XASTIwVlCCJdGSSTgOI+yVBt5g/xVPzI755nPuBCkLYKr94PBGQNC1+BXsUsbv g76AeQaEL3dChUXZmAKdT74Q7OXptscl7O03tsRArVbP07boz3k6wAKyLY6W+BJulBqU5me1KAAp tv8LbPlQwxkxHYmLXFGj6k1jj2jQLWrpL3fVGHHFPLe8N0FmAyU2BtzS435HxwLHBzFDl2Ukvfl8 HmplzP8eN0OFtfcQz4Yyo06ArkA5di+e1nfyqevA2UyTgHZlvX0i3dXYCBpo+a79i8F4bAyzkEU5 eo6hzFcGD/lk5dAqIFflWCqsc3zWQU3IogmYZDvJyzL9D1EgDUaTLq+85+h3T1FERg/YhElrsIGK Hvg/PDLb9kAph+dlyCCK2TPOASgRhV1QyQ6eMPjBQW4x7qhieoehyKXjG4ZprZSSW7A3OA7U/U4r kq+YfaSchchujVpFyX3piIRLx90DG/li8RUTT4R16afU/itRqfQHVlG3WsMGu8j2N55WcyvexAFU bgw+CM0VLDAufvcx5FTuXh+gLTz4Nw/zaJ6UApQ9U1KdJI1X38emOdX80WyzgYBXmt8VhHtuNtxl 3pCw03W/xjj7Hbb1eYv3MQWSPjxC32q1pjpk8cpx90f4fP/OH+NYCG5v05koF1imicb1W/rTZT8U ZxoOpVja6QAruH7idGNJFUY+K0gQRW9oRUrmDemNulPz3BOpVFVPDPL16A0dOPWwJoPZdiOBZL4I wbP1Aklv1mqAEI9qTaegTJ5F1v6TbpqV+35uPAa9onY9/12oHASoO63ftmhEoLEIXBTpgXebGQB3 urLITBxEksEJQoaCsu+G6BgmLKM1vZgEuSLEoZ00BwFIM0Gv+XhNLqr2Lb2xRTfY4OT2AMYWoRHU MWC+xHX6eio4L8Ucl7rJrwf9wwxXplrJFiUUQ9TGCPRVrpELQhYIuDy94AWK0706mxSUgT21gAG/ 5IyiKJsHX5+lwqgBVH5X6kgyXhVpHMBxcKjv3MN+MBsGgVr2rjrftoYQ/y1T9wTMnIgG8PORz4nG v3DUvum0pslTcLIVSQEWD+jUbWNLEsJSLaCA0X8ahl527YQ6aKvI/MjCtzRY0aBT20ausY6JT6Tb AB+UsIn8NPMbVHkvOCQXr6+EH/nUbN7lwlNkf6aXiZDrsWENniEYyrhKUEvmtT1gDovRmMBT/2We Omkji62NeCy1AApzRfUjcNXaYiTdI+SwLwQAckKJE/yZ9LQG27Cxbra27+rsB/j9JYyh/9UjkYpM lxZGmASYKyp0SAW4OOg2ykpM8PkT7AfdugXG+SVvnQqav08ovmWXZM4fad33nEK9eDafKZrDJLdF yD5EA6lCT0sa5g4r8njQQ8BxCDCIb0JvqmxDEUZTXZbhHEHXvc5T4ANtQ6feWWTOYQmOeIFj0EmH iwVoLqo78c5tV7JC0kTgXoXkN2Cgrucp3tfHvsi2SQ+vEwOmltfow4NsvFucIq5WWE/Dfk01OCST N585iaceLPtOJZUTiPh580mG5CxIF6oppE19Cf6cogNckYXwE+QfMsbeMQ0kWkRMSyE0sSbB21uD 3Q7snKGVSeA9F7QpGimTb0wa1S2vXyg/VP+M4MwtnY1cvFfu3Wv3EUwOs1hYZwE3GfsBREDhfING 7lvXuqQ56ZtBjDQDBwEGiBFcNJR/nKBcl9OYlKz8JIrnazgYkUhhhvBmteSJZfW/if/MpDA2mgsj HD3AGZX07bPwqEqiCo5m0mP5FrvMXrmNMYxqL7AHbzdU0PQ9kmZYBamOKVL+xgSOzRv59iEFMRft 8kmTBQb86+dE2yKVZdff5XmFL9axDwUBuWroNwrfsKV+w3V0I7xktFeO7aLSN2AF+2Zo239abfV6 cDm5RCBu0Iv8f2lE2u5LDfrxcn0F0lZXWSIoJdaTFSRvIyp9azEbPA0O1W9cPWUZSYgNpVhh+2Zf jNwmJGw8ci3eqP6ODmzaKySwkAOuBV6UMm0k/14JMLEvwzwJqsmi43tUu7AK3OqBEqGojorJpymn xGuN334Qzg3qIiow+RiEGeiWwIGNNShZNWIHrZPfvumH8/z6PvvL30s+Wk/6jBj3wPZSKZPEJ6En A9cKzyijE+uoonRksPRDDNqJtplvDSXBc5mAWPrAnDmRj4JuI4uUzrvs8/IJY+KpYz7YiavA4c+d R1WKkcuWIVAQ8I/oFtgbpKvJRGOcg9GdxGYzvMcKAyi7rW8AavXTe5iSxXvVaQVLH7BvKy1s6EfA wqNraHiCsZ5hR6x1y/8/1qQ5VinugBTUCRCd9KIKpXIet1pUfdnTfy3KYjt6ePJVkcFuCEYW9PuQ e+nu94omkcVSY+EsirpQGsEqVsEkpbI8wl7FeDVTfdW38IGroATwh+hUNxc0ZKckWfQSlwZjqRIn AIHhkz4K/MmCyXk7uSKNra5DtbqjGdZTiGowwOQfy4bfuMXQkRZKZuq+w6qDk+8enPCSTKYC46RR rJ0UsfZzmYJKJLQyKUfIMUa95V75y8/W7smJy7f4s843FkaDNYBaJuWXV9jhjIbbQKIVo7lcZ9js JS65fzFM1FG5oWlxH1QobG+yQp4u0kmJ7jM7xqw8/9hwldcPp79ybPxRhqaPUxwS4zUJ1neb1Zwz gKtnDIN6zPxH4iz+7JL4tt8emntsY5SeZLP6NAO+bz1x3pG4M/T5qeqdrQAiYgt6JG7xrqijFeHt WpjX6GuveQyigte7H3d8xeVOFBgoeri/JYRuiTjM+jQZO/6wh1m4LZcAuIQy4PAxJ5zewKEmLF/O z+EyAsWD7oSYB2j2ILwkcEEgAIppKFcV1NUv9zvB4GAWSDaRcuxMfbfSXSFk94A/iyDfFhTu3MX0 uT0qfhPON9C68zRilZEP9DgSVbsEWhLfGOhMuhZ7kfybGh4uesRZ4w4t10GHStql2QCBsqrNWzCH +n1HK218N3CfK26C0WGYk/vSUnDPsnvqSVw57sH3KqjaDIvalog+Ol9dP2ZLK5qdP7vp69HduWvz 5EIAaN7+EP2aFnNICE5WkDQw4324VEA5JhHKYXesNdmIOtjm2VKD9t/c2Dv9rttbZ4Xh92cZpoi8 tX1BcwmgZ4HfhC/huA6s7MmiXOl8tTE5MotSwoihL+v8ZO3Yf9nYeDD2IxQIPyt6zhj4MXtonRW9 AOf5yeHoFpi0fwi+6zEglgtQPPNW0oZTEuILRA0uUvKgN8shQjAZGzFqzzBaqqAbVVMFrbFUHTXf Exzi1/2QY+09+V2Ld/aZkNl+bD8SIOqCJvW5JUNh0U4OlBVJJeaVrdCPB8PC4F1x/qOqObbfhryU lbe05kKLW09ndp64lZk3Xzcnr5X0m4Mrg4nBiXGEaBEZSGoxtAAe3WfUtccjPZqNwT3O93Wzs2Fz rNw15YxSjvrhny4z6EKUTo9aqvO2UuqFlhUeV3SYK92yE+nyMDS57bZkOvNkSyOkzqPW9sb1qI4R JibFL19YHrcAsceNZktShuhfJ5bKehFDYpVOxdlTGCinjkxuTBpRXxh0nzrHTA0o/56XAv471sSb m/r4sENI+VI150IpCBnmHb/A0Q/6s4MkGhLjr7xZZXyPopUD05E5xfJom9UWJ3gF6RE5ZGVa3Lh7 DLVpTyMuBaqr/8OxRvqg/0FonrAWA1WFyrF5jRjuoJIrREI78yAhCF3K+eXM2p/YwCT9tXxIqPq4 g7IQdJQt8Ns8pqugqVz8+0xBsf350lwXRc+onZSEgroIVAiyE++hz8NmrLAH+VwccrWaMy9IJuie dNjcEOrsLJ53oQovS3PjuBDhVLea2SvoCwX81ycYbxNbSfuzsaZ/eT3QNDXtamUB0X/Lkauw94Jt /LELmlc12LBvjT+Rjx6uhpiMoSqwBsxHp33rsFOYfe4Otc1eh5Ur00r5siCL8n/pZJ5ECMXu40WO CEkwkZkloR5v6C6mRL1s9tojh3s3WxLilsMCVp5qT30ijWn4zMbrXUKO9E/2cG2P3iTBbZhR18Sp KuANE6aDbjjmG8tmuvveh11764GdZThan+2fvTP/dGpIKkcl681UJHE+HvZj02blD3rPRS2aLLoX UKmW7v2AWK6Yyw/uKRYwh4jgvkDasvRHWudMrIKkNgin7Cuiw8OBikTGpnb1Txo0DhWOxlBigNHA +nowEDgNkNYvULDYLCw88x4ETZaTMauena5kVHleXiou4RYn0JuXA/hv1JE2Jgg0FoHXg48SPfc4 u8U5KHLfpa7g1DmldW48RQY+DSvUAM2DxuXRdeRLSzUj7OlwG90a6iAkJOaiZldikxbZcN+t3dcY XNetqQHxs9yLG1W0eQK4V+YJtAeAZGPsJyn+iqyu5a/yOgcw1C5icKMHbFZdNw6kvxYNLwY8RlVQ j3I7+lDURhEkfF5o+u8rFZjZ2Y33tEpCHYCrIZ/lMS5q5K7pFlGvfNfhzSIuVOoR8D9KFcjx9Y/+ 0PLcT+gIhPt7GGNP4RQHmeH9f0r3hEIHOfmShbKhpSIBXs/GTNTlbZWlM4zDq5ZKRDUgGz56cPo2 c+Ft4LJ+jcrynSTpyuW6XDm5pRcdDGJQA3kGKBuLM7JDASY0iYcxwLd9uwN10XaswSkX31Zsu6kW 9bPQjAz3rQCEcR0eRwZAEuGZlB5dsL5tNAGwtYda26JDPagqyct8DkW3LbPEbK4aOmV+9PQtWx5f Xv0fu6mRD318HB6+b/Opl0UasHom6obQdw78EWuGlXgODKHdU9IE/fR5V7kzpu1vCPJ63/x/S/fO pr8VEfpevDpCeOnrTX1BN5RoJ0zcH27hfgcfaITfXVksgmRXZPQR5dM9eNa3WN6an8gxD0j4ScgK 2mLLL4qU+GB3LzPq3EpPfDv1XxQrlPG3aWXnpdm7LjgaD5tQekJSizpvxAiSGw71y5Cvg4vHPUY2 CTUDYiQQ7/zcKIFNX10JspgTp6KBhcYNuyZ7zSu1kdr91gV/TJYemhhxiy73+RjlszZ0f1rqrrVE WehP7JWvJo2rlmG3WrTwS7KXaq+t3lSxOJuI+WoOd9HvN6dIu95fWkJMbcevqkkitbMIyTviORbQ 8CkhITHsfXyf+CFEmUcT6JNfvqvRNo0WVABq9ckPmd5deexYAC+S9/bvjotlnzJvQUy/88MiG5nc 8VugtT56CGOm4SwOSmo0DlS1uxrRfe03e71CiTrDzKFRqKEdqrBWafvuMvzegmDYzKGaPMmCUEr5 MwOs6ISABi5fuBWp8SF+1F+q9XzVIG0OefyqGRIV3S8MR4SB6Vr4nZCHeGNBkn6Ujxh4vI7odjkT QUnG/OFTflSp8qSlo9u1zuPjrmgYFr09qRlW4Wd4t5ChFsZH3gKwWdCY5N1b0RHF3swxFr4u8rfZ fL5boGU2k7StSbWGmfDfgDm0wuGu9obwQnRAI+ZEligzooIvt00P5S28DG+FyW7A1yPElDWmFoe6 iEUu90Wi+s4rLl1ZDRuPXtLNMNv3M/F/HGYnAKZoYgmaa2Vf0IBbDPZXL64HpHNtHtqIco5VlyNy 8BhE5AF1Untp3ygt4P25mjlSlYf4nitpAfW240d/hdK0f9+FZeNYxBBJVAYP7R3FkdELdBygscym j772L/YD+KkV9znpuObXp/NJFKPrTlBsma01GvH1HJvMjaMUYOrMQ4w/Snh2FEnSNkRN45rMi7XK fsyoJKkYHiMOR3olabnPYOrJPG7eVWewJz23bmIkI0NFK5OA3ELOjcrnIcibvUE3Vsmpe+sKBKmu N2TF07GoiE5DLOeCzINYE1joRip8Zd9t/wxF39Xj0hqaykxu+C1ysnhZ+p37kYYPfSnk6I7ofKts 0ZSSg1q8C8z0PiaGYN+tPTaH5txLPrT0djR1438D+OXVKVvsUX0jC7bFAlzkSZsYmsSOh5hvKCPS R7E6GueRF8MxtkCcGGoBXh9Kgm8tP4xlKIDEhQP765KsJy5FBLQlIKPgJGpjQOQUJGnLAblPGjCp 3JvTW6nHsiuvQiKZSbYz7nPptg4E8/rO9D7o7B6vaBzQ5IL6ppW/aLLak9D9pZLx/HmCGp3ETvbq 3yfI2Rp7d/OB8qKC9kqeNhv849iLSld65b2Pk3b+8AuPgZK2apVGloXk1CQmfwJKvra1YxNk5nbg nS5/MgsxOPT0/+kfRBqFR+DVEIBrzwZWFBsOgVmKClMWcCWAcV89JkSgYMw7jqVDc7pc41tl/uDt mqYT4QazTss/wsTTZ/U0ZEQoeZIRtMkdpGrFLN9m5UWtqcUSNYmsspPlcHxtS9DfiwF4v6FSbzAn eTeV6PjKI6rD2yQ4ztBBZyYur4e5Bp5pB1rHCkpcGAcB5bVbtOwynF/otodPyAUBAukWqsUsUS8u E+gXPbWcpvE1cO+ukiFQo6JuD/+bbRscW4AzCnTcuWMaxaw8OYwqX68A8Yz1g0iFk4M7bV3K82B4 mxzKXUgWf/LfI1ZYPvO/bEXPOzlJ4MhvcrLM+V1xleXL/Jvw8ww2Tgiz2fFNl7yLbh8jqvSx2Dlh vPStCtA0k9VIsiWGu8eO0Bn5EMHFFKafedOAIb2PsYFN9HhAT5xhzrqrfc3Z64JRphVLAEc7HkM1 lpnAV64SKVpgq0mbh3xjIRDO32dyqbpd/2FJOzDjyrZgSzVCs4pjV/E4HmQslYcScGzQvHMVJt6J 4fnxZVzzav+kUe6ZNt9TOBLeUq36XMD7+ytwpukKdQE5vaOh5JnD97LH8HECV8QxBdBONQ7WCH9W 6qchU47gI2c6NTWedro9EauyjTXdOqLmnKfGOqulKuyAhcFwqPL1XGHT2s/R0PcTjRXUNgsXYHw2 yHp7JRADTQI0IEke9YpOb9a3SsuVkT+1WU3i6D/9y7dPYdu/APwwxBidx5kHUBgUDcgZbuvc5Wsk Gbqi0RYi6zNZLvKzwhBKBTqOIoPk9B2KMrDaZfSOaOzpZKlBSVwPO/UFFb+7e9A02IPziMxvrsXp ApmUEPYRIWHYPM9NSwDmYW6x8+S09kc7cGk33z2lf5XNh/LUF4ViWBoYEQ6JXG98Tc2VMNIWvPFE uwbq6PmW1E8QyhOFfRodVp27bJuWv9uxv8aoL8wVdfC+kaZz//rMGMgJJXQNEgXRiFEehOQlS1fM XsjunIukEbr+pA9C7Ji6Til0EAtpuU3c/MLM2AtlhHq8uFsla64CkElYLML8pBF12AnQAhhQdqHb FlB7oxo2GE8dzMzXTsXmZdwRIvKzBDtzLrC0cWwZVEi9mACbPP7FKw8C8nMw3nymTD1Xy0+IgOHh CtSwITvC2FcOeoCKmIKDoXaQDEw9jYElTcbGSJgvu68YhQ2oGzBMuZH4tKKpcyAULF4bJb1QLRoR zGsrht80t+MZX/HqpVAU/f7uLuHo8kn/iBAuceobcgm3JbSDE2lFoaS0qdLtVxe9Fyc90qIvKNyz +BbusGD2Omz38yWF9VPgXcBLFKeMObf0cWxe9tvlA0W1NJBAv8s+3Aq0EokhG+iAgERjYlqVlKVC LKE2bZZStnzBT/YEQQHMpfWznRuhbNW3Nz6Br4Doj9EKo2UzTKjdM1AtG5eayy3QPVRMNU63Uz77 HjDJbvOPVyYCHqPBenJ48aaGHsx+NiKMdLB+47hOzGeSfSAcqFvJiu/ySAknCTtMGIR+9CYPGPvH 9kJ1U4RUfXWMzUpqUfQtMudykOxnxWaGR9F+2XvxjgB8ew0yK43+itPOu29/1mYw4v6VpBX/+Km5 QpKZggNgwFvZc2rB1XbRpVYjiE8csymOThjbvqblDI9hlFzAH14H31M/HIm7Nj0FlC6kPyzfhmbm OmaXpYhLMUA3puCkXm7wpV8K//u/0b7XrDNLu3lYrOdgY1bw3zMGSYvsytu8cDdL6JHJ3dyvPb2a jivcwTQdGOEo54tF9RfLCqZjmIUSm/whykF/QFSAltgofbSNDFf5FelofYXiuKBhMTIbOt2/gcZ0 0CWTkE+AiEVzaxc+Ct5M/G+2GX23YGHwjPzLTCTlW5noXy+qFsKoyt7uzNwQArZI+2LLM6hfL1I0 HyRhExo/vdhO+YpZyggBaeajAvNeIJvOC1kbKCATy4wYYC0LxwgqvUlo2DbCIPHwUsr53/3iZF1P vbkgebckSyamofsofljLWV76yLIeoBtssbPygZ8bGzjGeEpwYr82iCzUM9SRtLtmA9AgI6l4ebtd xnGH7Z+8p/F87+fZIlsOUXuxag9nFaWPkB0rrs96M4UMtmqXIGr3+zEIS0YpSx88nxMhsjc1REjM y4jz3Xuw3XX4QyGwmrWo2hMb2mDkkU9Ju8gScD47t/KJcCHQbfjBBACq++dZJvbX5r3dBv19NX+W VrZ7skDkYX46AlG9Rphzy59F3lUt+3omsQSwaI6+LUeSf/HeySOT9O8SosdceMHkuDUSYS7ChgaH AIp8xVKvRk6IgKluPpeYQQW7vK1rG38pB/6I5BQS4IN8SSyAc+3+7tqIULx+n+YFpRUtNv7A8ek4 nI2E4eHil3Ghh3VbNR8j52Qi/AAqAchRrvFIGxiq6DhgEPlxuwrwRzwBjwSA44vCy56Ap6gzwZzz /XI3beIYgdEc+nGO1KZ79btrFCz5dzkY7n2jJBUgiJuYdDv2W1dA3ZfuBGzJiDIitAuudSo8OkKf WFl0TarsDABwL+BvT20KnNg8lEb3GdvW2RVaQwPY1Ql9M15yW2I+TC1xlZ6vFIv/Y5UJX7qnsl1i xIJF0Cl+F8GvLvuyu01RAXYl8dcvjItTcbT1YP9csPJLd8ffGiwZLwjCURajGXTMjfq5y2uS3tnS itsCO8uApTVV9EWtgoDhtNi61FVCGXmsak8D/GbWMid83dD7HNxel7XZE0l6yePgkjED6/UyB53K Jn/rH1PbYWPfrHvRWD53EAxZOeWEWP+OwXkGJ1Ppz64XVFzzkYtyo0KcaVrA1XnoUvj7gjifmGFB Rdp9WI6+FvCr1yHNTcCtLskAyLIPTFho0FZQKaMYHT04pRG0EoV16QrDmHppd0o/d4eWN+aVwOYP kwIRjLE5A53HGbexrmxkw+6mcFB8O8KU66ePSfzYZhQYZFCcgeNM+JaW2Smym94fTXnFDHE7uFMu ArkFcNEbCr1cXVNXwHVa8sgiZsZ/JdfkWL0dedWGKs6KHe/8FWPPinn83GIS8Fs6aya0bpkduqvH xcdyeOs4+9T+RYhydCM5rYYxDYctduszdTLRObOgBp1eQKMG/5/UoRlP5s4dTIfwlI5pPzh/uHKy 9XyuxgWIsMCIuoXo0JZY9yQZLlSAxnPqaeTmlyCt1PTWN+Zc+U30kIHiEWgURGYWjUoBYtmFU1W5 lclV9qrZGLCJy35Pn+2LVPbMQso73M9LDjhDPEe6+UWianXwfBGiPwRNpXwOPPDRIizMXMZb0xtS KpMF8Zp5gNyzjg+mo0ocUJmCUla2tbE5O5oSH+2ib20OwvWfl3oNN24K9pWV+IDIjsm8olGjqDdZ JXT67ZC/OloFaAWINqvzPn8jNOr5HNtOrmAu1wNvhvRnHt3A0fZyamjyKn+44Wwu/Jx5UwdvywLj QPfhdHZ81+g8nyAZBNDxq7m7ZAQsFmKtT2dMnKGjY4a0Uiagw5oShyaksJdQbC/x9iOxIQ4x3qpB Si8vH9ciatDgfNsAdiDIc9VZE7TMw72fUG2XKLdX5brFW2WlJdB3U4UZuD4OwPrwFancZOj5QYmR 3RY+CmCoUrzRi+ElbEbH6rCP8GxAKulgHH5YErMCty+ZrWbTT4NGIoTO/vKeFKWJNbxAAC2wYS9y JClejKC2RkcAF7BzG7vp/0fbyza4vvzzZ8jFvoMrXHqZgNb+SSruXghAiB87wsb7QU8anwOLxQTv 6pAAM2ucxr3CVcnVwcIqTIq6EnO4gKDTJ9/UOwxC+OgRJblf+tdQ/6jg6gSzbPBg7/JKQTyaPUXw 1byJsTeJf3WAi0wsLS1shcKLjnofCeQeN1uSDVdbntUV4tO90PYOw/pLCFwGvefh1LJ0tTR3waY4 o8lYNwFTeC8GxEktXZh3jUSPh5N1qKSEw3JxKqJte6svbU6ky62eu0Kg0bQLx+BOSuY1l2B+Xkx7 6ANqHq5K2g5WfCSQ+ac5/9Jlz/Rq3TFlbDGG06JUjIZBJ7CKWy65qMdnPp8CoERRgEma2aOJenMY Wmgn6WJ+sEj5KH+fmvyNvuOGuqCtI/yjQPvswBtkfyRqD7SvcJoovpqcJaXVtPecej4VKEtY2Bp0 u+vWRQVPk1H4s5BCr+qi/GKM7bGnPa/6SVlLs53reOD/nOL/EVZwp22z1RkLgM9phSnMrN6hpUm1 DfPGeO4/eQhPYAYBV9uk7h4m+pU5SKtzGT1m1oUsF/35dVgkY6L37F3aO/CbdhfIY4kGv9+gf2rA XHe9DosZG28XVBOqDijPe+MY8WCgVAXEp7k5pAmhaYt12tcU2Ok0VbG3BerLJ4PhX6F7qqucbJDl 5UEAIIBkYHTYDx6ymQgE+D/iuQ== `protect end_protected
gpl-2.0
1823900420c0a21af7d90202e804e1d3
0.951469
1.83204
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_mult/fix_mult/fix_mult_dsp48e2_dbl.vhd
3
34,210
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block SAn++h9eYQZ7qyeU30QYxy8VN1uFCu4wEQ9jBqf+xUY6k/b3fD3Rty+ftgrDlZkBt+bXwHVQ2ikk DDBOPkMw9w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block a1p4BAOf1yNS7wwAlDhc3kSNLT2p8xLO5Egf618NrQb7uxp++A5auppUijWQZHvjAf62oCvk3PHo koLtn2S+O4YBE4/9gBZiWclSDs8w2K2YRKC70UNTT+WvpTkovOMHSPvIoSI696/QZ4WK49wTeNeN o3UgkrAPEfnlAb0T9qg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OGPsdyBE9aiuA/txJEQe3Nuvgha1TlwMmlIzcNRWrVoVyS/GzVa00eSl2Zx3SnSaIFgCCnT6dQCZ WAD8nJ+SN90kJ1vHJnWCg0uAGbICpBnY960IItSbcyEuXfHe+t6iStrq7usxWOtN7cUJVnZk6NiK BUVHOeCvmOTm8kafUT5bVh+GaHzUwPgJTsxr9vAhSLXR8PdRKdNE8D4bj1VB5GzHntBsUi36CUec BMQhYLU4sPCtF8jKRvI0Z0haYFlwymDsOToE/j2iE0hgaRNSKzfwraEOsXQg25KX69XHu2FxBroQ Au6hcIqcu45dn1Gs6cjtL18GzaOJLZbKDXLAUg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block FUr4oA/Ya7jtYAanSC1kgvDiVhzZvvddqDR42SdN0WemfTDLPMlnEC8wlAkQw5EjcfSVotBSKPmC qKNN4HNdm12G4Z+esUspSch8cbWp7Cq9bzRW6aQkxZvQxMVLAfxrZK9bKOfBkeVDiQzCR64PfF1X Ka7n9rYkMMqjAwv+idk= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block fNG90kDPwx3N6/xatDl2TgUsrZ/6jdU2YDUj8KNLcFoLCIVzOWuQUJ972sXYAvr7/Z+LsYcWN12Y i/edfau/Cv1VSDtWFyWwUt7NDtbvqiKUZX8kSRBTlPfUML7n3l2BqvJewiDT8GVjF0Tiijxx/P7W pxkanm2b5TVpyks9f+EwBgDS+pZYpRbG8PDZjX62t2PMqq3mqrxuo5KnqdQl2uHsDE2o/z0aqxbN 2b7N5M1cuatYCk8z0pHja+Ohugv5+8hH6+S5X3/VhPiQ/eC/sSPeO7xF/ZQ6GSfBNid8P9LcNx8D a9B0qJ7/pjdFZCMGQ/WlCt+XnoDLi9PpSJoCIg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 23584) `protect data_block M5988VV+QltWjZ28ubav/1qMX5lPL9PAf6ZpBHHXen3gNBR77fcXRUW4pFZV/WE6Lrzx/ppGDvdG EaeaPvkxkefYRqAyoI14/cw8tIY8aU8dVS2ppc8g/E+rkOIuzLjdafLYaPV+9wh4XO9MAE4/EszG an+YY29g+QBAsWHcR3wX4hyhj4vMs4otlwHpwsT0rsoPq0I2mM4Kbsfpm7+ChRsP+4euQ9KL30R0 2VMjMNVi9wP2B/z6JeeD6pH/O4c/7zbJx6hl3eM1RB0GKwgj7qNq1L5xLCSHjojDU6RMI8oEOiMH HwKVRzqPuXJLZxLldGKJfhScvgfG4Q5ub5mxV+RF2Uk2S8sEwIZqamlrEsemWJwfQiPFFUVzPvoS y0FZRUaoHXdqzWC4kmA1hleCN84N2nY7HO8Lnmaf+8Ss2jnzAt28xfKIP/Dn426T9qomVRhWXlZu Dc4YbkVH6dOOkjBpId0pR7LJnmLYFxikST7jCQ+yB4T2HSkKjqvhjRdmEYYUM5nBDU+6boO4yuf2 tyhEacB/uxAIbm3gECGHITxQmTWhFxxFiDQNY/+TN+Z8B9R4q7VMenmG1Su6lpgC3u22XPtBJwUc QTuWSi52AGNZ76Y00hOiZLkTd7Ag0M1WofbjyA7/U6ajJIyGMdHHdRHmpNSg0wUkPZZNnkL12Zg6 1XKNmkTtMn0a+mHcof2KXrpFsN8hBS5Mxbh5jIOqW8FselM35DGVp2VnUtqNytqcaYsC0d7ZlMjF 63QUu9FDBhjG2j6Dz8GA/cdKjlyzt9uscLlj+OvW8+0q9pEezaF2UuNsr0b3c6EThOFb5r557Gzf dHd+YYJ2SUFc56haGWbUue+Gu3SstC/hNlzFL3iOflsRfIdPHqIwCfhIcZ1edIJhEEWnIktWeEaK QNYlbWIZb1TCzQsOgyopedSc6DGJu835PQoI7eyHqhU5QFWtuNhd9neEZhijTBOA99ATphk1O3XK eEf3Vo0L+mDGHu8PjntsAf6GKUhQQWKhDGigjcp2eCLnRUmpLfS4tPois5iOj6plz0scjiNdLtw2 /klgUYFTPspHgmvUbsLiCAz7ENyu2EtJham8KGtAQnZyn+8UUtS1n7PQ1sgaOmU+9K779DUCmdHu uSvQOdVGdKq4DIHPDRvIpBWekd3WEAMWbkzDN5YkYNnjYxX3A052Vh2l0HXkuGFmXdhQb3KmhsBj Ex2217o3afTX+Ros5IcSkVtgDBO3ROASgFBSQM1NNeSGlfYkipheBcZ51Z11zYCFBLpNndCim4xo WRGplu0NPYpZWAkgdTMx8x5ukLgH5sHgSzqIXHaqvC6c3K2s1D4yrq0/OFHPYPq5qehZQCjv1R3+ kxqy8GX7dPzTK4RvUYl9rl5OWlFWuNuVXv33M9twoM3JjymjrV3rbJE7aPAtjuB1SWe3YLG4sRMq v/ot7e4+zLyzlJWkfxAQ7X2/f78jxHHCldMALMTStiLe8RRE/GPFOFQN4ESRvJHlFG+g4hEDi500 aNRGFfBtzHkCoFwcRstC1KE4N41JNsxOuTKMox4qd0IynyZAX5RpJ6equeUnM1WSdoPZ6S7ce6v1 sq9T2mrP2fe/Wx49y65LZ523IS2x+jTMTBo24DrvM9JQPp2tG7l/CWVEnLLXSacyxyddiunplPOj +Tf8NnVV0eltudjov8b3p610PecpGfDswZJMC+prKF+NFQPQbiIdpBu3+YunhIeQ+t+9HEyR3Xm+ EIZIOV6LfMi5Gdi1NR03BQS13GzPsfQ7euRpAcPqItPUNid2VIWXdeBy/zFSgUcitUKPvt98XZDv 6Tv87eI16IHjcdk7r5sr6NXE89zBO/YVX/8+JOw+O8bF3wkjQ17IjLC+hYmXxriLunQB6HIQ6CCn JduCx0hj3xB7gPEE5RSb0j7NLxKkkjOHEHw654OXghJItA/4ogh4/UAutB622f1dsQoL5GRki5y7 XL1R/sethvrfsJj0iUiDYohkm5gslayeFeZ+CgljsXzJ5BFV8Sa9Op2abEY0m72+Ru9c1zTan4Db 2HAXpAaWV/q/TQiAcV6h1R4r/ZqMVLrZ5s539CQyp8AS6EfeRvMZtXM4LlT5XwxiVDKwBU616euj QjXdjPuMOa4lKUd4cQ43ofFqQejO/BO+SuSazNgbMggD9iiwDvM7PWtUhsCbPbEWPZmQXsRqZogU EQYfM1BAQJ4CETWfl5UWy9OKHy7/V/g0qfVkKEUrNGLxsBYjPgZeXoZvv24bVRMmnzJljF08KYjY brLdvR6MvKdkQp1ww7JH8k3YYbyHqOiEwsKeETGctkUdXfhybo9y+NvC2SGtXD/FzMY8WWIMNySQ 1LQM2aElpLI3p75a74t9+KWjnz/AtM5H5MmYAyZwVrqMW0TKQzYiGzc8TbkIh+q2bhd0ax3bhYJ6 039wD51rlyu+/tD0XRnwVNOsjofmzztc525MgwIywLOyTpv0x0Ng5R1lUT1Bo07Wuqubujy4fjWg 4ifWCVWt0KFy20DaxOjzekTRjsv7mb13cZ7hEfY28b2Ey0xhq0ShNH0hYlVgP/ZSS4J7taOk/eJK eVxK6WiEJbsyark3geurFcy58fxuCEF9+yeHsuaFBeRC5Tvtt3HPyWZi9pzMegkYpJbi+30qcfz/ poKJCqMOfZzdQUGKof8XckskHd7MEoXYwjFiiWv++ZXwxhMNACkqOA3oClZvPrsVwrVhm8PyWiBi Roga2o6w88uQp/0x9AQ9YZLTjEu8jbBJ0QRZ/8GhwnjvIdeBgbxaqxN2sV73I1VlhPWlf5Fq3HWm M+KFZg/aPaTMkhzFZR4GI8npp5WNS0qJwVUv80X7CN6KSJdsUbAguCqbkQc5BumYY8xv8vEIk25O MC2NSJ6onTAVx+2bJDunVITfzE0raCiiMplw3C2z0GqtaZDxNlGpa4dAPuv+qbTXGXnARQ4Kordl OnbC4yRWn68YpFDpjFX4hHHmHCEG/mZIC1qRdO+C1vhbB4MHozCOhHiFatWtkerHkhdzkCG8p3Ck zxwEH1Wn4SYqGOkaXURi1/4PUxy/6azHaS3dO7VALkuRxO/wlC37YmbZg97N/QO1cOUzWdRdSP8K RSv1RkGtfXeEq63YN8ew3+R+7HI2DkUXWvpROGFGZx8o6DvX72Qya1hgEdCBf9TELpXgZIfHlhLp Kds0EXwU53pVcXuyxtasxSrYfTlLDfqzGOFDhH60AjLnnhyhq6HbaDyJOmQ2R8WyoVNIDI6p4CY1 8wsgCrvkON772w04HP7ljhOABIUBJjQI5fp70GZ83AOSX+ujYSMb2j1zOV5iTU9l52czPSt2g9f2 sCxb0qsNMPCBhle4Nyy/CS6DKkVzFx+98+nS59HGzwGt1AFz0IeAb+S5QyGPmSI+9HEs2qxQNOwh V9bXD8gLxou8W71bRZ/lxR4O1MqVXFsUBk2dHLze4v4ABQeKi5DxOSjytANRBRcsXaBzVsWD0Llc Fvvm2mWKpzwdVPPOnWuV9mF6HkNvBcMS9TIzeDHh6DAipftqnd+JjRGCBpqNnzEnAAy9AvTjPvsZ qOyUm2GfqottT+o80IzJxKUeDjbhg6LcY9qeyw3l8Tk+cxrxXFAHFalzZZo0NUUtn2oOZcpDt7dr bdD8qBmECFD/jFzkQ07vzkGcgO1KOVTYbCBreylRM1PC7AjeSdxzA9MxdSnw+0cnloQrbl+YW/M2 fIdJX4bE6FZ0noWl9utusbNg0eOnDGZ4BxWkgkbrHlGYeH9Ejx+1hOTF/IAUw+L/I+KMg/m99QbD JxO44SepnGbeVky+EbQb/ZnvzASAUI5xd7S4Mzk8Rj2Sk2CNIq7FEprXjZB4wCOhHVZztolZJqWH 8iZlvA1e9Eki672PaFkxUOvRPnfbST86PYVa5/9FypujDjxAKtTuBecFEwwGD9S4GSJYDOBkUGY0 bxFeaOOGXcR6aCzH4NmkkmpaXpwNQhTIzpWkSXiqufRXG2zMvyHlySieHgIQjcohkJHK9uEArnqA vQI/CVJCKfSxra+hQlY4PzKP5UtFdIjNzzJdoLFZGrsrHJze9DGXPHhUhF9pvxnslS+U/ndMZ9dM 17qCTq0AxalQE5Dfmtyx93HchI2BtRNwP9LBG8Ot4DRgYXK27tQL7IgnJTHGjRsGUw5qsat5rRNZ oIh0pQoDT4fYj9GJ6XVO/i17hnZnXzQCa5iA1W8cl3ifzXPNzh5TDd6x1lMBqsMrP3T2Giy5CZjR PKrajdyvaufNjNV1JpDXK7L9E/7AmYPxCBhvxYMdBNs5Ggo+DGozW5jq7szgzIviUIqRXJd370f8 05JehGooP9vzEh1xLQrA6vafWUD/46KEASMoyxJY/LX+gBweVLbdURNwRCkWRLtD2WUrYSmjHJme AcB77y2yX2GDGvI1Sjl1ZLwf4l2ucNiUzelQUQjYqJotWa7aleUDkLSDzcKTS09wpBnh6haPX7hl TEA0Pep0gyEPDwrOYIu1n58k2mRRSbcKBm1SrbVXN3BxCPDqddtp3L/X84QBhIkDHX1ijhFA3oJC NwWZl0DZy8N1uXcXfdFL8swRSZ9gcwA2HAm9eTiDmlDLdfnAeZGonRN2nG8OMtVEPngxPg2TClar 5GkGTVL3MKhUJ+LAxj66uivaTrwXK5tiePBWnpzpaCh+hjsFUKMZxglc4Fb/jZKKJf6nNKJAwW+k kHfGR8aDbUCzXEuuKBg313oTfZANS9uYqAXOQjYju1c6FzcckQroPqYbrcP0YEkeQndB+pNluQqA /2P1SpU+evRLkD75mzomZo/DOb9udkh36MklmmkIR9DCDUwbXkCthb3VBBKjs7RbhPLGcSKxkknr YzY92ebCRHTlc54cfIUIWs3RCFF1iF21fmeAJmEeudxhfzlfIDrJHjI+Bf8AGNn7CHDA3dI7kt89 sNdrZpbYgqXKfNqfdADn5vEU2BzZpNxa4295PM5Plx0HbBk1z32QcfPsrH8OoS3CfFv3Yk6C+Qdj kSwWYqxLkIdv58JRlp2D1RpJ8U6CY94C6E3w8S2dPkVV7ZDfELJ5w3qWVF/IVu/7HGrOIv4EP2P7 zU4LgENiubiIXW8dkj2Q2xrN53A4hfdxokkytZP55IrpNAfwavl1cgaLI25amJOEXjON1eVeQnbS loOSl7fneRBV+7lYKHfk1Tb9glEH6gS4nqx8YifYV2Zlz/Mf6WiunfPxDw4JRvRcjzvRmz0RszCT Dh8PiujgNQXrVY8JnJRDXL79EpYONgtZkIXC9InsTrZpGiZqMQIITBpRI7Ya3ZtEytf5t1FmSjkn wKUsugWgv0o9JZzRSEf9BXDAuwSxx5KlEUaJQyD8Vpqe3SF4DG/rVpRGF3Jjbciu983cleRRciLC tlU/66vY6kQxFgnvJNstswXQHidVJ1pEJ5CUqqotau8YAAoywpUE87WIjeN7JvNuahWAQ3fdZ/6l 4FN8zOtfarAHb4fQqmL7c6BfNUp2U7CHB/Ae1fe3dVIXNHp0FWKYYMcuinfCFjoZfTUr6nipsWyd CJj067cToaihHyzHQ3ovf6Fgdfv3xgY63GTzIJcHqQnL/NNASa3rBPZ7TApnMJ8w8NJ9l3UafKq3 V0GbyHZWlf/AJM0r4xjjI6waeEDG3/84XgczHmXDDQ8cGaiHHWGpZR+1gpa36uolH40u+q1E0f/4 r2LLBPROk+C0EVg0qEVp0yOt8rDMnT3RmlvrpBZPa03Fo4nDg1gMpwesOzwOzbctqRiXmFkzzq1+ q0+KajfWNk25SUZEQbU3zYekE9/5k/Zxl8pCfLaxGZw6VY7ckhWGjjU8xz365vjPSN/gdHJOjxuS lKN+6KOY34V1oB0krSyK90BCaaLOr5JONMAZ1/D/BVNEvomXEi/u57j81+JC7TG7tqWnLakSVW+1 7ge3x27tZKfgvDQMY1OxWSEyIxE6ao5wTyVuT2f4NF+7aojvvUSGbeopXhV0wCrJfJv8oEU2rddQ DDr0BZdoP4cNdcEBU5WiXNCNRp0wsAFFNxCaHPTFkiLnr1RSES9zCLXLPAZaJZSk4r/paqleeqgo 6QcfGam1cpgSpBhosp4+bgVttZ9y9psJtH51NXM4P9gnruA1lwFkHa4l4ezFmnQ/Qo5HwDxEuSVs ATLWfr1k037MWZQ7n3et1z9l64/ZdAkm3N0zuOOFgXGJc2ayA4GCrcOoeAVVINl/9zc0RsjD0V0k UpdXN+zgCqe1HkIP4+MVQPnDZ6Fh2gsjJN51y7Q0hVoDAc35kIXe15SUHXVtTCIOwaOcBSjS1Owi Z+sEo4M9B5hND/I6todFiCWJ4YroiwWF+jcySwuGOsb5UAtwtBEkEdrYAqmhevd+Gv9kvLj9QgI6 yOtTbUEMDKC0IaNRO5VoXkdoimk+D4vv6XJbtSzC5TgAek1quJvWWVE8CacDiHQiR3RwvT9dJ9Oq xgJBCUwRROYWXalxuMnWPjdVQf4eAtLGafpzh/fZrw4lP/Iv8TVpSLmlTA2fAUo5O+POuu8WuPuo Oh0mi3LKa+PutpeiPVgVMb0r6b8R9iC6SmHyQBdB9rfVYgNmpFO/upCOKgMGwTtYeAA965tEU1Qw FdgFEnF0Ly+5rF1obrM3nd3WJ3cQYeyZeRoMLzWJs2qRMsrlmFbgMURtJ01WUBtQPgRybYT0socq 8DWYlO5wa2Hy8RVKxQwkpzksmCzrwRrIl3XQzbz/oat6umtoVbJasIhhMV8JcaqjGToA0EeD8dWG /wZ+3URaSW5CPC6ZXoWZPlu8VWOJlqe/opcmwsBTvN4gguR2GjXLpqVV753LrUWNNW9X0+oTL3DZ AySU6hMCN3ZMszHirZ3Qjy8JOv8zrOnYRJMHc2qed5EfCNUx6zww3B+VTbiOzgu1uVHLxu3C+q8T 2nITKuP0egcOtpw6JR5DTYteqtvoYYmPvaHX9NUN2GOjaBZovdwG73VlD8zxQegq6qMvOQl5eK7n jSoJTn9nNGSWy4v4a+gkIEyz5hg4scm4bfLnBSq8LJhFXzpto7JdyMNZHHDkdWQ2dEtse0kEgkzU Z2zeodCqC5Ni5B1g6PBIY7/86v8APF1hYHEHmF93ibvqLhjn3QNM/ScQkIXWSqXU7kEVCTyTagJG 36lA0l/QTgFxWvWSwO8BxwRW8DXPbag34fdghsKCOR0N2kD8Nf2x2Q+SgTUY6IkV4n47uduHLebn et1qhZTCanDkjlHUXNBH+pskevWZ3OiLdtcxi6H2pqln2mnfBqyN9VlJvJoD7w1fso3RDrVmc5I0 gO7XUbt/85pSEVaTz5DvJySbex+iS4bXlvpaXzB5PgsqTmLWUryKgMZYsg+nIFFQICGHWtG4QMWy l5l86LMHmXbFgvrGWcEfhGUx76iqVOpvwwIndbxJCDLNF5ahIklgSLwXHPteuldSpUH+Irggwgyi bg6dntEaQtc2nbZC/OOiUE6FHGfDQHO0elWilpKQZmLd/hmhVFu5epLmjw5nzJ3FdJZKdv2xJuER 4XDgclKNZn1V2L3LIqhr0s3bdE1RhaNoGygjAAGuKsD1jcfcEv27f5UbF+gMeOkYux0d3S0GRL2S RCPo6j1rAsGHrSf5Pe8UWjmVhqnCm90uKw1dgKRl7NBwE/lM/6gBwRMK/cdf/bsz+4pOhKWR9hgb xmStmEXI8xszPrfBO5zaLAKV4jg7KMdYz0KKZDPS41YiTVBufjraYo199AwsSf93PI3figQlWiLa 4emRj+BY6eOXzJYtYfzXe53VrJzB4YdnnvCKz7X2BCQwCj9qd5f8E0pD60NUBy3lMY2qk/vDhS5W hrddRaEI86ahVZGS+HnjU7996sTyPx0QsIt6K/D+lrop9Qa9X+f7u1cNErebaLiuSpaXHHIIcpBo 9O4V+SisbPuHjELPUfnRzZvgDBpVV8LAtOOJr4y3FiEF0tQ3KtKff1DjYRBXDWW9YHbokKy+9Bbv F94ICS0IolXm25oGRHOCttCZlWn4OekwxD0TSXEx7GuqTfuUKe8dQiYqNzojTtu4KrgYAgqciHTz zkFvsPUFCqkd+g+0MgPUMksaP/t1C7vT2fb0Sh66UHbi7h/xoj9uqLeq/J6HkGHR39jESOT8DDg6 7lDTK3TWkFQTjoPZTCGECOps/dfGHPYHz0LT+OKSwdsjuzvKgUEjMNE+/b0VA8UfokyjIY+JFEU7 xqmiwe9qC4dZBTTSwwJGInZ3c/VzDd6mtd67BKR5qTSDlyfwKQ5IyikeSNXEQgJ/9T2+fUr3Gput 1KENag6eWj36eNdOnG5ZtQaPojeKfJpvMb32nWES87qQbcXKFKxJrzTfsU5cM7zThyiAgbs7/PfZ gBcz6nHHX/j9M2/3ScgDDlGBhoTiX9rD845se3foMWuk7GdeUCoZhX1xdiHL0Ntx2a6s0w9Ut8mG UDm9Sp37Z/dJ3sb4lDBKWAkMag1Ank8T67j2NztfOY93ZrqTVaVdfSLi1jLijeUmTtSyNTxTVvcX DT2oWkyTcPRKC9RnEquQmErHCFL2VcKaL7CVHj8kNt7vHE1LhAb6BpgU/h7zkz6qOt4oX304CHcN 6j8LmQzfL5dh3LHMLGXVM0lpfTM0rxIWvTr66lAkd5q+hZ71fQatSxo9rPu6e7nTQ3Rc2/9SkW8y j5vAaw2dx7pky0X99bbBhsQPPSHA/fcES8lzQkWhSpoPY6CBGSWB4u9HOTodCHsAl9fya1pTLzWr X57gMfKpnnw9SKVQ1Q7EP/4jl9Z9Rzz3R2u47lqI/lTvIK101OhdUAD3bonRVbkZjH3NIKSfhhTR 4lRy//eAcO7Qp7q+Q09QHxpcykxZPjGM4BO/gCV7mdD/xGAyUtgtgoUSF0nijTC+CoZTWTKYp1GX oB744ow9SRkbVmqKqa+GrNef2hLpohnQZo1hzZuh2qy8wWbySoJbzSkYrJ5WYttV/WnsKx3qeYC3 E1s/Ux7m0wX3Bl5zL4Kglzc8/4BbpwJdjaBtwD2Zv6V15hjNtigf60Gig/nNUMimKhPPl/iV+CVt NwknJzuRKzs2EX0gJLCebGFRQVNIf9KwS4U/Gj9BvU3vEhKTTfHPtLbzFdGN5xZOUfCxPizmLdup W4MnjSX9Eq9lKgNUEalQVVD1L159ZYyFyHBNnuB1HYOsN6JvhZ7L4eZEfBYBUtvJUoEodOJwPclk QRUoSxiPxNL0dheHlBKSO4GEtKXmK1YrrS/tVNk546JZUlIGg3azyFv49rQ6ezDk2bu54CNuCyH1 ptgeYznvtO9n/j5CkJ6caY0yvPSyMPJ9jWw/qFWNtYrp4QWeFu5BoK+zgTHr8XlL/PdVEC/bEiKp I8T1pl6bj/UcSvoBE3ZiYNYyv7lPTvP9SlOyk745P5XENRkiphC4oJKTwsfLrkAyd8uMR9DBdMXB bwa795/3hvPkqKaY1SwTPWSVBUjR4imvFwSPCEPLLezEzvHnGjHtae1Xcf850XSy/otEaAqBmwnt 1AKR2rukiX058ZumcB43jcidAWUv17n1UAjThHglDzLhwDce60ZQCZLwzYyrgQGIJgOqU5uell5Y RtDVq+fH47jku2T4J4rGrH+lNj1YwJ0jrW79z1RgiZlL3ptRHMwpEF35SUAwcWA3CdPwX8cYRz+O VQH+gXERYIn61Cq4Q07ys7+8hE74wGwKO+vbwErhjIH4lPrT+LDwRdPBt9Nziw8xkOUotCADrZJq Kj+qnenH6/JwmguME6L7ucpm+npf5iC3dVt0hFThNgju9cBpN2MSXuP8RoLNLORPg8z6jXAiwPL2 XalTXjZh8jSgBUvZJNALVOw/nSByrgAnYNNQS9nU/VzaYSBm4FZML+7VSCAqPppyRg2nNP6sig70 iQH5R1sDFmH1S/4yskXe5GwLKiCVabXRwgYqCvbI4ha64zCVczoTpsHmnQ2nACpLY/U2T2W2f0Yt sa63zvirZMo/Zlq+zM9HZ4G01zQq77aciv4g1noKz7metExAeiBQAs3POQg4eKxFmOjfGuxiL2f5 AYnluKpCy60Z9f7Xb+5lpWE2jMn/U/7ORMQPgZT6IRUZeudA+ilVaAQzM46w2RuHs7ONfbdXirUa 7Thtmeky/69HiAG9EKblKog09J6Pku+YmYkT6sWQKiRWirk6cPYg13hKpwF2RsJRZNSNmtV3sk53 MhTLN/tqv7s1EdhboS/YNOi3jt2I9ib2r6deWjEBlaGzwKOUk7cGACqHan+Rwbi7vxNsan3z5BB1 aohoV5FHi8PFe/F+1kjk1vqSbZ/0ahy/mA2gBAwbjj6k22xmcDTEXwZWhaR2Vm6HD7Fs9oefz2Ir QfMsL3s21qEvi14KpV9LuTj1uJM1YJHQYjzCsgTN8bfEfWzW6TsQaQIrNQ15PHDHp+/BenXi2a2Y jT3TMDfGYgnMvj7wfPFOx5zFhvCiAsAgsv4H+7+K+fjTnJ+VUdhxa5inGU8ZSkOPH+8CAJeOrbac OzITbYFEQ0CEiWcH2rVdoPn/RAvnYMm4wy3qzZZefZcOsEzyjmvehhAC4v3yowHV3NuiVXEl4KKA PkXrgCnhNP8MbSFbDp9OMls/1C/OtO2ETYGnrCSCXjLsg01Q4zTsXoVylNgQRtEwhTpz5WUhz4aD DGt01PWUugRrzmtHeyICd4EqbCObyv0veLM4WJyXs6tBvG2wTXNtp4xEzq0+g4B0VQlZo6hSoXRn M0STvisF7k/n8RJO6MAfRT03q1wZ7laWWwiRsugpyOjTm5YPb4fN9Tvs8uHPNXxa9BjUV128Hlj8 0vJlzWjpZjs7PV4E+CTvS+CangI1C4ssdkH2ES2J65uaHir8ZPH7TE28Hi5qYB69CJEtKA2fy25k w3ihDVepNtCzGCMDKJnRgi8Z3CbbJeSYS5qyq2UuajNfFw4lCH9qfjpiyTjCiXoAwUllND8BNRgV fm/ZcQiiv+9mpCciSgKbbWiPANax0CJTVJdrtTZSFBKgFk2gf9C+94KAy32x8jlXy6vgUT2nBkXb wST4RS2SVF9LFJ+KT73L4rQiCiCPA3omC3uK6GGfl+g2Cz+e4ip1eKSBU/prkJg7SezwnAVzfFnq QPb3rZ9V65PcTdA6c2lK1Nws9Hw/UKw23r5ql8bkGjatkQO1bEXP0s3GnBa6tVb2F88axA6lHDjR f8WWp8zfRHR2dIApKbRgECM2/BNLPuZY+DLsWa3znlPD2aF4kdPlMwd4UUTjdRW3CAJbyIJ3vW6t uFvdZ8F/L8gQ1LGp/YQvMHV/YvLeUA41yPiqjSneDpJTgEUqVTj+t3pnp+HQ5fFrC2qgplcsGXJq 3NEMNrwESGmJBi6lHEtAIkmvt7cMVWPHAgJxyrG3u7aZhwGIGlKV1lGiOoz8Kh3VqRcm3N14mTC2 RRGBxTMHKEGMH4ZqWEN8pUwqT7DpoUgEp5fGXIgXq1AnAe/4yr63f4KVirGdV6BuidyPKQluxUM9 jHMSfsbTwX1H6ZDB+L7uWlR7RgFYf0l6ZPF3/Ol8qmugUqADZYKDJ20WHWL2JQurXFLqcknIlkrL pWqGXgdZSP5E4TR9bo7yFVmNhdlLiwJ/J0V9oGSUv+Fq4GduJQ65OVPVwPmXDgJm1arlNUsnm6ox 4dB+Vutjm2AfUt65CL06YFeI8qzI6lmuFo6ZSbcR9EbFEZNs3x53VG7Bm1B5YGTrIjigbFPmVLqJ Fd4VxktMBYtkuHuiUlDVLQD6in030wwcbr/0Pfvn6ONoVqqSA8Y+K4Ej0xjto9UMPlcCYBCHMh+L wtYlOnV3tq72lDZTzBzRfDWUVtK7G7/ry66z68zfn6nPVw0y4HE3nrz3w8u31KE6r9gYLkNOrBSx Ldxp34imHj9hImEax/q+1arz5iLNZ3hg8/rYrm+48kKIfXCODN3H2x4h7undK7qhUTPiz6eNYYwZ CQI4nfYCMyF4UxuGNTU0w/O+8dZdy1mZGR4JOKITsvEhlhyk10bEhd/pTTi0EEYN3EWcqVH/wwo/ EhjFxjqcXTfnsWN4duI/GTjeIL9C9gCaVg+AIXN6YS85m9OyUXc2mkxuY5S6HS/qwlZ5oDfC2FD9 8QRN69H33CKQy5O+kvxCQx1rI850dYJOYIm36Smlx1H3AGUJFuGKLNMXqJLyUrgfw3oRCiy/kRku GcQJ1TdalUS1DDfJXoVzlNULstXgzMCFBEqH0Dh7ojWDWgDVMyPX81yLyY84hkMbFz4N/MWQCJFs sM4WDnwdWXFGhwOcLFTQJ6uKD4HiF3yBzHlgJkW/xSG+Vdu+HisoT8SfWffn9SVZQg3e0lgiHQt7 8BHBAJpq8hWJjm0JR3vSiG70udKQtHQDp0QM+UlBQCGIzHcmLLsTahCQee5gC/W1M70fcyhbmX4U dDFr5G8RjHk7T8H1mIEAoY+UXd7XyIrftTizzvYBP5LpUj1ADVu/4daUC/VUudqiZLeDI3o+NHni 86auYFyZx5QlLSAmOm79ppam7nTlS9dK5e1Vh1iWx5wIH5Mmzz670owPj4MpmRbLkI6gDoDuNRxD Pr5pstui7ylpyl7PxOgaKuCoK4Wby1ompam7wP3rHTKkZXritOFq856zhxSw7c6EfHPbjsvWzmNY adTbu6GQMwJ5z+69JNFqncIwojJ16IaExROi13eWQyGeUkLraJH/qfnjYyQ3DHH8i2VfFZLzKNJm 4sfe3QRpcdTDpwA8T+w6p/YrF0NhMecrBlqV31chqxkSKMnf+ltMYB8B7WkUsuaUlxZzDYel5+SQ qX6dA2iHuj89YYbklRANoRhLArXHJZmLMOZrRnI42B6DhCCP/LP7Qop15D4byUkwTXINVdlJSiRY NjKDLw6ousHTsFpk6L5AWWRTZxF3C+m8N87W/MInayJpya5LsbCALhpKDw6EOX5Rt44TTJcDNjBH phQe0WcyI7ifWQuViVdGP0YyRlc7TFaLmgbsH0MnT9CFqfY6FShTtAzQarNnZ4LZHHt0Okpo7XB3 WLLlmcqYGKBtxUWLLNini+vTt+5eGMVBzCHR69KKATHtLkYqqkE21p7Wg3F1qHZlKD8NEopQkjL/ xRDvUwiDSNglbIZfk8aLBEflx0TzIfGwrMpo6Mb9csmJGfLKAC5bAPckB0UhakT5FTxymbv1MiBJ ndzbKDBhUzjCBfJVTKvHsdq91slGLf1/iTvjDJpDG/ulP/wwIpqz45k+FzNHw1jJKd5TsREQUhxr Rc9UV6M5dPEN0w1rl+lRtJtvo1e9vjTC0CUTQIpkYQMUnH/KxTSaGnfxNJ0y/1sxUrOl+M8viHI1 K/nNMutksgUJtSfQXBBCgEi1V5tNEdHn+EGttd9RxgghzsnBD79VTFBd0rCuW5M1a9hiRlH/1NGH 82w4nGl4Ds5X35Ly8VM24un70IkY4RP7L6FY2s5V30xQ0UrmGaD2ZRRkeFNSVBnzLOmc0ioRI2zl repmdyhu7U39/w2GKG67X/16qFGPUsU+CTzi/mg4CT/9umLPsg7gBdZwC5BlOIzoDR2TABC3u3In 5OcinkVDqLQH95hM2sdSrIkxGBEcydv2OVq5o+lUYKAWu+d0QUi1Q07heWDSpE+k7OmKoFo++ynl EYQ06VDOnGim/tWZcpJBhO+Y7dWl63N7aIbgdyh7oXiTRvHRlnJIUJxga5IQ94GhctRG3nav1pWl mt2WcOOZHkILSp4jxoIVEiJAanSbP197jvWz8rmf1F9JUvdmj9n8pbUDxarWP5A31gn+pnZ/5/hY f+Zsh6M1Az+6rQHkT7XN+69svvpzdfGdVfTto+5dfr4F1LjauHRN8nbC1IpiAmwVZHwE/Bn8w2tS JvIWjL2p5p3U5whLN2Up6JHDVBlOH1iSscRBKVZkHHVCL4B3zSVaAuOZNnbu00JX20XWBt/wckNx uBT2y3WJnMS5HN9uWAySn7fcqeT2HJCNexs3kAme0oPDGDqTwQHff9vE7r2zCGO9/yQxpwqVteD3 tsYxfjo9pFLmujT0Deqhz1WSP9rXPczL8d3GothZUqDiNQVM9iS5erXM7hRqhIre2U6exnKjPujy +VmOSNHm7AmSgUVcZedb4sqfJyZ4nho8UYDYEv9rugNnJE9KPCa7XHB4H96qUFzHFuF6Q3hiYc9/ qqeWnTaTfneAAIj1fPdHGWoNtgF0eA0FvI3Mu+ufVNBg5jVVl+81st3/zlqmFbM0VEz9xRqMezwq +F1eHr9I5tGXMsnu1Pu6qrKr0bZVscOF9mEG1hc2IZ91pLM0ZjAWggahfmSfIX383ekX+tkvCNEU ++8hyCf/xUr4GLdnzo1ugPIAaECRdFQCgHo6f0ti+8poscodvYkkfxkKKQ23g+m1YgnfEllAEYwp /nReVqRXUrJOjb6mNjRck2LRO8UNjg+RGTSEzZSXLOgP9wDXFQjeGTTmijiUGCBP38SdFxtaz3Zu q1FvUfvx7HR9H/E6NLbfLVGKvGA0yaAxvRKISrNwaTyBzI+LSgxxq60icX8T0an9UqW1TY8OLzd2 ibD9aVO67xScqm2dMZLIYDEOtQP9ythlmGdmzh0rclBV/PJSZrOZQXQ4bxnzcmBVDCqW3P6rTTBp c8v9/RT5JnOdth5kLG2Vvgyw2mlDp2RhsUD9mifFMs3r1KeY9sDzTHLC9EGU0hXzGQaZjAetw7bI UQ8z8Mr5Ab/wtwe6ARJoPDsR8k2CwAtKZp1RCmyldr5fha3Ur1AQby/We40PgDgjwxUf63sFPcEt mxnJ6ut7TVN2E+ukriUtwJEv3nuHruk+ryJCszjtPjNi2/zEVPZBH6XwoFTOtUq72kR89E9URwY3 nhuPM2gftAr7jjLJ9GazhI+g8QMgV5XoFbLXEPUYBtht9Gdn7Z86E/Kb/GIelX7qfdy70ZSSxIq7 q8ULVspwSAbG5E5WEVcjpzHdvVxgAJlIqgKn6kv9oEXEeuKnMLWlaKiP8dpduhyiVAFymmM2F4YH 2kfKPl1+2zhhlwOYc+K1e+ydHqP3Pd7THJikcdMwxQViZkNblTaKaYUcGT1te7ow0fn0aYnpBj3Z XD1EGQYx0wEFt59vdrf/GsCV9fFfYKX2HCUAN5VbFoX6bCgwIBySfog8onwZSw4hhSyejaAk0hL4 p+k+YkXKXJNucFuHfjOCEp+znEyGySGHh3tjGYDyJIQpfoJJs50MU+O18bJ7mvBf3KAVV6uo7oEH xLuEmZVU3BvqShcKjNB16u3HJKE7iI69wi6a5IpbJuJUc8VVu68SvEQPrFC70NqdFLZr1npCsbSW 74BgjbxdLxuAGWPiKr2Pty4i4WKuuttsUpN7uKesS1X9vxnyeymnECQmPL4iszZSjRQSDoGE/Xd4 jEIsH3YrPNj1U+klZX+57J5nk8qP7Rj1iYlSt2AwM1GBK2aaEFu5knJy3SXJWpWqfaKqa6GRfLPy s4NFHbHAKaZKKV9YwZGBPk+n1ymS5SOP9ZpKYgmjN1s2cgxS1RWuvcuNbfbljLKnME+TcUSCvJn7 YtwTjvKEXCBormWID6DbaWNjH6YjHVyRYf8XDkEF14U0UJE5snE8tQFYLVuY7Wyetgya8ncD9iQ5 qYmGcVkq3RueytT6RpKtM+EtnrNyRhQGm+VJcnsJVtpmnIV9EuuaF3yO45PICXZYDyn7WQL4uiZ5 7wsjBu745B25+eAYioD64acVNfVM1GwbtNhUa9ODZZhWFXZEQMwWddlo0PoBRLmNlUqEvJ3DmPGH std8WglU+fl5vgZL41471cMTTTJjlEIn5ce02X7+bvygdRR9E1SG0/mGb0WXLCmzFYTSeiiIu35P Yl04fpafUooJOWZ982OYNt01iH2eNv3shWBoPsywiICFPHBmPDO3mSbtAJvmpK4xCKZL5sN7xHBs mZpGBtKFl/wLvfc6meKNaPgyiZJdWlKGElWpaik/JWBMnlW9v3kFhQFE7OcZNXPaXKT2CohQrMKM eTSx+yJ26E/HAkUT/YzAhyb08CZn3qHQF4byZ+3d7l91ezDDNiOoVfZNwABnAmcqvDEWNUVqcXnz /BcxgrNsgZpjd4AdRYOU/Cf11QrvpNo4ESMfB18mAa0Y4fLztdZPVzoIwFvKj5vRuBH75lLfkoan 46+ZcHazq6uHRjee3lxtWHD0ThjZMDOb/xCxT1WH9XPTZGFBAikpAjwgnpUK3jNixqSvtRdMNMOB amwZyP35UcQnQBrYtUbabSdXxBjIt04IWxDiJ4QzVKxvIj8fbaViuG1QhHT1EKpET/0DFeQVxOyS jPynJT8IoHZ6PBmFiD13gsPI9ZeJ42ghXWtObSojCAiHL8ITDNUEW9Ulz5uJxIcXbGik7EU3bLNB 6XTFRIkNrTJpSGCM7bX+4KJycGBLMloTMG4fQ3h1sKh1USI0Ig9Na0nd5Jj52Hgehgl8Wu0MXiXy 9SKGh7XcfVRYZqMj48O54qSJfQqvNDhR8/6jar5q+iSonaLviPg51ccHEE0BEDcOOPs2HQ1Vd9Fv l+nqU1RtkpHigOKTXig+2kIKdtab8GxlyXwqZ+dAJLAtBansE4FyUaQBtsmi8bcTB8UzmA+a7IDn 8BjpxDry725H8AXrqEfUS8nAJOLEhTRpNTtMTomXDYEquAzAi0SI4fpn2IMxcxSyIhJlJvTwVk6n EgO/hgQg8nTguqKYWWwajtzUAUNBK8/74shq8cPi/TPBr6gtmU0TqQ2rLeq0VqpYaIUW9XU1OPy3 4uAMp01haKFJ9yFXyLL2S9wAeCdq4y6aqkpeyq7isPqOIpgG5e5aUdycTGqHzCmkJ1ukummh4WCd Z3WUc7HF6EaaRTtGSNtdr3hlVLG6fSuTn/ourGOUpQuA5o1D5ugjtdbEEL0MPmC2AF9YT2K7cIxY ZoKRqeIsMfJshKQa0lwXyCfM/upcBu6WdmXILhRpcNQHbviTmbrUsF9iTvN6TVaAgg0JZRj2TOUX zJFR3nVDDuWUkZHV/MrjYDGMSD/N1lTOT5bhnCZVoT/CZvPJv2x/HrjqHW0D16PMCFu+G+5udq6W PpzNkZBRO2HEs21Hnv+b3fUNVbIhEenk4ka8iWxRn5BE90SuEngIBdhFWvB/WN3Ul1UgiTxpqS9O NpFPe9cmGBTUqPLOPBrBKW4qKsS0PCxJBx74Uak1WDRbbo/AQMsSfdltjt+5xlj0+lmkzam/St/W ZDkdgHucEyXn+huNMjGxhM3VyJKz3PRXMwwZeJVeuCYbjgIuhPSG4MyWsJn9/qz8iNP/YY0WoYwl CrfvXLRLGLunJ9ZAIDhms9t1LZ3Y3MmZQXzdHSW1KeXdQjokyfNg/Rjzq1ip+y8B9wIXhZ0JjeCs bZSgsoJVR+a7IAzaImS8qBhpfW78UXtnupT3mg9B0/0ziEJSXkmiKnPdTualpwTGvN0DSNn8HofK fh+HYF5TkOUbc0Y+0eRUu0Hag1i2EKEPVc2XKvMvmeZvcRJWnMwjXp0lq42c38jKKKY/lWs4ORpu NZOSbLpA8+daWK6feNuY13tNN2pcaLJdeoNmeQtrewwATp7o62Mobl+OBjpBVPtWSCwPDEOju3/0 bUsCUIoj2ye2sFOh0dlKbmV7FGG01AijjaqFTWkZV1bl6G20ykzujIisGrDQykvd/k/giIaEMBx3 87PFZh3hlt3XDm1bjP5Ejnh3joVHut62W5ywp+WN6RE9HBkapMVr8sOBm2ZdS04hBi2WkUDHahy/ YG195A6fdfGD8eWIsnHCJOeUdSyox5E00J+TWQwthse/7XmYyjmLekjkHy39F3wrfiepYHnJpjtw azmgmVFbMbbiA2WUGjE8NGDmwUxhQFNorkbdJQ7nHKiYr8QFPCZTzHUPeGpy+QUB5kQ++7w/nHox QCmxRRCQrGfvMZFZLNh3Dz+JcwIAJK5MRCFHfAKYukUnktDBneB5PXQK01/NcbMHnWkyqNPpYf/g a6hOqLZFfMMaUsGySO7hXJHO2hnUplP6Fj9bu/G32kK6GROI0/kfqw3Mdyyv9b2uawgopLA4gf48 06fpCYODo8OtGQJW5PlyVzOZy8sMsKzuM1l0XffhTC/DSnOfBGTHHPLOkCd0mCyFTErHQX/u6S10 TeqP0kGz+kiXYA0lztoH++LgKnNHyIRdLXEhtTsHVoS603sDjWgGj8NHoaygve+rT36NuyhWoBV5 fwUSQX/GFvNnWLTEKgN8CF11i/6A/7NJtTyALcuOEyrFeDLGal5HWKqmKLniw33ARvQ+w5jNy9Wa P5omU7/X63SofODWK3/SppByxOoRmamjwiwNNPNjnf6KLAmEcH5tZR3wOgFggrIbziwuN8+8X2lr coU+SZ9D/WlnlOl2k9Ygb/bvCFFF5xV3DPfw7jz7F0kYIzqjvrsMrTvFNrAxvCCW+WU/Zh5PIuzA q05lTlP6IGnttuHE9cfLBZEXbWraygavcjOhP3PCOFcJ+z9uJEqfP+BbaIkoPRQd46P7ctAGgHnf 0hx979ifYuiY8S9OvhdTWMhtT1CrgeNcPaQc6jboBQ1kRHpb2pc4PK+LRI7M1gxvyhOdNCJvOjXG QJEVkhAqGuKlpLJ0sRCPn1MoFOITVUT2gZwRvQTDtkY+NLt9b9O8Z7jDhJvV+heJDyv0sMnxKDIF VynmYZkSzWHPkRXStFbKTykduj5Nc2z1XcGSZqcjEbKo8OpKcaU4ZprGp3dvxFzm3brBUWds95nK 7YqsFvxD2XTzgBDdIeqKuAG1u83M3hqJISFT/cGyaYuVIOi/pMHVmVYxO9eVBcJtd9Ut6bWnscjZ /9jamTH9GJxZvZP0m4wyo3cFulEzyx0eX6qJfpDQ4RI3JsneiD9r8qEYYnhNcJd6g9PBaaiIbt/s sy7quu1SJHIwDOoZC8tnEmbjKKj3Lu4nEsHD3qb75UTU+G4KpLaa2B0hZna/5U18olGAGqsrsAnx n0wM14IrlhQGEc5eu4bUE7MLMSWroQHqkT/pqHZbEBSEoWgCx8MsTc59fSG9Xe7PHCbSAPVTkNpU XMO0F13Axm28YImcnBn2MVab9kcl25DCsJLTGFpJB1zJw3bJeSotKNlC69Sk3ETTMNItXRgEHD5X x9A6kxOECrYubVOhTR7bbfJugR82X67UiZxjJRF9tSucHneyZhWe/qmRPUQ58UmBTR8UZRKpcXm1 Il+fijvc2vE4UA0WY2gvx92+FjzLwvslOaCVlY0mG9AItNd09o5QUAnaqRxytTaZA92eCvFwS6Me nDHgRlKujei9wfMNI9NmJr0ot7Zz/Pwf60sB87ioQGOXLkVIzUNdGtIewZaj1ewLnwBFOnbbYmk3 ET7RO4TdmYxLJT1CosQgzDUrv5fy+Z64h7EpbV3x4PPr1r3kb6SlzZZYKusT2iX2YMoSsnoPex9y arnqegcAgWCa6WOO5o+V4qVKvLrqzFMRFv/JZEHJ7+pFASL9Bo7ggHewh/teDOo2CgLh/8o/ui7f kb17cSuHZGuiQMgJmD+cnhtNtR1Nzp/J7eUywz3B5AptgVnrHh8GmqQS4s1FlWLg7Xa4PZzln4zF 818LQ7kgTq5ywzKKZeUoSGgV5Jt15JaTWCGumdVVbF925vQP5Yqjgi/nXJNiTB1+EB1xXgn54+Qk 8scMrTKmd2uIuazFsvQEHPsxU3ihDGFnD8qqRTpsfaPXoBoul42ynDK9KvItbb/cdXOJQ0d0zRXb vJx6JQdGleJw2sK6ty5kOU2IasAdTEIFLdymJ9YhNouZ2vpXQmjSfkhchbSeCGklhXHquAQNwEcG kyYSMd0FsUmkicOESOOWRXf/LSrGJQzkNF4Myn8zI+48qQit3G8vaW6ylb0gjsUMwCcNV45lZsd2 v+LwGfF5kTMY8mdfNuQ5gYqqcmkrijGISjpoOv6axN8i/+IA9URn37fc2uDbC17eGUXzYV1CKBx4 42y1cDAzO3s6mfDAHEBMzCKoNyOnJ8NmGfFCH9yc023u9iNmvEO04d/ML7v8CCH4qw8PEe2SKWYd l+72Im4ivQpMYha1fqCnbQih4EgA52GeTkn9iY39Dj0vDWxCOVnj4LY3+hPyuzzTMTx53c3Ue3ZD E6922jKgFleZgc6EqoGPoiLmWdwP5X1rAeOAudaybJEHtsnc75B7yLSddoW9HEfNPKCzF1QyhtEe VVj+acI4nnrscAppiTcLodlywC2sL4UnnXg1QGT0e0bgdlYv1xtHhFRr3BI2Zl/RBvo02sOueHLk aTLacX5Se4GtsAyPIU5cUJfpmXGBh/epEZarunBwnAwZ28jRYqHPCxWfpW9HmdsylgGcyIN6+otc aOIl2iYU69ROHULa+nqsk0ogJPGCjPHUmWfmZZf+zBBtMEnbFtlTGS9fKZyycZouJsicsYouO3n8 5f1jbMC1ehgY2vdrSErxLJbog1Wj8NRVe1vFgcDBm/TtT/tZtb33sLZ5Bk0u7luHpWh56fszPgQt v/RsJuWkC7Cv5ZNoTQmLUGz26AFNWfgPGZDSOxn/2h9nNcE/1KYjUHLVWI6pQo+ia/pitUZAylZq OGdiN6MRwyoerLLXZvdTC8nK4X5iQl0gDyM3KztqoMbRtJ/RnJ5IjfUN8PKgyft6goaGF8q+2TZs zK4C24lvTX7rYo1RtXcouWuNR4nT58EnY9O1W+BCHYpX163wNXUwYDCHSUqDlHpCK1yW0bOyi+BT SOvMP1MkAv3XOuWzuou6LjPuFHrwJLNupCe0vquqhfkComB7QRNG8wZw1VncauldpE6/riYo5qNY 185Xc+aTfqSgFfhknolv9b81IgHw7RfXtgxChEii8+6eFggFAxQkdkGrYrcnTJR9r+uymXTf9Skp VHhphFENyZkkMQI/E6YJApkNuZf4nZaO7x1+yFBYd1pAK0AKXJUcyomsEMIQjMOlhbXpNZPn35VH pNbG6b6fySAwNNHCGJuyii+Ziv4mqXvl3QzhT+h1/yBdoYDcwaaewMSRqplsd2F2Y8LTdhA8Nb0t zmG4zZDbXaogUWeunj4AcqQDHwtlbfQltGbEfqRRuRESn2nE72kQQqewSgxqiTjlIq4NvoaELPMR T5tUcTobB6xYG8W/kcIerG8pQVsJ0vXw+56VkwD56LLdfKs030OW4r49BXmuVY65nZ/6Bvstowyi kAvDM1qPvglSmtyE8rEnlt9JA8UDGMbnGH2/fotkiyJYGvTuDLBQqZNTha0XgCXJ1p5qVJyVBOIE YSeQhhSmcaTLX99Qrf9C5WvBF43unIuoMoSqRQZHBfWXsYeQkmcbrMLD2sl2cRtNUyQkTEfX4N7g uyJ/YxV1CZC3Adz5qpN6B5zt3lmToWHAgMfa4sca2Vd4Cm3GMSyLncqoC38D5XlQWhujjf15a7q/ Syh3G5fJV1QKSB/cAGPqxWamPxUGO5DaTTusR+DNULRtAO99mpN77LUnR0uNekdY7h91htUStqfC k8AznwOAbYm641yHHTCZU8pvHGSXflYGemVakcgwrD2B3mr27XFlkBO6/xLQ0zOOt14HRChKfiXg H3sw8A2hHY4MWYaBQHei4bl5DIKkmRKsGGBQb5jQMw6Bqj1d2x2527NxiwGAkUVpU4wrPkupKS3z lODSGaeEyS8Y0VK+DsttWkv6aJhqW2PwyCChXzO/dMeiQhd7B5kjZe1WEx2dp+MWHawUS/RU8x1r keOawExCAAxpT8DoXszaPxz9AYIOLbSYKNmFTDCS2P8of8KspFHpMArBnmFusX0c0fkmBB1/0YL1 ji8Hxg3abM+oKiriCkMXiFkjhzv2EhnhHmvOCX2u2AphYVJTiVENhtU5W2WS9ua4eTxOX6anP+Qh +MvS7rYE36p7S0+KpjQEtE0e+cJCvrhyrGijci3awzc5oVMe7ke5AKVpyLRLXJLw+VXIjJVp0nrj /wTwrHKPfpQQdKnea5I3RgR/u0hRMztlnPuNLOK+H7OODyKPlZOdNw8h1Y8wR5HHMDwC/v7tngs1 pxsnGgQJN/BmuC3vCEff21yACZ87DF+14Yu9J4r2HopV8vRiCia5DlBRYNYXWdGhxeK1GiSt/jZF EzcowqkpeJIjw/vTK4xwNYQnPDrayqZw9pT3g99pq+OCDpjINWSPOlvhkvuqmfUF01eesBNdSaBl U3Gc1x1fB56EKcOp4uAVQmgD7O90xDROL8wH052iIP1PSZDgFeuLMqcMGoWC9syRKhSEnwzi8duT ey6sOUhQO+Z5P1ulDK1BviA4TXrep0qhTrN3jZDpWLoooKL7KnXW5OquMHp4j15fMWtkOi+8YDwd ABRQr71bBajievBRZBfL06wK8/EzMUuISiy+k/8LEPR84DiMfMrmtp9nuZBS2ghk4gJpAjR32wAf adix2LtoKcMNJe6wBKmv0emZ/bOJOKxt4NQJhvvR66YzNw6MIZJVr5t69KMPa9KLtxVYFhbrYe3q lTI1omdq3aPZNweZYZqvHUWeOxhOzEl/Nlmyp5gRsFy9JtOCHgiAsUnEV7W5jFlPEXHGmaluQVoK ZtkkIgMoJ+BYn33hfVdLy1+p9FZ1fw3JUQnaZp+0wTliK1qiReN1JIPFrMz1q3+Ith6Xy6QCNHU7 IhB9I7FyLfG7mzPhWHp39e6qIuEMVUyqfSKs8/2m61N2Rsf5uoh/+NDrMLSsTeJvWhDrfQM6vyCP CEOBOEnHzVA+ja08eIyksfTNc0Wg3NnrNfjtUnMU5MrAK0nI7vjgN8cfMxRTAHD70NQ9ZZ1pNT4H 7i0w6329BxBpg/yRNIo55NbqFcSHZT0rOzWT7r2TrSrQ7Twkr7CIoGoufQh0UI16aVeGaUvufEuC EVqp8BaJMqug/qUP9ZQs080/ThfhEo1jYBAmNlHFG44tUSz6XXto1ptkDrOJ3yH7v8x3x1sNp7cW BUhALx/wWLjwwNMep5jVoR1B9l0qPtyN4+WqgjSm+1szbjshySw2SKKkmFoAAtVZh92Vowcs675C tH3r2mZgHYBN2OzLLSTJqY+xmn07X+WjH9BxxQUmxPWn9phda7xT3ZI93L3cdTM7Es2kB+WyHeNe fMjsb05HiJvNKwAe97XVuwec+QQpnusiW0W0Aa6mD/6xl5i96S+pKIhEE/Ge+8t/yg0UBRX6wMrX vtHlXlfgWlCaItwA+x8w5pHuo5/Xp3uEoQwqgRvJb9uhdOFsazD3aFjkBSISoVIUc2bHEhmzRaL+ aZOjqprg87Dp1ikwZlwASARuAJ01MF8v7oUbBiBz0OZjZCDdcLT5o4whAVWZLdtyvANwHmkNs2uw wfDdSKQA+XhRgzXd6jHYv28NevMx3DeK9Gu56hvZoFOqfsStxUhnE0TiUIuzI6viSaUIlrXjhzFT tvY4Tceb6dfpm2qcEqD+1EuTzxdw0zQcC0v6RSWTtNDj1r/NzIEON3AshIw4kJ7C9OSb2JVKsf1y 93ZxoyJKsjOYjnGdg2XYapAFiVJzwDQMhUtU2H0loDjTDwtW/UcaRk0ecK5cdTqAAQlTGxhIVP6I X7tb3l51geYPMJay5xtemzfQtsOFdUuXW9Y9OtUHWK/jxj+Td6KUiEfa34DBAVOQjZZJ1lirHfgb /8I88ImhL2iSQpn+CeMXy2ZKndxxkljNnro8jXyKsP7OZpPrYi6iHQdUEiC0cFWlmihGRcNfIb8C Gwo8aUA1nZuIvfz+0G+3hsM/h8fG7OdqRomaF1CfJyAe6x9yfLF9ED0bmxvOFxA5B7hv2jERgm2Z Xr+nfD5t26lSFL0TMyAvRJ3zapqbeTCIlF2HdRnC3BiZOwX0sZhwzb2J6/7tefP7F8MuA+Gc3R7s nAhhjCAMUGFkJkqD6pmLE7wixxaaomByQWFWpKZ+qOJzusZe0eQkefAbXn2TmxoQQRa6A2PrYAGR DHvsFHGbhr6nabcwnalu9V/uUiy8XHKMcJGy4Zw6+BUiaLaPuoKfehD4iZ6yqZRG4Y18HcagE6i0 u0FvMCvpnfzVxXKWLtGoRyrbXGDyhu7xO7Ps7lhwA2bL1m4ZY8NOj2PlaC+BSW3MIHQq90niWsuQ bOPn/3IpACwL+WJME1oWUs5DipWN0kMY8ya6Q2VJHdgfPV3xSbZmb3LSNyzRC4VKp6yB+NbMGNYu 2hvp56qgh5NAYvZzOzpo78eqxe/Z+35O+gh+tKwcghhKrdfAMpvWqVTKPIi3qpDG5jpb86Xbdtzo 84qSRR8zQhAXRki8Kwu5F0pHBzUhqK885NFHTfQPY5REXlbTBiNHYBmfDVERNbLb4vq+DFSWRPDJ V22ZS2Q7/fbxiS9/79FL2Vh9jMo5xfZ3o/T7tnwJGKsrsuRu7si5fcn5v7YsaBer74Oa5aKqyIw5 1v9b+8GLLK6uNrL5ObZNV+HFY8xqmVOOfw/Vfw6EYexE7Ljz6Qziy2b8Y5HXeD8mZW/dX0UvRiGp fZ4vorRRiJVb+dZYsWSxZ9jYnZKy9tiktwNNgk0xQtBWA46v4kaLkSWbDGPfm6LH6a934Oi3fxyM M8qIPMJ2Pt3djKoA6LWxtHi69lAQQVfu/pyeirNLlh+7fIOODwZgTkE5jUfoqhH9oK5OeGPN5lC+ IjGD70sbj379nlhS+VUepvhdqtyNsQ+Zc85nUy/WMbu5ts7YnpsT7yiDJc4EqNo2cmIAPMIwljM+ iILjOSsi68+L+xUOd/pvDaZri1RStKLln17lPUfzQA4n9cmOW3Yagl/lYjxBpvWaSFes4/FL0X9N ZrcAZyRAf3WzvRaOSiuRkQ7pJCkV/FqShveie95eConbLH738VWuscGdUv+YKY+uGYynzs8Ho1Me udTxlGOL56fniLrvRW5NRW1UbjR76ZBbvu0XDLrtOLRbRbmzU8yIn8tHHcdhJWXSdRLuReoK/srI sYQYmOV0qEeGMsWPA4V1j8zikvh0AIukRHF7RhCh4OmIDVPkZ98C2leHJOoP8g6oeCt4jgsC/D/R kl0xKfOkMM5Fd5fbSPadG1xM7mRtTRuOuysvp7Jenc0Cmi37qGgTnbxCWKMpOV7KbhxMnGXMZgw6 O7BDrIzfRBqebG/LgaiflZRzL753aNiLrCdfoS8Up3sRXGn8cWS1tzc87n8uYYEOC9zXMf4Rb8yf 7+9tV6CZ19odqmqnNnv7LW4Pk/xevVfzeeV9hiXMeOhHfqX8PqpelW13ha/LZ1alQneFtDiehEJn XzRaL1YkGZERiBwJH3Nyufwn83bOfDY7cooWgM79twHKdiJXfTEwdW8evpCyFXEetPsixgN46v8P zJHpVmiHBLzijv0eDHfgAf+64twuuUsPfy3VjVACVzUuXIM+VTiCPIlNvaTOx/dK9EtO3oR3MPFY cgpiVCGF3Nw7cu/5h+lqT4z63GwyGYB+YuuNhrmEC3/Xhg+aQLAac2OZhmkk25Qv1snNDOE7ibBk HvBhwJqM4ombJ37mPeSNdMpLFd89Iwdo+8NohcDjkMqhI3gGZ44uSonHf5I2Gend+p6ACcX0Z4Rg V7BAw5Lu3JqQQKeMUVEKrwA5ifYEPWnqTd/ugZouikO5hYrV0WgfXwhypxG6h0qKUMF3jBku39SO 59IKd0Mu6d7N7+Sy9GGSYAZFiUbwJsUYb0beDMH+FFUdBt+KWMvbff76kHeCvem7Syq+F+HT/EhO HyNYIrkyOcfmZLOeq9ybPbbCi6gRqw4hyUFECQi3f7qNJWQV/2KWJKNTdcPRda8JM2b2q5ZDHjkI Ub8FBAnSDBsinfkKHrZvzEKG1ZSZfC45GoGleeBrWrAwen1182MaOEdc2mRI0S/xUpde92MZV5fC o+HJVzoG2YMinUOjvhiJGC8/RJbLlU4L2siwq4zJz3ajrsJ4pY5iCEczAqTTGi/6/w3u8s6MMI+g Ff++0WUAp0NAjeb/aa4uOfgHKExr1aoBGnHovRUSFPqJr0iCOkZeqhgX4MNddeVzQiocPwXPto2V an5vj4RMDapb2mUKTod8QFr4ka6iUIJ98JCsEjIBx1IZ6vzj9MSuJuRPwpxjes5MJ49iE09UTelR RVFFo5/CQcxZ++NtmUaIPPmE3VWkhO1S+gX3YCXgE3QEAp6CbVg9NGaDwmdaqJZmowkWm51+Rl0V /y5c7mm0YN0MsqOKk3GQ84uwh/JhTP2zQkoD3fYGtI0jSVGWyAioNShwcKhkdRsOcprf6NrZNhPj 78UWEoANu4CWOci3ooPcLbfufuyiOSL8il7zEa3y5HrVJt6vfx3a0M+uO+3GDGH8bOC2eYUrAgjF JFV7bW7mAfkRoM1m6y3UbSXSH1XeSDYCrK6CjDjieVR0Z6O+saoIGNPpDI7MkpZ7xWFZd8RUOdSw +Q1ooMX+7fbn5lpKs6gX5Io2D7O2PXnItWpInbGtJvVa7Bf7qaodQBiMBloDqene/CAQNq0pxiha NbBeksBfDImaBHackR5/04oj5uQsLtMAuByycW6FNSAgdBjJf0zvkxNa/MDBcel8eOBOuu8rWpDS MuJ44V+WmukdnU7JpFGeUuAeVxCRPsOwwhTQEIk+s6QkSRKwRjepkXX7I7S/DAp8QnkuRpdIbrOt 1SsjxXcere2Kz0z2AKIO9t5UGXtDkvKZsZC11riZMg5fKFZ5qRczm/lh8tNySyysY6k4PRIKeVpG yale8Bb6sYUTqHxlcmsZUXX64RNzEi4XtGobCGeTTZRViNmLoj8WqzsG+4KS0lhf0qWKHNcy+8Rh il5MTnyaSLY9wEubquXu2/LtGDD9TGJmSRoGc8PFPDbCDtHct5iuPTtDKIESG9LYdgO0+VZe6sYj tZKa3stWeSYainOFuLDk3QzlOHyqilcvcTi16LPxqy8Ppy7rIKPo5v7hBlar6ik1E4PhIwcnbaAi AyI370V8hUyKp9bwsbpwl89AJ9f69yVQnOaEP/uMX/M4LZLuwmyajIrXTEvmIUqWiY2DTJaVy0l/ aI7uByyn8l2TSVXNIR/DIwKtNyuvYh8sHjzHYzOVP3UVuW1OnfjkSfT+cyX6FjHOutPnTYCN/spp 6vdKh/0IiOFBzpdzchUEu8IQdCwcTbFzjqsebCMFc+u2Cf0W+KZiKQkqbqKU3BiUOf3Ftn7JLfK8 Qn5B+R5u27Vwq6IuYNo7q6ndu9qkLssvtG/UxYGG6Fs05Fe8aiSo8ARqTK4Hkg0XaDVYtPzhK1LL vDd6jkTFdTmQr5GL8FqxNzAszEZnENrIilz42DB/bT1hqWd4WyZD68Tqa70EI+t/LZRz73KuAl9t OukCnK1X3KHEPGE1V6/sIGi4umxVkHnHoi1QDdFJhmNjTAfecxAw3Cw4YntxCuB7IvmYWWl1NsMX mdBXB8R7LeWQWbJbXmGfrC+JOM0IzdYDI3lZj890LLJhY1ml+SUGdwgWOLoKBVfwW+y/1eA2RL1z No+7r3wCMYl52J9ZEZywDaX7qbi+mrFcGihTWxFAkcJVigFVfjOznkBqk5DFlEfQ7h7R+ssnjwCe 4QDXyyY1YMN9VGd1fp9QCNEv5itKJ6lUEb9E3CgRH14qyOamTRvhY00vD+1IqqB8hBsPuJ6Ecp1p hPobOyqLqaUEV/wuVh1EvIMcMosJ3vkajQBHcNeBjqjJvLVvhmb2K3ggFyhjtdbSwvC/zMBUNIns 09TDUlPQVH7iarQ9eUuUBgKmkELJmSJ8NvTUJLdhPPruKSLIrY/zanWRfB4MtsrWRgdAY/UH1P1n hYyoU3KEgn/bqyei+zDmSH/oRbEP1ePkAQ5JV9oqMj9LU/1Im4lp5h1gRpPnDz570LIQjbI+udcL 5jw4z98zNP0sFLnHHlH7Fika0g8yteWfvb7QZp92CpNVLRLH+hxR29netagwD9j04kreo24VF3Bg TsNvR4muDJyJcdOExIJZxBB6CiEnDEYMtHm0Hv/ypnlXviX3us7ch3M/a2zI/B4HsbyyvXL7UpkH jYk3VUx7JtdWD+twynjZoYyce4z7reFdO0/bDXmT/vWOtMtQgdlEzCjkpPU2utygqOaq3OdHCD05 J6WvfjtswKVugzqg3cLZ2EYP/dTLnQr58939NOblBM8DpR5UEP9reDjR+8/lJLvny3WgpW9O8WRX Twf4sY94ZZXuYNMIRGPWBCpB4JcDT8E+KxihiF4IqWALI9hpBP3yXt8JPfPB9OnokA2qeBloUAmr +pZwsyIVn9HPbnUxuGLzxiLF8o7L8MlCEFQRmMzJsGDoTlL8HNAW0W5jdLp5Mj/jy1Cg36Hh9hpk 8RVZpAY0iQWjwmByQn+Gjco24w1m9QCCrIqZ9ETH3WnFunO3bJQaf46yP33QmlGrxpD2WBEtdIvh PQNUFzse5dEjWephJIzbNJDvbKfpuyDjJPMDXaf49ajpFF4G3FNlSBpuyojlZjdE9YVthbIqf0ch VF4lWI5g/nYSrpZNUzFIhLWrYjtEPYZpL7Bvwuh7v2CVub2QVpELwV1Ne0d+r3Fh9I7Lr9nPlrvH sksV+NzSH6vc5UFvfziA7HrrVl698tfV8vhm+z3b6pwwRs7qeuoOdwsDAstVKg6nXjjPrgbhVm/t syri1+9OQ3/N+pKeaBzeH7MQMuOIDhGsw4Yk8mJ+7glsy2dOdrbfcRpoD2MLu6iqgniNGpNj6QfC IQOfjl56U50DwCNBn3zm+Y5tkLpAy1KL7utVYgRXb4QdeF8OPHsGP+6+c4Yib9uQE3fpANez8TzF duab2a0WWjKSB19v9V47gcpJ3WbUZzahwwkX7/2RTX63v2O7Oew0Ofq392jraE6Na+9tgRCxSxQf FIFvPn+zFphaJl8EkX7QAmHJle2iCGkCam/VoVh42p5BFR3PrWHB1Zh4PrYbD/G2gjq8DFfg/1r8 195xu+67fIICIlFYvWTwYLhj4iE7Ul2mawl1/Az3naAeOAu9GrKiBU/c1jXvo2cfjBsFu5ktnX8o xQPxAgQutokAsxu8xvqKh8KQtzHRodf7t4POJUUt6h2rY5IdtaieJ1HnX1jSUt0HqZ9jJ+lCih+f umFp8PSEkRkBVlg5j9o1ZOffHt/U+J8V+O8Rhy7dh/WExY/1nfzpqZl23tJUlJUpTLeQhLmsPNYI unl4wEchUCtpWpo0E5MWRi43Au9imyOQdbBQWJvCRm70tBuoxZB2xZoNzTBFB9NNDENlFFmEiKSS MsTlhN+udmIsGFyGi0fYwYL7wueIieJUJgcEQimRMAhEZSpz9h45Ynv4v4AtwBdZH73w82EzTnxE jwBEwpwthVviCPKOAWO7Led/gCnwd5byuKGjhPZ+7ljDgpF4a11z0lMNUxVKGpy2rgA0WhCV/Hv7 Hu8QE0DJyKF/k0EvqhXWqGesY808BxP/p17Hbz0Ww7WMFIOUWssXcuzEe17iN9o3zKQ2uk86rusa dVLiVHiAYR13RfTc+4RRK3M0LgyK8XJAkNBwbn4brq/v5oL9NV/eicnpIAhnhArJpVnaGZxCjBFm RowI5t9EKnE5l/0FVW2UqCSahCAxrVfF7b8LS2TLqRMe9Se7Yp08nAEhDQhHdu7j5YimzfOPsD1Q j5Vp5mVHjOHCKzS0xkRkSWkgzvx+qlVum1i81iPjwm6J6d7phZiJfn5Gyui9K1ZzyaUWbvhWZ/bg WAcBdRXAECMKPNL4YB5HZdXdoy0Vs2tnAWIc72A0oWOFpEHQDn8MJ3kgsNQpSm5bw6LDfvIMIMI1 nboPryDa4EdtrVpZxm79aXohNnO3HjObWcmyQuRG/pzMJCseb8zlp/ZCw0zu6P6Marf2POJZ9TG2 UqX/DZ+xU/Ki7TRgCZtULFJH5yb4ABWqCHceQwlziEC069J32ECVEKCrnmQs0nE0ERJQczfRUGjy TA/Qqb4gBxotjm+bOAaB8STPYqtbtgFTy2dPcMfJ+P40aASCG16z0hUIYAp0oRxBXlYFjJ/zBz8W EqsKMtN/VpJYwYaeqUzPsmHfYz0aoc//3dF60isntVbfuE+yJbPUz1fvPpSZPs394A0azvUqvk+I BzUWnc7G1ER8wNzN9vkK1ePPk3Qvg3m797QDXPt3fcJSfCaicBARw654xV1uP4oDiIJJ9FFwde/M E7sdA/gN2ye8YjHNUc6bcYbx4fUSGEKKzml6BRkGXIPXqJim4sfdArX/idZfOHzYkk9WgrUjQy5V /loaXiRQ8/JrSQZzhC1R3ybt4JbnE/vmvoy52AZHIn/LugyPhgt3BkG6H6djXPFSQEQvEPek+McY pD17DgpPSxv5/AQd9FAw8TKw9aQsqrZDYOQtdFZj3WUmtGSVpNLDjEs5ZIvIY/e0ufd7SqG4o1eC qmy4pHVcUMGtlvceOuY7ogbqkGqHnZRDQHiS+8qIVne/3OGVNWJfbc9yawOJUBcqzydf81gSs6vi 0kD+hXcS27IPGJMYEgKtP98DTYs35eRFgqHaqkNVKBuXO+wky3jEQ2rKxU3zPh9x7SrJjoJkZ2yi sfps8rb9epnwYFojdfH/LUZYcpk57WzYFhTvPLZGdMBUPTqJbc63MsphZW414olvjLRS0bGoqqdg VQXoeCOTAty7BCB+9kceJm7gpJ9dgwWiersio5yr6uK2MRqYEp0xM0+SJKxsOzkRzwEUiEqmoYir o3zWeovkcuNOshtzGZqPKGt8VbmaPzfHrDbu6EdSWVKWYz7higqlNpYcuTNzx5eBX+NvVQbU2vBA Pi0ZYrmm3vmgzK8ymC69ZJC7hsPI2oK8b9EE2V8KpppwWTE5EuwKiP+//Zg7vTgHHXenU/aVaLtb 0JTAaGqNE9goN1Kh2NWInGPY/C5d/zFCihfyXAVwB6NFsx37HU6cdQEtlmHWq7fKY5W1S+zx6mWg 7/RKZGoQ6VQ+UmWtwR8cc4KPi7Ek5MGvzNPqG21YJeNO7XrHK4/5A+mywFNDbHZb6+czsPd6e+JD M9z/dcMnXmOBfkOAMQQ0PyrBx/lukXkqbcinR6lL+xIY2F1sr+EgCMHZ9WIMlMEIugnPscDjDxOG s2kQAomG5qlPswqwTuIQdnr9u5J+pY7LSN83MrczStfz+c6JkvpBZQABPdZrKOwcQslocydxNzqK QGtBbBfbVkCnBfp0o197oQjOqtkWdwJ/+eEEDtsqah9gT1ytMXtw1dQi+B+pJefNYiA/IBLZYLn5 qmKt30j1DHn9S4FVcodXQrdgrgR7+ee8UAukHkMMfJx5BQsR/1sp0VhYjT0bga21A0u4Q0Ti41O0 oLvEHeB8xsajzfUnq/F3bOSnuzpSsRevQy3W6kJsVll5Sy/umGNogCuJrhFfP3I2p65jGobx2AdQ DA7RpweRqIsn23eAqSXWGc71M5fc24NNskooXIT0Qu/jOMHqzkabXuWK+oQOVCzK7PnJlD45xwad tnHKA4LVaa1Y0vh4ZajJv+jgqgOhPAfCykZq782ZR6pt6sn350NrA7vAOC67InhdLdwO/Of+0yTF IEM+7rpx3mm785rFAhh3AjuN5WGpISmpz3Kj7uTT8BbNRC9AudinYP8A5w== `protect end_protected
gpl-2.0
17b8d3897ec2f17b0dd6115329219a7a
0.94867
1.837074
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/shared/multadd.vhd
3
52,451
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block SPzY5ac7rof36eiw7l7r+1HWVeIK/1fzWUcnTHfyYKdIFR/7huevmE7BHkaBLWn0CPsDJvANqpaA XYUzNauhxQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Pl14fIKDmlbQFDvmAOmDwtv/KnPM4ihgExETaozxmJVBnKvnBfZ5kkiCmiTUpMo+e8NUO2tWzzRV rszGcacUmAQX5LZCTIHebG28KD4369LpXFeR2EGKOkacdUqlLAiuVPVROiWQF93imoi8nA9vJVHZ F133EfDPApQ3PHquz4g= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block QBM1cDfnoAz0yxKVSO0jYLV7Q/jjDHZLi8N9f1kjEEuos4wAjo7CG4u/xkfi0JyPN+pMasE49oP+ XwEE4bqFtXpTn8g98qj2Z8oOaHQNm5PPbGaTsqkJQCRl9P2uPoTc0IN4qWQU1PfQH+hRzKkO6KXw LcDbtA0+ThKqEMr5Lm/8THMMZbKFbrvpBJFQGy72YVgbxojHwblM4eL3LY/1dEvLyb5reWB0COI9 EI9hkeZIbdESuDBrDLt1Pg4CtlbXXIPodh1Ev0Nroo3aANcr3WCLQvIehTTTU6iVBvpQm4TFeg8v DEBTS212sYZTl7glvSZgj3WoJpP2jDROkQbyGQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block K4v8WGTkIn5id9/fEEE3JiW6VjLfxF3CvdYmiBs+95yyBvZwz3WcP/uUGBwX9YzSrPS7VEo7zqis ZLrxCVp/gjEHeKg88O49UL9B5/1zsrPBnzDgOBdd1zLV5HqfNWCniZtLJqzlp359ROsEnhtNo2V4 1GAhGNbb2qWnpNiMWpk= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block nQo7UQyeOXWCKffMAM5rRDWnCuhOrUYrqVoKMBh6D/7EYgLAhK/dGXkQ3/T6gljWwQ4c26m6mOPd ENqr6dQ/Xq9QIi+vBR4rJvW1Pf5I2oPF0QUqBetnWGvswB1pzJxMXj718blSUZUiI2UzOhbnO9Fi 4aUWzpo8mQqZk2RzxzQBchm9xJdh0QlY1XcxARvLpdUbNVypHd70mW/FqVuHSzTxpyeyxB8E9qN7 s3xsiTZ/kVAym/92FxhQ9LAi8kHEEQg13uwCWKUml/Xgm/tP8pjxW3m/LKhqCXFPSnXfofqfTpMc bssK/0Af27JsqNDgvjH7G9TbQlEhv3xPD2iRhA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 37088) `protect data_block dGX5+p4KiIcQxZG2dEloUOeOs03K1ZPKvVAVZi/FEIAh/y1WxbWObWXo1y4BtYZAdJ/PRZ8b+Zl+ uz7anEmGRTayTcNBd/3s+F+SNok8anQFSfIIUyk61Dylf7xWIZlSCmYKgx+sZ3lrOU/IJRkUJ4DV tf5MmGAe7vY6xdxLHoYJBSyEzC06HHYh1LRlReHYKkbwqEuaVt2Jpqov0srlvo2IYclgpZYR01+v YKI7VJtD4fwBQw9Ou1NIEvGXNoZcrtj6HRdtc930qXSURp/HG0yj9k12VEkRTB1xJsx+lzGtm4nh HegeFTMv0nq1lUOKWOcQod1VZJTX9AOBdO7VQ1N7yXdrZM0kk8QEQ88drIkDfNJIg1ko1HUqeZc2 hDPnyJgA13qpmRswcl5lJPPbP27q3Dgzx4bK2Lu8ljVctTErXveAY8ZAR+reXc6ULVvfH93cnd1/ fuzNGnPrznXFgKMc3hR9dsL1QKkKKY+TL4DdAyVWLkkzQNmmR0qD2PCvy7+7xdiwmmcy6O60jZ0X JZI6w2ZLXSZBdGEcPbelpFguSR86eDhJ85GX3eFKz8HzCgxDriramStM+voEMGo5cZKxqTzvVOHL Tp8F19UfIZtjsAHFAWYCJoHoLEAnCL/EsmvEPRX8i4teI3SgUpbrhmzZVRKhpumq4dzx4wss/jXa oA7SpYrGdmotfeVDM27fYRLSaSLoZpBKZ5nEUQHU4OxYE6KZTv6w+1xVtBd7JwnRfB8u5adOaB1g fioBcvpW0VDC+cDnYITgBhP5QnccIC2AIAUDPtNQXrDggcv9bsjMnyAW13bC8WgRYdl6XbuWjR53 3RpwAppvr/nLEEN3muNp3Ff5b/TldlKCXl8oi+HkkSQxoDqaHMb6MkyID3NeXuyBmdeGBygoGp+z 0yhmoM97yepS7Z8NkoLfwn5M8Vq4zhsw9jvtGLWd0RoikkZVVmAunGDwISToVuPM5i+TUUDQEJoT lgtFRouOL1eZjAzlhjxmiyFPGw3AVVPT4/XeEJosYvK+2uc1gYEDpqdFlFG3kWjma1OUgqM4Dyqk lNmwOUKXm9CJo9Njqlb9s3607HjN9+5ocXCxdz/hMEZO6SuNu9qkbU0S6YzFE7dfEet+WQ27Jdoe peJxRSF669zZyCwHgJlB+06Syu+HLiaC/gZHxlBCzldfG3aCoypzl2lGO+EJUN/mT4Z57pMWsJ7Y ThFw01Ln9/qZTJJ/FRRqBBBVfkPjRKvGr6AVStYVHFoWm1ccrCD9jH2lNhBTXQlOp2u2rjAqyjSr lsb35xZeTobvs/PaJwfqlaOCDNmvM0L32pt64kyTVgtJAQrxAOQzc0imI0WtaDWQePoTjxa+54hF gZwwPoSmTol9PCMF2ypsNnY5rZBnYrFy6W0X4hJOz8vrkD7gHN7ycaKyDwLJCPWYTq7nxNO8RYwg vgP9d6NeLoGHzPip/BtXw1jK3oPvr3NNXhciv4e3zLnJnt7PjxDAqbg1zcvhkN1uVHQPOBt35TLm X0PxVrDSMZMDgSdDOjYeIwEZLCdGpZ9kH/snMpKiTZhbphkX3ytsb6bR0rI707FdOZlhDxRvZcod 6fQ+ccdQJ0QnngHeVpG3MP++UKO66p/wTk8QLxDLg+pi6icxtsXlCLUxnz/OXrfWdJTDOzyvnEED Vk8XZJOg8xc6ZOz4TeZ8YyRL3NXk80NJP7j/V+MoBBcWVQBpgCZWCdHZS/bvti/HLKJSqVqLDG5t pA5XYGMQt2iZ+HBcf1dh0oc992DlN6f15QRojbKje2CmQ5mhHRw6J0DP0GLVLq5ZQQqsnFxcnZHU G93rKPwYDG6bzk1KzvQh0VyrKrf6/6IZzoUon3Ap/ohE09yMe45UudMzKVM3DN62MScpOWlMSh8o Q+RG6Zi/mOMmEVUJTJ4tyJEEM+CkWR5uSpRq4+pVcbnrFQk6bbnHmPxEhKY0y57Dtk5Nkztcaltp K8r9ZJkUIKnUa7rHFk4PiMUg3o4qE5yGo3HKkY7LZeBozK658SvrwtTvNaTdT3v7sFr41CBYhbiH MWloqm3dxCUA6bDssG7zZyBYZVRsw2hSVyf1+u9tvkAvWmBeoLPlKg82c6lNlERfAfnlImyj1gRM R8kobgN/3UpOiXV297Hjy6isPgSQSJxx/qfttAa1OfPx46qC7ezP9g63U+edIXofPZwhBCcbvbER j6cv4EusTstg2UDvv5dzL1NSdYbg6ZjIAv4Zi6I1kOI3xdcOM8HFWyR8ZA5bZ1hJgLYpm28PgY8k qXVSf2Grv2E1Nt2n3gwaSRzWm3EY6RrqNGAX7S6tfJXM6TZtyvALLVWwWUCynuiEim4rlHc8sgub x/rr0dM6Z4kdtozOeqbI7v51qBnidxhWYy2lMT5k2bzTAK/Rt6chAzaKHxL6rPWy/CegkBIk9MSo L/87xk5yr2K+JVI0t4NBIVUsLzeHaClMMH1fmeKGthAwbD0Ucy/xXKUzq2AWq8LNT/PhpFp+6p/Y NE5rUrwDMRmBS6YVdUmjF5D+nziBHEjerNDfeL4Nko9/XpFsCgFtARelUIzTlSPGBz7Aj1BE6R6Q Saayh0g5HH8owWc04qUq4zqUgLRCIL2sDQQKlhlABWSH34OI4bk5zAp6ip8IPAkf4Jlxilskzzcy J6tulZeX/5bdemGwel9tyr5crpQjc+FZ86iZNneQvBPnf94iXuBGhyH6YzyD2w3zgyTJaMbx8wH3 uLq+7IECDI9Sf7jZQFDT20PrVLpoFhZiBBx34bG82RiAJiUfQyftIvOvJR4dkqAN1yyd4OagoSLY lEIkbYCF2nQg8PwYMy1x9p71ZaJdvYLmlnDNfT2qWno2ibIB2+kr3j4oKmRAjbejILMgzCPUec/B x4bkovmr64b3+ZwwDEqMLmpli10RHN8s2eiAGqKpVoqJ9aT3qYYHn0aowhHXU2TgEnLC1s7xgT2B pQjHn39nlYgjjuiI73OCrXpNrw63WaIjseNrif1y9QE2VSAxib7MiPtCUFjVv0OmkDB+J2H5sSFy BN3gpmzjl9zC0ChVTL8QL/QyQ6cUiELKvagqpxItF3zjUJTnH9f56SCy7GUzfHQIA7fYz5DRPHvi 037ftlYGgtl9qPwM6FK76emeyB5Yv6pzwHhWmWSjtWOPfgR6LgG9FKsOwol+Xz7ERrw8sO2gPdib Cx+a2ZsDr2DoyrodwkEWUkqfKRzVB3y1h9AvKDiEw3SunS7FfOjRDwVzPERpUMkowEf18z9HD0Wg s3wqbq175k/TT3iy0QoakOpYioXPBgmbh7YeDxI9pXdIUx0mSxv4c9FaV+JqrviWyfxp2vtPeXFP MRNYta/RWVpEOtUUAeKUwUE+MDu2fEFILO4tYexKdo6U0MXDYjeR3GynyvWdMn485BbZMBOd4A1K 2Ubo42C+kKLmD0/4f0QEdo0iTU5ZnkIWgB4gsZcf0UtWtEt3oDn85cgcYtVRmjKZXU/jMtZsRuzM A9pguXYd5MYeYQlnDkZtw3mKPggXthPjcNsoK3DJ4S3BDtPHoTrTH7jkHAIhuc7qyr+6hU/0TMtG qon9gg1O+/xJ+60mhLbV+EDHBf1jtxrcsrji9q5OTXIfc8n29KgEg0cq9D5cue5BOrhfKgvL1neV rcWqkvSFs4oBlduuldO5gs6fbnzWWX1HhTPLpHNaP3WbGAlneRa0dfCQvQifWxd7E68tQ2wHIqgL GyNa61E0fnVB3hFRR+VqrGC8LQ7SAROwgBGFG+n3uXDdEPMWVpBb6lynjYUnrf4TBXAVXNa3OtNK 7KCB6G7Y5hBkeGqnlRhymWrR3wHzlPcPqOq3bduxHsqFNBLcFF0u5GPXLO1PxOPGfsTDqTWr2Li3 vmo4HdmBjMvUaIrW6NZeaHOcAiPU25TWgr6tAEyil0t7O1qXoV1eNIg0QRYhF1Te5swUx5H1u85J wzVyKLP289qFX4Hw4ns/xX1WtS1wHyUnerJATsmq3cusY0m9wZu6mxpvKR06sh+or4y7kqRFv6c1 S8R6FDvi/niYdKOdJgO4hJsmmmolUm4AQyi/+0ueLo+Zx4NWgXHMRkeyyjt34kSKE7Ijmm1N8JYd L20JYE9FW9vuIYzZYA897ASS3/ynTNHrLng2TA206RZKAPca0rGkxDHjURqGrEmogLg9Bra78/Ke 75TLhbarDv1KLLYqf6Sa/qpJkUgin+53Edg25e6PKENSC8QDzYmEZlPlA8xcZKT0SsMh2cbZB2ox BzecfYAquZAvFBVY632lSToq2pZOyCEo5sKXX9MojPVYxs5yXuKPdMKsN/UjC+UoY2nyL8V20G0f kbvqJHYnXLWvRbNcReMrNO7ltYnTkpsKbe70xHli3zb5ASibQ39BUP7WtM0h8XPOQdXl30H0ukg6 6p7h3zxWe3lldjgtw7yxyTvs+gee3yULWKMBV3Tv3yr8Jscw5Yl6P8/AgvOs2AuxRiWkmg+xhlv3 O3rOTuuoRzNXXvadR7XT68IbgxpvYUe3eL6apSTRvfoxZz3ZzQBVSrTLdYbWThn470p1fHH+B6yc zVfQGuFf9+QJfQ2DT30N+wugr9Da9ey2hyfcMMKG9i3O6XH9job6jSZoId6DZ46peXWwzDSCJ92k RcTPpZAf88n26PX7Jn4HKFJOc9qdvdmiszkYdy/XY5944ctheOWGKPA44BvdKcUEITQT7RT9IbcL X6Cpt5liryJogSR9YLKJ6u7xh2J45RzTBFkKnmaaOxd0xDjOhkIRj7AtrrjJr89XShwFK9B60UoO CGogDDpHOnp3MDATzxT8MMElT9C91X4pUgTsXeeNPXK5gHX+uxjXYCzRyudYWMtRei9MQN/qLaaV yWTHh/TLlZBLXB/FEh20de7RSY1gZvB5FaxC/e4PeTIZ1AkpfMHbi38OdSEfC4YwFPnWphsz37PN l/pR7RKlFoqx1wzvQuleHjExPCLoHOTq3PJS/G2M/LkRZJF3CUn1bRYyoDo20Kh8KD0KiCF5Woda szsWqtu8szyPO9CVBlzsk575ClSLqFPiRIyg7U1HJrd5hxI+pQ/uvDJ1kjvrBhW0VTiRVTJDNbHn 0q4SqsHq75/+9tW93IGYw9y1pjgr3d2P8ribR2voRHcaAOpmdbwM+D+oRWYRqCu14zv3EeCvZUuy hpGDE0XigbrY7Ed71Kxta5NUaGc9KXpKgevWNnZAk2b/q1dfhkNhQM1IgNZGUdat7gu4r46mZMKi tBo7AWfhKzsShcT8sTk6IXH9YBntXGyF7chz8RlA8fykQcLnS5Ipx9EiRKbD4JXOb/TeypauBgm9 fyzGgOszW4O2JIbp7zDroHWBqU9m67DpKA11RlyBf4L4Yz+eat14p+v8yxnjphQkPTZE9ubMgFAt XX6TgTBiCRfz2vdFc6Vi2T6CQWySzwMF01kXQyXewQR6C2zIyff1Erk/5uQIiiu/ObKJS9oDNsaw bNHKl9N6BXySy0gMm/rcSx6S9Reqhbbr+6+hTuUCIznW69asExLNy9p1go/O3PQ6+lM0EtSvxlyp IIGTNlGc4tveG4MqTFhCHnHm14NVZqzenkNdGJhnXka4+mAhIBDQIFsodYIqBcIaXUes14sohTYF hGTc90JGkOqLWXX9J9/V0cZVopNWD+1yep9TJlxdCrbii4MBzORTVpf2uCYUt2UjdgjSHpgJiiKS jgNdjUH2kdf+4KdNhmcxH7zXshVDXBncu9TIPNBEECG3e0joYJTp4wNFD8RpqRW114bfPsoBi8zr wiPrUmR1/YPY2rYL48zQ9GwDxrl4HjMSWE1BdilXfAJuXGKoRg87BUZDQfJhhx9EPrb2dsMFlMl1 jPNfwP+JqEri2cFf6Ak/0TJ3g+rZ+El8GtSrVEZMJqQNlHSaX5ifXVkJVliJanMUmjeVxhJwhUDc jQoWgZkaUn4fG07WqauDqxC+mQXLw4MIw5L2BktFJ3u8qNlo3TZimqNd8GwAKs9tYw2xFi2MAsgL YemEQ0RAzrEHJWdPH16oW/+J2CeyIyO9iSHxc+e6QuGECDRfqa/nbVfxUmI3CTmODT0Vmo3Af1p6 xuEw0RYt7PfNyihF5dgb2VNHifHkvzrm+Re/eyldnA1wgEs1zcF+P8MI3SQCahsxb6hC6//8rm2f yL1/9j/yjSS9e72XFs7EO/BknAXutYCN/2f5/HIDqPhLasALvxH4xIkfPk7tPPIQfc51/ZK4cy3l 3lJN3kOvZpj3uN4LPBQlwkBjEIcln20RHZCM9HPAChmSTZ+Zv66Gfh0FJAFJFS1GcdTlX9hQIGUc g2VZ+kFummgPpmUJ5vkY4rJmze67AtKu54V15smJ8Iufd7z1sA5ikCy4lfpU2+WKDYiK0QocONUi jzStAsbJ+iUnVn3UV8NpWKkvL9iKHtBX5/GpZFQ2UQz+r+wsyHBmWooKUe1ZO1Zpl9VB62mNcu+Q TH/Rla+uuLfO3DfsHAM7P2dR+uj7+DHNa3HEOCnchEVcdc6kAsYLvI/b0tASIGpdcUuMimoUF0iG GP/fxlykURMxT6mxQkkUdxfcnSOpKINAFXWQfqQ8mVccGpsjQ6+c+XPHTC1TmoZ+ht6KDvMt5nll jB13mJeebpl8bZoBnxtXJRxZGsK28PnLJIV6odW+aRLv7F63AtSQK68gubsccOS2q5WQwGqzymJI WqVkzVDNXg1eitgWs75nteVSpgJpOMLDqcV49pGRLn7KNvKGnjIJRITa1IDyhhu178c5dR6Ncd6e NsKvxffq8ZZVNIoHQGFOVnKHzmDB4OsuENJtm84y8p2FxqkYq0SLO/RJUDubxR8th+w3AjaKWM4+ kzVPO4O96g9KmMuOwOJN2S3+ZzwIvSq/Bk8o/AbAoLi2swFc+cHSjOGHQoYwXcen1wtbxvjz9CL4 Ql93O13iggWpS6pXOuQTUNEZ4Ne3wzqE+Qg3scA5EKCnrdXBL+hL0Ash7sqZdMwV9pv/7HMG1f2o rlwgynMbLt+5JONgidZuHQ40AFenq5fna7VQYSbzkEbGNKXhlPvtSDbMJun1SvUfha64rX/UHhst 2bilQG7+YfB5w/YntqjZqkOlnd5b3PGuo/pg5S88X1A2S009w9H2KD9KKHyjjn+pcwm7OIzCFc6a 0Dlrj4jIygxyIMT/iBt7tcglfpSh/L6tYuCLfbSq+glULbJ10/I12X4ZazMLuTCFeDFO2lFc4304 1+lYhcrhqcfKrxqm+BbcYzMteARwx6lfUCcjrPsd3WYVuLVS7/ccFD2SJ2Gifcjhj/wkxiu+b0Er UxUPPhEQGH7DFOiw0DzcgwAf/f4wKRvr2tWSDuKSYIgGBhI+FugCx31uRfZZM2ZLjzHJWa//YcH+ YQQ8lfjpDMU8XyNsin9sr63RhiO/sdPH+MbC1NqRS+p5P3yV4WySufiH7gJFaaJN/IeiKTf2+q3A XTBZJlzb675D65YVrpOEukhYhPqtYmRnVTWw6Lkcvc1jK2YmFirZmSGOJorlIcVQgD3h1cG8PMW5 4FhxaK5CMDED+CJZCg7QaJj8eAHwteWmxAPuU8+Lpr+GFI66BKjbKAB+98CXRBKHBIOQTV8hHZlD b1rzIeZBpX5o8ptLdrfcQ7u4SrRJw8nPXcOSMpMy89aGOEWLgVjFcrDtDdX/uGcLWWjtCwjED/DN 7DqYIW/MrWlbksD3h7cGfsBVRvwGnDhANaescQ+58xzfEdyeRFWxrEJUgTsNRzteUFlFma2j49Th Ka4DLql+589tKuuROeTBvrNBGNVDgTD6gR3KV25kuhvtnX8wb7rRyCC6flI+stecieIELsR+eZhf SHM6JqlpzUNDX8r0nUkALMBzHKVu0byM5A95mZPxw1idVkphkajgNxDOMghdKr7ThENg+5/zN/bt Nie4TMlx+5OksMDEmETbPTdpNfU4o0sDrM3dm1Z232E9hG7TRmcymwHUWxZcoKqP1/G++H4w2Xzc 1JQ9Tbes08TThDAmdq2srhP4day+WNcL5wawH/5QefNT1/UpViGQC4GyqKG9VoTrKjffKm4IEoRT CAOXD8ImxzMYkPC/XEw06oWHAHMMo/6RazTgCckhg5kkIPAabl8kfRptPuv05VQB/DIb25cz4AG3 DtGDtg7L5idRPjdN0uWEGLOvisUKv6WAws2j3Hzfxs/WFnSsqb9zBoOo3ia+lq5sYFAuiE6jg5Oa l4iRH5LM/doCLuo/Z6OI0qXl3y0TCognijpYV3Y2psjvIpSF4GRPCD/Hp/t+TfSfpH3vfJC99bij 0THMsRDkxlDcw93ckRdNIllggIPCnIFXW/QNHj2kmM23aahgkXvfGal+HvvlR4pe4HfNpuyycvFX rtdM7cdqFil3X8RR1fneacr7PI2Cv60yJHSBuuUEQjrVRFtj6BBp/N/0Swg3HIb/qSKUPy3+VIHw Y9Qww0ZHn7h1gHHHWWwWOGGwkg6PBhKM4nPwGcfPQixiBT/fK6nYh47oGp3hqrJqee5Qhuu7RoqW 5qNHAVTX53xTLSQT1vrg63W3AHWvlLerfvQxqxIZ9Br1XNFIDD5K0o4J6GpletVbEDdP6nEfam79 iog+iww7rGVzgMMMgCfJa0wbbaDDNXf82luFqbo/hxz3xuDQEPGI02aiCUo+DQE75CIZz/iBZV2w g3cvpqW+l10sxdTQMfiTvIdJ/46UpjeiTj1GGvBgrkeyT/5miC3VvfklrbAT8pdsbk9GoVUuhAFV JzK090ox+GUpdGHgNBkacmtoBR3KVLKTHAfhtno2chdFeF8EGC4ObyDhFZaL3OAuu0XaNcljDfuL s6Vbn/wJH8b5pjeXpUq1V3HD2uSbQzZ9aB8WUk0HqsDm8LwEEjp+ChAo3lOwIc2u9D1R6edomQvg jMYlIV4cWbCnRAERffNtNkHSZYuXqnpvKcVaga9rqv4LDvzYZU1Az2eC0F8vhN4xOViD8ezpM8k5 vA76Ko4znlwrdpBkqohqcIR93HP5nh9PX1Q1hBXwZByamaK+dKsIqK2Uwm9wxxsRU28a+GW6Pd90 gJpXSp8gDYQzNAFCfaoGbRVF/+aC8u3Ap6N+0L50HnepEbmF8K0IdyHscbDesSpDvS1/DW8Lw05B 29F4mOHaDP7kTH2blUPLQeD6yVHRJY1T1SEOBTib6oy6Fs68YxYIfTtWxvBptPBoGLVdS102iwO6 NkppeW3CdrGTFfGG67g+4DxQRgwmgKTsn5pIqECsQbYc9zO7W1/1qvBAPu0gpphbet3shixpKXzw 8a/+twGdJV91Lqsi4VtEQFaU0Ds1CeuBoTXO89U/8/vt3n95RvRG19DfqnFpeUB3pTI0yStC6Tzn tgQhjVdz5maG/YOyYAjNr03B2Vr8hmJgAMdNhsLZvk8QC2FOVs/6WRUO2M69WOHjxzmchaiykw11 ZOY7MnVeJAJooCM5JWfKqxjl34UcEoVmZ9o29mI7aBDVGIxbvvAPIEaaFfeTrva/SYg8haYHU3uI 7oT01E/BzS9gBrfGCuVPjg6jXChqcqr/OVTSxgPEoXh+StaTykl69588p22KJ58BujuDwZgCvu4a GiugAsa372YcFbYcRSmLExNGxIgtrH/xv4Fo/69XwUnObkIN5gHtF2SqXIzPA7llCnnpnty7aTxa uzB4VwJfhXEc99PLR5XumZ9dGhFAUBG9La/E8RT4gm4xJ0dp4B6FMb/xcLbIcsuouxqAPO/uk4C5 3V6RGnKZ9luOZTcCdMs79ZBxuJMRynxvKpNvqa3FECFpog5vtLsjV+VeccKfEDRzsMZloKHgE0fw Z/AZeIUfO/TPwMZT5suIvw3BFOh8TSivWHKWJE9hw5MYj8airiK5tRKFw42E3NtvSW/FujoDkJS/ DRFJRYjMXLh2y/L0JXJt/dz4tQXi4pZ0qMD3GUa5+xQr6Vo206AfZl0rSJfOSvGQSxWArJkllSil nKuQlq41EdByZGVC1ZxnCnxQOKJyupfichySiQjclOmgcbI/CF4z6kdXLEvitKHQsX0ctYPjMN5b H5V0lvO/atwzcEwNntb5MOCXZiS8bAqPco8FBMYB6F5IIZqJ0g2blhWx8X+oGkv6e1l3aegnWPVp RYeMMZ1qkRyNRsvfws8Z3rGreSfpMLOju+ssSYU/hgMbJtrfbBYERXT0YpRI404JK547U5mniWxj o70ClIi+PZCZZcKV1HO8CQkbbZUit9XfIuUEuCLcwshmS37EJ2D8rJnUfe0HgmOv/y9I2YHewjeh BiKDHOj3yQzpUN8UKHU9UC5FeGCCpsvHV0/VFCKgoaiR2jMAO9SfEeYvvkx1PHBeUXIIOQJ+v4KV UsJZZFMUgfIRzUt54cGVIS6RypgIkd+I/EC5C+BQNIvGKGl28yXoRf/rwJBb7JKSwhIk2kJrca5v UkKNkUNABXJo5iuDyhCXPNFsaH46mIHDTepN+zq0u9aOh9u/4VI+Azv/B/aZpNM/pq27VWCmL7dd ODhoQ97LyIiZhP460v2k3JRWoLUg+A0b/9ZnpwpwRu3AERnA2XIXQsDLJS//hF8xWbDE22VBfsOX 0pBgAOTXv/iSI220zn9TRI4WMWOBm47knBDSME3rst/GyDKwDaR/Go0Es+jeEMHzCMixAKDgvIJP dSU/h3eAqbMdrB5ToILEME3WsBjUGknZ8XUb1jPnCKaCsi4B5Z9/94VYNTbZ8FHDJDB5IfPvTiKA zoz3LtfMUu+r3McOOYlNQIfGdo+MNuaAf5L+ARwSWK0l95u3eXUkp5vjPw4Vu32nxQd4nKuh2oyB 1h8z1K7Lg5irciijjIRzLofg4rYH1JodZwGmEA99AZyQmepeC5yW2UH38gZVY4o2V/NtJ7C+1/h9 kJTqW9pU7P9Mx1iGmPtuZUFwiJ2yQpS7NDbNi6v9YINGwMAmR6qAvddZzX0zIBNjzWYUjL2OZl8Z eabsON5kSTfCRboxvqRLu2WrHZrEQus+YfoTsJCu/h9ljCUexs9FvAMhckEKeiM8oflnCsyufasm TM+U3nSF6Xj4gjLq0r03VLD29NZGomz2ikh5Prn3jbHdjBdU+793GGcV0keYvNlTLjs2UUhEnOMK ewg3WE1ysQ2eMycS40q9hm1Oqt1J0m/0uUPRB774+nEC4ijFmeG2Dejnudv3eIrAmabnWURodZOt r/ry7yvsQ80lBmEnC9a2clYPpqbtLKJoIBnOT4AgCOCSmoGXAGBRGZm/ruYUlXa+87KAsgYyRnIL vrpAeBzj88rCh6DYEXuz2HrJCeV1KMpfpf/20ApZwrptYd6e50Y3zkD7wK1cx2GUs/K2t2mf9dfH AqRttmRkGQtpckgpc3G+AO8LqFDRNxnYDy1fkusDxNINREr+Q6a3mmT2wHmRtk1w8ilWpMWlnfkS 3I1X7k3ZH0ctHPDV4CC7f8sbAkmCdArBYl03aQuDrjWlBIBURF3U1wOes2sQtfg69zp8x502qu+J jKwD1uKaASO2sfYjL0tVKZY7mInSGdyN2ep4Zloc2aW3/htFolyPCy+hXSiuumTVT3P/h+SGLb3R 5U3fAiltZ/ayUCPjv87UguhUlAmWquiIGonC3ldxmwaDknQzHznqSADpmUKvzGA6JAP5DeO5LTPS TkV7M8+DBPosKEPwAjjkqkIDSmzBk6g2JyXKZ9DuNX07p38R4RYjhwPTQ0VEFcz9rHHZdfUQF0Wa GTQ035bKWGIr3aB6ST7AHI2dNRm9ynDpIu6RbzlXc68TdIelHBIKjviNL+Xvx1qHh7x06jfJTpOb mmGynpn+Qh0Ux0vtBkuWjOgbyGwrIAMGZie0vZ61MS4eAuCSKFzO+QM6wMhz3ePOi73QTVqViJ25 D5QAuleLJ56P/9w4kACxmsW00c3j5N9wHP4f1+c0Z6xaANj1+i/MqYL/Koz/ZWDmTeDO+acvWstz V4KYXjQPbSk62jH0bOwaA8e++sV/lr1uRDdqgEdhYtUPU7VcCpL13q6NX6x3nTTvlyOVCnjqrmVx qy0ZORw9uPbhEZY2p9f1kYYAR1NT/Lm461MM/yq8VNfv4gmNqBl54Q2Bq7+UYSk/Dgxp2XHoKrfY M8EJ9N85DAEuhOJho3O2Nu6E5U3DDCxu1wd8QzCXp+tJx9pZm4xT0gRQwgaRD9p++2EtAuIq8Pcz uO0zWGw1Q5IjLte6gUmkUTySZJ0Wfz5/7YaNmdR94uJi95fPD+TL2q8oNqxwKVvfIfl1toTJhez8 5s1wGjP22nIZMLrAUx4qlBkzE51vAd51lqHM6NlIgxer0MIHMopr7BotYMOihUdJpHmQVuqhru9v 3TjV8yRmhVv0H1LQ8lUGSnoujJMPj/LxYFF6UKeW7nCzpWH2zW8upJUwg12jsNymCShgimbXM2LN VbMI6HiMNNcuFTQ8nn07Q+xZjOwdZgBbOoQm2EkpXa36R72ZIkvtvNxmmwUcpvmpPC6KYZyu/p+V T/9V3G1LXjgRA9ALr1ynT7AVaoVRwdFWTKDas9p8m8q1t55iaTof4TY+Al6OiDVQF7hB9TbcEw2h bRQo+E64NRgp3r4w6yXM45BkDS/k+O1Yr4sb8ycC1ZPtk9ajrmN/uh/9oJAiI0kg/tpKD8ubp5rW tzKV+lTQ5puCc78Vp+nCUgfwo9NLovzWTWCVk01gF7hXbeHGX7ABlctrGP7zXO/e4loFhnqdBZo0 zNJXEh/bI/t3kOm/H21N9+KWp0TayNUgBk8/hznb0zsn8k/GLMgzjFSyosV2q3TDNQ4pC7Q6MlF9 9Dg8e+tLjBZhjIVpShi+ypCPtQnoaWvHg0j8HL0Sip3gl/+G8rSmDhZ/7Qw6BzgXepAqOvO+PuRz /+nqYdldThiitriZ+eA6N02UkAeyTv3TTaGdrn4IY0vgVHebC+dkzo8p/BrtJBygAnpIMIkkSD/x cuMh4bzj5aS93QcmxlpIu2nI4z0ktt5fEFQLtG9rwPeu9bRjBA2LgLiZ5QpE8wdJecPkOvyp+Thm Op+rKdwC6PpTEleonGSa4a18ZOK1hg+fyjfsKf0NVRhWrRmsP220TESsWnKrTIUJsFIvLTcJ10RI qlvwRcyD+Wd1yVgAvONDctJfkXA4dcyDRn2GjxJahzZaQwMCIyUgsrf+AsZL2H9KKr+GpjL/4eeh +A8YzbXKWhQiH0GkcHdTDXh+uCfhsendO40LyY+eov/F7uvKwCGENspBV95/S0Uf/4GYCp8DeEX2 NDtspr//jlrMFQU6uVS/S2IxtEXOrlW9ZhUsQ332mUqBrQuFv5P8m35ZKRtCVHGGmxXivggcLwRl 7H1N+ur/yVIXY2KlIApiylhJ/WdjasvGV45YWrSyXqtDrZ+PZQTN05wulykBIIS+hZcpkcHXNbv4 jxnRqeIuqgwHcZzE9ArHTuJgC0PYRxW7IIzL43IhI6Ztr8+nGA7pIYvBf6h0oJ1SHFbdZgRAXbfO iGY9RYaou2Auj2aBQ6b88bq/ZAyFs1drxi1jWNyUaGIqM2H2rjnzXg/yGdIidh/yudBPYc/v5YLN kfxMIVSAAMPb6bcMn8yMuNniFPnsypewvuj3pPU8U/e67g6ZHKbvBlyUXkAsUdlDSoISxXo8vwYl PKwxM4s4RTbH0b8ShUAlVn+Idp8KFKgyLWohUiSm3BdVnvx/pqAped1NR4h8IMQnyfcSwLCvQXmm g9uwheDv3HPHteUNq36CWOrRq2jeI6PyZhAe7QyWwKmDncWBw8bw7DAHYD3XxCFncxyET8ZPImlr FNMNTkjMoAeDPDbOpCtbIkRfvED3gQd0y4NmBi0YEQc3YW13saHIJMWynko0FI/EA/JfJz+h42T+ jXfhRQgZzWA9nEy0/302dOoM0dL3TCm5PRu5DAvd52sa8OMmJ2j70MUpuO8bc+TaGSIWdOmRAQML RpMhqkxrQCAXmlE2osVDM+/YmIrJA55J2l+pIkwdVOHseHEngHR9St9QjQA/y5cg4A9OYu31KE20 kx2CU9jsb6TeAEADTvot9F3nNibyX92rQvqxdMyp/lWdmDjnvnsTRoAcbLZRMY5vmqKGkKCxTNCc dpJ2SgqDHzK1j7bG9+4nEwduV3YQSm9sl+2xx03Lr597rD6YORl4ikwbM3x64hGLxXegHPaZfLjh Sq9WC6iJ+2fkLEzbd6WsIRAahSvaxNOsWkLry303zQPaOKCS63UtkpVDAIS1Cvm83PvZnOnjJvNi H4gLxfF+m0my+JCwWThE33z05ZgAblfBkIZi9ZnYX2Mnj8beqwX9XMw3+sBDp6/9EDNnipjv47Gi ML2yxjo5ZCg16iMkuzFmbXAuaG2HWtbZnhy83XfEUlwPfThHVFaIgfUjMPVKWh3No7eeDHyOl+ls lskGabZSRlQhpZYDMGfiYl78CbW8ynlOHv6zIgIm865KcqMryXTIVrs6CoXccJoQHmhrqZsuE155 mqfOaOX1UyY5EAE0f/PDqKiGb+zFuMEZpzqQnw11R8MCktz2jNgqLGoKRRysO6KK+69x+AdrbN9y mUnPas8AbPgkluZ8plrdWVyAfhWwFYfjff1zg1P7VA9qLKab1viz3on4t8Ivs+wcEVNxocN/36xY 2/EsrGyM9i6LabmU7uy5pIT6z2CwnNkbudWp37xjE67lqMZPOHPpLOI5t4Wxjnzy/8zPkkXjZvqm QkQFkSSgYrtO+ER9Sg7QoRA2lSi1XIhZXusMXMW8POreN5wMX0qKR3hILfWvnXndBKwgzi+g6Wyc a8Z4B9tvMQ4pMzK4qC5aiD9i+NkUBE+aUXPseqo5IQ01ktHXoBmq/hHDa/7/edjNgidMj2QyTcn2 jtxzMqG9TCtKiEbzMQ5mEwDVzoFnjfTW8XDuvtRU2I0vpX2ogxypGvhg4XKxaCl3cwM2VBaXIcfK CHCga2IigzZdXGM0YsX7wHkR9cfPcYfsvZnJ9veCTW5taCPLXqPEQZdn+4qCqzU7A0cNR3EwUak/ y+YTNvHMa9cLBMRe/grSuAEBuTgBUZHTI9QU/odPKjHbhf4peeFMmFQfldXGAHWHd3rJVMgWaYkZ JDMoTxjVX2cxVLzMTaDjriyAiVty4/EBWjrQzb9sI1QAw51JP5yHIRo6I4S6fb5i8bH9WFuOektK NGRQNn2fGRpaDKR1FpDOq5NBLOS4x6uhWEdQOjsFyJ6NCuRBrrfgQ9hlBSAkVZPbUCojOdUOL6/N eanx32gZIu82fMIwp2A53a+gZx3QnWl0MihMDUVFFMAFVOHDBl92orBxUrlNlvZVaBzXq7u5hTgh Gp3kg+w8iMrz2t/Iw67u7tAmSMh0fiOW34XLkUtVzNW9MrXKqTZHQdrphaWEaaXu7py3e+ToU/KH G64dMyIaYISt9/5KqDsJYXvZETHXL2DDbAJwy1RzPO65T7BFraorcKXWMcZwoTKYC4s+VWVYXZEh RAjh9BUeiZ9ZMQ54N9kaMDvhjD76ZcFPtYm0POGdbIOgqQa4OKHZJ3OXYo7jJoB61GJSFtQ8m+ec IvW+QRa7LfE85cR+WoCccu913RmYaUkKu9WcdzN8GikiqIe1jYYGmWyMFlzayMcvet6k7Rxwjh2A hgM0X8l3nCF84Qxh1dKdsvOMJpOQG+g3kUxyBa1u1VnwaVrGosDXQ2/1lt/cFGKya/bJ/nHxWg9Y 7VbGthBBXY18j5jMUPtmZfmtBiguQ8yAbSBNPAe3aUAdVZuuJ6/JojcYMmkLtTfpwVtbzqnpCPCF 3Y+14uTMRGXVKh3rPgLemPKGl6Hlv9C6trVEMuIqlbYLuCBFZsNu63D11huVwSz9uPtK2D83ljnj rzUAnl9Okt2ZETs+2SiJ3y6wlHDSDWWK92HHeuT3XpXdQJ/jFbVIPGzaa6t4i6TFgquCIXAOHyEg Dm0RUV/mUtvBFKxmsuqoVEUFCfIwvjAjupr32d4f145wHJPFSIIB4QDBz8kAqCbScjVJh98mN+cW KUjousCE7r5R7/vOOMlBNzJbOIfOgQWUmnJ3b0bqo+aAFNjSX/wztChqzL3QNUVL4/07CopmGX7+ QsJb9pgJ7CSKevIcRi8CeRmvfDuuVlKir03qnWGrZZUtspN7++iRDU8xAEgqMEAcy0eW6Qh6bZAp XWygI4+nS7oTv+ztb3b0BQHyclddcSlB8AQtyWoRbSSZxsdNCTPR1PFGy4B5Ju50gRjEVDhBz0mG J7tdoid+dI4drgYS301jusUvK5FYCqhzmia+pDOmVdes0Pr5vMfjxdYVmMxvHxDl0CirDSA+BUvW G7BZkCmuWAEkYPhriz7u21zk3JLpmaXECr/tOvFVNHzESM3nyZneTHdbTVLWtin5muEyMv8OXECl Z01MlWA15erYmsgAEDMZLbw5XIBOCHlsWEEZUfmmFnncxuYbRzegCb1T8xIZ+/8zPwcNIjATC3zY M+3SoRYmCYsoVmjYf+kRTEnxDU3MlGwCnabh3IQFnxmjuYp0wgussZL+5si7lMpzWLbcrlcPRCGL AKGNVtG0dTt6U+E6MyPTAYHWSrRH4+NqRD05pWdWtWWxXJ/8UYSFasopQxVHX4IuFPGgNhStOh6r 0xbNmMzZsvDeO8edA0jzdchCJPnYiBKzwzTlvFazGzt4OYj8SGDLKA1RM2MhVatxSmvb5Kes5Dm0 p37iAZTy7UEik5qqosiUU+oNwMBfYq4R228lXXWtjc3Rgx3qN3PPVxJdDCCvy5U6FyPKJfEWqHMA Vo5JhRPh0L/FhjokcDFEpuoEUZZyLGWSimdbE+vASKyKoSulBGnT0KX1CNJPy3iKtBCDn+de+Y40 TP+iPN6gyqO0d1POkNx6W1UTW/PlJ6IInSSAh7B/ad0UEC+1Cd6UUNUK/UHBhMQRHzfuH0d3JXh4 lzTHSfg/ODbtJRVPaIzUcAL2EvMjKAxzqrHUH00o78QTkjYezDd1Bu9vNoEDPO90q4Xf95oVysFZ x5UDnxGZ5A1SOU/B8VJ6no/o9XwUBgI91ZAxm3AJfXBTNuQaaETVL2Xgy9Ew5hTZV85GvYopZMwX KGDjVi0AJXPlPUNQ8cCRsJtWGJTOSDhi6kfUCGSq+g1/gwQgq8XGozNaJxMefWvrr89/HfDK9HdR AwUeR0qjLKTF5lZjG4cCU1CuNHCloNHD4Wo1snSzX0zLr+ntdvbvzUvhFBr14TpQdcknAqOV2ECp qFCNNVp22WRVfVrjMHyfpJ4tUY6gWLmWSBZKAj6y8z5w5PDUjIBSyQaZofHokdF8wlDkkYHF7EcB Gj6MG2lYNQt1Nw17DHPqUTV85CsLbebNPRNCAeErBWRd8keij5jevQmuwAxOm/LQRPg8Q2UXDOmX 3OHu+RB5r3osTFyGi59iVzhVrWhJPOUIGmYv3eygFK/NxQ3VUkSWVbcOn2DtAAhUH0ndXNHtsoqF o9hxCIN7OYKUsPmRh0sAF3orNtkt7pylExS7sKFB79uTQfv4EVYux4Mmc7FiduqI+BIc3IEmZjIP 2Z5uDuBga8sH+Rsmh+QDlA87lsBwzbrBD/cYAzVwlj4cRbHT9pjjb132w7xzVTo5HI7iiIoPEU8K f3oTM2H17Um/OI590jw5Z6ivewQq9Xew8DStC1TrcNXy46msIiKs9D2S/fQCJD5IciAGvoBIoxEr XNTfHrZ1xCvy0V6302jpzte4WpPkD8SumfAL8YZwArPUwnIg/aWtwv0tYIRdK3JudS3vVsBXm7cA PAnvzvtP2wIi70MnbOTm1DxnSaBfAfq3+NuTvlIcWd8RoKFrYT+7WjLeR7vwJ6w6QQUJMRX9B6e2 iiGZ0rCuOUHVvhVm4Vlai2uRNpCnMcKpRlNA8JjGGAZDqwXewlNvbgSTiegqpfLVKL+3g2c1Y1na zIojnTc9fkAz9uviDe5/iBIxf3Ngm43T8bPgrA/R8FoU7wE1zvC2dmc8zChmyMDcuJ/IavLYJakO NeVeiaYY8BE0D8Uup5LG6lNyRxNd4MW97HLBpxqU9WVz7tYguFAdENatvpM5WYk1VOLj90eiyuMM rr3z5o05Qf3217hKx76mVnzHxtKWXp08I64fBNedJDmN5orjyNbEym+UiluInpGz3N7UKEl2f+Kh rnEagLrISJRyGbrIOzYjwC/z8aoRW4p3n4af/Zl17jC4aVDBjX4Eln2Fm7XkVNv++HBnsuoiQCCX kijwVf637MyuheOn4PxH6oTn/VM51KYvgbCiiwYVk+C6WHXWAJHrKyYPc3lprbeB39OPO6MPZ7Be DmD83YYu7XMxDQYtJadogP+qRMJxg0ri0LjQE++0q10nb7pNECYZ3/7cIcCDGJtxvitjKOvk12zo ecCSLkXVWP9jnlnCMfeBdxthwTj4thThMEMqYn0jAxXmpWY9MjCJPa/kPk1Z2ziYL4/+pufNvzzY To2N9yewgBz1D78f3weQwIi8xuuzhvLawtTDXyJNUO07CtwJ7EUGUofrSx7qLQ3+R0KEYK46FAY4 WyQW058iXyWEOS6P++Yaeku41F/vGiNI3Zy6RtkutCqta0mXlo6GcHOFHRwn5jMAHfMFpA06Oumz m5ftpGWsBiLwXe/eq6vGwI95f4mrNrb+FjNZnnDtmph51ogMg5GKG+HiKtqzDKJ7tXyGCV+maOcm m5wD+o12219+jwgOUhybcQt9JpBRwxqM6jsjuRTgtqdM9Nf2PMx9v1iZfD0i5TcSfd4b6pQJthoO kEPRDLgEbCp0qDhcU9m76G/VChsXUXfSH32IXdItRKjGf9GHNhOZfKBbUwNENwnw7b4q368od+gB uD8zGibVF8bxLHPxUR2MbX7gEmadOQbPdl0zsNa9rWGUptPCkkj56B2XrsPwZNOympqhDeuUVD21 sbWRJn+pNXwMCXDz9612+Vyhj5NSbnPDoYiRXlBcIBRKMWy7WbRdxwifNqUTGV7hsN10/pZFh5ac MQt6KTCFyORHO7dhWxk78Hibhe6r9dYazIjX6iz/3HXdBy0lrPeE8aSJJTZ5C2XLO6tiyd3dm7Bn QIXjii0AufIkPA6uGYIjLoIBLGNMCSO6e+2aqCe8w+UATkH7shyMt3QfM3ksx1oVfQK48Xb4kAwQ pCQEfO/FNYOqFSDWzRW+gglrt5FVST8M1ZErB51wUWg6k6dRu9UKHHAnZGZzx5U5pgqTIBfKD8Gx O5FfBbSxZkzQVf8AQ8Jb8Q0xexAO+mMxzn9KnJ+/9JMnqggTbp/ANMMfJh5JudavkvGocrtLTx2r qcVRiA5hHsyqU1EuvGCq6F9BdgTCxMu3E8nCAMVdHBGxZjQtEI/18Z6cpngyORRE60D63Rbgufbn E3nZi73652mAt7ul3vCsjmLL/lpdclQY15IVpUkDu1G96ZdgFKS/jGlKKwkGUmtk6yhOvZu2rAIv 2yXQNIU36DRNDhIXtemEtZkU8qPUt0B/t38l0VbOtfCV90QI7aAHgcBKllMaJMlXn4IKMX8WEm+p Yj8c059l3z9MuzFHkCLJLDBHx5CC8hLOzbnmg+zEhfUwvlBjB/eABNdEdGAnQXhyDhvXIMep0T89 ujvXFmpjOufeILm7ZkEmAKcEf8gdgvE33f+Kd3vYNqittxA2ELEi3DMZrp5HSWtxo1pmk7Qerwsb bVdfJTIXgTpj1RAHlq5UcK9Xo/N1VRzjOzqw2yu0i8CaAZJJJg9fF1v7lV6zramI/liI311gHGns bwGNft/YJExRKi1F3nFRkhA9AtK0mBBgpLIBNNM626lbjMSPY62iQoUUx2ZLI9Sp9Ri/1fE/hJn4 2OudSwRdh1qEGKwYPYm3phbBxz0Pw20HWezDGMIYXX0Wa57y/zLwf3Fxmfpy37QQInMeryBO8Sda zZ4H7IE4zviSVKS+dtVJRLVwVkQa59JxW6PdVXQGMtWGw7O5G+nsZBJdNBHvrvujMG99Q/40azUB E/6FyhF3M/CvFmpjl1YgjIE9UHCkOuZySfDhzp1Zn/UncS0cg5Uoy+8n9wbszn71ZwS6/H0Mb+cT ZYdKt7LlFLSBbTN7vkfINf5OBbzPmEOjfmRoz+xUZqhjd+w+YFMFYkloE81GBIaKNhJl/JwDBJ2y 0e0rtvYnHNixgamqzPYIIi8Bpd5/rLEoAU8eJNwgG4PQDi2udJKLtwAYEO/SAC1cWqYEE4fmmp+o SX5pm7mqgXqDnP60Ifp9W8ZD3AyxBwalVxR+n4rw/XrPkB7Oyj7SKB601jnXZjTGL0u641rFauQJ qDz6AK7LujhyV2I1xmL5XnynXUz/ZAkuyQBWTGr7i4209eWKVLy1rYuX+QvfpBAxX8roaTIJ68kb I1FaTtBuRNsA188gd/OG3pSi6DGcR1gip4nKc+wd6Ira/RtjZXwZ7oZ4LQU0GFsVDmChKCdWnPoy vUmbaSYxlWhF1ppdKjY2aoe5P1oSmVfkzzdbBFW4D7FTEY12kXNkrglrWX72tg6vkq4zRWetGJPc XGiaX+HVPPVIe5teajd67YkfPshSE5BXgtEiFd34hZTeVLDtpBCY9fneyaTvU/Q5B9YQHZ3k2Bj6 nXpNN/pX4SvDuVnyr15eGAYQmNUK4A4TC0wJ+OIYrHkijgmiRzolcvIg2MxN3oj546BgvYlMHJFL XqZ2LzQ0gIKfwtXWgB73TnPMP7OkuQP97yakLFHEDW6WoWtFJy2zOikEsdN4jJbo5xZnHf8nIbuu Q1erbywTCoGOuIT+LGo0eDl9es6+uqRLL7nnuzrF/c0TeBLGwk2VQU9DyVdPynSNVVLTMBwbxi9Q K0Sab0mC1nXhufLfahg0yYe4bOm7aUZHoBSp0K1T970BtUNo8V43QQuPQ0sWm0E1IMlFyrN0yP60 TtUuuXxslcIz03/+Z0Wvfs6P4VR02AsovE3rqzNW6xV0DZyLpeLbBEaQQ1EdFzAQk93m4MJ6ga7V SO2YAn+iXW1HTz+d3FVUeTv+jKA9Qge8vyQu6ZT9o/tuqB1MJI5oLQUsuzTpcMNHN0JUTEHxiRc6 E7DiyO0+UYZN5EhZ1B6hHYTuMF8c0Yq8+CXvVT/j1vP/GgehoSXnXcIfh3H8pO4J3c4X2P0K7qMq Y4QnVI57lL4SHv7KWbCjyQ7GgYk75eHn1m9RJrDiJe1c79Q1wFIJNx3aTyT62kYs9RgH7yZulg3U 565crkh1eg0A4ploArg4ZRHflv3KKeQgBLIrEI5wnCjMWx9AbV6ZPkYc5SPhQfHZrx3Zxa7lekvp aObbbDArZ8f2/h6muRSeTrCaRXdTJuREaIpO5Xibd/fFfOyegDvQ34r/rXfjhROMERT6zwpJW7/j hD+Cd+Zvu5BQ8l/scFL2QX637NxFRU20usMePWSQo/XK74pQx/pyTfzmOzb26MlvVAx9OZlUmNLL d02IyHE98Sd4b6bKPks/r8aAdNZYRH8yRSQT6I9/1j8hUvWSbb1VEP8Dq765isX80DI3Rau6X5PK W4H43uoP/Ua3wWXV3xCebnh6cUj7esIrIS3x78Y7iiUvcakPz+8egN1esZavTtJzPehw1KbBxUSZ IfHecxVxCDfqtPoWGh2ykzEHkL08gK3wxCxWjS1CA2njjaY8728Ri/CGH7elJeWenyB6O63e0+jJ C87SZxdkqbBYEbK0Xae8ItNCvtDKvd+A+rdDtB56RgsqkwRdf8GTQSjjbwAtXxnYgzL/QWqObYRZ P/BGto6SnufnfNj3KsmlYeelVjJBqDp3od0TWpwUvZ4HjRlzIPfLXCKSDEZU8nEoD3bhVK14qX5Z e4oI9eZrPmZCdTV6SL2TLiA0qrVH5ogdTdqOh3tDkPRUqyI7Loa+MZkP1PkjC/J11ozloQz5ysG8 51zO4ctwcIoT9idIInk+3edEHoPVDIlXmnZVM1GvM2DFYXyX3q3YqaeqAv8wn+m/nKM9xTvTnv7a hdxJM24ZiavTbdT0RZhAe5tNE8S39BjcGZp+6B9aRgRNCQzLpCVYc2NeCL+DtKb3wjeaQe2bqmDp lK0SYAmciuYrJGpPtugtkzx1JhXUUMToP3DIr3NAReSY5pEEeguppM0cqSSC1uZcETe0kN8vpDTN GBfQzSAytONBIqAtzprkKtM80fx9nL0oBvsiUOW/DV9+xZCbSrbcANcyjPbXEU574O4IkgWfbI0/ is2+pUxBmQBDGih3o6MWO+mVeMv4R2bZUpmAq3zD7R3l4+cylZ4T1rUqdK0aY6UmLhrTPBV1LVmg uBPzLEjuzYcAnGKW246N+HYE3AHf4LBptfS7dfWjNOP0NeIb90bDIi1isBi1T6UiOuVh2P98hYhV F4Bm7Y+1Hr4zAR2LXfA0n2PL5BlYZaisbNnLSkX8/Nxq89BlGd+syhxPCb8d9WGv7bzHJyku1/hz eEQY9cX6PJqNuVGXhS5fQLqZpBLfV5l8POTBdTQSBhRKD/gNeYsHSB/quJoeZ0mZYbMgthkkXJPU 4xRdp9RcjRudy9ybWkuHMvut0nNABfBUrl9tSHVbvN+iThBe0bdPcEW8txuALaAxKl5m5R6dgvKp hljJLo7GIWEdjkJ1KA6zAjqBLO4S/PsSI728oz1L6pbfBV2VGToXgTj6G2/R9Zvc7TT8z4vMek6M UzvuYR5buIec2caLCE7l6hWYPpRVb25kNmMN/elo7aT0cjO2ylTSr1HOoPkfc55FrCI36iUAsOzL dxh3WZEZdvk8ulfh7RkwLWHdvyFZuUYl3hpovcBfoUIwUzf88fCBwGMpViREtjKIHPpmR0SFdH/s N0pLLxfSWoBnLpUBpqsZCjDNp+PaEaCJWujnCCg3i6v3I+YfirvSA+dXbpAzwgVAQFtB4zOI8NIR /qCXswA+YFlwxj06kGbJtou8SkntvT/u6NyJCsgPStpqjdYr0CKYjEpeYkLzLHuj1Q3FRmLtTiMg MXK6d8Nrj2Y/10KuWpYwRRByTm6ion0MQ2aEYnRWFLc9ylQYMp+vsTGCV5AkkqeXjNJ8NDZmf8HE vTtyjqh0G98XB+IpY9u/n4JheszySu9lHqM5jvn+cCYaM7+uS4h4aIaBY3uSfphLBgtglU/7DWzx l9SU3QgOpLD7TO2uLa8LDmrsXse4D59MgKChu+dT+CuceCOmb/NpCjS7P81yU0trRdSPG3tzvbpT Pr/EN+OfWNrxP+HsvdAPOTeU+d+D+G2j+Tfbh0KRGENlPXi1osmHF38Hw/xbwFeh0WZrMO+b21LA Wg1UrM1kVCwlmKE58nVlYzVNeK0ytm129n5FC/ieDRig/r+sNcNuyorWoEaV7EKAuZ0fFOQFqeKz fTC2ff2HZZ4Jo+Amrwl7SVFw8FKb/d/JxCWc3liN2cDRlO0UuGBk68ukecHCc7MlFdMprsVIrOwn TUjZWgy37adi242WOiDrLC5tC6qYmTtGWyx6PJrXhlBRon9Hw/VFktSE2y3/23CU5D8Rty+jxiDW XKDOLGy/IzHapu4gra6sfCpC1NuQK6Rorzaw6SFrq1Wstjl2kZp9wjOtMRGdH2yvREfIfmimTqPO W2AWXEm2LBRbG5mq2EVQ+JkwlXQG4rKhVZ1dqT6AKx2cE+j5W3rwdGnRWcoWP/p9jjkX+8gfPKqo h2a30JFYXGlc8Ac5kQGGaeHnUKMR1yUTpm5wTuy+c8hUSNxe0/GBYoOBQufJ3oFrqc0mcW2M0oK3 ING03UayX7k5uGtNOFMxLFNuv+nH0HI/0EVMdsXZaxBON0ImNn4Mq2dJU0v28t4nZydi1TnRXa9D V5OKNMeAwirV6+EJvHb56GFgQ1ojrw99Cr0h7rQLTsDU+u4tZepkUUPI1ZFQZKUWhSaqrZKeg/ql uEpqyUUPxO+g011xSiH8HdagGy8iU7sBQ7ixNLa7RL5IBxzshCi7EDK6MkYDNNm+n6uCqbwFmXjW 5QthGyNyKf1+1m1adJWXhGKT34IDiG3NppwcvqHjKXYboDRAVYYweo4DFiTRzZUuCLbVdFAi4Mzq nS76IS3lgCdNhgmtdFvZJMa5QoIkNuEOPMEk33SCSn0P8w4Zaztfk+UStKfjSzJqK4p9CaQonYmh 3gmZZjz3H3ANjhFxuS7Msdtl544Y6KG0O+Ai3H6AioqxbwDq9qWCnyxCN+TmnmopCRGn7wPWXfZl 0j8MnviRC3ssUC/pCDpRFmPvfQEstd33d7Hm6fN6/hB0+hbPv/lOlPo/jY9f5KY47m/7CAY0Y3Ef U+gRPEqXD6+RCon9LBCWtIPAIjJeGuegtnvh3JH1oGs90qOAFuwDA9H6UEUM3J7FQgcRsbkvujNR C2QydSxTHibKdOM8NSoFnVvk29a95BPJDsCdzGw50Rk4G0aeEx9AiT7LZQoolkNdWAv1L9xiKsqb 8J8FH6LKZoQJ1xGSM+lOynkCeJSSeEGOxuAttgp5bYrMPW74wvEu/FAgZMUbh6G/Uv69sg7XEU85 um0eeiGk58Z7z3//fytbUc1/E/RHtOFbpds6AYrnZoAhTw8eRI2QeaVoH47aIKixRzWYyrzfWNvS A71M2yBwhnx1bLXlRD9t7bn4XOAg8nwEnpn9vpnjFYOYkU7y6pmyTY76RCvf/c5m5dGsm70sJbsC UPXsrA+ak1GnGudBMmmhsvTm40B5MU9ToBnkjDcK95XqA77bAe/v4PqiOA0mwrdLnVfrCV6+3fdS tF07roTat6CA6zNLkMK2FPlmS84gQRDejYKXMjZylXefXuxb8m9MRezwOfhEIS2eKgOXG0j4qWQr mswkDeQHtkjcvUUa8dzFDUSh44MDDuH/XkwvDT860257mxQ1RI//bQCqtPAT5wVNlS3ZepF2CYiH MEDjMh5ZNBHyx44dF2SPeLyGDW0VPFUmqatU6FBcbwT2Ud/Aq8Na3MZzDUWBoWfxTpWzC9Gs38PK AQN0yIwcIwOLv625ZSddV+5rs0AvIBtBfX0lyO3O+2Tz5U507Ovxw1ETLDFMF348hlab2UGSocq/ bnZoQCluuNT6WyrKLswc3+orCU0ncuMGpYsjVlzRzXvzgTErkIWdFiBGgQYInsnOkBnurksecYfe FH3hddE1EXd35P5gtzFONQDtb5QrLSyHjinxA6Wp2+30Itnm4XdT7TTyog8Foh3lMXePpQYL/dMa JMCAI4gnZs5Wu7stUwRc8OJrxiXQ0MBynS4o5cWzHq4gvErm5C5FeQm7xPW4Ds4YR1SDzSi37hyp aykRgeAZn+pVjjechvETXfuXwDORZLyGVpcuvlSuuKtJgdENFtlYIWxP0NF24utPwpUJG72gaJoI 8PQ3TdKbjE9T56uI95y2rwdb3RjQE1tYXDrajrZuxIWsjvsImUTGPeerXatRW47qqAzbv3PznWAK 79tWxjjnFFDkgJskSwQ0sdJHBhJCdpvWveFITVit4Wm5BzPbjCdvZ5phgmXqmqWzSJJNGWg60IDE Ri1xODj8YT0D6tviLxSCuxRg5ZvNyYEP6n4YaXz/P9z1dyRBUZM2VuAxDmOzDYzBDclu+jLpp7x/ PaKFC3NryzokPRcCA6Q74yIOBtNbK28JBsio93pUXeSESGAKPWsz7F9YtHtxw3P7B1mKYo1PbG0F +BAppLK7l8NDSAhMlRkjSeOq3fpk+dApQ6od0k3DIWYLWg8K1UI4vkoyLu47u4I0kMyJpYc8a/7V k40myIjCQCpcHMpj3V71oJ+dcXho+pjdXhQGdfdp+UFxmOs4Mafhp9DwyF+6F9WTSypHIoCLXmub N1s9Vnt4Bx6+m8CfdBC65mwOdppNyOtMYOtsw/YM/JTEdPg9k1zIVrzculv8EPukv0Jd1SpQFtzC ZUhPR0UT6zVcbVxgL1xlCEvuaaJNCbNi+V/CQzeyVsl0cfmYJpMpto3/YF0D8JU5HNM/56pupAZq zkvYRdeUKH8mYHmd2RJJgl2nuyeUa60NVVqvExzgzWV53GN/26PwyMQKxBgc6ievSZI9UefWrGTn 2I4Ff9TBQmwn0BvCtIocY35i3ZUvNJ6hgbl30QzU7A6f1RMGxs0l358V/9qN0kEJt/TbD+fSPJ67 3ZZqUBZoYG9BjBsHE2FHMYoV1znBF2dtmgFurGo6iH9i7ghVbKkSsJcPnOhW+YKGIrjm1q4V8vVy 4FzuJ6hfYjv9TWZUWN1Rl7HZ/qVZp6JGttUJqAr0tCOfJS1UYZpAzk8LnbegMWA4KBicsXusAK01 Z8nAPqWamJxZyKennsx2xSuaJ/3HiWQJ8bE7jjGUcH21IL5niexVQjKTZRw0LMk1/lZHmT4PQ1GW pcGB5p4j38JsJWKwrLyH+jJ45TgINShbepHu0fM42K4i1ivygy75cnPeK9tTUA5LuxIUwP49sODG ErwATF/cEmZPl5HiiikJOBxnQA7TJysT3VxMvTTTQezkQebnasj+Uvue2Zzb2/p1rdhent4ZDsD+ zaFCCMWkpjOSQQMq66cZUuNXNNJ7chTK0QziKzSM7LWfCQLFrFitK1k3XJ60+BAiAglsyD2CGTfE JpBsu0xZiVPjD3JHHMnyb3lLN3zCEWm1btlymQ78fCFRwF0qngXLhmH0XkLOwXJKiJwEPSwCn0XE vJweiogIw3tJWX8ffUFn+9I1JxlHsDgd1YEdHbojh0QtQclxVgTFTgK+ZbFn9U2rd3IIvx/JcRsW VIOvPKvtJ2rG/d6Wg8KUdttJQXJ2UsGgXxRCSN+dMUDnsG+icTbZGwQ+RMYsUeJ1YDn9aSSVsetX m4ATUWrwy3MW3tM3qpEgh9o900fihRWX4QyIP6P53fbc524/2mtDAzqbA9b32hWz4YgmqvzLjtdb mRQ2y4KC1xazyCD4Q23bXUg4AEspfesKt+i7POmZICImvz63HbRFe243fRDs+MKgqAXrPSogbtMW 4/qALRzm9J7q1lWyelbvcxzADOo0TBVB5CCdaIEP69iHarT7G0DOUOLWa1NR7CRFruCk84PLipE4 XZ7SIrlQZh48ycae7+Y92ExnrHFjedHijpmdI7l3HRoGWtQ/wOYW8zHLOgbPGCqb5LgcPEvChMH6 17LQSrqnCGyhZt+dyqy3/rzdtRxgecOdq4aX8GJ2QTa8h0KCrKGZXJE9NJct7cmVu3/GHC41gki7 WlHolXQJafUN4khx/YdrJ3EuDjs8bU3Ps5zHLqDi/REvjM6eLZxXReIVvtrO3RNmkqaQB/PmpBH4 5aolvHmg9Vtv8YvguiEC7Da5vg+ep5Vw/4ixRrReOIPUUwc7zd8SZqjUgDQo0WNao25hV1l223MF LznISJKuOiNuygIl2npaSMcwtEIrTYZ45upHzVk+4MS6DZhuaSYKOgcI38959rnSu/sGzMfryJNB 2Hl2voLuYnZz/diMFWlf5be3Rys5Tto97kG8j2uqFuez54Yzd52mFvSP1xs5SXJSrP9POeH1l1tr 283SUoPUmRrMulUacx1NmxilsDp+iJ/dLD8TkO8Fr/s++1qCV/FXS6dcCptCvkas1gBqZRsdPt12 e0VWiozSUR9G4EyjAhQc0BmVktXPwz/DeYOogiAWuXAvTaiUZGvcYheMcYl7XYYyw8pJaB9jdZGV Ptv0Ci+BqxKtHHFhi5VsHpl0R7R6cwX6ogKjt+sU9X2/YOSLfKBjgG2uajq+CExgdmdAUutri3FP eP4NkZOEbbbD4PBk0mWC0+Hw2m5xTqpS8MRsCqPWterRYYU9zCwr0vcrLHIGUKRAaRbpTdWmooVo G3UmYVYp1Rz/5B0anwIWfqndco8uC4M8spIgEuKMYn5bGHCVagNbU7xJDEoqThY5a+FyIPh7QuFJ Fskj+AAnYhj3Sa82kGoSDcySv51fqzxV+FJmwV5ckMNNVGnxcv48CHKQvvSv4589uxceQUE1VCe/ lHzKwOJVoiRWpFLc8v5Ok1qI9357mpJ6adSHljqXxNl90qn+Ty44vA9rUwmky7oqyDSlFUkSBi2+ WuaGKQ7b/xoCP1qZUTtKNO1xfD03Sf4f7G7UWYEJCBx8OTOyDoRwhQY2vI+gHOiwZXWBkmPJ84ar iebzFCBJp64UVx8t3Ue6GPby8SVjgdT3X+dHmUJ9k/BhrkLHbwe8WNjKaHuAulqZu97sR8Ev7nRA 4iw5AsGcu7GAkzaHIL2zLC/1hUVtBWjuweJF9g3z9lICuPXMosYYmvrmjgBX+FAbqDkqRYVDbTL6 b3CzGh2pw8vqRzrA3Hkyf9m9NfU9fGVkjy3NEXoVebNkGXP+/qZ4CeYvOx4526HC2PXaR9hlvm9D PQdJWL7b/ybVE9fIesREECX994pjByz9kD1xNKf2gMyGW6TUdaCn4jbIkQOjj9x26oeqkd0aZM75 +W9b6gsPhUwm9mtrCJx+lImMySd2QLapbf8ZxnZ0ANXTgYBA7OteTLhRpqJkJ2TgYtMPUKz3LdTP XLP5TldmVOcN2CY6thBUU9SKMUbs2Epz6PqbTlzf9dlbIwxmYy/qBozm8RHpkNqfGLpfUxzy0Q+I /lUmrFPOk9WL/PjepQm4ITR3I7AYHyj09QIry2fIfaai2TRZRdRoKTJC9cpzZs4AMINifd7E+rT2 qI4oPI/w7511q8CMQ/NFMutGjJPmwi6afdPDJ8HOdgYA27LGeuFqV93phcoGUZ3uwIuSSdE7HL/7 hah/H1oeNWnUdrod7ax5bnUUnvudiUXCjbEvYixUSCJsrpRUOUTlPKuhOnjV9CLS86YRA00Eag14 r+xKvFFgEXyo1aFAHixXomuGqd3dGCY9C/xcvKxZlNuUTvlrvDdOkkY7ue2MWst8PCqEkereAasQ 9NIsoaJneW1zgde8iDZ2139hwZ/zMCYUiMEYQ6fgxfrGUKUUXiXzVnNbtZz699NkoM26MCEQTqdg OWMYrrFAwywkXxSrZCcfpEzv20C719AAScdSQpiQSaPjFcS0hcsRXLm6sy2w6SzD0VXUzCWcQSUK EJjUPq6r5GIPPqSoLe7SN+axyGGqFl5OIgTVoAqoVrB/qa8ClckzRbI34b+PMsMN/V6BTVZtrb4r SXfVpIZ769/OPIW34UFjbxhXmEiSR9ACEcqnr1oHGKHLlAjPmOkGkFFs/vdq7cRGwx8j64lY8z/n xGF3ifdZxTGNpv5Fdzj0k+GZ3Qzo+xqwGHP8xqXhAKyHlkHdnNu/666fskoJZvWfF8illN27pNb1 oC2yT6H6koZnySyhMyaI/+kknxZZtdkUxxT9zHQtshOMatSuF6aHAEc2uMB0MlbY+++tgPizH2EA XOsfGx7SkbKcU1hpK5R6Q3XgR+EEfPgjmzpwbhEemsuvNQc0lecXFTxiAMNGVXRnMLMbWPcqniT0 MNlMaAIEq8bOSomMXSNgAjnAggIfgUfZ/obzQXdAdKkysJs+w3H2iS7kYOE7UCFtIrM/OcZi+6uw ydN8+LG4IGjNUnlXT/5BijisIKAqjpDZAQShyofxUJyo8b7aRSfi8YkIZVnAu/4lnThMcO1Ax1vF tH52w+fnxPtD4G/P6++emRQ+Rm0pk+cu/BjthDsWhGLJ9KOw82taAu2gINqWi5eAxtYNLBhByS0Y jXSiiYpNJqsF3tbnZLmc8vxRzM91OHnHH2Cj/T7Zn4UeaWKxzJbiNAXNtgtwHrb9gNBLP1sFV5fu zzAvj+PViqKVLk3/1HlJJAy2Su8hoWKeWvNirGMXJOSPmpzrKV05qyoNgDIz/5h92U9o1u8BNurF wvFZf+OVGsiWIZ1fYAUlKhZ1LQGUaGXAqT9xapdpf90/5OX/y/pgtWFcMQM7maevwUvRmZu7lV6j 0KVKmH47absFhYJ5gzvxcDfRCooYMbBb65mLlIY8XDtl49XgUbeyVeH2c8aO3Hj7eUqzpNsEyGxm /vw/6iFsDAw5KP28Q8N1kOsqjUqv3gW6SBRK55nkeH8hfzv6deptvov7+8o21h7hGQwkaHVXc2V3 bPzEgJyornJU87sgiKddYMUPfcq5SB9bl0xzd7C5zH/bYXXTNMz648fOrKByz+2FCrfuCNX+aaYI UQQFOQtfnVw1DDD3TeGZSC+LV6+os2udeyoUar+TmBOnSdOnyIJ76paG93InYl1djlVrN07GnCPy 6TBmX+hqLr3IlYsW+xbTFjQLTyBQfxEa09d4nUp2JqJNbi/irBzQHs6d47UvWsj5/79oEKwvw4g+ OllMH3v9OMh/7ibjMGMdKh61FaIBKumaL/XmNukMDVL2BaSS6jR9Ylszv0286KCy82DMgMREH3yW 9toZZQZcLgBOHDaYjP3SRRa045ma9zZZypold7BZD+tADRkFkR1MxSb91G1hiot3G1qQrOxR0sAU QekdEskxZg5l3yeKKqCMXTufFLX/Rjy+6mpcnaqXj972VgoVIx4EBJiEflOa61PgNjQHZSFtBNdk OV6jLKZ3KZsiaxC3Zayy0DpD4IVvukCVa1ZlCzEFsjkMAX84R01RII236y1rupk3PSaBMtVQudG0 S3uGS0YTZnSoinWDUGfdhj7ibnMTUZ1u5ITUYnenwPqm/Ynv2IY6CvHysIKz2Z/HNlIMSsCnGIoU +hQsbjf+zLGFvr+YoMPfCzYT27dBIwpHVL8DPxqHnLN2OYGeTb1xlqF9R42xSVyGOJjlv1mhjlZd TBugBxllOgH6QBR1H3Y0JtRjfnpXgRWpePOVPem6CGvslNhtXT9x/LKyLmole7HdwekBG7hd8V0E ZRyVW5McrLO1K8B0KV4cbdqE++0Hod5G2RFRHegaEaC7/+kDwMERFXX9Bhlrk7jBSUny2aEtunnT xALjTj/ex++LCZUEA81iSAk2W7jXBdjyRkQ30MdIlFUGv58xVW8e6ObD/PfQcIMPs2YCviqBChWl v05oe3CLw58mNF42vfccx1mkdIvej9m97GYgFgYifeHXYFr1yP8ilRTQRdGbxghWMkQzfAba2uhF /wIWWhaql3Uq7JJ8Kg7vNSY8/zX/nWCdDdnpBd0i/rkdL0XF3b5LzA4oI3Ncd+iZGBOdLTLmc7eb vsylEufJBhz2ZiDvzJeBQqgSzpVamhnSmePldQvMfB+Qw7NVNTSV0bSHeGO4MgVbwS3a+ScR7ST3 xRB68Y0DGFHApFoh89LJpCPGFo9lVRFdYDboWzig/VmnzWoVHMvbjLQPvv2pOcVbaXKym+n9YBVJ RHQg0c/hL/QI0hZbqgG/0cDD4zAbvZnfOZcoNZDUrBs6y7+zjxlE9TBNXICkO4m5V4MuB8etFg2B E1eoYOU6Pbqf8SzLpGJX1wg2H6lnMf9OT7Ux8taVAptujXimu6pENwnPCjHtyEmUgvHGfPe549SC dYa6Gygh03WwcEcgDtKkIf/oP/Hl1AEkW16vj/84ZKk62okTRYZzBPPOt9uEk7kYmfu6c7MHxRhk Opq1WTKK/jMV6mCWcJCDh0N8QKr7VluFeo0/RhG4hvkXNLi5PBRNo/N7hF0bfTM2tq5FFz6pj8vX xor9Er1dhBweB2nCapNuZUl93x4I7HRyXIBVLY9BVPKnhCMTqc5RyVycdjltlLkTKiew4ZlIVqJk 2X6vsPyobB1A3hxs3wkicRQFzayjE2APblgooTpTyv64Xv4uXdzhFdQjyhpXibQkwPFDBfFAla4p h7gLRL21G6TO0c1w7Qe8ZZpRiE2t1yY6NWTz42GrLPeLxJfcDzC69kOJNsDzQyxybFfqc6JeS0+G pccfzml91YBqCjxeWL/ZMlUH4voduoYPgkmrfzbezQz8nVkO4sK/Jz8Elgdo/nTJsbWvELE3OKs7 fjlH6VVw8k7pd/Nm7pvqe+6RigSv1doH1cvVmAAZUiTp6oR/I6fiQSOOY4/ThGTmQNmk06ELVjVf wxCbRwjslXkBVkGa5zdYheIqbkG9qmc0UXHQWDRNYgjJBlPQv1EcvS0gn9PBHqwqyXy38xtLDRcg sooECutWlvrnXmJU4vf3I5ViU+4J6h/JvfwGsSs2zDyOB0znAb0UI1/PjDJwkkCwhtJ1wWh3D6Z/ xpPvXYUg+QJVaQ7H1b3hGlGdBWTWhVrgo1nK/Ho8aLgHxt5DaJwcGu6ZhPzGDnjuKpurlnE7Otcr 2it93nFEDY/w+nCMC0BFombO4opk89DrPise27fSu1nZtUNzjOs4ePa9NHrPmiqU0NPuNKl6T4t+ pwCtmPP2IuwmFOJLcOkfuw6HWqnGaFXtVnb27miSO/n0OPkUCVCpUTu4F5WgKjdQBZm0GCeU3BYP iccP3Hj3BE/5xKBFe8sQ3l4ccARvqLYBgICc8Jgv2eBDy/vgPg03cp482pLmp+73BhU8hwW3TUmu P5Tsy7qLc35EHWt8dRx0LbX1flo5YYhoiTy0HEjWtKFK8tfx4kAnravMCOKtAbO3f+Lk/SMwuE4L 0IDAXoE/jePJ0Ck5NuIXJYkkflSqhqicbs4vjH9iL0kS84tlusCa9oLdC0HJYfdZ8osOYsIDTD1W +I7Lfgg/7cgihQkRQs8zNejSGUsC9rLKqswT3KIAJxDzupof1DcqlPIG9+ntA0QOjsK+2qGNcal2 DvqkJC6oAiv+vRANME8WO3k/ab0zQsXFGEnbG+hpxLuuVyhifkwW+ZQKGrPe39gmXg7SmNXNF7g8 TFkM02HC8N+65qtf5YBMJPAwqqfnP5MS1SSvnR7RUC6TaGNr5insuBhJJ28+CS5QlVe0J/WNxUsp bAHl7y6mdd549VRtOb3yVFIyAp5+2l8UN9ZI7FsodrKu+fhr5XfIvepvLUz7CPFHHP9DSwlmNIHb xlgALwzB8Ahf30upDXp2Eo1Nwidm6Z8eLm5ZzbgQ+sk8l2fRTKUHVfZ6cMQyHKyZRnGbTOhqgDk5 ullShYyV6MDULybmz/qWOM7NiIry780Z4O0H6vTqOfHz3op+/73sLDZJ0oqhnwuW+Nk6bP8MNWqB n/Roj112uOKT5n4x5WO1e/Re+v1QIm9qMVMivBTgIw91wYon+Sm0+3izf6opy1QXry8y4q7Q3Rva 6X2QJ9UksyladMz16GGibquejoB5TZDPkePKn9goE/pRCHXZ9xRXFaR88lhwQ5NsSfUQP5Ag5uIe UPvl8e6SO/fxQfkgf7z9Zony8vxQYxRint9iu8P8V7gjyNUN3zHIk49jZwwPDJZsQWjmuw23RS34 AYBNwN+4j9iJ0d4hqxgwvCEljGHkKcp4kjCNiscnLq5d7f2oorOORBz0kEM64hKA8NNFZChlHlcG 7w2DRMtzDIZ9jjbksKxeA9FhpLjjJaqIloK++ElYQrLR1Je0yEbGmzrVDQGtLgJUJCNnBorbWjjU puLO6EoI8+Pb8ZweOOt41QOSBC5vn7tiKdnjog7CfCK8ZGzMq5ZPswDd+xKwN0rxne/dz3lZs7tT +U9Am7Vcc2XZ2Xtd2t0wnJzXMIfdn8fQhf8H3TRWEOyVg1g5RLYceSC1qEGWOhdbhWjk/utIWTbA RzfPGZi4s3TMXrkzk8+EZhytQK+v5eRHeym/JNr+cS1W7m+MkGnMENww4j9MqmLUrbepDaDWfs91 Pn105sKXziWtkxbmk3wr7hvr1ueim6bNZ7NHIvjk6cH/KbH0wXXHVcx+SG/Qekf9MsHVF7itnvYp 3PUW2KWlQrSuwa6Fu2HwFOhSpyYBcnXkPhPT0gJRGPDkaXV5KVhpMAkGZvnb9BgbM1TsXO2uVf1Z 5Ge/vK2W5dIVz7FrGBqln1F50NmkLOJ9MY/BlejmU2d1+yJGxfmoGjuduMFWGOKiLpkuIaauFK0g EmwECd+FtmoSdIO50suI63DZstGBSMXKYcW9cBkKD9yrM9F2L+OsB8+bEcwlMA62OzO5236taWOm vi9X1sMeHpXrII6zjpT8uaHHuzjQJcnqbwFQzSX6rRZWXsPjVp/zCePrCPwZ3RrxQ3hGDduIedJv yQqWv4riU3pc49suTY7gwPcE1GTVp7MC9b9SxlO2dWtclvZ0JPeIWxqVCHUyke/O0vw0dqtNRLwm NATNbZxuPmCCU/DuH5oXdDaf7KE/4O0Wl9d8Q7Cbbkj/Zq6Xm168dMUcAgVHHgNtYiKlmXn2lE5F 26G1okp68P3AZOMutLMrLwuBcCzfMjfgACYQDxxotGnXTxCoCwZSRrB/un5DvCbWY8jQeVj8N1hn Kq0+uikR4A7y+240RfpMlRpUsXHoAHKGj78oo412g5qZAbHxHNHAp9vm6jPo57HESUB5BNLKZ+jZ CA4wC4vUmekdm4MVnspOXfqveEqWJWktyzfqKBBzfvnrG2I/ccrA2khQLDRhlL1jMoPAverxzq9V 8pgkQ7do9s1MN8CQZxPjsOfJ4d0gbZ6fQci5/wA2yEQ0hm0MmrNWOslyR1etC+IMN4SiVWn/Qmm6 RDhnFp78VeMDju/cXuHUgd7beQ4ip/ZacGwhemb6c8KGlyXXkonOE6Q3GbKDfkASiiRBBS8gLwlK NPzJhzF9YXRnhHKdkCX2BMsMFfpQtv/eh/yqtiRWouRouL/+F3dxprVLrOaelj/EFNNBor2jUZpp AzyQiJG4sldw6zaNEVgpkruiESTm/8jfHoz1uHUfoWZF2bJEKRTOD/1Bpwwsh5lMmUYT/HXrqfs2 h/92paDKkBzPMTdQ17Cj95k9iIjgVg6TqjmbHq2ujSsWJmrwVwhLOlOMqqktgTrD6XIAk5XtXWiS EhVHh77lHB/8zH8Ip3MHPg5cXbtrTQi9QB2cSZEt4RYgqCbXtaxEWwLlGVJa25A2kgfRjxSAVbiy YV9idn19js/P9Yli8ZRH3zfgJQbDTeqk5hwkYJy/xDl55/M8QEiKXFiDMopebSd0SeDiPeYikSTN voJnVl6CR8qvZrVC2zJWUH5v0Z9gmAXt3efdzkk2L1c+nDBvXh6+tO1R/wAGyn9829k1Hu0a8dkQ k8Yq0v5pILXMLKxMZPN8cmUT36xqyhZabWk6vWzQLy5LRn6GOZJNS3VA0lklYG5+lCbdyZCZu0Qz fBSpY67StUR+XoteUHQix2RjUaZgTUlrOtYOGR0wcLRwvsdcNxly8UPQNHl7vZyknomYjjFKsxaF QOwnSRuOEhKwRd+B1oD6FOCg2I64HhnR8HW8axHxCnWvjWrUaWmTQxJgRIr126GGm6i+EkMeSG1c YtEvs+g/XwSlhSnqsmVm3YI1ck3wd+BYhD/hzIdUQAumFLn8APi07ctD8WCPch1Xlp677Hqf+08n Z9QjASBCWw3BEY4WsdY+jT42e87UgC2IV79Ah6d4KCiFJH04V5tx+7wIIjNMd7vQFGSevMPtYm4s PKl+l81yhKH9oNwaUI7MLTulGuQfUvyEHZkyCVU9sYuYG2Fag9S9ZgXiaXEUKqopBo4gYXHi2zT2 8jw06EC/ERJfkhdmsqgnx94RFpv1n8bDeQlrA/GcjogmaIiRY0Ft15yK7ECrMQAXYsxbtvaUl53P S29xeMHxEH+O3FadZR2WsDEahWS5GAsDDlucPLx7/9wfL9TRV4hFypt/hyRVhIGxl2il/gn7FjcE Ss7wQPX3+c4aM1QQW2/2lRSoDBjTnbzeCwCqvyXqnw1faeoV3qDmvitAR1HaUjrmEyTqFHW38wdJ umBABG+svpFb6GwG9rxQW0FrqIM0jmuLEESBFUxzBwjjbgt7FUwDq9W1OWlllEtZg8RBlfJSgG3K hf90xcsJptdAZUPrOiPMJKiO3D3Nih6hrJRHx5dyrfeAEdOFwwY1686ofCh/OzPnHnB17Au7VeSY fPQYW5M0uJ+vBS+9XFdMiu9R44rQyqbfso8Iwu2F8LyUhlx19Wo+sEuZRuqlAsnT3RKHSlKzltvs ynfqEJ+gy2Tvf/n9lG25kibagNkNjrpwakvbg0/wdGVTJzgi8ipP5pKiSV/iuIwtdD1fbizTo4Iu RC0lgZTxmonbB6il5F/MvqvZJQwUIFZ+Klwn83FkDqVs8NbTkYKNdNWJYHWcBUMt1E1rDVaj25XM jFbBZJCvGS9Jh7pvlpg8fKz43PZ55n8h2rtxkOBj2sYKoC+sx2AU+ArKW5Ds5qx6wMOW9ZbhRl+L FmRygiCKwlVCLvvPT2uwZldVRj8oUjCvX4aJQ89X7WmcRrk8vzj8yT1E3sm0O1uCmWjTGMZb5z20 UcZp1aDF0OmLTpX0B0k7FHIMANs1yctgSDd9iavHXQRurOZldLkNvE35/sl9fu+JxtGVazEFyVeT rj85mTqfKI6LcbVh4qV2UyU0iiHmCAzq15fpwNnyJaNJMNYSFdY+4WMZv4qkzHwjCo0K4C1CFfUX wpng8ySxDfYfisWirf7Fksl5wemzvCuHY5LR96G30Y3pBi4GMcyX6v0JL30m35NIGlMTsOZRT1WR /E6e/gc2agi6EVzf6uzgemMYq+sIgA4GqPiEQlFQ68Uirdvpn2gpvc8BL4DGEoNIZQQksjOhHqgw 5ZFuZhwNkdIfmMp0RdRdmI6i/WqymzWlxznGxdIe+Z7s0vpgwFexAAAR0lOubEGpRqtLXAu9/Fgl su57eB+agYE31rzaqp+/AYb5htV5Juh8+zrIjvH4UBaXntiYlS+FVUDRX2U2SS0qRjtjj9C7Pv/a RlSlyK18kLxL8p2x5W+pQblIj6f5/Xoxp70uL3nbG0JZ+hKPHRcBaqq/9I07j9GeOfbp+q70l0jH vkYxf4UGyzNOiblq77bGbL2WKYWlobgsHtvZBwUz+Y6h8wIhmsuvlSq1JBVdZWBWxVk4NH1ypwER DD8iDQFJlT8eHkutJACsbQsnT9EY0//mB8FZpseA/YppzBpqmf7J9gcwVl+wys0/onwBa+m/2dH5 DPnofh54ufy62vy+oT3bVlAKNbqPT+KRbPYiIF6PuUreUxM2ZElr6keEilPhEMTs4a1rVZmTVwaR 6iTHYs12YwKPcXvskK1VnL92iVfhpS4kQF4dTynhpl9xVhyuI7Lkxap3JnPK/PZExDCC60RXdTI/ 2LMj217i9yta0FFmtKgK+c9VSC0Dlq0Jfns9ChaYiieRM1maPtoAXi892YxsNdirHv2R7YM21aOS JZ/wYgSo9f72mpvTrY4bV85KqpXY1RqmrFwPVi7HaSP+Pm4rfOmX6o3hjl/YydfPFeIZjSZHckF6 tjRd9o02WnshFmmHft7sWw+1vVNJWan8ZEbpzkcyEq3wjVsCmqAyDNaNtRKVxnBrWD9DiXF/hKZp miEW7pfH052ncLnLA9dcy61Kb00qf2+yNJ7J2mDzGqIz0R6Xgy0H9rjRfc2Vwt0jX5A4vaidgqYS GlJduLxyJ/EAhPbz1DieBXdz6DNWZMz9Ij0GAGN15UU1qaUBFcWCW93FjBiGeYHbohqsPtYD0Bvc VXvKez5zxcJAzmgXRy7XjhoMcDqBcNX/dBXij1Qa+wxz2BT6x7Vmsmj/tT9CNoPjwS0U+Q2W9SgI WNrnUU3TpkuuT4bcemttEwOYN+u64m7pjagURfvkElkfB8CVkk8cr6Q2r6PjIavhb1/BbiXv8C5Z MdDBjqQ1vYlkMRrrY4xI7lXNbXRKorrJuKXrNtDO9l7PGLSw8VlFPPz9qBX2zJqCMLSlVaAhuMrt LE06asS/Sh6Fkafeha3pgNFRHqUwuNoe1SmAoMfBghjDBggwtKt4d00oUtAFsFP+bQX7vAm18h/9 Ce8OkS8eIFt/tVwWfMwSF4H3m72ubHaH/pI2qwC0eYB7tWkYrRKAYO6UMRqv7P6EBXX4qZabwoj8 RwcqxXiuqGiH9+HGVnjke84jyxVeuE9tS2YXsgkNIUfwzdUKrQjOrqIXXnHMeTr7ZqpZgRmIF/qN Leh49nvW/bTPw4Z0jwf5qqphMQvXddomUeDPiaFQpPkvO/K6XWSMPX7DOJtNmciNplCF14qKh3ZC uE2ECIByTe+Doy0XYjLfvC/UlKD9KQwPFgmrqkbXZkSUwm0SRK1HQQWPYjYIn9KF+GeGcz4cBHf0 fD188dKAEfT3UISyLZR+utHKO2DEQ+OQC9HnQ4vSwttju7tl4tL5kSFUH8gPrwLGHh5jAP+VRd5G SMUP1zftjSaiYQL/Bq81goAD8qG5IsUv1Bb+7oO9NfLB7otimvl7h4rkNMrEegDxblsFloSDj5HN Zgr12Ma/4xBHh1HXZDVfki47XciKTyDgP5wFmzJhEZM4mC3uXjHQl8E2yz+dCpMkgA07rGnLBtM3 4JiAvp8choICMenlIM9Uq6U8KWlydTiCxb9/YxSMw5XAefloUUR9eETI4gkTAljLa9oTtUHVf3mw YpwI90qscJe+iw4SL3PuWq+pwjPl1aMgubwYrXOjPikkJRN8VNiwgsGjxghb0EGGIU7hKeAomvJG REn0Aonsv7Z7eCjDofjoiqGjCPhfzFLyacLsxWRM3McLW3u1s+aWPXSA7xzeLaZlVLYMczG6hG6E DsT97EOSmkSf9XVH54dRo/63AJhIvnNsMqJl0JnmkOneiF7vqc0GqGfgiM8TeFU1yD3oLfK7VcPd Fk5vqwIDOVousTeuFl9vcS6BaiKFx1/vGSywNPE/ipRf+GoHQT8gzNkNE8bxJW5xLWW2SLudYTuu xe+296X2ztP0YM+xllvym6gcB801LH8bRlREZzVJOHsQuphWRuBoPYkM6c7naN2+3D/aipBAp1qj 9gYnY4Ej9S02jiFVW4GROqL1w6RTM0c73qM47dHaErgKV8O7UAK4iJtdgZywknUuVeb//PxkXg4X P72qdUhfB5CipxECJg5oLctUQQpFmtZpIRCe6ZG69622dtc+GuLCD9EH3JXCC8/7pF3Ce6uYdL4Q qj4ms6o2f7ffdSc0lMsqSAhfzexmX2TRhMTTlS9N1iYDnIWFTT7CAC5PvpNhUG8gRKpf94NfiDJN kwFAhdtXHrotsyd8RtsPtzM88Xlpc4zZWkr0Lq6H2KPEZvz11r3yO8DiKBmo4Sxi61nmdhz40Pjr 95oMH6fdQ9W/ciorNDx+KBfrzNASRX/cxXZeyflEH03Tf+i5VNd5S+RFHuQJ8pQlfQxvs10leA8D iwUh3sVto2wVpobJF2wRCcTUKShbwbXyZn7vf3O565nmGuSIdQNUddjIshcTJi9ocsMM0CzbweHk E+uBGOtvMcANS+Z3U/9ySo2h4j50qXXjQEYqZF7mmY407ICnO95TCLZzpGv6XPsnh/gIEYQu98nj gixE7dkOFEURzyJ2GT5vSB7251pw5c0CzfZkdRRpWzDDmKLw+19kRIbD2r8Py6nwkFJZzjmSJWtu D/WlZBteRUFPWgEwe4COUano1v9WoAA8u+glmw1Q0hifgWMj/4TVQlXvDIzUfZs0cKu2JEVOj+k8 X9/s/jtHdByF3TpQTmtUJc/RSGxoQcrNzlRGpOKXvt8GkByWuk2kh6ner8yXjB6M8RWTD5iX7D2N JjkLP/n3zVpm/F4fFrIfGq1pQGU9Pr4Brlzt5jK0jG1zfVM/PysAh/1Lvu2ArERhRBk5bWqkirmJ /CaKpaTpYQR1qBiJi45Q8n2gc9WHud9dZa48KOXMEv5npkjujH71o7P2p5CumBNJakIY+cgFz0BP AhJVrbydjZLOI2cmueEVI5MU8D1sAwCP5wfq2uVqC7KIFW51OOi49Jw+MYYutfq5KRt3R6l+/Tui 7Y7JhDcJFWOWnACyA3ahN8K8w0BuL9wt9HslivhYsDEbgRTE3ulArzNIkoETY9ruuwxp6A2cMNxJ mfxP5FyLlk5EcpFUURbVugAslORZYHX86Z2ELoGqGdANRbmOOf7OC8QF2u1UQVcDLNg9R+N/rytT FA7JlQA0/A9+aw34lk5q27sje6Wjex9WVFZkeKGPy2lLRp59uUW8sCAQIw8VtPhN+Tty3GZ91aSY p6T2+/oshTlFHV+GgRlIXR4WgtGOjYDeMynG+B7S49GS7cXUtf3k0cqO+Aqz32C2LtrIkrFkcS20 H69qs9IU647Yim9bus2B+PXqAU1TbMWAgAd7E/KIcNN1T1QDCxbilUswEAs88f3i49mqYFa9ZWRz mnf031xZ7pA+xQWGhIvjqqNBee9aLoPNPFT1yZyq/+JfugnT8vB/9XHLmum/fqC6yfKSvvialvE5 SpMGaqKscLJb3ZPXw5waWM/Cky56h4NCPGU4EkJvqSKUugEZnHRLzqANIx9urzFoHPVBlKsJYSfF 0ZdDpC27tIJoyGXKl50bej2pdz0V8kshbm2ngCjBE9w+nTUjPf2fs3aWe2QjCFQTO0NlW1OOOfXY YaMltI8qNdm+kArYzprR4sVwEjdNsyJXZ0GG+i2JaLQU+m7BgG/uUEjQ7WHAWdjkTg7kC6CFLMuc Ahn9q35+F1JVHYEY1J4v3JsFb41a/Qj7d37ZZrwX7YEvAk5LleUwGvQPCOMf17x00o9uoZXyeOzR fHQ1vim4zYjyQKPaSqDj952i04n8cInusQjHlt9xamFlHTRUOzg+cqOIizHHOgEbNu2bJ3LBa0IH kZ5WyT2pgeX48VCPcXWnbEwZmBDnM1X3gmH0vWKx36bsFM8NWHfZQTMFjc0EX0AXdKYMKA8zaS9o gVx8crNcNV0npnsk/HPpIOj2d7BOV0tVosCor6KcRH/glW8BrNuoMLYM5NF5aDqaZtqUok3rFzIM AVQq5Fn3iRpbr/TV1PxCNcYlGuT34GM6xDIgnmH+T/cJKV5z6GaBN1H92ZV3FI5UZAcuDbT6kwM8 DCf476QZb8T8AAQ9CGQOFCpnvP225HUO5UsXI9mQsPUQjXm9npFHvrvTjZ7P9zCdYYpnD6QNyTDb gZxRzk0QXmWbMR+T62WWV3fY3aYDtG3A9ocNIXH+h/Mau4Qbvx/WYt3Gy1MMXdxI3t6LzPiQCyx6 IanVEIntfL55Tjf6JpX2E5vY0DJ0AFmiCBxMJcKByYsWUoieAOVGxwi3/4dHaQtqeVnL1nCJ1FBi XZ4T7KhpMqHv13zM0lbPIzHU7D+6znvnVtsBuJcBryrb5mUPYXaN/Mici2bLNaB8otCYkk3Bd3GA sN3l0RwJ8dznegVBrErcyW70S6ckj4J0/o1ODGFVyJ91augfiQI9a6FEN6xKYAaLayJoM6sCoa7g ZueJUxQrKbWh+9gM70paytA14ZQKaxbxs6YclpqrFlxxd60QduLzJy8LVsbHLGrRXNzs1YAIMPvS EVtxa27/2HpfwlcfX/BfN4BpL3fHcFWMm47JTs4Crg7IV56Xl0QxMiaQlwg2AWMUygkSGcqi4oel 1FSxpjIhAvfK/uDUIpnHa4eKFSed3P+l1h4v0rZAIZeBjD80F3sVXgSKCUKVfTebLuhXn7DBx93e H5CwhFrKYmLzv/gRq9/6fm7Buv0YCD/uoDRW6lYq6nhdyb0/1bFP38JKm1TsNs0S7eGQcoiXYu8d Rnafsj4sRZyyrRxU3CH8Cp/B3+vHalDa63ip+YHnRS+nKiocU3o1VMHv/CwoN4ZxaPlXNwngKt5h 3xFwr3j1W1rD2eGy6XdGfqC2Daae6pk0lgGLg4Opw9ziiX8I7GIzm4tgpTlmSlBIUGFdxhBW3u5J WziMal6u8NKpDyI9bgDus3jvGgcdZPEhFMA8RROarYm0Q0PCauljWPfdHDxh3aV7cu44SgvSUQUh hiuUSRCgkrdPE9aas9uSdUeHRhTpzXPDkcmAtoT8lyLSFi60k8AjFKP3MjGQoNfYAPhkps5wcDJn EonVd0DL4AZRBZkqk/7nxA9sHGSB9L/OaRC0qwEzf//Wati2ozGddDBc3RLBLlOOBQoQP2+CKVc/ dk3R1TPnkSsLwZCp7XKWovGB4QqvGiOURXe3rpozTA25ZUjLdBWI2+1Sx4VtoxVHAZjhb3sb0mUS jK4+255Pm7q9hPF+IvUbp2GBOzQ6LiFvEkD4h6unqdQ9ynp7IjNvuP5p39zL1bojN+mqoUzB6fZs L7CVfh9EUyQ3BLsEaxLiiO9gQkIPZFV5eb92bbA8RvzRfm0JTKlpA92yEpJekYZoOmost3+MzX7W 7ZwP8MChGdZMh/O/oev/+d56qtvpSZMDrPoruLmkgu/TPrQA+97HBaibJ7b3vKUcL7uuqSIiDPMK ZZGiBOGiy7zjGRSZQNe4/MH5B857Dk72BRDQDnDQSkaUD6t0198mCXS5dbqceTRGBeHppswj/a32 NkuihuA/9UMKb+5hllaLu+3ZxybAobiz8BP1WOxs2Spj28s5usAC/zc8/jVfsyOzIepFfxMwsWYv 3qz+6s0rEp/7HQqSXQ/5m9+N4ezgNW6qhWnOzgsVKtsJ7AQ4Too6fSTax15LfY0hKSSc6heTrMxq laBvq5WyVVo6r3r9ptox0TET74rTNV9l6dhomDRitQMStztS8JUk+2fJa6JoT+vfbuRSVf+rgpae sKz+MwKK6yuKVVW+0kKMFoxc0KF5yAUTBucXtGMK3a5ws8Jd3DyNYE9ltW+3JGgoujPEqko8asIE csPAmNaI7wy6OnTYW827gKc5LFsGul/f+hlR2vRRW4FEu4dfOY+/tVaB1cEcii+CVwPg7sYpD9t8 8kfff4ufT2dfs5GdGXcM90TrFY34di4Rvk17uTMv4GxOtUx3LzvquVd6n+/uDcg80K52D7LHBTmB uUgVpK7M2PRAE+9Mb9muw1LnJ6GQGUcN0qj6w1ecfVoDReyCuTc0x5KhQSrMShPIBvd7yxk8eBm0 YshKKRuehxJ51ruti2eLrtPTp6pxE4yO2fmmvMwWleCDrM47zLNGeMgm0tmD/G+tB0/wgm9xrrxC Cp0sU4d+ZDLJT3cifnCEEdfqCIpJYsy+xfKf4shVlG7x7KlyS7sC9Pkxm6Impxb0AUSerhIFNDKG zduCOGg1gUi+XjxzZgU7MeMLdQjRPE2dUeI2P3v0fbAG2UhKwdk/1m/ZORXWhFyD/+546qmkeGj8 7NvEwvLd8mOrlamvTLEt/BSHz9BlaitiwFdeKKku+eCuE2MuEAmDGZn297JZCiw679txittZmE2h vR1vpCpHweKwMdPjRC6ckXemQfi4Onb+gl8Tiq0C8MGYLGcuVw/RwOGYlD+n+wQbboUKf3g2CF85 gMHlQcetqnC+jR4PjD9PwvtPhTEON71MXRRabrsRKuC0nFqqns0Av98yegkgkNceUEzjOPafcGr/ R7098ZGLIXN3Cjv5m74mcJfG3OYcWUpJwGj7C3oH6hsYutAuSFqBJ7DOGmRmekHyVqZrPrUzdKsx BPd32/RE2QcToZO/g95YpvdWVoGi9Nj5n3+T0M1DlX5bsW1a9AZFrltbiaTmjQRM5XXtWfnQN+Q3 xdr454HinaCYCaguTkUtCblzCfIz46O+V/2gUS804gM6mTpWwmyTAxNAtbEFJBQWVbNIO4nbGBSo rlE8NstRPMSwvLSK1fiDe1PYBxborncjeneFFGtR0SsV4Wiq9CLZr4Hd2h6KYAJM6sy7r6qtoIZz tXqCZbr2UTm0tl6K9ypRj4UnmnlT+ihtOJvcMclxdgduanP1y8PTasI/y/csZ7qtU/RrSfm86Bb7 cHMifLtoO54X1FWt0Q7LGL+jj+aDnE4fedOVXD5WLy/5kRvg+FLQaya7NuusI9N09M4awgkRRyov QGfUD8bGRfbMO+I3NGt8mOTn3SvNFhnId2txPQlt9mPR6m1fVoxx/ncyJPhHp8BDiP/X29ke/h43 YeO2rpLUGxKKz/yQtOOz5/DC6VY03vp63BYXD55UKGdRpm2uWdBCuYAbI2cnSrwU1fECM/aJ6au5 bQBc31/caRq6jDyvycv8MiPe4t0NqJeLsoPHPsWRbq/VK26hYSCl7eU0A/1IFAQp2v9YnTWNIk3/ Uilpx4RML8GDHWKLTbJAfxKAJ4nFocD7d3vPgvWwx34hX5DQJHyXWxQ9K5axyejCTFl04JUmdtUL r8WHLYnuheX6O2UodEqTOMkEWjqaA0NDi/YoOJ28HtZdAzUVYDo49TGgzGXZZZ+WKw9YU+0hjvbg tLVAea3p6XrtCECAiPPuJlgI9HXdzQS13I4/NBKvEt08ujEspJZg7uazAdsx6Y3xQku4ib4X07q0 iILovygauNqBlp5MwauGpN+P+IYkhj1hcCe93RyKgsrjHOwY7Ze8SPr3Pz5rUleEZZ6KPO902wJt LacO9aNNL6YNn6IWxgTJYRRv2CcrWoSFpjxVtuhuJ3px95TzKrlaAFyAfgdLo90O5U5za52pxbzw YHYSp1YOzchSCw6lxJ1mSpz+YJFdy55Zrd8qbVcxdWhf4KKHolIiabiNucm7Yt6WPTI3wrZPRXRK BseN59KyEYhyKPbUBrB1en9BuY5Or59faVpC0o3MgGKJnL9Ch74Mj452T4EYDIMWiuiml/qsp/9G ujsAkQzD8fX36ylwb/xph7vOHiWgI18sr7TaF+Ycvxy5194wjV5KrRvmWaBUNFG0emm8PSAnb8g3 beKVRiAkSUg4RKc3uckagXI4Ddp21AMTKsjgFA2TVk/YH9CDESCqEznkwm5VGDnG2FrMVtLo5hg7 VPNVT5vojudOOo/9m6tEbFuUa1DlxCpqg+AL6yfaoqDbzbRQTlLaI3TO93tboOQDAv9CsJtgQmNY lfG4Jjc1stWk2xUrXDSc60JW+mt9Hd6NN5Vt2Q5QbS+Fm0+Z0SJXaHBsGQWiSIg8DVBrR0bTLltS /YAprKLBqbnoos4u3OjQetyKdnFVstVDhb83rBbX8FTMYI8YiTaVzpV/vJlkHBmF4RlBZ+qyfdbp XeDLbGE91c69h3DYfJX2z4jtzGsPgyW7Wqug2VnY4h2+sWsTocb9cuqhYHzX5XY6ZD7P1PKgSRcK Z/k1ptj8BicSgChaBNxitmkBhYIhCVZc1vTm7R86QL7gb/zigfOtGnmPZ79x/TizaYmPMigow+nw ZM92gTjSILa1JtgB1VjqKJknvVBVrdG0cIOpRpnDv0baE1MYwTui9AL2aVKCiollSbN/YLoCDpZE e1F6meWYXaKhWz/Aig0SQdJ2Lc3pnOx5XbAfp1mY2gBPIthoLCOm4TUbceh8PyWjPuY1QumOc3CI eQPTuGIx3RFc54glZpZoWV5/CSUec8k93lg6SVv3CO/NUoFOLuAREPxlXYSCxVk6Qvp4hjkMxPJi 7itnE0pinBlSUmaK4m7YsnjA0T13aPRUFvXfyUJlJZknvXFDc5tPGXpbCi3aK6enpWxlH3YBV7RR eT3jBzuBiZ3fIbDWnT70w1G+A/yirPaUKBW4qMbZCimR7ApsYs1qtZDMOo6qwXYLCuhQctYW3ccH t0Y7IjPC/H+l7rURqI/DzwwpVB1M2xtQlznqK5ammbMYbpNLwqwfo433ERDhFVgywJJR4ShbGVVy 2zlE2UUIq+EgbS3T8Fom3PDhYo92HUPx3pr/EgADO5/Hy4rip9Q68QQpU5itDhHU6k1KHvExYpLr WgZ3Ldfu+huGmTi/hONhfYGA2uFc29YTzTsJyNc3tCv6u/sS4q2Gy2mjpdaOrs7ITRj4/H8Xwxbz +w9apWpQczWUHHVO36/EBy1hYjyTHXiC7kuguQmSdT8cxa08l2Ykot1v/UFd0vBSMC6howo2Waqp Hj2JikAnx2mmuIWGOPrumd0VB+NORG416wmLtQ6Jm/9M0XhxklEo80vfBYPbnHuQtUbh0bO5f3D9 FDVKZeXyZo3BGN/Hb9/Z6ohu+O3u+VusrnXH2FNddx1XSxCDfPrzuRIJ0C3FBt7dWCXVpjRUhzpI FNSUOiVnI+qjN3cp5hj9hhu67aGJ3zRaM7ujAKwxESkl8NfEL9fw6JKwlwNwS2rPqxxzHNr2Qwp5 cSP4om9qBeBGpbK3ReGqSD1n+OGGNqJm7qFlGYfhPqL7QOQewK0/bKLlA9D7CGQpIra27yjuzimX AUAR1njmrBRQAVa5k9OHou/raRqSGADpZzhIUxs8TtNedOOwRn/1ci+QirxZvEkN6gXwo++Rw10W VuCdNyfhaTltVmi4afl1C6w6cwkyAf28LAt1a7DOx4C13jUGBEipLIJrvgH4ILeYJq7ZL+XAU3XG 1DiNRgqNWtNOOS22yqVmwC1GSRwogtA1dyERZWzl6TqAyY0YIDgj15mQ4OMrDyCJThD7Bd/TKB0m WWjHGp9l46XYDS90NFtQFYu+a6/HpN/MS63ZlliHw8pPQeFtSb93yIkStEix4UduhDVXa1Lq3Tk6 ETrx7TCi7MdmSSG9U2Ru/4HyG/WWQH3IdyjsrpWXhZMwcTGynic0axqt0dTfZuS388PjRR/4zlJ8 tWKOtukA8B4vKtkx65URKvQSFSMKdMhqdz8b3bJGYz52g12blqkLwPcJY2K0Jj4dgsxv7qhQPKr9 mf8vxZwTfxG/pWqOY1deruIzi9gxZEiMWnvC3bwAD5SFoSfGb4KS19LauKHRIoaPHUFBfcrsFmJw PVcEuoTeR2wznFN3PgkagynIwnsmoVP9aAw8QIkDFmKb1p1tXTibMW0gwXyx9AQGccaEl4ZtC3lC cvodsKYSyli/vqieZ1TgglcHIXNRAaXwH9R/3gPiJUD6E0MhmlHn0hqb0fOwO/boTBKxQMIiFbDx OL9q8t3jWBYhbtnYhI9gd49DLJr6/A5pjvd4sGqYZ0TVA94xiJwsPgQ97/uTBvWv/n3E+tQrHgNc 8pajaIgb8QKHhJYl56U6pFSrIM6bJruQsZSk45sseClcNml0Zn00OJrTK/nmwbM4pPF45k0TZIab GixgvuTs3s0UfL6JkprjMVRqRLP/zuqX+9Hps9mNDRBRDTApZP54FCyz/i/frfK0Un23WwnJEC8T r6qFDRY5N6iCFyb+hqtIq9sCXivpraoHKVlhY1XwVCz+K3t7ICGNbnhTFwjlGenO1Mm3FtqB6yre F112w28nqroFwK+/3GAbOsef9HNFwNi16d5gxzEERukK/+2eI/zbtnbJoWynnob+Z2o0ScWTU2l+ f3gP3Q+budo315RSRTEdGIr1Q/pWVosoFP6b38JedPEDYwoFm4D9Ro/iCPfI/6DinFm230k1JmAQ zE0lIrPc4jwkMZot9WjSaU2bnubu9MSMByClpeC6LOMkHWl74QG4p+G0M5Xt8LkOQXUmWXXSYaRS FNuoVsN7HHa22O62gcsYiewSxN+1xVBEtVJvRgm3Jw7cj0puSQ/7jCM6WrdvNqRrw9w1iPa6XL29 dnh64sthmwKtLb1oluxzxYRjIxH71FqHv9OT1kiNeqgiOCeps260z/Edy/KQ/EQi4DSUZRS3547j ud9Et+7Kx26gpYxr2wQimtaOuQADj6Y7M705ZLThY86bX4nxbpoWXw7Rvs6eOtps5dFGDbj66XjC MrUiE0VhEh1/ltHH4t6f+kejZ/ecCz/TxP1cwyfYIhS/5CdoLrGk4dQH30mQlm6FEKGt7OrX8Jxu V3C30iP2nw3hvRknLJ4UcuZoAN6/4XnDxucW2Qh5yVTReQHWIGjWIRA/lkBLkDJ7ig6f6ZNC/Q0o C4B15XqdtAuNCrRio56QvlML5/gO0oriynEuvmgJmamZai3rm/H4iui96mWsi3kWninATLBN0DLL /XTpejvFnA2A4whfIzEnQx+a5z15ngHuu4yhQmx5ZkwILcFNt1cbvWJoD8fD8L0MVk1Tgtvs3ru3 W+PvjBBK5fIbmyBAud15trjbAA5h7BevRFVWdc6oMenbnuH/OT9BfHCTbHzRUCBMWTI67M+lR9HN PKKuSOnP5jmzQdem7cXcTLeKaqSFDQdOjUwvFcoOAxUhvoW/BYXhO39mzJ1niuu2fKKAWX88IEfI ibUwfPWn0bOEEQ6aEzRZAzGaZIgCcTGeZLZ37bBL2d5NmzenbMDeTL7TrqLgpD9xOc+02/Qxv6St UOwHuZ+WfQop+hIQ+dlgkRG4nLRDiXTuslR8FMNjsC0ATIcJ2Y3bd+NYx8g1LkSWMN5LiFnKZMkq +0I2LnU6YlfeaJchM8vTbHLRYzz81+LbUSme5kV6cCNJGTEKxi/zG8tBt7PykCr87GCOIBnfiV2B XXbFT7LLFzXLgrfxbB9DhjSL2mSRWsTiFFESJwXJv+F1MSRRdxnGcdMdsa1azUkkIgmfZXAfHBV2 5tXOuofY1OHFN8pzhjRWLOiSlVbJevHdwPc4kc7YKswLpnaTXvdhwuoHk7EuKBtN8hRGN9p26htq WOJYEfVYg2HAyBu0DeQtpqaSl5mT6vKXeRynaJL3XqNC1VsqdEnBVCPBIjK3wQ1cZVK9Y0kZq6WK 0mpQJBNZ1mWsuOTu75peRI4QhwsYj8I7JgdNWEJq4f+o17y/p64+HqQ+6uGQ3S8Z32GhpqGB2gGc 2tCoge6tET1JiWpUfpg4ne56LYmZgLi86BkhjthcfrWhk15CGxT6HRdfNSBdEXf2BNl2utEZCxzY 1lXG4Di1J4PlFC7R7/IGFEFBKCtNs5qiGlVUKjEz0a7B+f1dcrrPSCIvorZzVTq7ywHc3lOsnATV 0C16r9E9pvZ437BQE5RjuLT4I9iPGs9+58CSaNYzUW4DTWroHgqQ4785n4/Qg1NuRkzczHALW32M jwEDcnPiNjf7NBJ5PmoKW3/TuSDUJ5NeKzcmaPHC4AsX0Mm4ML0PJeI6g4wYKrwO3YbqMxhM4AC6 Z6KqaloOfK8C6weUhT4uDhhH0GRiHSTZLg2X0nLTwBPS+xL7vBrporaVacgjgDRXLGAh+5cZkYAx WYiNXHUNldIWlb0qkdhKsV/r9HIQ1beaEaKykfA7iJ3R19XZ7GaNAao/WKGXA3BwD1INd9KGs2B/ +JWTGQ+NwBnLuRpPw4UCoAQ3FCTCUvidYAYNUmEOF+IHnu1pOBfSiETLCdJbM3hBj04VZ1E2HDxO If87aUQ/FEqT6SF+Oo5pHwKs7nEgFX+Y4SVm8e4MTAk+Ke6KAe2O5buYNR9rCUayZpakp+3oooaO zQQKwCzfVvcnzfmx0l2B4cfB2qSHO+2b3lhqHULF6SSvLmjkOW1zb9E7jJCDFd43O3x8S7hBRt7M xQieG3jiFaFiRjTr7bNJc8GrobemTqmED7BWfn7BXPwgBCqM/S7US6xQDX9rxgxoJF3YWf3AiDu+ J4I3niNM3ZWndxq/DL9FYRHJeNeVpG3nEc9VT7Lqh44tZX/7htHF31QCNxVYt85p/yml96ajKOnj DFNT43lgKZ/E03nzmuNeNUgDD+mN8Y2QLX2sxHAlc7etpH0NpL9T/vWZipBx6acSqGMx0HK6wgZr s43bV74ciwwhexA1o+agndzM6PU+/GNd/QdKDGN47LWLgaCzUIFEqPgWZW6lYNY2wkfncMJuLIXR +7PTRH/IRCo4i07fZunf7RIGZ/xopVeaMfvSpaUf+b3faW+6KnMbxa5GHaBwh5HLzgGbWI80/J9b h0RsDZOz6EVPd3MMi2V6SSR7oByiZmB0qspXZeJ7ViL5+TrHFjsDAYkzMMMLImaC0o3xTCMZF3/4 1S4VoSr1ZvABvkzjHvfI47jp+5deRLFLR3Sr3Ibh5XsRDBPwhN6rlHIfY+zpu7hOE5xe5dJasQ6V JDNe8/yznItDV2oRjjwSWeY8t/ZsHhlqE43ukd7uRLSHfS8CGSQ= `protect end_protected
gpl-2.0
0805bbf9a5d67a3cc538fa94f71f485e
0.950811
1.829601
false
false
false
false
UVVM/UVVM_All
bitvis_vip_rgmii/src/rgmii_rx_vvc.vhd
1
20,511
--================================================================================================================================ -- Copyright 2020 Bitvis -- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. -- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT. -- -- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on -- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and limitations under the License. --================================================================================================================================ -- Note : Any functionality not explicitly described in the documentation is subject to change at any time ---------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------- -- Description : See library quick reference (under 'doc') and README-file(s) --------------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library uvvm_util; context uvvm_util.uvvm_util_context; library uvvm_vvc_framework; use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all; library bitvis_vip_scoreboard; use bitvis_vip_scoreboard.generic_sb_support_pkg.C_SB_CONFIG_DEFAULT; use work.rgmii_bfm_pkg.all; use work.vvc_methods_pkg.all; use work.vvc_cmd_pkg.all; use work.td_target_support_pkg.all; use work.td_vvc_entity_support_pkg.all; use work.td_cmd_queue_pkg.all; use work.td_result_queue_pkg.all; use work.transaction_pkg.all; --========================================================================================== entity rgmii_rx_vvc is generic ( GC_INSTANCE_IDX : natural; GC_CHANNEL : t_channel; GC_RGMII_BFM_CONFIG : t_rgmii_bfm_config := C_RGMII_BFM_CONFIG_DEFAULT; GC_CMD_QUEUE_COUNT_MAX : natural := 1000; GC_CMD_QUEUE_COUNT_THRESHOLD : natural := 950; GC_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY : t_alert_level := WARNING; GC_RESULT_QUEUE_COUNT_MAX : natural := 1000; GC_RESULT_QUEUE_COUNT_THRESHOLD : natural := 950; GC_RESULT_QUEUE_COUNT_THRESHOLD_SEVERITY : t_alert_level := WARNING ); port ( rgmii_vvc_rx_if : inout t_rgmii_rx_if := init_rgmii_if_signals ); end entity rgmii_rx_vvc; --========================================================================================== --========================================================================================== architecture behave of rgmii_rx_vvc is constant C_SCOPE : string := C_VVC_NAME & "," & to_string(GC_INSTANCE_IDX); constant C_VVC_LABELS : t_vvc_labels := assign_vvc_labels(C_SCOPE, C_VVC_NAME, GC_INSTANCE_IDX, GC_CHANNEL); signal executor_is_busy : boolean := false; signal queue_is_increasing : boolean := false; signal last_cmd_idx_executed : natural := 0; signal terminate_current_cmd : t_flag_record; -- Instantiation of the element dedicated executor shared variable command_queue : work.td_cmd_queue_pkg.t_generic_queue; shared variable result_queue : work.td_result_queue_pkg.t_generic_queue; alias vvc_config : t_vvc_config is shared_rgmii_vvc_config(GC_CHANNEL, GC_INSTANCE_IDX); alias vvc_status : t_vvc_status is shared_rgmii_vvc_status(GC_CHANNEL, GC_INSTANCE_IDX); -- Transaction info alias vvc_transaction_info_trigger : std_logic is global_rgmii_vvc_transaction_trigger(GC_CHANNEL, GC_INSTANCE_IDX); alias vvc_transaction_info : t_transaction_group is shared_rgmii_vvc_transaction_info(GC_CHANNEL, GC_INSTANCE_IDX); -- VVC Activity signal entry_num_in_vvc_activity_register : integer; --UVVM: temporary fix for HVVC, remove function below in v3.0 function get_msg_id_panel( constant command : in t_vvc_cmd_record; constant vvc_config : in t_vvc_config ) return t_msg_id_panel is begin -- If the parent_msg_id_panel is set then use it, -- otherwise use the VVCs msg_id_panel from its config. if command.msg(1 to 5) = "HVVC:" then return vvc_config.parent_msg_id_panel; else return vvc_config.msg_id_panel; end if; end function; begin --========================================================================================== -- Constructor -- - Set up the defaults and show constructor if enabled --========================================================================================== work.td_vvc_entity_support_pkg.vvc_constructor(C_SCOPE, GC_INSTANCE_IDX, vvc_config, command_queue, result_queue, GC_RGMII_BFM_CONFIG, GC_CMD_QUEUE_COUNT_MAX, GC_CMD_QUEUE_COUNT_THRESHOLD, GC_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY, GC_RESULT_QUEUE_COUNT_MAX, GC_RESULT_QUEUE_COUNT_THRESHOLD, GC_RESULT_QUEUE_COUNT_THRESHOLD_SEVERITY); --========================================================================================== --========================================================================================== -- Command interpreter -- - Interpret, decode and acknowledge commands from the central sequencer --========================================================================================== cmd_interpreter : process variable v_cmd_has_been_acked : boolean; -- Indicates if acknowledge_cmd() has been called for the current shared_vvc_cmd variable v_local_vvc_cmd : t_vvc_cmd_record := C_VVC_CMD_DEFAULT; variable v_msg_id_panel : t_msg_id_panel; variable v_temp_msg_id_panel : t_msg_id_panel; --UVVM: temporary fix for HVVC, remove in v3.0 begin -- 0. Initialize the process prior to first command work.td_vvc_entity_support_pkg.initialize_interpreter(terminate_current_cmd, global_awaiting_completion); -- initialise shared_vvc_last_received_cmd_idx for channel and instance shared_vvc_last_received_cmd_idx(GC_CHANNEL, GC_INSTANCE_IDX) := 0; -- Register VVC in vvc activity register entry_num_in_vvc_activity_register <= shared_vvc_activity_register.priv_register_vvc(name => C_VVC_NAME, channel => GC_CHANNEL, instance => GC_INSTANCE_IDX); -- Set initial value of v_msg_id_panel to msg_id_panel in config v_msg_id_panel := vvc_config.msg_id_panel; -- Then for every single command from the sequencer loop -- basically as long as new commands are received -- 1. wait until command targeted at this VVC. Must match VVC name, instance and channel (if applicable) -- releases global semaphore ------------------------------------------------------------------------- work.td_vvc_entity_support_pkg.await_cmd_from_sequencer(C_VVC_LABELS, vvc_config, THIS_VVCT, VVC_BROADCAST, global_vvc_busy, global_vvc_ack, v_local_vvc_cmd); v_cmd_has_been_acked := false; -- Clear flag -- Update shared_vvc_last_received_cmd_idx with received command index shared_vvc_last_received_cmd_idx(GC_CHANNEL, GC_INSTANCE_IDX) := v_local_vvc_cmd.cmd_idx; -- Select between a provided msg_id_panel via the vvc_cmd_record from a VVC with a higher hierarchy or the -- msg_id_panel in this VVC's config. This is to correctly handle the logging when using Hierarchical-VVCs. v_msg_id_panel := get_msg_id_panel(v_local_vvc_cmd, vvc_config); -- 2a. Put command on the executor if intended for the executor ------------------------------------------------------------------------- if v_local_vvc_cmd.command_type = QUEUED then work.td_vvc_entity_support_pkg.put_command_on_queue(v_local_vvc_cmd, command_queue, vvc_status, queue_is_increasing); -- 2b. Otherwise command is intended for immediate response ------------------------------------------------------------------------- elsif v_local_vvc_cmd.command_type = IMMEDIATE then --UVVM: temporary fix for HVVC, remove two lines below in v3.0 if v_local_vvc_cmd.operation /= DISABLE_LOG_MSG and v_local_vvc_cmd.operation /= ENABLE_LOG_MSG then v_temp_msg_id_panel := vvc_config.msg_id_panel; vvc_config.msg_id_panel := v_msg_id_panel; end if; case v_local_vvc_cmd.operation is when AWAIT_COMPLETION => -- Await completion of all commands in the cmd_executor executor work.td_vvc_entity_support_pkg.interpreter_await_completion(v_local_vvc_cmd, command_queue, vvc_config, executor_is_busy, C_VVC_LABELS, last_cmd_idx_executed); when AWAIT_ANY_COMPLETION => if not v_local_vvc_cmd.gen_boolean then -- Called with lastness = NOT_LAST: Acknowledge immediately to let the sequencer continue work.td_target_support_pkg.acknowledge_cmd(global_vvc_ack,v_local_vvc_cmd.cmd_idx); v_cmd_has_been_acked := true; end if; work.td_vvc_entity_support_pkg.interpreter_await_any_completion(v_local_vvc_cmd, command_queue, vvc_config, executor_is_busy, C_VVC_LABELS, last_cmd_idx_executed, global_awaiting_completion); when DISABLE_LOG_MSG => uvvm_util.methods_pkg.disable_log_msg(v_local_vvc_cmd.msg_id, vvc_config.msg_id_panel, to_string(v_local_vvc_cmd.msg) & format_command_idx(v_local_vvc_cmd), C_SCOPE, v_local_vvc_cmd.quietness); when ENABLE_LOG_MSG => uvvm_util.methods_pkg.enable_log_msg(v_local_vvc_cmd.msg_id, vvc_config.msg_id_panel, to_string(v_local_vvc_cmd.msg) & format_command_idx(v_local_vvc_cmd), C_SCOPE, v_local_vvc_cmd.quietness); when FLUSH_COMMAND_QUEUE => work.td_vvc_entity_support_pkg.interpreter_flush_command_queue(v_local_vvc_cmd, command_queue, vvc_config, vvc_status, C_VVC_LABELS); when TERMINATE_CURRENT_COMMAND => work.td_vvc_entity_support_pkg.interpreter_terminate_current_command(v_local_vvc_cmd, vvc_config, C_VVC_LABELS, terminate_current_cmd, executor_is_busy); when FETCH_RESULT => work.td_vvc_entity_support_pkg.interpreter_fetch_result(result_queue, v_local_vvc_cmd, vvc_config, C_VVC_LABELS, last_cmd_idx_executed, shared_vvc_response); when others => tb_error("Unsupported command received for IMMEDIATE execution: '" & to_string(v_local_vvc_cmd.operation) & "'", C_SCOPE); end case; --UVVM: temporary fix for HVVC, remove line below in v3.0 if v_local_vvc_cmd.operation /= DISABLE_LOG_MSG and v_local_vvc_cmd.operation /= ENABLE_LOG_MSG then vvc_config.msg_id_panel := v_temp_msg_id_panel; end if; else tb_error("command_type is not IMMEDIATE or QUEUED", C_SCOPE); end if; -- 3. Acknowledge command after runing or queuing the command ------------------------------------------------------------------------- if not v_cmd_has_been_acked then work.td_target_support_pkg.acknowledge_cmd(global_vvc_ack,v_local_vvc_cmd.cmd_idx); end if; end loop; end process; --========================================================================================== --========================================================================================== -- Command executor -- - Fetch and execute the commands --========================================================================================== cmd_executor : process variable v_cmd : t_vvc_cmd_record; variable v_result : t_vvc_result; -- See vvc_cmd_pkg variable v_timestamp_start_of_current_bfm_access : time := 0 ns; variable v_timestamp_start_of_last_bfm_access : time := 0 ns; variable v_timestamp_end_of_last_bfm_access : time := 0 ns; variable v_command_is_bfm_access : boolean := false; variable v_prev_command_was_bfm_access : boolean := false; variable v_msg_id_panel : t_msg_id_panel; begin -- 0. Initialize the process prior to first command ------------------------------------------------------------------------- work.td_vvc_entity_support_pkg.initialize_executor(terminate_current_cmd); -- Setup RGMII scoreboard RGMII_VVC_SB.set_scope("RGMII_VVC_SB"); RGMII_VVC_SB.enable(GC_INSTANCE_IDX, "RGMII VVC SB Enabled"); RGMII_VVC_SB.config(GC_INSTANCE_IDX, C_SB_CONFIG_DEFAULT); RGMII_VVC_SB.enable_log_msg(GC_INSTANCE_IDX, ID_DATA); -- Set initial value of v_msg_id_panel to msg_id_panel in config v_msg_id_panel := vvc_config.msg_id_panel; loop -- update vvc activity update_vvc_activity_register(global_trigger_vvc_activity_register, vvc_status, INACTIVE, entry_num_in_vvc_activity_register, last_cmd_idx_executed, command_queue.is_empty(VOID), C_SCOPE); -- 1. Set defaults, fetch command and log ------------------------------------------------------------------------- work.td_vvc_entity_support_pkg.fetch_command_and_prepare_executor(v_cmd, command_queue, vvc_config, vvc_status, queue_is_increasing, executor_is_busy, C_VVC_LABELS); -- update vvc activity update_vvc_activity_register(global_trigger_vvc_activity_register, vvc_status, ACTIVE, entry_num_in_vvc_activity_register, last_cmd_idx_executed, command_queue.is_empty(VOID), C_SCOPE); -- Select between a provided msg_id_panel via the vvc_cmd_record from a VVC with a higher hierarchy or the -- msg_id_panel in this VVC's config. This is to correctly handle the logging when using Hierarchical-VVCs. v_msg_id_panel := get_msg_id_panel(v_cmd, vvc_config); -- Check if command is a BFM access v_prev_command_was_bfm_access := v_command_is_bfm_access; -- save for inter_bfm_delay if v_cmd.operation = READ or v_cmd.operation = EXPECT then v_command_is_bfm_access := true; else v_command_is_bfm_access := false; end if; -- Insert delay if needed work.td_vvc_entity_support_pkg.insert_inter_bfm_delay_if_requested(vvc_config => vvc_config, command_is_bfm_access => v_prev_command_was_bfm_access, timestamp_start_of_last_bfm_access => v_timestamp_start_of_last_bfm_access, timestamp_end_of_last_bfm_access => v_timestamp_end_of_last_bfm_access, scope => C_SCOPE, msg_id_panel => v_msg_id_panel); if v_command_is_bfm_access then v_timestamp_start_of_current_bfm_access := now; end if; -- 2. Execute the fetched command ------------------------------------------------------------------------- case v_cmd.operation is -- Only operations in the dedicated record are relevant -- VVC dedicated operations --=================================== when READ => -- Set vvc transaction info set_global_vvc_transaction_info(vvc_transaction_info_trigger, vvc_transaction_info, v_cmd, vvc_config); -- Call the corresponding procedure in the BFM package. rgmii_read(data_array => v_result.data_array, data_len => v_result.data_array_length, msg => format_msg(v_cmd), rgmii_rx_if => rgmii_vvc_rx_if, scope => C_SCOPE, msg_id_panel => v_msg_id_panel, config => vvc_config.bfm_config); -- Request SB check result if v_cmd.data_routing = TO_SB then -- call SB check_received for i in 0 to v_result.data_array_length-1 loop RGMII_VVC_SB.check_received(GC_INSTANCE_IDX, v_result.data_array(i)); end loop; else -- Store the result work.td_vvc_entity_support_pkg.store_result(result_queue => result_queue, cmd_idx => v_cmd.cmd_idx, result => v_result); end if; when EXPECT => -- Set vvc transaction info set_global_vvc_transaction_info(vvc_transaction_info_trigger, vvc_transaction_info, v_cmd, vvc_config); -- Call the corresponding procedure in the BFM package. rgmii_expect(data_exp => v_cmd.data_array(0 to v_cmd.data_array_length-1), msg => format_msg(v_cmd), rgmii_rx_if => rgmii_vvc_rx_if, alert_level => v_cmd.alert_level, scope => C_SCOPE, msg_id_panel => v_msg_id_panel, config => vvc_config.bfm_config); -- UVVM common operations --=================================== when INSERT_DELAY => log(ID_INSERTED_DELAY, "Running: " & to_string(v_cmd.proc_call) & " " & format_command_idx(v_cmd), C_SCOPE, v_msg_id_panel); if v_cmd.gen_integer_array(0) = -1 then -- Delay specified using time wait until terminate_current_cmd.is_active = '1' for v_cmd.delay; else -- Delay specified using integer check_value(vvc_config.bfm_config.clock_period > -1 ns, TB_ERROR, "Check that clock_period is configured when using insert_delay().", C_SCOPE, ID_NEVER, v_msg_id_panel); wait until terminate_current_cmd.is_active = '1' for v_cmd.gen_integer_array(0) * vvc_config.bfm_config.clock_period; end if; when others => tb_error("Unsupported local command received for execution: '" & to_string(v_cmd.operation) & "'", C_SCOPE); end case; if v_command_is_bfm_access then v_timestamp_end_of_last_bfm_access := now; v_timestamp_start_of_last_bfm_access := v_timestamp_start_of_current_bfm_access; if ((vvc_config.inter_bfm_delay.delay_type = TIME_START2START) and ((now - v_timestamp_start_of_current_bfm_access) > vvc_config.inter_bfm_delay.delay_in_time)) then alert(vvc_config.inter_bfm_delay.inter_bfm_delay_violation_severity, "BFM access exceeded specified start-to-start inter-bfm delay, " & to_string(vvc_config.inter_bfm_delay.delay_in_time) & ".", C_SCOPE); end if; end if; -- Reset terminate flag if any occurred if (terminate_current_cmd.is_active = '1') then log(ID_CMD_EXECUTOR, "Termination request received", C_SCOPE, v_msg_id_panel); uvvm_vvc_framework.ti_vvc_framework_support_pkg.reset_flag(terminate_current_cmd); end if; last_cmd_idx_executed <= v_cmd.cmd_idx; -- Set VVC Transaction Info back to default values reset_vvc_transaction_info(vvc_transaction_info, v_cmd); end loop; end process; --========================================================================================== --========================================================================================== -- Command termination handler -- - Handles the termination request record (sets and resets terminate flag on request) --========================================================================================== cmd_terminator : uvvm_vvc_framework.ti_vvc_framework_support_pkg.flag_handler(terminate_current_cmd); -- flag: is_active, set, reset --========================================================================================== end behave;
mit
f691a22c076048d1b8fc6aff0d1ce15d
0.546146
4.181651
false
true
false
false
fafaldo/ethernet
ethernet4b/ipcore_dir/blk_mem_gen_v7_3.vhd
1
5,989
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used solely -- -- for design, simulation, implementation and creation of design files -- -- limited to Xilinx devices or technologies. Use with non-Xilinx -- -- devices or technologies is expressly prohibited and immediately -- -- terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- -- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- -- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- -- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- -- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- -- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- -- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support appliances, -- -- devices, or systems. Use in such applications are expressly -- -- prohibited. -- -- -- -- (c) Copyright 1995-2014 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- You must compile the wrapper file blk_mem_gen_v7_3.vhd when simulating -- the core, blk_mem_gen_v7_3. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off LIBRARY XilinxCoreLib; -- synthesis translate_on ENTITY blk_mem_gen_v7_3 IS PORT ( clka : IN STD_LOGIC; ena : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(11 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(3 DOWNTO 0); clkb : IN STD_LOGIC; enb : IN STD_LOGIC; addrb : IN STD_LOGIC_VECTOR(10 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END blk_mem_gen_v7_3; ARCHITECTURE blk_mem_gen_v7_3_a OF blk_mem_gen_v7_3 IS -- synthesis translate_off COMPONENT wrapped_blk_mem_gen_v7_3 PORT ( clka : IN STD_LOGIC; ena : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(11 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(3 DOWNTO 0); clkb : IN STD_LOGIC; enb : IN STD_LOGIC; addrb : IN STD_LOGIC_VECTOR(10 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END COMPONENT; -- Configuration specification FOR ALL : wrapped_blk_mem_gen_v7_3 USE ENTITY XilinxCoreLib.blk_mem_gen_v7_3(behavioral) GENERIC MAP ( c_addra_width => 12, c_addrb_width => 11, c_algorithm => 1, c_axi_id_width => 4, c_axi_slave_type => 0, c_axi_type => 1, c_byte_size => 9, c_common_clk => 0, c_default_data => "0", c_disable_warn_bhv_coll => 0, c_disable_warn_bhv_range => 0, c_enable_32bit_address => 0, c_family => "spartan3", c_has_axi_id => 0, c_has_ena => 1, c_has_enb => 1, c_has_injecterr => 0, c_has_mem_output_regs_a => 0, c_has_mem_output_regs_b => 0, c_has_mux_output_regs_a => 0, c_has_mux_output_regs_b => 1, c_has_regcea => 0, c_has_regceb => 0, c_has_rsta => 0, c_has_rstb => 0, c_has_softecc_input_regs_a => 0, c_has_softecc_output_regs_b => 0, c_init_file => "BlankString", c_init_file_name => "no_coe_file_loaded", c_inita_val => "0", c_initb_val => "0", c_interface_type => 0, c_load_init_file => 0, c_mem_type => 1, c_mux_pipeline_stages => 0, c_prim_type => 1, c_read_depth_a => 4096, c_read_depth_b => 2048, c_read_width_a => 4, c_read_width_b => 8, c_rst_priority_a => "CE", c_rst_priority_b => "CE", c_rst_type => "SYNC", c_rstram_a => 0, c_rstram_b => 0, c_sim_collision_check => "ALL", c_use_bram_block => 0, c_use_byte_wea => 0, c_use_byte_web => 0, c_use_default_data => 0, c_use_ecc => 0, c_use_softecc => 0, c_wea_width => 1, c_web_width => 1, c_write_depth_a => 4096, c_write_depth_b => 2048, c_write_mode_a => "WRITE_FIRST", c_write_mode_b => "WRITE_FIRST", c_write_width_a => 4, c_write_width_b => 8, c_xdevicefamily => "spartan3e" ); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_blk_mem_gen_v7_3 PORT MAP ( clka => clka, ena => ena, wea => wea, addra => addra, dina => dina, clkb => clkb, enb => enb, addrb => addrb, doutb => doutb ); -- synthesis translate_on END blk_mem_gen_v7_3_a;
apache-2.0
bda59b192081dc01067f96093402413f
0.533478
3.769037
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/shared/zero_det_sel.vhd
3
28,115
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block pU8dfRoTRC1gba4ZMbTJpDzSG+OFzGnwEN6wp8wi3v0/LHBLOSL3UtFwBH0sbWaWW9jC88TAIum0 cmfRdz45xw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block S6inqrkqgSeYF9eoZRvvzVxWNWlsU1bZDueqHcVQDBXY0mNg+6/NQjx1LTaEuZZhq6jSMrYq9tIP ORBmYC/KModD5CHcI0sGb5IXokd/is2Q0fj1U2WyNf7ZhTWuRQUbIsPI2p5T6GBqNP55qSLJgsOq YpufktGKP3h0N3iURSo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block AykHZejEUys1K2HHzjZBUjqTxjeDjySd2qSGYEtJ+bDGJsub5McQk74rRndBGAPE2ZHs/TDHlex5 ZdtsKcbgqB69cdahDFeGp1XD01AL+PdlaMHH0di8muXBh6ufXOwOz+jZ3Ql5t5dMoH6VduggeCLs X0C1y4P9Zxl92WR8y+Asd6y7PwWyvIPDcBV0nB3btAje4AFkga93uecFHY9RjIz5S/hXzay25ZT1 z4qRr9VaJbgDrxWaFWRLhMNVnl7a8KDPcDQpLgiDKFVd2oOFIrADW9fMxJ27GMJ0lglOLEmnW55R g8PsLkn2mifRrrQhDPrRej/8SsqFgeQusH1NMQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 1hJ/ivm2pcKj/vDhs21X7hcKjTCL1YGv+llT1M/mpzGOTSM+WMG0isvYXeZZW5bhXkLM8NSVr9eD uTbugBwVY1FFI7B9zAeKounM4674U3fGfhO0meSuEw/IIrmCNE6EFiUEs5YM2TLewfXzUTSmApTD xMWmH+eS9fDqgx+1A88= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block QOqa4R2qs2sJrcgMMjUkxA0zy3Wc9GbgtmCJTQjqpa0ArB8sUbg3QhniPbJA7BgmIeJui+yWvOmF Ps8HclZBPFiP+q2FmylhY/wIRVPuBCx9YVyLRMsPMoXMHDWLrMb2pWWp1EdxBAYgdOswQs0xIfwb vxpd63nEvujsN8tg5MH0uMHLi7s0knY/jRd6h0ql+iDny0YMprdiHkcUvtT3fGngnJUvUKn1n4du C7vzZV1l07v3h0S7yj5o+Jlz8R2KO/fFqnO8+GTX51WoSZAZ37hPupCW43tMLVpIlyUqMwCEIU90 GvMqiAcbPS+qHEsc54rwFFktA336hml5SHDmWA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 19072) `protect data_block FXSqIuMj2zdPYS3s4/VRhkeUgdYUnnfrKf4oBs5cZjlejQRj0XanHbYOC0WFHfwZPq2v5qwvwmPm 2/XU8By03vfEN/02AbDl1tAtl5D6kgdjsT5aiTcS3FNnwhAV00SPam5RIqhVFWxGg3vDhycG6nCx tRwWE5MrLJzzvi3PQWKDLZGkZRysJunRUy7Sh/Xs/lFX84Uaif9rpVk4NLpMhhpGq1W216G4G4h2 I4yHrjnlqY7aJVT4JHsHx5Q+pUVLLz8RgL1UylIc+IBnDwkTGwzf/LhgYS/TDYGvuiuVtSm5ccwn nDDTU7b2BenWUQNSf1/KRANqp+HCyyZxHn/wwlcAGpIUELb3suTOHAdf7OuxlExwt1zYkzX3zJb9 m6ENgwr91/7InmGLP8xzAdA2gZ5boLUYOa5lZ8NB3lEjX+brL/TOEXgK+9fKCKpLXI6xH8cVItNK nrbH6AxX/flBOGaDlWICV1gCh0EK1IX0j5l+x2XB4S88oAK/qzL+5V48UjpvOfNGZgNH6ssODDxK w5t0WcmW9KN0wgvuF1uVqYWOlfC0fwDm3Dg4I+B3S6LjPxXICF6c4zZSO1ZJrm1qDBKFIZGFZcfK dvbLTSsqrRS9+uyDTjXeQaIbuCLaeBRMG0IdLZB+PaNmYHH2be8eoQAHoA042hVbjLJyUt/YRDk5 Xr1NNJH5qBnkjPB54i3CowpqCl13Q0qyQKodU0DHHdvuvZM8BLcLrL5CB5CwE4VHVqaDhD9h0d2a XcdrIwfUzLyiwxm56Nt5JlnkKuPGq/15fvE3imL+2Obaay2u5pBoQGrO5BoUgB+Xo/k4Rh6PVhqd 0YaNHhkj5RazRyKdidwYg9Isjs1j5zg+sH+N+KxAaLB3XKaoWfn+o8NevSUXT+Z1+Fy7SZThZuz9 gBubpKtyxkVFLN4zWriaFBaETo0JsMwRiGqSZMG0sSuvn1SdYlR8dE0pMbp7EOK0JkUHtd1XCLtR K59Rb/37j5i17Shk2ZsFwR23wkA54Y7g1UEmw2TMK+9uUST2PD1tjIl3UBU/7GG20ouaqXi9W3Go NQiI82TWxcNXwZiYnC3CgV2KFn0OoQlpsPbXcFoxrgRJyMB9o3ZyviibzkHbgLKweTW05RH/eQIs 55En3ZOcB5Xqq4PdddtBoa7SXLpQzyvv/rhsI0irBHDeTOAOyKPs0ngJKDcUBZLELPzI/JDIB5xE 1mKvjtGLOHeVF/PYpJJwo3piw4xfcv/1DzDwHQix+KyPVI2yteLzMeUDcpMNbKW/WDqFCgzW+yKi 5zYvTCgFtZa7hae2zh4kbnHVCCtJ8ev1QPCOsK0GNZ4GGDfxF58OXqZ9KgB0nRRcKm1gDRdb+Ir6 7qZteyZ33ipVp+d91pJLjra7CNF+I4IALc6W14Z2+rKQFGLdTW4qprMnA0a4Ivv2dRxNrOsYfwi1 +OT4mcwharHnYDP+KzfIKq4x1N3a3NclJQQGveeK2tPxS93pGS9Do/7+Wvnfl7hyrwGZt8iDaRda lUXThDToWE/iUshVtrgesKH5XH0mwG/3ydCndOkAYmIembYGi7Z5J9lJS2yrAV9y5FdlzeEPqhjx WzYcQn4EmEMRUDR155KSmSQFSmhnunGIXBsDipAXl9ZlnnxlvM8N/bIeMZDg64rTDfKGLUdD2M79 qxcIZLbTtXGENiwXGRRx0GV642uREAAaYxvfY7QG32d34NQ+0rXBik0JapNauhtqXLJT+sLxQIz4 zyKRyBaHs/tMTMnrNp5jAmBm8cKiL41mFdmgc882SwKUXdgXbZW+0EjItdyh8DsFfmKzxxEo7exc ARhKR37EeSI4r+i/mUQPP7N8nMT6ws9RH/sDSWZyMfR1e479BkIq5+0MFIt7Slhhhk16ljG/sEYk U4obzrg5MPAVVzKvtku8OEDnfvuU5UJt/pmaqsDzWXPrwHFXeLV56wiwr9ccj6c5FaYiuTfwvaCo qqqIN1346tsE4Fc4XnkyzNmPOdis0FeAjHxh5oFKy4poAfq4n+uEscvH4+tF2icaIeEv6SuhZASZ kz3JsC58ck+wR2igWp40cfkMarpFB2pbUBzglBm9OP/1ijkTlFodsHspaHMQ95kd+I+Fc17JWaGA v1MH/HtO3iTFDEPaQlK4dUROW3rwMKQAzOZrhjlkuATjq4++V095RWyuxR0ZPZAFD/mftYRdMpgq Y16MGG3zS0z71G9zUgtYUGnCJY8ylfLAReRmbzevRAUSp+6/tOc7/X6zAtU9/jh1s2In/bOAyn3i X+DbfSNF9XHzgLXws0zxtuFi2/Y+YtGL1DI7SuDvOGDOJovU6fXmgN7OxelWDRP3WMI6hG9eFGfa iyF37zQNg7Ipf8WFBwGt1UX6rpQ03c+xyJwDh6vd2zgFCWlm9kfX4+pHa9sBMPahJv2ld4sSke3T 6Mzvv/Xk0Qaa1OQWUS482F4OX10mJXb6ResLcqJOt/BMNIIm2SxuvWmZ0imVE9nwwFidJNeNqWb4 mS2thOj3sUEm9giJImiGHSzwgsb9bk7xjb1gK/wDue/UJuHXary0UDJdJ/mHYhZh1RgaAJCJOufG SLsNoYmRJImtTP0YFh9CWuc8ugi4EEZAvESwjo4evibGrkD0Wzl1njblsdFjEVgBz0kLd8qz2l6E e1EUbQgJgi98vB2o018mQuNR3q6GiblkR0VjM440CZ8bHkrnzrjBLonaOgajsjyc6jjrcdf+sjdU hFo+/NRkLZUTnMhfunp09mYJe8jz+HgNzkFHQuRfj9nzADIDNkPTlfJmYZvyNmNDwP0kLN4Nh3NF ds3RjRB7U3OPLfqmZbFzt1fSCaY62MPLDRtPaVnOUm4rSoZX+QzdotujBRKBYDlBLVGv2j8hVeY3 pVX/pPGCCX/XKbqJC03w/OpRhISamfsYyQqZBJug8GU1Hu1ZcyNUFASDNV513jnSUBPKoJKlTEXy DHn6Az6zuY4jE96+IMZ3yu/7naeyJCeAtOxRbPyhSJf22AUFjuZMDEhyXDjqaqaaJl1WQ5Vb/3T3 2Z64iTS3E1ImBz/irQqeUdXH2qChXSx+Gvxv9hHSCm6O8h1vxnKPxH7eUQNn41ZxPDSS4yKg2e36 br/FDH6PrCRvxIf5+qR6YvKxQcrgW1TAlRMlu98Zu4Lwtd+Bgp6PPuzBM3o+1QRsebh4VBy6IUSp QljUKsIrpYeZOYTgzD4Z+pt87KQb4UJpbvYU8jNg3XAkobHaghXFYOidNO1atrDcrs4WjKZntRSc 08w1Xs3aOL62g2wKjWExQ1xp1ulHf+TPcyy4Jjk/SOclE917vBgHAcFxTXvFHEKWNLw0/kpCnoXH XxXP6U4+mcepe5Znnj1VZJKzUbacexw+w+Qavg+U5YlIV1oWz1HrfKM2CHzs63Hh5rn7+JKVfIzs SanO5bOMGt6wMIjrqyjFojvB+9gbv+QUacGRqzp1Y6hELGPvKEzv6LMMvFrqGNrF/Q2mkGHl38/R 5onbrpiaLti2cEyDXGlx7J7PJM4QSE67+Ci+XoavU6QrMxWGYnOIh7vyOYMyccIP7FahNP2vN0bd DM0RmzK/kZo7uRzt0IEERTqv5931uO+3Ly7uBV66NQxKmKI0ZK2HP1bNmiswRANjepdjBKe8rz35 I0k6vrj5syOrtkOTslX1QTtgLzO8+9kBXvklTLFETEk6tAlYVa0SPiPYBT59XHNus3Ab+1nz4fCk XqmSnaccGVbTCCjWmdYesq1csXOv5RvySpV+x8rUu+nM7n3KgwRGx+IFXq24LqOOAvzcErx+ieFo W+b8Y59onpd4pkTGDcUdSS33ZEoyAWcn91w4l+uNn4Uw+XL3XHdtDulrtetRHXlNJ9UE3YnkpEin MP+fYizfLoT637s8xaw08L7POQi4nIsny4/Shd/LCmJL4ceDR+065UJnTNzcoPvCuaOFx70vgd3g 4A89E8xJlYGYZUWMurrzXkJo1FvOucTEdQgRvNGj2/UqD2Z5M6gimzNseqeRnT8RxcIKDBauZMJG AKIFWQ4IuMsDyO5xAtVEfLA6JqMbfN1EsSL5EXwB27l2l1g61rIGJu09rhEYmVi0SE2r7SNqRkvc JYpnwto2yFgBnAWZog7XaGM3JOkqbDMIhCiZr3PoMDVmp5fBZDIawNA/uC0JkrZq7jPzyvNafrOz ZTTgDLGtG70MZfmnmkB15zji+t4cbZesA2QEUO04KCzRa87ipKZaAhdyf6MBKygVkQEJcMteumbc FZNPZt1Se8m3mpV247c10QzteflYIgBJYuTHG1akGzi7WVGc3JBVUNHBfJXAzARe2zPFEunCOrue fk8EjIlNVQf1zdeF2APRL2IwkZYevB6r+sO8MiOmdztXdQaJR8tlFdHgrTwLfpJ/2nQRya2hrRl8 Frldxm055xS7D1tZstdwcGq4PKHN4NjxwVXamZDZwFirct5m/aMJFT7Dr5BH40IKoBF6CofYVqWd 70Oc/GN0Snw/LTeJ/NhpCBPdeNj6uDayIvsnDLKh7fCCQQ2eARIHVUvrOGVH4ZDaGEJ4kLHo34Sq ZqWwubKQZAz/V9kt1BxQR4gmJFil1VZSB67DVBUlkUm/DeZWrsBMGYJM6Gf3DifkXRpLQzMhoCkD Fwec8cootH+Z9XcPgVPCQNnIrXhDfOJGB9kRh+/zwywhHIM4opSHc2ZoHWBvF9nX5elx2CrHF89/ Flb+0WLJBp2lbktFlKJi3B/3xThKqiV3UvO+NaYRMhB42Z5lQFbh9URWJ/j7tgrVPvBHTzH02YyR 2LKTHuGpOno03j/9gsOGjP0GScS9WYJjwLWuYP4S1L/pZPicLIKU87lsWfdVa4vCcIY+LF/ZTCWh h1USXrSEnTHIya37DqpPmfo2JjoZfMT+aUoC4z4OY2xVWqJqvN3lrGiQGuYklqcNyB7eETTVcTPe Z59XvH6/9glFpKkPIAPpxk+pR/4SJX06J23RyPcMSVdboLjo5Kox7a7L9k1BpoLlWPSV4VFHUs/a fcQbngQeMeAy8BfXBKgOIgCaaxyBElYdr7GZ2k9cvxpx8XvyVYmdVM4Bq4HCRRXN8j6+aEWSpv4S 7BnB9z/lcHHXdlVLoII33F8Cz6XdsGRon21obGoXu30On1GauCE6TEWQsp47DP0Vxm2JJRSp4Xzx Uv5WMPUorKZ/RlCNLMKIscFxkUU1l8YwE8JfZxJPKLJ2KppMRzKS/ImcbMZ1UzKAIt4YYTF8bqDB c6Kh762SPf0pKFjAeFJ3XO2wNfHLu1kGkQ/f6w4IN30NpfaP6zmSXTjGfijDMPS4okrEAX/kcZSx osMbOAhJXHlBWsbaAD2P+xL2i3xmo9mB5hf3WZQINAuMChF2pBTUUwOsHBUa4jHT0IT0qlKGX4Vo Tn+yIixEWup4VPiHR7Sxl+f67ELAiCAGP4P9wYCD2TPbVnnXZv/UCd+6eqa7mcExQDXsChPTXo6q ks4WKyXAejrss93j+WQOKSx7YcEvGTh9gssOQv/pM26c+zl5BUDjNOdTQe3tpDw7MMUCldsWOHl4 V8b7h3LqpKAweac2Ss5wJz7sIJVl4pt+pcBXE1U2zjtCVukcZkqmhCgjT7dRFRgw9Q1ncXNf/l+j wYwYB+ziL6kY/Y97uQf2pWQwIGgUYF6UCar1sgAu2cPRoiAh7xRNE2Yj701AhBIQ8aswwJ9Mx4qu cqH0nPf++9X8qdeBrNNiyUcGFT32Sn6JbzEwlDjpKLQzuWph7wgHw6HvpLpHZ95qchO03VknHvGj Ml8bgxTB7f0UtbP9M2Jxrihd1b+vKdrDMbMLlLqdAnQOQWzFFpPuFBRB1SgEwG/U6y797EANLqps ci8k3FCCQRH7qLtsP/bkAoEP9oTjqtUgPWB+elUqdcMGVIxwbq4CERDhV0eC9ZN2klxct/4sq86Q zeKbGT8465rkzNmyyiP55fy0BXtO2j3O/Q4vleALf0Qlh6kTb43+t9EVOy10ztSOalWKNllzesZh h5AhPR/qoRUPgydWX+8RWx5J67Z+YmdyeOf6MHB2ddvHZoUeYyryRy4fuyd0e/Gb8JSy6Jm+t/Oz WxjLaF8B7eFfQLLC0KEo+S/nvmiHJQ0kX8xYiaPZi6p+7FIAdipOJz5NWpnROq5wnlMbs1n8mbEr GxW6ZJy6jpRxIANfrSTcZp85hgRTw3hTrp7jv/6bjhn8RD4BafRbvVk5zSstRjtkQ7loYYktypCW NZmClHOEbOT9asc7urKZ3Tmp/fBK1ExU3vyLgjSqih+DKQKcbQ7c8HsXkfJZ1lSbaGrOEFVn8IjL Ub3C5QeU4nN++Ao+Jda6nt3HiTjx+Q0S+cFaIbC4OJvEG/w6ibcuQiknOfgE/Gi06rWVJ7ndzzKA 2HM1HdDCNgFLwkZUbOBhWGXugFLE5915xfcz5WLy3N39ZDiBSuLxgr/gT/hb+MmTYFodNtKnp/jI 5M1dXyOaz+bO9sD/UTn8JNIbATdxwjTGV+rHqOp+JFHMp2D3kHYECHTatlPiTXTlZvOKjq6QRdGI 32AGb3WpHIweDVSyo5ALmOLczb5fjkLyRFsUNpivnqDXWUwRvH/ICl9VR8v/hNsIBx5mEFSkAD2D kjjkZXcgFzAEpO33T6DMI5QTXaI9D3ihXgmDM3/rJYJFEpzXNg8vNKEQIjUqExXXpH49b8IRWS24 Z39qA7xtyMET+Uop0oFophFZ5Pbjs9PmjsZjSLaxKcxhKDibZXg4c/A0DGjf43Xa6JWjY+e/hWeY FJvVSXDpkOaxOy59gUf6CnJPjeP0Ab1Fsjc0V+dPCAWgJQY53jKkymJoCBavUwJB1PDVV74PJ7+h bQ+9tiNVpFr+Mhn8IVPKFunIbw1N72BPBGLwSXeHaHDbYpYOAudOKuI3yVCA90aXKcx8QZgVgP5T B297maHZdIZjUUciFH4uuKNtII9qnagtmtoRcdKz+Iy710d5V2n70vHPRtx2WOH2I/QcazJeY0VZ dAsmaLYL0d6Nx+bR25hYbLL3kfoMiOGGWS7zcQwy/Xhoo7U3fLLrDAaH+sDLPGGBIKDJ/6D7uqWA 80RDq0y25zbbJExjeFLyZ3uxqvqWy6PW989tGzz21Onm1/ttcejLO0yaqOl/g7lyFHhQN4bd5r1R 5OJhLbvte88EuuZ9z+HEJtOuU9ETS3p1yPO4vwLyaStXTVirvm1fGkQs/daDkSaBZp181ZgB50WJ wp+yyM5qR8L2huDCeQY6dL5INzjNoYfFolbGMVrFnL3iEB7YQGxD9QlnK9iGZf0ZKw22uGMCJN7M wJQgsdHBhVqY90bk2GkaPifMNAJv/yucdefoe/pB7rAucyDA8faGVaUmlHP1f5W1bYRo8HYLK2HG /y06d7TrFIhcXKfPQNibvtGouT2CJWI06IAZ6lggkyUyRV9qBQ6tWzWfRbEyRjt1fCBB3C/31izg rFLaPOBFx0yeunEzyNfBChdiETHS36Ct/jUlULTWDfj8GYdfaQAzTcZB+COT2cpek1QxvTCM/EEJ 3V9HKpEfTNaJCqB9rJLZzhEuo27QHquJfHHuoPVC031hK+xWHHZk3QganrTM26BFwVY+jd1540Wi DowgID54TvuBTAGY4ajno5psie2P6fYKW4GtqaEwr8EgETNxWgoNBgHVwaeH2c1v5OJh1K8WXnIF Go2V6yNzA3lNiaPSjjr/5L1IoY6WvvpRPc5Y9CAofEIu4uHaJ1e1ZT7nvvejzTEVs9EhMy1Mce2Z FmA0tr25CO+fcO8NPddMlyF2PK0KlYsWYef3ge6JlBgsQYH62iIC3AkU4lH8oen01pl2GOYvDiFG JRQmE+UcALuNchURDICAzzFO2lZmxpqxv7zePnnDoNrVyN1vaopkrK5iDSwffnhG0hYCMmTZdRhH LSCQIhttzjsyhjU4Qp4kI/+9ErbpiLO1Hucj0+Bf65K09aEoBFvJIMIgPuHbn+z7t93dDYdg3+uH 98LpyR/8vhCxDGgwOXlR144OJ4c5C/Be/ZvBo7lgjA6jfqY9tqnAO7rstd85C8spsJRNGtX450PE /OwoXOsvDTfPQQVD7AYRKFwwiSRww3oOD9kTPGDI20ieBIUiRapMcEbXFcpClEzkm6LqctlOEqO4 X1Cqv4+2F8RLPKT/tPesErlLtVLYLNx3YQlk1btMzzKXGgWHpv2G34FZ8t9B0H91HCiUKMJFEgzB qfhIxJJuQgZ9zaWy5/NcM88SlF9BGoivYdHDZ3RYv05ztAhIrLwqpKY2iodMvCUJkl0FBTxQADZM EVVg1BVPwxtb43qYri0ejDNgIp0r1EkbMbyqVLqRAZtxG8+1NOcqNPz/+5hL7dMmt6KCA5iDZGQ2 hV6VHSKC3ceeFkNtIU1HtEwf9ixqcDL6WN3S2MVQdOMfPGKV8BnNCjqXpasyF2luDuuDcunxreXg KrLrGYbhpHY8f1SjigDjbZMxWw+brGl/Ev/1PpWK3xCfHU+HdTd0+M1Mi3GDGi7TY0B4Wyt9kfW9 WyJIgEee1u2ugxC9EDgyOP89wr5gTr03+LyPaTgqNmMDMh/0hoR/LfyyD1pr5b10iAMowUTg+7i3 8GPbuAWJFeOgMudK/iD8GetWze1OrrWxYpKh/ixFGWhvSg/bxCWLliSto0kqUaBhUkfzcVSzn2+g bdCKZJH0eDhbnjHAuWtSs8ChUGxsLHoodPxFFFJOOpWdt738e/laUoQD4txh4PG5TX+Jt1fOLon6 oRLkqSQ8+mby4w71URk5F+B7k49XE0G6croLVxnfdaMVRLA6gqlDQ/zOQUomW92Vgwtc3Bdd3SBa 2HhrGIUFRSvEKsW4ctiPe+aG33iDouMQMkE4FqRRV7gUZHSo1Rc8Dr6B9b1939sox1xYwOwRP3zH gGxgS4mcFZy/3PQcPlk55bTypCHH767fk0r7bWtYZKYBeLJxDu7koSuDox+BM+GW0GJMhJybNlbM /SZaOS52VYaHEmG6RVY9VsXJ00lQ1yyiMd6hUSrF4AYiIqBR5kv758SbP33niLZA9kyRBxp050Q8 kWBV9hsOa5LuRM0ILYj2UbsfidbFZsNoHM5ETTT2a6prDGRt9KHshUDGWI6Y3FA0ohEd8w1dr7Xp iBwg7zQdYT8u31l/IUQHFlOuMLi/pxq4PD4GjhwTQTNlCR0LcGzf/u3xKS08o0SIfjo7MyOEkSTl ovod1R+clsWOiw4p5egwwR/WJpJusrdmv6YHvaYW0Ylqr98KtiWYkCMjBrfTKi6U1/H6QVtsH1us SmsLyIcthVH818mzncTiCXthAhkj9S2p6E+naC9lZznHexKOr/+s2WPdw+ZKQjl9Ow5mWFUFn1tK eHDb95yIaHnf7vUtmaEkZ/qbOiNUCE30HUODaLdXD/Y0rORrDb/UIH3v0WEZn4htLdKc+Vz3x4WL RepaleqJ6GA8W20AbXlk4rd8c9SgLaHnu2IKY/Z6Irx2B2eoYyFcpJ+5HI9i+yHcTkIJHzWEUkRk fjaGfCfDOPmbyHImORUwJ4zb8QhqmZyuNiIcFqpiE6CTWPOomO/BGslKDsgJ8h5gfiz2i6ouvBhD 6xfi43YZubcBDfWFbXOpdQxjYQKIxT8WgVkND+XWmpkIVSVmNLeVblE9+ljLMHI5m+xJ0feWrufc 9/Hcyoa/v+09Td8s3uxrVV/80hbtmGwKXnuRlYjqmKm+sEesM7is6bnx+mPT6SFy2iok3BUhYlFR riHFKKXg8obhn6VVV6K3YBQzRUVOL+YcdfSyOpsn5typLwhzq9w98gei9e/4Oo26RYxzt3zUWAej TGNPZEJVsu0PAbX7LqgklcNuJIBoyvutIGY8FMoIxhSbHFlMD6AlHDSJbV2xNd31z4V5CiFmwqon BE/m+gCdxNTIv5n8D0alqErfe+xk3z1bhohPwvYLOa4VVveZHKFvAiBYAnh+6r3z+5mhly1U1Hlb G8Fe2fisBYfzumSqaqqySg////noobAvKCAQ7su3BBWQbcSXeR02z/FJkjgoPa/ljpBTTLEOMOWn szIRStcfURhOzgtO/JEL7VET9NR+KapzA1eml8QSZ9v2dM4Rdfn0b3lMCH9qoGcIJR/ZzuzwuIqm GUiFzIex77j4aGAdn3qLI0wjfxIkCTSX+kc/M8FopZeg1jlDZ9vc5RmopvgPrL0EIkynKmEf/cIl utPRT3hNrTICpbd/2y6uu5FKLLC15i+iAJ5aiQmtnN8cdmBecNzWzWb+0ZTM7izMNgD3txsYzbM6 MqibK20wxoRpnMErl1LwxBTIdeUgKMyzeZx4zxblNwRfPJrdmGyDxObNtpDL7ZuI04ezC5HsMnOD s/7qm1y1pnEKz6Rmi/DdkeFWZ5slEbbr6Bd2ZW7epfaK5jTRCjqfnnQPAyKn0Las1yhnac55QXf6 uBQUAqKnAkq/3tPLsHjFGm5G4Dgi9J/e/KGX7PHm9061bu4vhH1MK9qdWjWw1VxWraFDOYn4xzRN m/5lXjPKs829zrZkbG7q4mRCe/+6MuFHUIvHwUtCnscKD+gRoi7PCQ47r/EHKt1d4GxknSUAianZ FJJDw50+GKKVYrBgGRVzRs0PUyLA1BWGzlSqpllJJ9Ft6bBl37b9fOVAlwqyJ2QOoBiicauPKgqT FKmJTqnqR8Laf1Q+LZUexs80cSzokZmrHsDxLNHy02/Jrch2lufDRlIVTrT+ygrEhXWfd6NvF/fv hTr+UsSTzf7EmfKyNn40dkgmTMfTNIa5NEwVaRFJEDH0cpNu9gmogPAjlOfpiD3pUgPq6WdPxYNe 6UzYE/V3wcVd0ALn/JINlS97gpZyBwu9ohJGej5DG4utxhTsq14tbff6D5zhsJmoPc4LVxMNtBnP Vucgp5jrGjlURXMmjRKGKKCFh7ojYPbAEcnSb5AuO4FBILMemUn9PcoHGHa7x8V1EGrB05cEofWK rq+uZN2d4GkxnGUoyGb2UfKHV4CKucwYhPbuquEG3grVKsooM2gjOEO3ZoKw75f7GiZO7CSaPeLb FJisYokxGNqyxcOjib5iHxfARGphjkdecBa7Y331voP8BOkTE/j6hIbPriGOEpto+BRyNaoGje2e p2akaAjDO/6zfVXMGhutgcdXuYOaLtA9HU/Gu9JQbbDi3FUWRzy+g9TRHqXOQFN9xOiPjC6u7bZQ XsS1IluysRiVkS03Txg64KKw4zu0DNuUR10cVW+obGrH5fw0cIzpHFgfPkBffP+uxVT+rs0YvdhQ ZsGdb+KRY6ZRuFz7kLWGqy+5ycpDXVQljgyltyVKVxfLlAOryFHu0oIhnQH8hG1t0gJtoQ+WaAAR bu9sfczyj6o39xisbqNFwyd4TK/t+8aOQtyb2bK2b+NEPfVq4jOC5u9hLeiMp0EnYThfmBwGXQ2u 8ZH6LiGD8sbfr2qgs0ambHYoHYljUB9WvjzEDljDxx0RvNNuTQiC0F8cR9HlBecbKOpl33M6DmJK RxKsatWIiA5ZOKUaJmqiw/e9OlkG3rvR7z80FiOFHu2agMc3QF34IRIcpg0PuaExfpPifenP4+du xfj8tgZrqDxAqRf1jQ23KFvUloUj0QHJLkooTwJeINxuZlxml9p3s74O3LO+SzVMYK8AK3t4TQre TEI8cPL6rr8ifskVyMT9ConUebF7NooepuYXepYm3+oiAxcXoJKPFRB9oy+Zq/9gsNnhz5JG3A62 R0buN+jEu0tasDqUFMZq2BAUp3adU8heyzxoHDYxEnJZoo+4ne6YhEoNtBJdd7AqrHybjWBGvl+g qql9fkY8+/a9DJ5iPWu9K8i2gFr3jpj4dOh+6CLS1iTZU1NOi0uM7XOGP73yHzkB/EMUbek/L7p9 P2u5GtyjqxBQBjS3WK/WUdt4L1NyZltVuFkFY+aCw1Zl7CIOOGvB+pBq6WOuXbVXKxsu2HzEN49e EglSyaC9VHNmVI1ipu0EAtc5i7jyRfc/umGT0RRaeo37SViQ1pLF04FMwJwaTJdn7T3eCA+ddSrN JvA+go6YaoodBYQKTkiwoKc9J8FSXAN7KanjRpjoWYiF2RPA965OxGy9rUvOJtAoTgAwYQOPDOap TCmkRKgpSUXrW8QYEuPa9HMlZnmGLt2U+/eJKxMHXfE/tXl0iCbXlWmPbPnfDhCJsU4MPmAGkWeh s8B7MvmDP+En24zupyEsY2+Wc8lxtxHQdp1JxNli9YcZstDaRZnCmHoDLQrUWFDifouFtPAdjCpP b/7QxrKqAa4ZuCxfkuNT2cweYAyAS3yGwtqMOC45JZXVT9FnBCXWRpXmwnoB4jlkz+jHYuw6SEKt E3khez0ttGp7+XX+vCJRH2wl0p9KwdVdFOZlWnAbVQHTSquVgaZIC/u517W6tqv+r/u2iixsD5Qx CGYe2+OCrrpH39Zl0+hQY6rksf0hSB7/Byk7jp0Wzi9IEvar492zwnLi4i0RIx4itSqDpYEd+fQb dWLLrlMJtdXaRmb5QU0hYkL758W++IUNQ7AfvdVG6l14+29P/VX5Quyu0vYi2ctDaIfXJlcyhWwN G1Pfi/DP7NRwQnMBQxa4dA+0QQDZPgoSjP9XcNYjXtSloHaSqSr2EssriFL/I4UpCpPo9llJvYqf q5rIqEyXSTG7miwGe8DUhl/bnaoWHkCUUSOxWFe8TFbnzRjMEg7uGWdzvu0qDGm1BnArVBuwicVT KG9UGjLXoEVhP7Ldf0ahLk+ugf/guF6tR9QHEUkJV5BoGuCnCCAWMkwmjqEGE1gOlMl2mOY+1dvT J0rhZRtdb1cELC3oSYze+yB/dg1t06HPDSaXFFTnLcl2uKDmP+nV63+MFU+eeVIWx5VTj/ME51sW s8KYC1V9sLb3ka2QgN6yCFmE/rtR+1ly5azabZH41l4IzxaHd1oCJIGqg/4FTrLpPB5k6awBt+m/ BzmjqVB4vixFfUCnWdcDngHZadO6pV6bikhmSvAwlxdJC45sKJmPpul18iMHTXtlFaS5g1Z70Q8E j8Qo9EDVQetEL/S17qI+hTYyRVKspKSJDQexrY4GO+Sm71cXAFbYNC505f42cHzIv1lENg5mzalb +bf75mx4QFXz+9qqJTOu+G0y0v4lWqzLMdT1AvWbzIulUMljX6fa8qM/uqhcArS2a4uB1XLu3oBZ py/TuE9CjsWudES2KH+KRtpNwWR8v0xxBaG9xo5sW1q3tjmcfVEBmxk+53v96VnDIMps8fmwuOqA yVCGVBQF/8CMPwEemtU6l9saLZBKtbVKWrhuQKE3OvF/1Y5EiCIizOyi2D5oiWEeBEtWZu8U/Oxy 45me8PepEENJe0/zwR4RhDHaZ7SshaxGO4EZw1/fxrUz1UGezPwkM1hs73TLIGnejaUTlKcTcArn CKk8SygrjqtOHb7c/rjcc2ZD1Gfhe1Dei7VipdNO5GT38dsbiqhnZZGSdIVD3rwpGVJXW5cRaQGZ KXFemePM5M+9OWwLrm8gou+MstBEHHc0BTMa6n2pCvaZ6H8/CHV0dcFu81Q4wdH2lMn8d5L+4mYT hv4smpliF/7YgziOJY7rryzaV9NGnIqUXWeXhB9OB6Smlck6NPCjkAN6rb55Y1jLF7zZnaXptvrZ VA58rGurVRQFNo3ogPxJCWohcO8hK9rdJlRsKo7fa75TFDiNDFdjtE3kKjnqUmYxblgd/Ps8NOzV olX+O5iwfnpjRWLeOMaU0sKxXVwOEAPUN0EqgQsvTnn6isQLwqHiwBWm1ybQ72gNpyR+E3NxqC0D 5x6su65DMCV0klQMAFdcuDTQPFpkVZbkwGrinMz6Ajp3hdIZIDDOgmFHpCRoyvZcs0monO0meSEA 8mBgC6FBBSA9tjXsN8rwjo+yrhQgcEeT8w3ems3NI7PpXRrPp7ykt6QNS44FMEGdJQTY72a9L3ja MAD/EAu1Sx9mIYfR3A/nFc/Dyaxf+B0zaCBEcda7sVry0EFXqMLggUDg0cbGn0A2zIKD/664wqQu GEoZpXgyp7+WXesnFkP/8AuRxM5t3Dmjlv5gBjDdJ/t02IGFpXMGSyXz07vnM1bHoIji4RDHDuXU lbQOAa42VcAgVBWBWgG1aAnkcdnEMojzyodVmP/bxMnsqQRA6fmWv8b/osoYVbWfezqP4pom70zq t7d+jfX2o4f8tofAaA9m0xsT/R+V6aJppcF8OlCvfGQSTlmR5drhJs5oYLz/Zvc76ddjFIlAxix5 znCbNusndJcw8nCVp307PEjLn/SFPolDaoE7Rcn8hDMYr2TsIpk2oRXGI2M3y5MlJY5ZcUJDtXh3 osXtcY4PMCQd+a310TvuVw+MyraHJOkITYCpf66ApZ2fhneTMdFvR15uRcVUznLW7RCt8jBiaduD 2uvWC1fkJ4Xvae398v+4GUvPRHFWPnV35vLNRLLezDmNDnICJZrribvKuTYVMdRg+hikZNFRyJz6 RB2KAxMwebIyL7eM6sEGkM5U4xhcXU66OI76abzoQWWoA/OcJdrxin4OT+WOm7u95LUhrcnBOCoU uldeCkLRW31HrTYCc40FSsI0sBeFv48Mk1s2Yxx4PMqgdTO/mAkrz0WCXPMEzPtLoy0V4VfzsMGH jVcSxd4aGrBeCB75YyIxMucEF59xdbbz6l+ups4f2JCTyTSmdAWjmHze/Hbj8Jvn2MBbiK3DdyxA q0bwIlmCkGdtgpoGvZVA4oW6Rq3ej77kysIBWkZfffY9GiyuP9g80IP7jmtf+1RzICdgBInaNkCA ep9tA/zR0MjLOL7P+l1Y1aqpWKy49d0kB4epW2wgZDS0O/MWYFvbNfCud2x0WKMMS3BB1HTOZ3Sa ddLObqDcw/eXQCMcPk8C1OV1Smsqm/HZkan4pXoO/wotxQEFVwAuoE+RfYPcEVQhLhXqQb18NhUa SO8OcwVVkHl1SD6WxHu4obYj2/rDBqFd4NXPKOPXlh8u0u9q/8OWbRB9EsbYImsIGhIa29/Rmei7 mZJjYBqgUuEJfFYxDKFfqY8ODfsvLC32oyvlCn/DdhXd5iLAglbMY+4ikHwwIgXg2LAoPcgXgxpv 3MQzzkvX910wMRFL61PATaIXzI4Ca4Kf38WtLZvHRabqQirsPJ5KhsxgxikArQ6M92OhZNKzcr+e HRyc9Q8aWi8twZ+qFhERZeC1wLLLik1Mg+J1G62NbK7/MyfwyTbyujnPQsM+FUb50U3C5/FPudPK B3GA2GLgGyWniTZih/CeT8ckNTYEM6qb3xnv0u/1Q/L3haoSX3EiW3Lxogpab5tHEbzPOa9wMYIN 6mk/AX36NCg7YrNCgoypCe9Y/nJp3/GGnnwUWxpNLAXK6WoDrSxCFMzxBDaMNDXeIR3UKONmARQf NGD0yWaj5fesF7ZggItRaOMWomAyZx3gZH0WbgMM+hYbb/tZP1X5gO/sLJtk6LYSiC9NS7qNA3pd l6mlMV911lFU+yPSs39k3S+E11iP4B2BJEZv+QuC3gn9k3hfIJTFMA0U6Kjuktkf4WfSeKDtBJED A2XGrgs0zKrZ1inEIMJorwIkIScgKFwzCc385yxtXzRwcO2VhRwnXMUFvAG5EC43+ZEku4fjMVAf pvcm84RaxjDpLTb8semjSS3LzJYKU4gRFkvCx2O2/zBO9EM95TtBJN6q2CVroclceobS7OQr/WWf KmRSAx9NKov3tMHLwAmK+LGzrJQVrGsvoMwdurU9Avc5l5KlouRNvhQRW0bR/LlYX6tkByqFEBha dRenPwZYjn9PvA6whLzkGsg118yq7AUrY2o42Ofa6HHCduB+4WAn9WwEFNMOcS+lDwQ4X9yhqS0T uZx+2wIetH7nuZ3P7TsSq2cgYOafG4U7p2ZdS9lp+zwJ0BXBDcgAxW1DzAWH1T7F9skAKLiYUDRI qyRV2yFq+dgypctyFGeI6WtXSvgfHA6IoQuQR3Il7wq6HHqKRF7xbgEYXiE2D/9OzOs21D7LYmjX y7CZaRQQFSJtZOYGnxvOmlPGatP9XHJJUhHIjOw6UmcFtrc7x4hRP9HWTRK8AO0PONap0NSnQNnl pCLC/PdqMo/4xh+UQVoEi/d1QwECqSC80ptZpBDzEViQmuibYTbpMDIgdf6zE88bbt477+77iIcN hj7/5rfJmXWUnGU6LvvRcve/g2Eus6Uud4fFxB0n5VjiqdtBUQ/aeFvo4TmIQVQSwhO82F+GkoZl G8Ehx7D9DZ4xqsBL7218iB1wcP3G1h6Cz1R/cr84RKQmA248omFAsN8OOaUOBv0pX28v7y7mNQOT K+zl5kPAtkSVtoVAsypvuJRDVngLKqb0n3lOVS4D+ETdjfXThNbDV2yUnaF5lRsBHtSFuX14E7m5 H0PoGkXfHgDf1t6RPfo1jqzhWgOOY1NxHbilBG6OcTXn32ipcpsknvUxzVRtmbijCt7ofdmsPsG9 hnNfI91sbjQA4e9wEWiIt1STl2aquvNMIl6yJNAGbQu92ovD+GcBm7U6Rslf/HSBNwRdowbBUjXp gnS0AVc8hB76an5Cj6IQ9KlioiT8ltU/E1suA1EUUNa2wTLQazIcLOL2LXUZ6o03ggiI0xh+QBdK /S7gM07pehanb3Mqm8oEGI3njvE/iDuANJUwLROi8hhkdY6tzZnvKKbqdE+DgeWXfNKQVhGuPk0I I85yY/Yd1zDL1/AyHXp2s5eAoWSTRz0pL8EO88il+r44zBS2HLzrSBKcGOxanVBt5AmfVOFNryAT sRm+OvKy8SRVMOivyWLSICz0oou8GcxV1LP/uJ+1NMh5NhlGo3HlT9UnZPh+4d+87+q1TL/oRJk0 MlnJkBGnxTQdY4viRTQ1Bvf7O5ZQXKcorwMBp0rrMDtMA8Td2yMMKitsbDvUZShvsKSE0OLCn9a3 zMdSorvZ5CV0ggeGmixp4Jl7/7bMX0QHnZUcSgOWYHTJ+tzpNuACILhgi7fKr4RbMoO345xt1Ti/ knwEPybYn+8zz22EWcoY6whpnS4NaEF3p1llxls+FxcKMsZYzN0I6DcghSv5xrjLI3XKus5LrpA/ 9Pu+kzL/jc4TdEPxFj69Tyrin+pH134ZN30wByP5nv5ZyfvpKhJTWZchMKCIfDJsiT/BYd7Mky5k E/EVPbcesWJDrqz9tQgnf3IcUNKQzSxMpARw1cFHHpMJgb1OvrjPeneClAMqUs8Hzh4l72gaIp4Q HHySLULtZ47kFY5dEhS6+gVzF5DBXN7V8JYf5vNosTq5Q/OKdtellNjIc+rh7S8ph6oZcbAOG+uZ mPp+xaVgnDHbDULg1+PGG3/G0ny+46jugJIHuRWxqQOvSY00/6YlXNDMI8XSnD2+MCjhgAepEJiT AHrbluvGDVbcB009QYMcU/mSekkevIT4NWBLKRd5ZX1pCYQ393Uob/y0K5lcPh2l3vLsBIEbSUNt g13FovxjjNoY6Goaux7eDW7NZlsdFPUXVzHz7pDfN4xqdHyahzk8QSDpDWpeAZyH8x/cUAKmjFch AE3cDYJxnTQxW0QaaCxIcQYjs5giu1dXG3ojtydSRI1IbqP3hvhhjkMSQP3hFaDO0NUxfT9Df8Mc a7gdw0TeKq9hvKjW96A6MaUkrra8zTwm4T7WTEyxCeSyHrbzFVoXFc94VPRasYUdihRJu6aWpxAo uKKwq3uzwnV/EtChSSxIRRrC6V//DGKeR7aLim84TWkmENunayOD4pB8YeuZGDMIrmsjBAkZ11vg K1AoiS/bH3hYSU0nuXroeleQGBXSw4NoGg7tZ++qdM/t+Kxkl7y9bZvBcb1I3XhmHnHdDWdCV+RK l3zI9EEkhStU+setTlgw3F58pXsRZ7pT1neDupbj6Q4yaeq4ZLwe/vCa4SEZw4ReXZg/rQ6/+K0p HBXiKz+pa+NI3ym/YtIqbPZDvZO1BHCjRxOBDPiLgL8cyeZ/818C/cTfn6SOGCAJ0vuRtrffE813 8k5KHVvNWIi/Dz9x+BF1Lvid0EBSYqEzGmjrdEnZWKch8eG/TRdkykCmmfD7lKXNGt49rfMqoYjr SJdmhQG2TPQt0hmmiVh7UHWg5qOdqIVrEbkjWTXr/fN5QHS0LXXXWeOYGHBD5OudH3AKE/F1YBHp GyAsITmx9hvqErUVbLCCAjMUbp3IyfubpUZPqxr7cIFMhrj17OUf1Yq8k7+YUIih+wnihJt2j9NK fI6apfnQM2zk5mJgjaZwUr7ufQMMTYBW4k9vKBB6nU7ckmmJe6epDT+qO4XtwHkbu+EruH4Pnylw zfAfq5UoGfGJSvEQYIwIO6ZJenSsOZL35DzhzonB7PKZRiTkX9KElBhm+xe4LW2DPx8JBkhDWHrC 9BBekg1KNZfBXEMMbwmFaoSyPSdkCse1hbnE4COFYajtQtD4MLHWc70k00xFhttRgHFMiaZ5qNf5 hr/vFN/I/8w+QC1r2Nz8Ki2Y6rjs2imU6YsYDMak9DSoo4Lin5mjluWPi/DEaxtWohu1YS/moMCu 58bIS0jdtSYtch7iJDIOeQQWqewcxAyMoq87wGbBnurgoa5pdLfEe/gBUHLaJPjv6d30lqNj5/sO chlStm/vmp5m4pc8aW4Boiij99S+cdJPdvfEhIcK5TUdaYzihL+6z3imTatm23gfH2pbRZzXr+7n bcunj9WTxjAfW+64ZxJremTzLUP86WMQ5zNuIXaKVEiUanK8yhbVGnK6L9j/LHtCPGufNTX3P21f 7eNIBaq7cp4UEgQBhg/ygnK4ISSXJzmkF0IkCKq2QU3B7ePdHQ+oxbMmp/aOdxgFvKxd1Duyxf9f MJ/6pOD0XdoLtjjLnXB3jV0MRFtV8AP5FGj6fx4dYnFrYgPCS0KXRS3jk9Ne6v6zFoERlx13HB78 trfbFXhMBCrs67995V8fCW0SqcxK3c7+PVLTQaGTvlBHx/0zqo7on+RLOUw7Nt/UHtseqihBxWGs 7mnaJqNBwoUSX9Q66yk8egFZqgdh33cUk6XFrb00XFGcQhq/DkRRTcF/weWUUmXAejqoo+jlGgJt cM2iLbkVWzjxJ+gv39cpeCsaHXubkwivMPE+B9R1XUcf0GJRjud/1OCGWvLj6oYaS9SItFDFHTiL IYgN2/KiecGk3+R7squj1r4JHel2n4WYYMKFnZlE8Ymn7jEbwmWxjrBsrFTv3VJkPODH0i3BrOAd mht9BlLNLFvUDXQCfzWGaTfaIitXLP1fEjAmvTv5a/H8idKFU1QZZoFPGwrnL5Vhvdx2bGAyhcRN H90jeVMYWDx+LCff5VMW8m+AsKdJQqtlL1hNR+fNGca3/Oa4HZgWcPlIjbjyXV2hKMGPcuZSS/Gd m4U+eL0v+3B5JzIxwSM79iIpS/QJHmOloRkL5iEhNwuXEwAks10gs0VnElZ98zSmS0Sn7lvMwRLU Fua3ecc2lFrKOU/v10VYQsKJyyJdA0lyhwQH9V3+K5y/jGTXEVyHbye99SfKhP/2v6q+HVwE8zfW C+540YggMcke9v8uUESqPd95rsxm9SzvQ7e1jDJZW7zNRqra/MabX3//lWAKCcUYQBLOHY7RzB7P tsugvfAJmI3M+dHtFHDrlq8a62QzHcS/hyXTAbSX5g3rfXlqEWq1NfuoCISyJpM1eN1kKiGjJb7C 327orTbOGL/0A59krGoLiGaki23OCByN2zExBHbDVc6CNCiQkp/JMlfbTWJ8U/mGhW+hgtdiC6Ok EZyGQaUCts/jv3yCzLfxzVN6EyrZzlQfbFZUz971PWLseCDyPIojI8Sdhf2Uo575FGHCY8vKsuRj WzyZMJMXg/HzWmKVzivf437RHbY/Y7zrhPf6P1ILW21oTAzD5x2tsfI85Y0cdHBuDpQGvqFUEQaj i+jhcpMuDFgVhQJNNZ/KgWHxrSt7WblZXGKsBdAG1PkEf+vrRoiNQKDLaDMLBf60rOMJsSDZUKED 4F+2FxtZ1LwY8fswDahEK6/8ZW9B/a3lLZvrrombGrvc+Q3swBHT+yhO+maIdIn+qRQ6N7XdfCew PYiCpPUoFn+Z0VFifa+iIfuOsJFS68TInIDeheFOzl/D/wg6aiZgc2cNTCe7ZQecjfWMyi9Y+Zq3 EU8qBK7UiyrIrqeDDe0Z+TeaydLwGmaRt/IJRMmEv6Kwj0jFnFSMPYlWWnorQZJB35PmprzZZWL4 oMwC/0XHYcNahkC0qDLjm8IBFR4y07hk2QVM9IytDZ8PWzl3XOldv5uSUH8C1mzqlpAh9EIFesGv +Wdh3OaSUmSL/q80TUcARhbtzjC5f1f1uwuZF+Z/HH7vGqyXVY/OyNvONu9O3ka5K3GItS5FbOwN 9T0IeduSy4QTrkSt3ZDTEVzDQKbYBh6hjuJUmyKmIyJTaKOeQzV1quWHpxg7reEVjWmy64iZ2Eww gHFJmB5BkDNCyiwbI04/OJWFc4MxU2xcuhnrlHic183QoCUpm8FNIA7TVad9PGv+tv9OVNsu5VCk vZuUEQJo5IsDJPg4IDr7BCir1wT0HI3f/TBOKPx0QUkc3S7jsbeVaPobyiAJYplkux/dVMFklCb+ pU245hHdzeFWCFDe95IeO1HnIK/KSicTV6IliZD5lrGZUUK+KYfHFf1wBsJ/RfXPf5B5Gs6dBGtm K84SpOcfquRGKlbjpm5qvQQ5t4FFw9qpIUoarUD3shi/NVhh0AWvLM1z7nwkQZn/4c9Xze1pIQoa TNODhdG8FMWZcRrEGmkXHDlN8OKGnFkT4cMQmcCsXe63BchHCSdDvVSIx02Q5KmtR2YTvIckNzJP tdHMTaRI85Y6t8s5YAzkwZOGndQgE2NUXFoVA6BSQPORaRJEGdzYjqhYbCr2faFRUDm5q9hW7xrE FEKKUZNsev120cPMLRrDa5B1tPhHqqxJ9EdtsGwObUgxQdYbjPgpApwJ+64iFr51TOwiH+D+VNBT Q0zvQKkA3BBHycMaICWDDsG6JkQwh9NL78IYCF+LJqaSti8IkXcY5Q+4gmO1RUtKOHyWDtt43Iqc O080WCBhPHmxyf84DoulAh9y6Y5Dwel/qdfBkM0Jy6I7c1wfeyzFp+6QnOfTm2PoXgI2O34bdDQr UMRF7hqFabxbZarxsYd1hI1PHEXldg2ZApP5efU764ZgM3mvdUbJ3SkPdYgXwyJmX3e0EVLzvU/v RS4trIHYRAhsAA7duSYEDFgODvfx0b9peRu59/9v4FnjPfkcJzJ8ddM9ohsQnJRlyDmtWVzMOaKo MzD/b0aqZMNtoNS3PwMhPhDIIsV93iRJNmT9cf9+801TQDhjpLSqpueR2qx1NQfqPqFAy1qiAgwV L/TwgZQIGdYfajWAj2ujBfE3VsQVSoCMq7oh1YFpQyTUvsGkddW+Etuf3l9WTYRt9w+RSmAQgrDK lxroXfRkCpQFmO8D8Cibb3zGgbyYarBwiEmNN2FpC/YwNSENJRdPCE6uTdKZHFUnsQpGAx5hyNxG kmshuaMbY1N9igRfuBKpAKUMdiC2PPbhFqAjLWVZr/AOYM9BtFogY4wP4V6viL8xvCv2YfT2kSmT KYGKcUINEAK/4ml4GPL/euZ/zgjpmV6UPOHiVADozRH2cABZ/af+8pDtiOjg/+kXuQlrTycZEqll t9P46jQImcAf0KwO2x0wd/eu7LKVP4bJwohGAXCyaWyiO0/RbdnyEv1QtwLah/gTlKKumDPpuBBO BAB8KjUmZmuG8wBYB1Safrj5jU/ToIzSYAY0N01PVtNyMcFw0fLj2abKZZTBuxZ7HgTCv1yaNV+a tpDUhs0oJKHVv//MOpAJmEAz1aTax030QBfjgpOdW+0/NIgIuXs7WQooav/48J0EcQui5HVi8F7E T8gnL33qqxznMoBnWxKPkC66DqaTu6469+E1WT8cSCJTfvacf+d3G1SvC68zuk89QJZQrPGwyvMh suz9pGH32IrzV57kJii9sUShmaPxyl3kjnxNhKzpn4QxyZeNzKJwhSDapyehbIwteKgnROGFYSd7 SHluhHDk6sTlZNHQTCxNXzWzubZWy0nrNUISDVo+o78+UZziFFt9AG+iKd3AgQxadcnXnagfh8Nu dvsy6XSbGDwN4a9Z2p4bcqnBlfV834cyONQ3YdT/W2tATm/RPKqOcwkliKUESUiFJzuN4XBouKLh 37wVlHmDLDeayGDWUrnGQ2n644/M+SLynDnRt0hwssIBX4qKQiYwg7PixRqlBbvqVd/WmyGtj5er tE9rNPicOvBtEikL62dKkJexWfUjdZtCILLZclesgkQpIDiRX3QaIddMPxgqiLc37+Rjewn3WXHv eHUoXxFUg6LbQb5LVGtvHN/lbDafp48yoDpmxAjTljGWFwUQ6n5BoUIhPSivDdWRLxDugPhhzZmV bz7VFvMGvTSxGTQBJXMwESeoQMtjI/f7djEsFwQeTEpEJ+5Z0Nac1oTdbPp+lPXH0r/0bjEfqaU8 T50R1br8R6WOXc8RA4rWC32IGBNXvtjbRk+fXfFPtqepcA1qZeA4+NBhMsGYemU9PYKdnHKxNrpV QvJHhOZP749ehix51wHRM8uxhb7F7coltoSglLxHaLUvNjD2bURcLDUMBTQ2E/bsKQUhFPzygCPD LsAXBF6qPbILRNq2V3PLbuicujPFdVhzs7LCdNj34i3Fr4G0Q+SZyJi3TgbBXZi1mjIbLNc4jjxs crbMS3+KgA9VgP98L4WPCIKCFCs0DI76SGGz1tDfW7NXEjKHNrSx9Gb6yKcKHD7NcRsdY4MJ2fxA few0XkDq+lnTkXzdMtr7MfBpqnaSTbgjCbLJCHGZNL1FiNAV295rvAsPHPWkOiIwzg5QDerwvRtE NZT1FYrAL+MxeQGQWJMXe+ZDqxHji2AdJaezK+SYYi8G5tBXdqSwn5PVG8DmdLfzgia3c+tmStbR sDcx3pELm+8E2JPhUgGIhV0ZW+fx/PmRRS94i5xwoDN6EW29IghpVp/9FeGgvhBj+H0OeUCNGr0p E2dTOu7J3woBrbOJkx6LHbGoUuZ6dp/f7ftC4xghCgV6yyqkY5IX7Uh+Hz8p5FgsltMX+GGeL5MR 6UIHuOGwrEmuNqL3Tp5RMhg7WiLnoMu0tSCBPx9DaOhRxqXeGwjHrmh9SEDKW+93E3V0ANI1dFU1 J6kxx0yBwzQRUvh0xgp2jrM40Fam5hLcIQ3pHWw0B2zyDgs2jVgInJzf7n7n1cUzMuFRC15edc9J Kg3eayyxf8hS2RtsboERRGmxeqkg9Xd4vuGcztJYTIyUXABMShVME6qHU/uNDYI1aZ4fLmYjFKB4 BJX9tm0QXF1cUpuPXgI4cs0T2hl3tE5CncNKzqn4YA9on5iwhN08wBN42iLAQZXSBybXiIE8+G8Z IZqtlHxw+mtGHA8QsW6lWbK5RT36mrqH+o7HVtX5cxqL3RQfStlOt5ncR/R4YnlbcOWEmpcgqy4f Chxy5j0Qg65TO8buCQ1KYphXIGW+HcQphGpxdlLuvSw/zYbu10JGNkeBYlqAZ5Or1WR3a9oEquRT n3JrrP+xABqwV4MQE4/QjnywI1u71tG1uqo/XGXkSEQkJcH2sTxqNxToWcHn30gjTL5Gv7SgvYkx kpCe9anzhAKMHi0TaIWfXbnOCSq3JG0MzkXWWEjl6G8FS5OhzED0IHAFE37NaDsZ94YaOD6ekCcv x+PM4SBKjJc2FfFKHzv2K2UJw4ZQb1+ln9WM3Y6RhZL8IVqCUS5cGKtoeHXQymlGZ4qnkkUZTjqo hCW/+TsysYZNuAq4ufIK/7H6FoGDq7FAoxciQkrRaJ0gJ0deyZb/k8DxYlWIQQcYRLi/KfXA7tVd k+NQeNANjol08C8WHDkf26uiaNtL5pYkCYMPmvxtZJDCXv1O3HqH4X9Tm9nDSM/lEzZRTAOHxAXJ bT+iuvIz3qCcEGy2bWUozHqsSIN9bkPMyjPtYkg9sESmuKZOE2nNtrMienEOxFQDc5qxN3Ngpwj1 uOQsCUbPvTrMyCoKT65bjQt+1uxFC4//F8zBIoa04xA719DhlqnaLVLfFY0B9RjdsDCBUaBg22gi uJImGdjNFzmVcMETkqS8hLf2n1uwlxP6GCfCYL5kZU0r0hS4d6GDJoJiLEjUcL2qOvRXLZLtkUgP ISnqyCj0FHtcUtnnsJ9LCcJI+0ygOhLLMeOl2KLVGMOm4Dx/O7yfwAa3nNN64+tVeJxW678hC41c mNXa01aNd5I21uRWjLciv8HZP9j2wf+jnOQslkexfxjRec5sIM3dXJmRIqTxcPwU4C/WlFofmYJo 2lP2zcmlwPwl391rEdUCSCal9mKLpBF8tc4ghhBI0Ol6GZmybCvCwzN1VlwlyDTW1V8mrgZ6VO64 XwmFtxJuJ1ZgkgJH3Dg8wC5H5jUvJT4pKMnazO8UH7Mz2UTWZ4Y3dKpVLZlgq8jeSO7ii0h55IyF hDrboSXa6d70g7A3nipUcK95/DuIJDStcqMvfLQRk4T8SVadcY9ruCtYYgV0AHyTFBYxh3Glv65l iA+qJRncIHAB5/aGj3Recrdq7tNsTAtbJFVRtyYfyFD1qutGSZq1iPb/a/yMjCjk7Q7ygDY0J3AY 0Vay4rGyia5JOGOan/DBiZgEA8LonQ5HqCFROuDVlymvo01tIJPy0fwtT4pT7Ln+VvXTbEKAnaZq iFrr7GPSSfnme9mFCWwE9sTpmXwqXrs+B/bii9LuXzRKP+yGiM8l6WDEnLoDdZGrGY6TMb+b73VM X5sQAJqtakW6zGy8/zamKRfSiki3FKVFBAa4tUTB5mtmMyI6uBYUAqTwE7rcoQnkSW7SMOIjFFaT UXH54dteWH9Q1i262lKDqbg+L95xX68kgJ+0Fpl9IoNyb3NiErWusCcZw1hRfXzOOX37pdtzxSgj WSHtAT+uFUJH474iGEcfUcbSY+V6ycE7qN2VRh4VJ83KPXUSUlmIeEUnRwZo/3J1ojTtFHEQi5Sn FrOKc+/lJB/9wQMRp8T/Xj605/0MshHkP8yNFiv1b15Ta0CYihyg+jpMiyTHaMFAMK6Yc2E/vjAr XSJNZtg4u/aNi07aj4pr7cM1LZdJs3ZRRzYyCYhL1//jmcHAvxmODWo067CukYF0LK7t0GSHMugM oWiiAwqzjCZpFIRxzDbEK0eo3QUGIyYivMhQB4JQ5bVdIfytq/ta1aKu6b5EEeCFHvGrX+uKqWPq Sw5IZKY8xzr96XtT4n+K34J04g6dcjMD27UuLTY8tk6tGe79p+wtKU2/UEil6ggmbomk2FSHNMOT p0jIEZ670otr1rl5mfingDmfxQIyS7RadZP6i/5wKz8fBsUvdIW7tVd9KAfb+vRBPZeSFpAAB6Hh humkzVl6EhHQM1i+kq1cmiooCxyQE5k5+Egh1UZ/UlBoZGAtclIVHZv/gF/E30sTowEotRRb+bsH i0VrjWhMHoEwqQKl7FlmnE9TsjbQJsNnEXHrPbtpGyZI1w== `protect end_protected
gpl-2.0
0b6bf9d52c5d32e915869fa263dcba60
0.943767
1.850036
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/axi_utils_v2_0/hdl/axi_utils_comps.vhd
15
35,937
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block ZgaHokbiCooiMquXNyHlEqu64hFFqseD+NFI42Z4xankZqLayFCqC76at0aTrrBdmlEGsbD5+m+F LBg+lXRteQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block M6e8X9bnaJKESoxmK3spcanA/FPXWvAM2qIL2mJUSD/6ydX87I/drSKB0WM/Ramt00oRGMXBfVHi i5T3pMxj4ZEh3LVlMg0CWYa19nhnx5id2ST6U92HdP/p0RfobQ+RPgVYQPp+NQ9a7db7+BkjQO15 IPCvt0puHomGXVP2gbc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block KsdYlJPJ9zRR+omk+fpU+JVmQJ7ib0Yh6pxraJJJVL4JMR5PgLWgqiH58PSH4cdYv1k2iv1tJzg7 mp86qK+XuP9Ba24SXa1PPOwnv6ou947/qs/8TpVYFRhvdBi55xGWK97Bev4ivl8eVddT1Zn4uOwK YsXpuFbIF2r12icZBmFRXDM1FyAftnPlqqAKcuewCWaTA9zCRNq3my7OO9yetwdT+sMYsvMfa3Zg xYoo21ahFC88HpzZMw+WuZoKgqSVSbnWImeH2LIhaYifBNfn+lHvbQEvTG6s4ijLmdMMKHtZxJW8 MVuKOrcN5PQCkItXKR8XDyEo6obER9V4hN9iIQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block PtjawRkYq/ds0Z+aMY/6lv9r1o4CZlw/cA+qkUgWtsHtyEpzG9cyydM4baZN6nZpL852SxeSKGm7 fCQ8vTGWLIsX0iKHFF8ygGJOl5dlKQ7/27o6gvj9dbwoDSyKe9WZpGeX+8ofaSKgIeX1Y2cjaifE Bkywbq/giScgRlPGHTg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VGb3IjJx374M9rtBamIQKtgIBGDtYyQ8tWxooj09HLNx4oXVf1Qmt7zTJY/8S6DlztevcPOPKB95 7LuKs1N1FppBU6JbdilBCQfg3keBsxdhP64GZ1gpNft0bP3Y/3ijHVHmdApvzX87nKBDEVJOVH9H qlHvUlAaDPyNmnd9ygBVJE71U53iUQVEkiQnFn2Jf+9kM06sPRqKVdicBVPP6AE+v7uf3gk/hW1/ ylD0UFQV8vKNsrPqTLPwbve5AykjBDPwi9uDl8tzmJ7CeI0SCB1atUx+QR8v4ySJNJL6zpLdwZsW U+tQ01PF4vPGqcias71mixUr3mKu+mhs5c8fKA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 24864) `protect data_block EYEiTbixS3OuHvU2ks/mHbaNjvB0Cv0WydMMjLoxFcAIPI0gBPNNbElJEz10DgQnHVZgklOglray D+QqeHhSEVGXDuV4tUesyCngke2ugxgtHlVIwObhERzwb7ktz0Uxp2j9LfmnSvEgfJxYHXEjmGcl 5njFgNMfvmJNOBTi5RwpmX1dkOkUTjlN87PBeqal8aZQJ2vj8/yb3AphX4w6ZuyAgItQLPCsPCIx xWt5SfrXV9CjzxhbCMIyWPT9H5HniiI9zoamRy+sItUSVWpGaExeB+B0C4MiddfBFKiAFi2UlMe5 s4sVOvp8AYAAMcy7mRUSc5T0jevIkHwsl8WFY6yW0l7KZcmwNzdWMeiqHgDHe4RR1jKKOrMGy00c LCzku4n9vdqF28vzBTDTqkINwuglngJfHk+8kG3nGrddkiz5zJLW6IsPHo5ECBFrBTQkJVCNa+is mfHZjodJ3ywiHA6GS/+tES/+R9WcumNP2oEn0paPn+2yViVuxWzB9zIT9TaMJqpvWhTB2gp99IuO 3FtlKZ6vp5tZe2KKkn0hJBq+3j9jDRdqnpxarqnEVNMQAPYvNFSegbQA83KZtUgMK82SXIOuTFc0 60Gd4KZafISMYVcTbyzZv+IHEAvzFF6y5ZHLnsc+3N5umePJ9xKn+4D7OCo1uwxM5+Qm1KLeg9Ef 7keHzkeaobKPSupr3MhnvZxu3MxI/2uu/rab7t4AVdznD6nMqPjPYSRMTJoPvlG82btcO8D0c/nD dzamyOcZmuVe5i+/Nrwi0JltYTzMz4XNucY9H9BM0XioAA8eGpwSxX+COHGGyqyUbXVMsKyUMUaV FVpo+o7u6GdnwxMyd4VRsMuzW+KCnttiVZoiipA99CcBrn3+3KQxF1GVtk5cc6HVm8o/868GaDP8 sFO/vl8QQWS0cr8T/3R+6kQSfKRpQtn2NYy9ms2FETJsa5lNDzS/eSC+VcPIMJxniPurCtRnBIqQ S6A4ylIbfk78tmpRMCEa/nh7jB1xnuBk6oRTfWT4gdpL7IHhLaYd76jt+Cpbe/CJ1Ioy4MG4GsQG wohHaUth12bZOiAA5RGZZKqpopBASXS3nUFcwz2y3JPMjoUdEvTBPrEQinrpKdUBOpxo6yseI5wz hJKr0np7RC4emzGCVxW9zgql2TQge+kS0XzRDn9kEr0E7RsQ/iWpPgcUVQKtjZdHoohBWuXGn5Vm WlmEDsx/I5Ix0a4nMj8qmbDKUMZaylqhL2oIlkDoshQKPsMv5Qbe/98W60uuxYjSfvLsyUoUOfcj W/QogcCgE6COS+b/Ho2T/zXX6CxX2GjwuwUuYMB9dcJDylQPNFiYVOUIqTel9NOrBWNPcBGIpXUH F936fhazt1D9l3XlZ0wUvGzTx8dnyr10lRyHng7E43uciuGpUV2Ifdv0MvkUxXzrOB7FXgMk5puS ze5v23mb3Sb5AbErrDezecW+FkUGHV1F0db5NQbK0FyU3t8qiVeK/FPsm4dqxv4l+JcQoWF/debX kbsULxzeDjCVg4mfqYZXADts7A9Ez7hRuc+ueClseQuPQxZVjdEEI8ufbZzjq7T8D1ww0dzkaVYr GS6V1cGxgpPeKrMFbhRZEaNWxtTYjSJ8RwRE6Ks4QfY2X/suh1bWZ2ilMX7rqnhiVcGBNd8DL/A/ EJt6RxpWHj0Tma/msM4094I41+A9f5Db4gZ+cynucSdxs5N3dxX+rSJy0vVV9njeQBSZOCFTMczR +WwujgceZekiyiy65wiOZFHgBGGkO9L9pBi8pO1TE0HsUewNxzZakm8oEOBrYUVVr/vDHZkUbDwq 0MHaSIz47WVlimL+0xhtuTvQc5AYr9F4MJRLgQx5zZEPLUzFiEBCdmCNb+0FZruZ9Mkg9xOUMTo5 DMjCIis05Xp5p2ZydyB4g0Rav03jcQQe4EWTFRLWj4jGPANK0/TNiPoSaaEkV/fhcnZsQ/RQASbE 7wAm/6zTlmwRcRCEKatPSRqHEsThqHUwJlIk1QQJrQeKmV0ZKsnZMSKv409stwuDy12dO58O/kbn dU9tczX8V21qTGtsgrtnhJ5mBNyBpPz6zR0QVb1DBOeMa0+tvOP2YGQunzK/VKzGwaJxRy8KSQB4 GsHXzRvL+3PCSJKgOeFSk9+1ebRLS2C58Eoyo0IkXWEwlRRxTRgGmv0RMsDXuzrTKU2lNbjM0Wd6 ux839RZDh8wY6/KP6bUtmTU2NYq2FFwEgqgwfcH7M+c2DjFBm0JmlPUvmY0uhLmXG3s6eWTwsyLc sogbP5o85lxocH8ko4JTwFyX+cmsDqriOp/KtD6EsDkYV6XqUcAbZ6N1LdL3HKUd07LE+zzwJ5ue z3VrmpM+h5AZEoNmpn6EdcaifYgGNIwpHcXcGwQNKgBMe3f5BsEC+pUqpv7ZufEsHYLrZhfdWba9 g1v0v9gHd41kK8kJp1jUDo5HTK/JFwp+R5un2jFM8iGCoidge57gCxSrAg+BqyC3UKcEwBaq7Obo /SBcWEN/3EvfK0oJNvHP7SU/FLRTLKVJKQ1m1LkTSMUfqgE5Kv4Ou2iuOBCmOXsvLfhhR0U6uen9 O9nZx4dAE3qDJbjHGTFlBcageUzLGP5G/+YmKeIp6rvKX/pT0WaJxHAs1lpmMtggR+Dp3m4lXeRv UJifO0cHDFRNyrvKyM6tXk0mnnqLt0pEk/y6l+kfGtpQY4hQ7oZg1kD2Y/xaYUb67+1pK3F5etQp YcgF0201kbMBYjhJLkO4LLf+RIbhPiLRPpqosjFgBkd29iAlAmWc/QUDxFWVUt3texv1ay0TPVzw iWZUxXLJPR/E5eFYEo6Wks+fpqOcGx7a0BvHQCC6bNlrmesjkT/WVohhkAB3tctFh3cnHam+13s3 m+x7T5znomja9/6wKazGMLjmIXBTuk9GGIo2PmTkmxAUTJCYOxt9eUbrjNaNgNSlr1hB6Gq78s/M RpPlSomGRmxos6l3fJQxknnVQVLLRwlKJUBFKiA6MnyZYaZwjwBspwYJmI0ZdtKYiHSuQYezdN19 ls7YXZU5X3BwmhihbPOvhqL/U+ay5rc+mmVTj/R2LfmYk+6f2EmREM2vE4Iu9RlGoX4kXzGJ4m5J zzPNWv+OkNV6hOFfGHgGAcU5FmNJ/ojKQghzTSAEcOI29Ho7ZUvoaypm0udz83LgS3sLSktXVJmE WvtBR2cwwRqHF2fJQ9LfBV4GFTH8wouUf8599tl7tkjX+Rs5AKB6GBeAUj/1m91hBIzhd/Ft0yFL WSTT6dMOZcD03CGWN3VmwMG760JuX3ApMusPHWFN7QBquZII8cIvxl82Z8z3yYXUUahYhohjFEEp pewM7JkgWS+kdU7QBxdiaHeri+QGIhqrrS72PcEa1Yy+IYjdUP4jiP43webHCilAXo3nnjB2qzqr 9qwW0cG/KkLsSehrcmGBrvagwzYrBFSTVc14qCTnW+WMDSlYu5aqHT3jG74pPVWhWhaKs6JP8IER zEOU6fJM9qxyU47l7db8O4mwuk1LPCOwHPLfhDGPmvTy+1U+iY0xFcgDU89n5AqmFTFRu2l3rrjP RpdF0cyTvJJQOMeLM/3zr7o30iHoo5OHDsbOMxcY4AkHPq2tzdMwir9FXbVuQvUgHiWRAMssZFbV 0sMZqHsw1vPEtFbrylKwJoKzJADNqjEumzyEkUbXICRlunROKRpUa2vDrHHGDiCwlRLC0ZngUByM w/unQoNP/p6Q5Almsp+IF6cegraTv3weXuYCCyDDjXpP9RxA+BcJs798s14GUx1av5jvTz8JcR5E 0x3wfqUOvvzYW9OUXwXucTDbg9Xz6DUDxni+nKxJiCmn/X7XOxWv6tVoxajfpjCc+pEuvbfjQRMV 4vwIN2VnMcb/ZAQZcuFOISQtFbdRWyMO2xMB4v+95e+aXEStbsV0R11D6k2Ld8l4hXensB5d4lyy 1gzkKlz04t7TfCgnzVzXB9xLTEBHO1HG62H+4pqiYjNHARg/am6YQErQTl1N4F46lXNpvGnQJSFD 6feCKJIWBnoEwwNyQr55Pcpy9m8/MhhsIdnAEG9MCCBR0B9lspycVFijllplF5ACrskNCYKbF27/ QBQ+Ag4bXCWKs8LazPuRr1DorktELBZ3qD6eS6Pj5sSIVXhWg5Wp0f6NQOpeu0J4x3GeW2OmUJ1P aJcG/Rz7Q2WFw8LwcKnULN+9FqyFzEc7gMuLghMg8WCT+1G/jd0tq4LDW9v/Qb6X+uKdzaStH6zX EVlQ9iNBox5uRg/2+7ioUZsUb8cIqPzES1qs0pd8SasDov73skFk/2On+Awv5Otj1WuwEPlAjhCy I3dmx/OcShvld6KHCBwKDtcVs8ayBNZEF5KKAJETUSjhQk/TiFgJeuQRqW70+qweurryf9pzD6rg qZusAVBz9PVBkirFvx4DoXnRmCE3tn/Hef1xkx1RvuXqvo9CJVOYZYrSGNIZRMSsSKBYOcOrQH1v +K3d9v++b/bQac1lVxzBNj+rKUKKojZKA4joRZ0CDgc6WjweprbnKPiWgaleFggFNuidvE4MOrFr PKh+kPWW8y38YvMJcgwioSMSSF4n9X5F9K4bRMzBpundh7MjCv/Ud1m9RjHxTSpox4q2yRYA+64G OKo14BgV6H3BvgkBppS/Bs3VCDfdpkty30gw03SbNitK+9jk+iuDlTNSRKPrJV0bgzMKG0eCMM1E o/WXivC4FS5rQMNOw0jlwWZpzkMmt3tG1aVYLtZwVgqMGwz9s1HovnHbu0b14nMPVFkEuzUxm+BU B7ckg4ZjQZ+xXXpInS4GpVSEps3XXeV2eWugTAUPoCh8fjCZQPt45SBKrrBq+itYKmYQcJgv35bk 1Punfh+V/yA66nny5n+Gpwic8Os/bVfzVGqqknut1UhrrgbI46hy5leXyNwHfktLRJEBr5ZbpuqX HXqAeZCGKWLi+EUbIir3WAFG9eKWVw2OU2UOAVeecrc9pgKW8bYFnQJfeUr/P1qAl7CtA5x5gtzv JaBGhCKZAu5UzY41rqMC9DvLthFno3PprGwV1mOx0U0mE8gfnS2is366jibBDwZ8sOJXB5qsnFHs vgwkIFwpA/LYYc4ZVCDRKZ3UG9AHEADdjkhAwHTi9xQqamX+jc/r84ZCBVdVw2Tz3uthXXPVVb7a EMj6zHG//4QZ6l9Akbf+KQDLrQVTs6lRgCCFA8h4+ZOf6DygYa4Hgv/8nYDWzVCTAzzMLe5mdnTh q4YHo1Hbkn5VrbJ1Y2Wxs4IzS/1ZJpHO+DayTUTqB0V88HVqqy7SGegQIs2PUo0BX3+Cvp1x1Cup riTpVatp2NxJwz6LNsnX/5KyJNyu21iryGKoL1sFmcu3I6x2Kx6LBafOkCvjvmevZ/4e5hFRtmWk P1+o4uGjFkyYlfCpowi0MM/lJGXaukFS9EUqbOPl7DqoNETRxsKYaSCYpD3YWlmbW8VfcIew3Ih/ ZcX0oz1spRrGuPf0E7fAHs98qMj+CQcrmsNOFtEeZf9VgYaHABY5TXeOZPAUX+dOPoRPLHichOen UGKSXFd4IVLwDhVW9cvh4PGsGjk4LVyja3HZLZJm9W25EqTc97ikkBOrnBY4rv+VRfxsfS2djAFO M6PrXWOmaoO4xSxQuVPUsSrHK7ayWyu1k1DAND6X3EaSRzmEiYPV0cAjbVzylkg5keGm+wfl+efg QKJ66CXllF90/igpJWXCqkQ2tzGQcVhgRkQ93S6GdLYjhNz2r4yvqwgHpM9FVocn1SshU7c/0oem 8zAvrXmkllb3J3X9QbM27wxcCI/enicl6DXNAcGuacDvv9tlh0B1KsS+lCAi2hez9ycVVHNe/bKo B19Sqe9Lr39bsaLmnQkTv7wEEnAwYFFllrZopxSskr0YHnfGMmwPQIOomtWQOM1gBH2vrvXPuS3C 0MF0NysJtA2Y//pt3O+ws4RdAH0EwLXhj2voo3ria973VXCgpJxMOlnFZgM+VyHyQVbpQgW7jvq7 SQKzDxXweJU3AExYGsmFCdqPZIkfBzq7UTQsiSOROWMoK1pPj1Kp7FhNKeXIP8zB0JC4LPOmrReZ 1mnRHf0Rsgbm6BA7+4TjfYEdpUU66FOe93Oz9EacPQIAaZbSAYgFkmuBsCWEf1ZkdVOh19aEgkJQ bByCgc3eCdHgAPOjdd5xlfwTMZNb+t2LT2MhqkLUHnNGrd8BD/dRYB+K4n7aWooP+p937fv72kfG yt9tfvR4dYB7jdWFgWaAZGS+Q37irgTn1cgw5isuat0WiUoTot3RdSaARrSUwGc9MKNl3LbBHXXt U983D679jHUYLosZ1YqYoWC7/oDKBqFg+S4YMOHMt5fYbJkA6OiRvTcARD1HBRurqKguZnmSyGfq lnbPTm2AoJZroE7ijJPOYHRR4LJgTRjbJP0kEVSscZBq+xuyVIb+zdIVrlf4NIVtONZHrcy/Y3ki P85Odqw7E2HQElj1FnEYfFgEFELRONwOlli4Z9MouQgPBUWKvGY23r8wlFcniDCmroNs+z1qJ2PU KckJE2B5rNJl+5hmpjOnBd7SQPjJhJSTD2IOF3FoDRE2sDqwNjb+/F/nVd/p8XcR0d8nPySenwSJ WaBQRmRKnpQ84MzUS7UgGjHTPbMwVwyxcR72ztEh0B1vgV5VD4upV4qWLqdQsL8fhx31uSWPSWhw acRuLF25UN6U27HUVo097C5StIe2C6IybMxikOWt2AvV/qGfTZ9eBaz9nopeaXP630tz30NGkdIJ jdmkighT4Z9Iz4eGMvx6b6mkNLmbiPhAODKhoxE74Fw1Y3ngDBvE85YEQyOWOWgjhhcwOVew9htp psqugyMXdVwd8wP113iLYDY16ss6CbcK4CIXkaVIVpa/cPjkcTT1LvgGMh0/LxoF/aYccKHSFIts lJCciTm0zqFnTtaA2yipDgaMA8f2S7bpL0p2PLh8JraLKk7j89hAcn/HNGJTF9cDukS8hS6X5VMK w5mDr1eY7K9VhVayzAS36rZM0l7y2F31PlA1KadEB6bEsf61N1iwkOgZUOZLNqTYBN0Fqh9T7Xe5 mXP2QVJIqDtJx7FBrxI2NLeESO5vvHo9przr5t2lv+B8r8Srx/K57OKN87o2RvzVAhAPrk3haF1s m+BVok4/S4agdEkHNSGP55zUA2wgWAUuCZAYFyKEUbMd5VtLc6jS+UuewzUjhM/r2laAEModmIfj l5NnEK+z8s3FcxEr15yzVrTNZX1UNTUX6NDPIfYx3goKhXX7S+rhlkNUg5EjSv8lRRaCfn1X9wVj g1+yCIXP66ccCuSZksGEOyTLO/RPEGiPPUx6QEdjrfFuUMOF979TvKj3My/gHAsSJLerxgAogR59 EI0d2WNtk+EBeCs/1Q15ajwA0zjvEpyyGm6/K7V9Js4l3TzF7j/MM1fhKRiNvjwMrLJghhasQ3g8 EZbK27dPqPUOM2RvKhmdOJql78XDLJVeLyP5wCOvZQ00Woq0ZWglAYb9L7LVUFs8R7cST4MV5soy JKv9r4cTQEr9ELa+yYo2eCs08/65BcZP7f1uySdENVdYVw2Pk+pYX4F5b2ySsZGLjpvORVW2Dkgi oJ/Vmug3DVnfTRKFVZ5DCPkC2SP4d/OKpJoeq8iY+dUfZahwTAMM9T4taCmwhCWQEHJAMoECXdFu 9waPGbwflSTYx0/WaVlrTw5JYUPs5vK1cjJC1FUJ4CA7PUUZKE3qkJ3pyaE62YLKHZSP9UY6qSYm QTI3YDGLgMpCSRI9MH67Cv9yEIT6KjSQdLHmsDOOihTUfQ8pIiSFKxTQbQQALzU9l34vnWj+qy3V X6aic1HZDllZkIsxYNSGqCXjKosa3dATa51paB579R2D4m9soY9CLczqDF+WR8vtHk7owH8TQRRz 7mMnCzwCiv7lwJq1vKZicWrVOv21YpZBHbLk8sFh214ArUl/jpDIfwwjaFPQzn0JE8ugYqttYxwk 0rpR5i46Nyne77gyx1ENOxKuJdF3LVg/YayCGHdsJ2wJ5bM4NbFh3cnUnJtBMNcsUscIIIE3c19H WIP9CM03XXJ4lWdmUjV+Sycyn3U4JOv1MYw/YQB5fhHheeatbga1N/vos8Cqgp+mZyIVhaXGhFc9 7IF4OsEMLKDB29/cfCiDruUg+fcZ+HiPJpxfckTXxbVFrYkwoi7PJXZ1zAjKbSr3fi/0DDiHfyZp vMvP4h4+ELi1kO120jSi9yLVvXJcPUL1VuA1zRnX5We2Y2oJKbcCktFDkzm0pUONRrgJyBb28Toy snxKk5Sp0qPydZAJpm7Ly0Lz/z29Fu93XlLIO/yUzvbUhjPU+hGIp2DREG2mWKQGUC9P5S2+QENA IuVppssFJ/7GhnpZk5yY1rhb6lAa/QJ2U/d8iYXlV7wuoF+8kBBRkuzn8mcfMw9dr4seRQnyqC44 I0Oh8yob5KmJW6oLBweo3R1JHn7x0X2Y/PU5808sWSgntumnT1kTkwZhrMOs07e9clNuY8lWeg6y 8EZRAtztOCRPSuQPUo4qz5jXAOCRnveNMz/8PLf8thCaI9db2OwwmOna1s+NIHbRNO+dWEW4J7/V jzhykE/jDYOl58N8b+P12to2CRWBecVjtVzRxNdVKti7RoHi3G2WCtavrDaBKcalbrnJbArgAh1C aPAwJ/1hkyHZDehcSQYtlQHeqP1aa+kM/aRjzntAh9fcThflVYpmeTLHgqxEKhAUX4roZARj7pje 1qj71hpdx5uVOv47s6DLXqP9LDVqP04uVcmVaf31IFUnuR74NasHY6LTF9PfAtIzh7MfDJASaK0b WkLL5Vlw+eZaUufGkiOguxDNQtcBMFGuDTLq7IEN/q/8y0Sj7B84L79lY1NBwFAF2BTZ1F2v7usw asP6Y6vO26YuvG1pK9NSIcPpOo1IFeRmpfWJvVSRK0m5QvO6R/cahjnfc0FGrA/W0K3DNVYPPLeD b82EKNYqktEJfPfMKL9Ce+a6eCGd59HtxM1UqKKuA9JPE/8JlxwqKl4D4+Jmm3pIIRYaomY9GA+4 dgapyEV6ESi7OvFELBtYkUvJsWdfhI9V4YokkjtU7bK+7N61Gt5E3WZIniqi8KxDqDri+MmJ0mu8 adjsQxWWZ0qHuJOBr2gIlPMVJ4uZScrOs1a3wcVgUhBTBMEM8FvkGK7TvRv7cBzveTs3GJvGjpqk x+JSArUt1v+3qWK735ZSQZduOe6aWUSADOqVb0ZAd8Pfs3Q7WRis6YXaRf6NuNIGkHxCq+6aZtvU SwMTBb+wLWHsxIYD+3/0htA/Ypfv39PBd98M6k586fBDn1Pl7yzrU677UxohtVfPffow8RDf+mWy m9kqsP0Gl+mYbYyAIwzwjbHsuSol5JivcsqHalTCrvQfKn5OyXANDfkpvsstK+I0xnRLW1UhmTbl 0K6ZJZPL/5QA940+FdZHuDjX8G09m/2THz8aqj0Vlwor5uWsgbgfbHYtMB35ss2zt4EzWfHcw2sH 061R/fYLz/O8+qMbH1SUvK6fe5WY1FjupXy+fPw98pKtodiwCQOa0eiP9lBZT2QdkBqlrDO05x33 FqjHavIE4rW2wGWhGQHLgwkK2ZeSJ242e4Ni8l7vdLYJEMW/Uyn4GBQgp3jusGfv+oSuth7bB+s5 5s6Knj7PH2UdQ5SxY2nnlt/jpiFQJ2lhUutJ7aUfHEm4+5xra9kOKe2c8jKGCH1LWtJDHF9y7Y1b XGE6Q03quzmM6EOSIZGd/aghpp99vrZdF7nN6qLwRyZEiePzpmU77SHGaDOwlmMw/a+bivewbc90 lObrKlBlbDTdfU7H/B4dIQ1meBxiJZNzW6lOCBO4sM9CjnUrIpbwkz1BX8+vAYJcb8W8zACFdZTx q+GM/ie4WbCbI9yfJpRCXLPiEjytEOylu1x+9eEtKbEsDT4A8+zlrkXoHBYV1ZGoCSdlUPU4kLrf ji+/N1karVbmHCo7PYh9AYs6qeu+3pqnZejW1HF9NQcEMsZARYwluI5uxowQd0/qdbazAMlhi28j wHhAuzjqfIR8BTqz9K+8EbX7W9PoDf9tmESSmWtR3Zn9UVTl6XMbOVUqgrv7dEKsaMhueQXhJFgr AD0tWBOQrJtWNhDwbXH45g0bu2pvumQFc5YA+bZ8bVoq88ifp46fwq9GCSzppVjM+VA38W00vTU5 fwudTr+AIurTpQsKOKiVdKGxWoPWId2hhPuk7tk5bPI92uZBOUekbEtz8e7+o8cEvtOyptseZug2 rBi4FtexIZ7tWv0PsMsIxipewkfr+XJEmhnEZ/H7vkaV4V1YcDgpkh7TAjnOR9E2Pyr253jVHHa/ jJbfyg5oGe1LZSppKjVIT2XTALTtgvTXBgB/a+RF3OjZseqSKlU69PPEtChb6fEHnB12FS1fwewY xPalvGL61OT+495F4wVuKfaPp3Bpkt3uqoVhOrWqt+3hZE0wgw/u/BY3446WxuJcnDgb9oDm7UNN jPVbqMsH/EXjKQRkEa+PRyJbgocwjxU+lFIyVDRr4xbARwvRp/xrWePj682bZVTa/Q8s1aSCAKkf 9XtIJbDhtkRAoXr91PhgNYj+I8G+srJpJXjSKnG4E6b6CTrd43KKLYkdfahAAvP8q2wzvh2pkImv CgY5rB3rp6ZXcZPT/02XXWfG38x/aQ670DCFv27Lx0K5PgAIjBlzju0PvGpFByX8eD1pCVdNpEBi FeQAYw/rShyIGoRp/fjurll2wKJlLdWVrp/+/ZsgTiGPQ6rR4JIzvpGCMlk8lyOy3D/XqpUv32vs AweYHfx9STw5jlNzHPUtYbQdc2lat+iWJARQZOw3xHOCACcc2mm3ThD9DT0s0PP42yLcuapqgypD 3xCBPn0dy/efeYXfYJJBibpSiDM83vMTNqQHK6N6kAcRZ+l6V6cN84JzB4B0Z4C079uQzo965KLf mEonJVOppaEuDmvz3DVuspNyhn8cW61AFWclJXSZYAJ8xBc6AVX1r9leN3knx60RiLMXeVg4b6Zq a1ioS3t7jotpBmIV+/R5qrXzsXZHiUlqKCVvtcHVmNykx+7Kf7VlJR2ydl2JSTq2kz0Pskcs6xR1 GGBbmlk13a0tCOdgifqkHdM89ESP17E3LSGj0sRwqzqjxgpPEAPAFe5nR/1rtyVyUpbops3lBUI+ a2j3qUPjGhMWFJVncslf/vwH65YAW4guedno7sk4rjQHPPcUSQRlXPfVBocgCPt2gn1p6MrjAdJn MjkYuldOOGUOXwWkFXR15+jKQOZA+GCQ/x8JAO1+Wc97zY5+OTce/9w19J+FAk1mjFPr+qYpw+FN 5Ze00v/vnAdJDe+pv2UjAKXx8JImwBVLeVjL40vLpnToAc1Z7/udIlc7NkX2jf6Ob96uJ5qMGH5y EYdCYeHcltP84Kb8Ya8qQhwZ3Wg29RTaOx7uaWLki/R/TigEpsYzydK0h0zOhwVbkNT8XJSwWzFF a6PnrKOlaqo/yy7fuHZZGOnKAiw6J3iMJkHNqQQ0Lq5LETHskbNtCsvA3oB5+TIYkej7f7PpbWlo py8RogkxlLnkYQLfdOuwJgvqMLOwylwucTKi9NzjztJIgd0CMVaf89J4Y+v0h5M6edqrmjn9fCJn oOZCtLryoBZ5VqbcVDh2tzEF0mUHu2rEXyBvXnJUuNDMQ89FDkcjjQzFacXwGninQy/5SHqHcnC2 bAz0ZzAb8Gu6/1Rn+Y94bEm0kMsfO44fxJZEQ2CKWGOGDxpQRI6HTuM01kg5zF4ZX1XBKCcI1hr3 VXJacvasdK91Ed2ZZFflGs+K4MnBCq2/RO6AFCdyxaAeA9WWkxv/DqVf9rQ4cPHy8S98vvCDvyt6 GgjCmZc3+VvBJE9qjD2tmCEdBIgNk2ynWh/DxUKsFU0ZYJ6inDJLUVAhBtX9tLxOLrEVuiVNEKXo CVUWfJZS4DLrxntN8kM1hfKTSM9JponRV+HdpoVRNbNsAojUChc4ZlIlrJqysb/mX1hDEfWbXjmf C0M7ndQLnsb5QWG3s0gBqiVxeXPkwdMhMiHXzQYquk2iUeAqtcRiVgxbok0uzZPUNT6a3rbnDZZS n6alVykO8+G3l1IKKKD/F6g+pM2F1pZAL+bikZbculcEGNnPqKIj96mYnwjCJd22HXggE1bNHskp fYpjb4Ir5cPlNyfsic0pFzfzdlwqoIOEa493o3JbK+Lk2gSpGKVfSTVKi0fSugrB2idJx2XeFqJR /q/bfwLwPvRvNTkmQQkRRnqqJtAwU+hndo0RnfgD9EWQeDqAp8i/ur9JRAlz/82uEO+JqgXGHfBZ du3MCIvsE1qNXP5SlEhMXgnlabB5XnSYA7pPeiyQJEtSEhJYMomdLb6Tl2YBhmT2wf9iXKkQ5qWj 0WZEn6VAoSeKZ8vulabMabaIRerVPgIcythMn3+nFz9KK5SN4LyueKuEtwm7sF5P8mb2kYcmxz1M A5ca9QqXW0hnV/RQexhIL82Kp3zVcILUVr+eCuzxM8S+f1nRTp5s4Ti+kCLw9jeJ3YxReVNMLkav Zn86hopJfWnHKQdAMBsAPSQBXAXkUf/3A8yD44fCCAh1zFutvnHUdjApZS4biGiijxhIZo6SIuwK XjPilSvS92izMM/whrTZkDQKY6H3X3hE0QMQDJdCJSlqczhld6XN8Xdzr7gh5Sgy+2n1i58ofQP/ m7VIaKxx4DQMGjdxejAyvKzUYyYuZ245+R/JNFZIvDj7EC20eUd8GQfXrKnxuDGKm5vvZ+TI5B47 czCmfoA5wkYLdM1f9zZEGFl39jTTbWe6+M5zpVAXZJ5/DrV7gbMHqlV1F0whOIga1wqS/PCFQKvI LzNGUv/lRlXc5m1BuqCWEpYfDAT1bE0tIW0OYWS8Oanoy9TeZWE1fQPmMK8WFPwnURGHbMKeLShW Q0s3yvkfwMN6a36/921i1hHj59Im7vVZBOdi2uMkLFONvYq/fMYVGQJ1uaJPiFbtRfOT8JQ7tXGT G1U2bdZLd9m9sokXShVLZkNC0DXtoV1Qt1jFS7+8iesPO0gTPFIyUNU5thF5misKnLEzTUkk/4Dy 22CbfKz/chIXMu6mAy0omhGdG+XCG9PKskqVcGeh5CxERFyCC40r8qais0nwpKMi4U/bDUgzJRig B8THaypsEBSmjMABMNobCNB7EZ3tKPHiNxOYn3uD628aigvBGVPBXnUqKvyi70h/NpgXHghnBJa8 YrguV3yLt4T4JPAjjks3gLmVztKLQsxGQG06ZuF6K8t/ytUlFJ62u/X1CMYMNr9eRpQ8JPMyurBW h3V9Zo83m1VCuM5jqB5U2gZ06/Vl50WM4UvzkjgDnpUzNHUOYhSfJaiR2k6nSNVGYR6Ig83tLI++ OPKVBp2bJ74f40sN73nQuPi8cGdp41vDiCQ8iSiWyoDNqr6uXVq2XBQsvjnyh3os2QW3zfmwH9RS 3B1Lte1OG3xII3ZUhNm8i+jP/0KELbuiGrDjfExyj61BXwqr2NFJf+br0FeAt5ojAW0BGzgRMEUd McEotqUSAi7rhRcgP8FB4tLgsCA9xN+O0RUpTg0WFJj3AAxiAG8+lEyUMli18HKGqKo0B22Qx9ea pRFVvAEigiMs/x/R+5VHtj41fdY9QMCYP4Xp0vLpogvKvA3kxwsKBFJfeI5vpU8rPAO7W0tFDAHr BAfICsZUkINoTofvw53oLMtiH3Msj7NO/SrlCfuqLpf03bXDerCagnny/XEllNmz6LZnqCp3Xeq3 N3VjBB2r9Ij3I8/MO4Lv9V75Vo0efbCiimaAfTLRLNWxm+fEOrNa9S0vCP+YH8MCy1DVIP8Tomgk rJ5D76YN5RrhRseSF1dTgXGUBjfcYbf1ewo1+4GLf6nyioOagwFNydq4DwwqUQQM9MVkOGUtAzMO cfYXcGdxj2IWAg3gu6HbQYZblOqXLv6/Tjil5bo2XEUcdGzIX9J567oc3rPWmmbj+37E8rQCsP9b D+En4OZWYYqXe5mVO/omwA3aLUXWuOElPK61c7/6lOr06O8kakpMOz5/LGU4KfK+b1iTWR2vEU3o yyZ113tELdAFeshMQ4k6I5rWKX20HchAO0RrEbPjdtt+aljqaictNtG+HkaRY0vWC1Gyy1rKR1VE 0AtI3lkkxUfjVO/+TfQa/9tX9A+/Cg4N1co/2d+P9GEqnQ5BLa4K1cY9s7DnljYjyYhhXTNR9jsk N6zIbURwHE1XAE8gN3M6loanxYZUsY6g7ogEvJ2A6Ko5OZabaUmIUSSYRX5XDn5pENhpJ0QNlZe8 EEFLeg0syLEcpVS9mTfy1Z+BeFnZrZ5erpO9PKTkV8veFGKC/c3kPEzreqCQ8sw8Ft53GNrw5QP8 XklNDNEvDoQRFvR/KnmWeTCCbuyMllFWA1Jvb74wj8Pp7J4e65PbcbhuJ6Z/zUpb2hXNGm76bR4q I9GnzV06WQr1GgrEkj75uL1aKNeAuLENhyEdbt+e4roQF5bm2WrfhGA7SB/DhvnLfn1ID9PckQ/e 9eH1rWMn/Gdsx3OuYjjBa7V46ybynr16+CAOdjIm0xVb2NBVTKY5OnRKydqGH6Ee70NJ7NUo2FY5 sQfBSW8P4Dr7ql+3sgwl3UUfzodjabHSRpsr9PjTcDYfnba/YbD/iwDQvTYo2tZb8BpmYzYFFWcz G4vDiiiX1KZNSYSn6FwU+8YTgDgN59r97LDqEKjve4Wc0QLl/mjuYWey9hF7flhq3l7hiZC9NEUv GB0P37CnucNMtWINhtldgrs2O71m2VTGa3VrezW3Ldu37PB7HLdvRSoDspln1CzAjNq1LQRMwxti SrKvf972h56vZs/qEOMcLzATIJE9xM9WSsYXx0dVuDR0Zp2pGIXeGp9lpKSwFWAm6EqNvt3R5dWD WEcvPEELJBk9c/v+CziJ2WSwgajj9aDCVrIEMAdwEy6aqPT8OEqY7bTnohI1a66Cs2aU1QonyyYm 78w0O6SROMLFOgaE2i5iL29mdVETx4yaw21YYCPDdzC3R87Xq1TlqVMFmVw3Ivgup6w2W7R7ONnU yO4B6gpRsxe2C54nIToysyZL1iA7U2OCVQHkorGwlO8PTd5HjV8XR6WG+crIfgsV8ME0uwkRgZ2k qOucGRWWSJVhDBZLJ/RlqW2wL5QQIdWLuZw19Nu/j8XCT1BYW8Z/6V3Z30aiF8UI283eFGNn6ZfB LQxAcGH4XgF3rgJd3zFzNRp9eOnF2NnBWRjcvFEztUtpZ/5zhUZ9MLXeSGAD7XrdJVdDy6KcnrWd 2O3YmxdnHL4Ulx/287uA/LAU310bSFhcw/AqCf18QdeLJki7jb/nCeFQNv9Oxt57+302QyVfxR5H BwqYhDPLBWAxe9tF1Un3fnBDh2evdjuCVQ6ZMeoKWWZWRspvoITIfK3/4hS9hSsKnkgTPV4S+8lU tdMyKlfs48DRY4D632CT+8KqXebwfPFMwMtHr13YvF/p6jQVUX3anOlkili/pAFqfno1AnlDlJDu 1d8RsiLX+SRHI+gApJzlNyP8VWg88TPyZQgBG15V6z0f6TXwJyUk9dwXaFFloLaxHkl0bk/fKmgN Bj/8c4zelIOREGjswSoe0SpaBF+6qTEmIYxxAO+auByWJ6kWfHS04gIMjo2bnWoOf8j73zmwW/wQ 5IOqMnvg1hxm4YIj8LBvTAz+QMMfds8DBtZYLWw7sljjsK8HOG32S6pkpMkVf7ggfaG22QQavSFT nNE00TT3xS1bs6MONVEh2LV4bmQk6irURAmCN1btQpTVazhZ8mUPvngwe8kGI6rWDdxvNTi3Wme3 3gc5Sggud8YKHC+m8xzQM6vdnnyx1CIu6DCpJxL4Bgi+/izFbXmMQaIdWmdMHGZklXyB7oPvmYnN NgUK90XvZcX0kenrNW4FBwqmJoWZjTmIyKvVu6MWwl3nOTpz3D1RD4XpYtSyf4V1KMGjODC81NZw 3LEGuCKjF8JuZG4VcD+UQntIzviyYfjJqN26wiGVAJ0Bier9L00hWEijzeqS56ALHpC6E+SWaheJ eOaGwkZs6JM/LjAqJ+e7Zc+blv2Pn2X5J/oSk9OVKEdNuFzTre2M3UBYpYOTDNAJX6npnCxw0hdu VUbS9FPuDTldnTowKaaCWCSwY/erYtmIYdvaIsS6yG7NUorZhI3QS9nQluKua70fjXu9pj7Dhyoc hvcPZ4VAhHMYRlN/hkFJNqI9NEJS9LBjNZR6jIw41y71TlWnb9scvWBAO/LDPJJB+jwhA+NURI5R WUwsc36nHR/SYpH2rUsh98wt71JxXUxJ5m8OkO2ykXO+XKQsixXB6PNTgUZXfo2To/G1lNOCmeUx Y68lExVumY/6aAkRYMOJdeXR3295Uu/XOEwD1wVLJsZqgdsKM/Q5n/M9tROdW6xZFxbefSzzZEVQ xAR5tVsjUIOnxzNiNNyy4F0TPF5y4iENpxBG6X6yJSHJd1Qfsq27craggxKXT+xpJlF9VC7n54Pa m2134TH3IzXeIxTa/uA+9OpjAwRgToVU2e9dUIii/ddIdRSxQ+7qa+4qBzD3LocuU/Rl/HXH0822 gOMIJXaOfyK9+//kSrEyGVRNhnxdHkn/cM6H75onHP5znpmrbO+HGpfd9B2fr/pN//jaDZaE4aN5 jKVgV8fZCiyDXG9t5p1AoF8GQI2arpWNcOqFC+1O1yHdnMpyJsJG+F+E968xpHM91vuClASDwtc4 ns6YakFKzKjQUb3synl9CD6r0mZReEY4USrs4/Mse+llLWdWiVVjPfi6uHabDByOsFdi5kiPdqSi /c/U7dLH9NUVTv6v3M3pPGsqfvW6t4N2ECVtEE6RsJXu9WaMJBFJeZyAhcfvyFVoYu0oQOSpXAVR nTscjY6GpqGLJUHWSiMXC5N1insw7yYz1t8rHUb7uRx2GFKNX+mWbWmfzP10FE+UPvZq3rqp3j1s Lcm8RO2NilBixlx/yued2wsl2cfN6/Bw002SFlMKc+dL7aBbmY0Y7RReJXlXC0N1YNzTybxF3fVD m8yKSvIbaUJyFOIdtH/RUpbGT6fCjpbGA7Qljxt0+vWuA1wAtRHIttx5e1QMSFB27S+HhTZXjFLx Vn8mM694EndcFrnkSTCLgnuedgWU7zK7UpZm/OyxNnO4JWh3xGIsJBVz1sOjy/Ye93f6wE/iY4tX qBiGIjtzJovUQlRFfr5ZU71ClBiYcaQbdLpgObXvPDrfDfOvm8HYu6pEsdtwwt+rfD9B1fDf0qxP P4QCv503JKq4+Mm2krP1GNuTKs9+g5EgAFvyta0TRZMoNJ25n98j8/lwgWmtJ/O7slyuqeldJPYM 5Z1X5t3ZVe1+Gw6pW9WI/tzNyJa2vlbPjGafBvERFjP/PqhAXDWYt6Lqmd2VKokZHSZ99nk3zK3b rPOKrZ/rPINvFKymWtOT+r9TOVdF2KvUauVCHuJu0uoeF3slhQ/M1JHdOJWu83I8NtptHfdkFNI3 5cokIoOYl7f03q7iM72IndW4zfBRrxShn4nHTdwhsTXnUbnQ+YyGXrEkohniZ41/92cEOjG0coud T2QHiilaG4c6TqBUk602uHCuz+wgKJmL9SADurbIi22cF6flQ3nJnC6KJ+bqnekArmhNV1Yk/y5S ixti1TrCJEFcBxau7Zpgb+TYf6odSTvVpJsShEhYlEvxX9amBRlDV3H9efRjqyhQQSI0jTHuE5m2 JZnVZZ13cr0DDf9Y5VSMghlpkLhjYE2aVOU7LLahlY4SjyMaU6tA2YOTwOSzOt7jMZcekBrXhLHZ O4Gc8YgXHcqtXqJYgWJIQB/PRMykqNzwc7S/cO8SYTBRK5jFhnbaQAZsHyXGAXptkrZU/U5pANdk 9mr/6ZiGzK22mWaTkWwoy//SE0j6+WiI7mWAe6qIGHkWZ6hhj26JCZCsbvr1Xki7gAJLAo2nZnyp fnmukNtn784JxSLzaqPqtEsGexNl1ZBgO0nnfrjzAeZxwkT36CWC5ShrIdl/erObC487vLNv74Ug plAiGr6LxNGQH/xbPaOVouCmNQt1EW6q6Dt+qFwwNzlM7YEjOo44BABa+52/DmDxZI7SYb6Xa9Xk NBnQWPMaG4les7OMLxy6WsK7bWz1GEorHeeaNxFDClJxLRjYlavZTDa+5DqMkMNODWFkyo8bWPXb uHb/eN8c+c1Kdv94Wq1zE+v2MGA6CL0khSClYIZrRkBltrsa5sP8PNwRgaPnKAHLBz9bEQ9qd4pZ UCRFFhXlQ0atTqZ8QZ474YzatqlM6Ih6cXUlVKjKnMqLnnTpkhWJQkGF+CmFLNzqYQ3h06jIV3+6 GkHke76sMroiM5FOjbgjssW0u151qgEypXng0N/Lznmz48tIqNf5TEebLkbTYJ4jkNw93BNJYlU4 oKPHS+9qh+WNXe4urdc7VLfIuY6Lh1JwBzkydFvMagb0ktKHZ7YZSQ1CHt3bQ2GVF9saqIoz0Pkf U11l/EafYtQl+R7sZW2L3EfjeCv70/tvx9NQVQd7lJdMF2QPk/jk/FYtaLBJDdC6pA3Oe7RXieMj hXP+VblxjdaedvKyClYQ/dwZvpzrD31KlfnvsCN5XjPLSnDjUZ0dP68mIGq+BJL8T+5IPyQgTjqs 4oat5P0BiRFLrYEk4u4JpkOdIYmv9VNYKapdwzxY2YwA4h5k2ryzvmoUPGHmu4WiTrHG9xawR11Z gblVzhOmh0BNuGvAiS5GmIbPjIVTKEeGez1Tyek6/4jHkJAozzPYfKypaO2W+X64DCH/75tG8s4x Zp5qqcsVUGm7e+V/hefF8/UcRBqDj1L2fZ70domyj/Xeg5L2dkKs/RJYudbg/ap2pnrOWESgcmdA BK72xHF5U+nfgWKLgX9lK/GvI3cMCsrrWQdstOpIIx7NBwgZzJlR0kWyz2sxddWvcuRmj17HhEBt Nc7Z0rfxYJUBnx2BmvS7XW2gsGT9Z3gwG5fnuZu0FB8/9J/XLcxiilqdaq4uyZUHOzHtLUTyWVVm t0YiLAYAXbs+T/xLRZIKn78VELbHZM3Bo6/pj7+viboC6u62OZTwFD0AzOApQz5OoCcLMTd6cBck JzD3lvumX7ocR86jHcWIa2qKH+++Dt9Xx7jxkIhikR2XN7Id6LZynpwkCSGJGX1GsCmvnU/sGgqu 7WFEJP7hR3tvm/2NZ8MIHQp0h1Jsh57Zj1DIrgrlfDkj5HlCUUuVx0LP5Nk7tVei6Bd8LqGSkvzj vVD43OfR/qqrP3fmmmLn8cTD7cEgLJAsQXvynUBKm6/BjU1pkElBtqph1CntwxX6PVrEXQ6HDKcP q+L59STpxccM1UJ/rc1Anccq5kEmNFW5ygzEUH1nNaFxG2uLI10q6+C8xMZp4kKXdD1pDQiNPvpN I3W83zy5meCCwKJtFamR7YpTeErv2AHnBC2nWhcs6GQPtiLsGb7HX8/K+y/OjEg5V7xABJhbpnHT qHUHSXVqvd6eM2hTkAyu4HNHaBN976crZCNN2VnI+TEm9wFxbxrdgmB1ybcL4EYQGsbOmE57zUGe +eAxqlrKBsRTYZPDnagiDXo6/8kOMHlXRLnGs226qsw8F22SFJ0bCV+d/AGofLisZEPOmmCY5IIc FciDTL3SxvHyLVtgdFuca+MOSUQpOKD1gSicEhaJ4p2NT8uAZrbWX73sMmVco2dBUYB4kGPjxEAG 7AUiPkuQXp89dqvGVYcNKfpqbsqn0DLi/qxs4WIKE6ryfdAokD4FapC7rYCKi8gL/tyhieGdTVB/ jdxlmPdoHPR5OWtbicohxKbqABK1oRjMIREWNH9/k2Ht10Qhn9rwrOUbd9iyuwfHDMSQRxskJPm3 VCfFV2YHB8JzgLF/hNO4pZsV9PklPLp1wqZ8klxo5XhOjZR/aCf8NKnGObJKtHgrUJcQ7Dt4t1yz O3s318OvKu2P29uJGTUb/AQQKFdtxDak5Fc89oCIoFYuHPyqjHFMBZVqTUAU/qOXoHSzzntcc4DZ 1Xcp1ZaNY8giTlAY42QOa0VY4p9MkYjgO9dSwEAlWbciVdlWrA7AST5OeLz9scpdPRZ3sbwh9I8A VYa6HF+c3RNWX3Wo3deqVZySOP7QcdEYuz8XZoM1JuqjTj4n1C3dfXWL2uUisRounkMhswWz8dB4 A2Nep6/LX9qi6ezz9jCP91pLE0xxsvPsHfJRQqY4mj726WUGfZQrwO6jQDllJgrsYNUTglslh0qE b0AMTyNuF1C7D6397OfZtp3u/cuDCowtG0Hsg14QEvjh0Oc/x0KdYvMr+WoVvS7lwys7w9L8q3Bu Rjjq8RHgHkPWB+Wi8YPhiosdq/eIHjDk6psP2T2j5yh3+nb/sPKml+q6Paz1dG+ZMbRxqQ49zz9U G0v1AibBGUjEQuj8Dk1luaTX2Pfd/FSxGdEbdaLttgxgYMg90zsCEXee763FfhyIS/HR2dWmqeIv j94Dm7Chuajh7VENYTYIAANC/E+ma8Owp6+QdM3VhFUOZbXW6+vnwF/Qima/rk1DgIaDXqYO7NuM zgsgW4RHHB4yaFQieynJ5bS/eM9fDGC41pQJXim19X4LeMNUvbcvErw99ByWmAo/SHcx7T2TgBVp Uk3EcRy8m4rYtQDRgvBFSXBsmMfPh8GmwgUqr0x0ejeEsYxVcFqrZ0SGVKKhI2oryOBqCpPPIVU2 Lz7ge+KiscwUrd362WqMpFXMDAOi9LL5DPaIjl8sTBcA0Y4e65JlN85lXDAMLshStV8ex5zIm9SL HkJP/4ujoMf1ntEvsY/lTa6vPGR96peVB2kK3LU5XVoeebyv7fEmxgDN9VpHwxyZMXULF5/LdglW iF4yYTOiD9w6LX4I/LFKOh90vxsL08N0kjybPK4lBwYpzOiuhkpFCB5BcQPErwYca1m1vHYmhgQ8 7rJ3WcqvHpv8sTW6bjEW0XTA+PGLfeadTcjbhWBRPlTQSyi8+1AZlVmGqFpI6rkDz3eKLeWfNvd3 U6u/XnLX42+2VZAeq4x7xCdp+XftZYUN0eRwsyBuw/8RjHTDlo7hgsosmWVYkzRFheC/KPik+2DC BrfIsbKlEywe99zXxVshFilMm5Z8KrPgMvj0xwGsxo5GzFLiB5OZUH6LpQT1rHr5MuNNwyGpC2US zIIkcWQ6HB1PMraqqVQfIgoyvQBp7h2qN3bj8juhOWDzoHobhK92wuBwxdzUFvixuo3y7BfBgWHr nTFGMVvd/Vw1Q/tuR+VqnENQywEizXy8YVpesY5H+ZujAMVMF7g2tW7CCKkh4S1SIk9WvQcIRLYc LJSqKZKsxc4LPeki/CVDHjI4Yux7ySDiaq5w+gqarQwF7iNMsCUmOFR5yA+KxktcowGUDoXp0ddf zJUNIT4jRlHdsMbYD+7O6rTfLTmFFFbh0tUG4TptaWiiZo2XLnKtio74knb4Wd2Z+6p8witUO05l oII1ApndMtdT4yf4nv3kHVbO3qKoXG9BIJ2euwnW0sxRnGx5Y8OWD1j7/N+izK1wdo9MJbxKWUlg qXENdUHj9cqobyX/SH0bWngP03s0IgHZf+YzL+2rxC5yAccYaBcZ6nqugDBynnBOgiIoL/00Q7IG lhs/UJr1u/vyjISQKvI3VYOFnwOBO5n4R9JjKV2fxj+27pJR+nU0bMmsq/5zpMCnK54rd+g3g8ft NQCkYwKHIS0rPv63TOvggVMD5+1IYnvYs0cNjM7sTYk0vWINptwJfY7qdxtWkPkyBFXqpR9Ysc5q ifPq4yreMEwIOnLlQFJx2kYglBMRg9STTmgHGkV31QY4z6DBjh8zeGrO8ymk1D1y0tpmR1JzYDFZ AzQCQsmElmsHgs1cMq/ImOLWpHMIsc1w43EaupR/ORO39AuNUeI8evupKVweULDWHazrZAVRpJFg YNEIf1ZmHXScu5ssmYa7uxGzHSEjA2aI1asVCF5sExd+ujMGoHliudBtmCNH9HeeuM4ACwxWeK/C 046/1sNvxrIN9njVJhDTErNbt0wqi9i4o8UiKiDxsILfhMcLsvqnAafLZN1/XtiZzLg4uMrO5eTa 7C+SkgavJ2REnjDwmDBi0jQa82pGJorxiG6muPhZ2Or9HIFPxPSSyzGfFMhWwrlnKFG9rBnbWxvp 34L+7Gujl3yoMN7oUgtLASlyySrylHGeFicu+FrG2slbJO28m+vhOonIWwKzm3Wwsxz6Mz6yLDph Dtbja4/7oEpIJVzQ0d3a/reZlfAp2kD3Ac+bY5sAk+lhMNHmeeHhHlvq4D7V/vYmaOHlszq1TpJR 4fwHC3MZODYbNtD8r/9VQ0+kKiH5pyjQZdwhT3fwSLbeq9KOuhLdXBt3+7YCBmWgcCOh4kxs9K+Z r05UoWdvCA/0z5N8qv2YzwBBRRfrDYH+YWK/dgv5jylkn/S2PDKsQ1iGtcyV8f80VaI23cO+YkVw Tr6qx6goTlwH0Qui5FHFBwOnocmB839k2cqealrkxPxc9BfrGivJC2a7IR/V+cvq8Ub2/fpjNkpW lpeTCXRWgynDZiJ2a0a/Y4QOBpC1Ls4oxy91dYdFdBxWu3DphqnPvbtMEFSo/Za0v/1ER6gZhvnZ N2eV4oPX/bh+ssDUibWNHrDr70fFpldodeBbXBIlLGA5Ij7Vj5xjtl7fMeQ5nU1Tg7VMq2Qi6flV lV2lwlAEZIHLCJGCxc4sbXcyM0gMJj/TN8RR+JLXaKLazGrSPyHtFmTCS3qAGs+pbjJR98gyh965 ywtO4aHllZHwufS/CxeT6KS/pr+pPwWEFc3bXCUSO8/wnrSNqN0M3S4hinvT2nxxCJrVnDq3t09N U8qLT5PYPsgkQPhoiFGjN4qvtrVBuScQ5fQ6aFPAQtRky9Oh55o6OPl8eeS9K5zhul7HD1FTz76f sLxJLgMdWP9SJBeTrmNgpy8MwgppkJe9KaEKGEYkiVXpul9xNDAgbiH6wl63u1Z6t2zPXTqVEGT0 cXjNGq0KMUlj3OzFmqXZhNtxXwIXjeYGx8w6F0olO841N/dj96z+usurXqcgPAZP5u3ihv2yEFvb judiDhJDseUg+/03QrMRmjRkHEiGHDEgZzwnTAipPn86WTYxFNshWzNUY7nqYhKUUJSa2LTn8ipK M0/PJrY3sDYmODufWZo7GtEeFe9ZMVc6bGTj7o0bJaKCd1xFQOVIkKLLDF7kOmjgk5aq0gZWPI0x +BH6cxhOQQHZD3TkQWHqSA6IZ9TYor7VbgNXpGscJbuS1tjHj61SLAtE5GUgtMlNlw22XXJ905CB r4YyhcoMtuARQzM04IHiUfZgmKLXKg35VK1hhR5knT70ftdpuMbw/N+kjabwoj6Tr5zew3Bp3m0U MOCZEC1i3ob8g20X0l3puR8SdtwXRslmHBhKPxEQXRtvqQXWos+0dU1G1TEvlMUuWLxYlF5H6Wpw q4WSfKP2WvNomtNa1mBsJkIqYkLmZudQkRzQoprpn7JWxb2ujt/rwZV2++Je3kUPB+s1DcVu5R/Z TrG7rSGaQgM0GECBWv6bOenhtYue6WbMa4B9bmgAjVoVElx1q4QC5Nsg8141xR9j78qM+cne/SjB OypeUQ2a/JOkaIo4WSEqIIiwR7VfPEFKVfUI9ijdJfzDb0FGGHTifJfxAfsR1I00Nw2o3KTtSHgm HHsB3k9TDZdKMrn1EdwmOCtAzFYzFgRAbWromfJWlnOwfOH51bmvOJJ2v8NEBoIUtFmKuJWcjXX2 vFk+EqkSM0Szbsy3rQ+zQuxas954rvqkpc1D0jOePQ8CbTvdFeAS47dnP8uMz7NFNAoap8dZszrF CgtVLbwSMLUcLszXGmx2/J06nWz8jToJJWIm9YJObiOGgPrEFqEqdSkZAGwwY/MfE4MkTN0/fWBF F3e2TvzoPWNfw/cAL08A05bJU1Dl3Bu4CDc7APT1ggtUnMls7jwpXWVX4Yvk6gooK12SJxjQpZxR UaxZ3mCpSXRItwMb/O9ghkMiekOjR8OFqAXLq21P4RqLtTJDEerqnhn1A3HrVOz1enlnHWPOLybX vavOtYYOYJC8NyBJwK8+jSGk6oDQU6yVu7Jxlza689eWD3ZzWrTm0JaPJkEtJKFmsa1X6mbMSPWf Javq9ewyrK9OfxTT539i2rTBii7Dj6U9E5HMy37QxqgqoliA7UWmUro0X8DgzzX7MVhpGp531WIz AoiKVm7BSBlmhJMdem06akSwtpi7tsa1mHWoAhCfZdspOE7Z1+pCvcCl+TKpvF7U/YRFkW4w63n6 eHX1FexCdhZF3MowZIUV+hyhnnlj7IhiAm6N5BH6SdFEXkS4q5GCkYcWZTVia4cmCLUhDVEls0Qt DrbHP9zcXo/RjkCO9Tk9WPEXykRixV12s2tplB7ycL8oY0McVtzjv14DrqHzBUYSAw3BwcVLnlbI R3gpBKwYH0M84dEZ5U5Lkw40Xur3EieT/VIcgII6BEznnjl3r9kSh+7hSVj7y5mxahvU8NJaw8Dx EPoBtYjmBwfotiVqJBC8LydL3KGn2FiOViQ0pHbCQXRGk79mTLiI3QoEDWf29J0qH5FvXbPz+XJk u444+pcOz23Vcf7rhfOsOnXBYAkxmBfOA2nGrSW8RtOZg6Rec/s47PoMvAgS6M4ITuCI2ut+9a3J G9/lEwbhXNXB7c4Jil0GvHetysWzpt0qCVqbHWQZhO4h8EcpicNRTb2S6pRYqRabqsYJOI0NdUWQ mxp5XQDSR+gaawYUwvBG1jJ3SW7fFm3YP0YtI2WKi3clBXiMA50/wXE+KqISk4S4cxw0NjMFQzFT jvTIujtWk8m2QRXPAQyI/EZ5aDLTfReYHv3On/SbKTbUZt1X0O8jCANHVlO13ajzJTYh1AgVXxQB bvLJel4x1dTeKqvDa9wUCoZMqj2zynRtoMtGLt2GQtoSKNDnwMfooOgIvuC3ahfjH9Wptu5TEvvo CLdtnd6C1FRW7querzXYkiWKRm/NLZ6X7jF7+o/gAPKpMUbVfip4SA+7w1d0Ukb+9H3IesfKDnif JkzgF+RsWYNGKibGpjeZR3J79w2u2qG0rAbx/MhB1Z3IZSiXU8bBsqMGzbtBmnH9mp/0wZNs2Jfp giasixhRx1iBpWX0aQb5a1sNymUwIpy3s9D9gke/+1QTL6MA1C/qcwlWMTYGLSsVBZvcsyBZjzyK FFBVycbRCx7AozgvTOLGo1y+xkxgRvdFru/9iBZZsxwLyEtqbCAVGebPxQ0aCK2i9IXngMDakktg FKrhXTI9qcXqtF0lhJ/18M/lPDQgIjCh14ySvaeW/GQywkSY2Dyn0YtI4nhdsf4a+X7OWAF61FrQ kLwjQ2Dj134AeEydbyorVw3zmr5Sv29dMFA7hLn9RH6QV4MgsvQ76mG69VNsrAY/35zR4qyXE9jt M0TR+0M7TJBB2+h32OmHRkVuw+YS4dZajXhKa9utq2E7WhtAFYM3JySQT7OdMGl3sQTa7I7xePw7 K1rKNW2hB3HHS11kSPJj1zLtAxc8YngeQRRDSdYflGr26KUVjEkoKsEPu1DrvHj+A6H6RZoNHH8h pCYCMhbf6JqvnO9wvBU+8d6JdoW+h31sjgyOUlEIxl9K7LhwGZJO/3sv/FEanxc7y9VvcQ7n69Lz 3MSPJPi3aOcyvGN7eZZ+byN9lbEMgv5o7kbVF4gz0cpCBwyHklGdofTHoYaHHUzuE5kiXpFkdYtI 771QVRSCZT7suRZJva+liJJBVHo+C2te2h0YiUc8eU0HCOM0a5nF6dg9hn8/zwIcKQDBBsSMCNhr KolnjinbideG8u+pOf42B3fkAm3sqeT8MWOWWqtseV62HhMLLSeJzrkkbOKI84mJ6TzZ76j3bibo otw3lww2x72KluNuY2mxPiXVXwbDXukByrirXK+UWxbHIwrGnYuuVYXAtJOEO8mnMOvaSd7maPHZ 6hYmtZ62rDJUTTQEKoW7Whwx35I/6Kv5I8dxgZ2Zl6H5F/qxjS9MMv0LxEkJpNvOBq6UR6dAy7Ed 7JSu/WKe/gm7KIHb7bnchWyD4tkxtcM4norlD8jUEBpxrjWzJQ3lGUDYugMAFHtN2whUdx2+eter ADy12IRvjHO+AjMhcevxSpax0PNkHMtdpbkn8yIHUXqvJImU5SAReBpH96oWVJKvoGg1AETurJq6 JYVB/DhU2V79TWtJEMEXWWOoDZPeePRJje4i02BrAApCUnh6SNLeAGhUKnamMThgP5gQEregAJW4 TjASdtKkTsVwR2J2iW00F+g8M7HX9Pnj7fRMQsDFYE90Q1VWl08kGz5PSMMsEoQTYoPv/hrFhyly 9t74VDbaKucI2mYcxcUku2qaYSfG9Mzt7gLiVu5jbklQE0Ap4mYucx1B8JfPPltdH7oqYBsGcGPc TSgFMAGF4YgY9PTqIB5FK5a9JM4B0uJzDYmzbHe88yFwbCxeCRQr6Ub7xJImUdNoK3P9PTAGzEwr HUsVJY44u3/H9fz/kHJgMX+0z6YmjZU5NhN0+Tvmy0dEOs4w+yDtKwrZIpxAfdotA9ADYc8957T6 enwEygf1SE/RF62OPbxGZYK5AeTbWUfZWWcMdE9rGL3eNjVniJPiW0/RpRvCwzy3TN9f/o/G/ZJl TvH86WdGf8pTzpNYsTCHvQDwkOlSvoKY9OakbIseaY9e2nbvkqmJkOTXEZ2zk3074MzhfuApRcKu DZvAgL1/8KC27iautIciXP79q/2T4wFV/9ByW0XdQxAvWdyuxDYww4IwiPqUc2iMS2+5JqGOSoh3 lxL+Y6doj/Lg4giL+RILhxZzglpU4cM3K1pJ1a4tVErKREUt0xZYyyiFUi7XbFY5osIPQ6lmyLZD 4Stla97a8VzKz7Gt+hxl3HmVCrV97qbw1VacWUXyN62VDgO77bQUJohOLIvfzCTc6pW6GhZCGhQf hYCzzQK6oRona5F2G4mgkjXpgd5N2rLxlpbUwqUwYBeMVmwBCdbDK5ilzfXI2rUBrpU+B41ghp+f 4KjhIgIMwjbQfq1cL7HRPKwUWl0C4LKJy7icB6ryMjX1zDw/d44dXhRoUdRqcCmMgmLrRI9/Gjxj YBz8p4rJ9hBz4icz3UwEtwZj87urHvtcVm4tEtZL6XU5V5ySnpmUGcOPFm9hab0yBhy8IUdjcflz nNbdqEohnqDpVDvj8MpeeeX0A9ThYFA9CD3JsvmKD5nQZ0Tf5bUWWMeLwc01PWmIDuEI2fZubz8E la1bblF1RqFM5y89c5YwOUZX4lwip23955XTZGmhUXpipMQXVgHnn7Cd1+zdhHdiVFxTX6dE1wIH RJwma1e4qIjHPb7zaEejsYok6nvPkEH4CtT2OkOdtr2EFzRkbIXATGcOonDfqkS+xXSOK8bYwQKL dM9OHub7ZOSY4RyZ2MQRXN6K+GVqffHIaGO/zM7f7EWaaEUeb8UyAkqqa2VfsH9szDAmsf9NXZuy 2+da/Q7ggIIAIMcwH6Y1zdWkYeOC7kj2/H/hrEBs53InQ1494IcB3IMYEOIvUlh9zXnUAxIlNgfM /suemRnu6ufx9d1d5btiObXguaV6IxFm5DYx/OKmpHKBcSnL0nRR4dKBPNnrH8V73ucxbPA/2bpc j59E7q0oqtcAEiBIhkerBee7OO6Gl6XijvloErS+3Rkcs1p1AC2JAtBGjx/P/hbM+vWnUVE5OhPL dLCxY5ICSbr3OB4zWx2AmkMqSZLtwSX+fbiLEMSu1u/9SPTcdBcmkkGjDviZKyylNOtFNNLGnJ7t aMtX8+yAv4eukYSPIdrwcMNkIrDJo+WQC+iZ1sbN+uBHgADpTy5tWLJuTl7VCpYqaOTjwInfP9r7 M3Vbho4Yg6+ZOXAOewv/K0i2ar9+7gkCIMXluJwIj2t50GoG/88dzAJ/6BhrWKS8CqNe5S9h02Ff YHUkm9x1334Bjv8qUqUDmwMZfXPP2h6zwGjd7Vq/NWTsny2enWu+XrAuADO0QK83Q5oMIbiELIl5 by8QxYEqgZ6g9zNE7at/ZfQ0jvbDK7y+lpNu2q7adTFSwrJN8jlVh1wp7EofBTSASSAqzKw5YsZX bIPmL8aJgoHodWINUwCTgty3fto7IYRq60c88ylMlqTdiZlJG3ri1oc8hIx8pQkYhTkqjGDTUJ3A uamMyRfERlIx8FhnfiYSRUz+f6X8hTzr3geno4i6qSJPV4D62RVfd7PA6Ato6MvcPZI/zQ3mxStn oN99ggDzcY4NevspyjaHQYrtN6WsZPUEWIC0m48Zrh8kzSZiEdfLJN5HOlyS5jA9v9Rwt7oca3od ON5g9g1PxlsQPFS/xo/4kLdkjHEkxMiIneK5kzPCRqTTAcb03aJPONGFeyBkJX4GgAufFz4pXOFD EvpLhGwUKm5m5ol6JSLhVZVwgwiQBSxmHSl7ay1juTC1j4Yxe7LKLQOZy4+Bfm7A+ZAA9/BS7rYp +J3Ha2zpPZY6QCHMO8UhEWFLouX9n/saUzFRcg3UhYvQ/Hw6S6njsUKDJoIoAE5pFMse89atZlu2 p0wBW2nFCrtOnOFskPwFQoL8MUxYBwwMxarCodmaVWMN9XpNacu4HMcFoODth0hab0xZyy3b15Z0 exUD5Ku4N4gBKp7uKQ+nc7dhlJ71MN6TUIUcofE0adGYurUin3bl/Y2wXcop1ugjEgvxpAFFKGYh cDLcB5H8x/PjVvtGGZdBfgRa8m6LUvq25RBcS4bO5E5mtU6rqepzArF9nb+h10urhtUr++T0Jq+r urwfe3VmLtmSd5TRRga4XsSyw/WBvAx4fDUOM1Lf5yA/MG+DoBkn4rLwkp9yfSQY5WKoh9KEcPdw VIgniEBkKg+ypYVUNGdHw8/fx1mu07avuNBP5QsiJESoRsKuNU9zrHZ+VTUSe1wW5sTJPHh/mqag dBU3dF8i5wulvSlggGO/baxAOPOiTlcj5RTgLSSOCaHJnR9CBPqVpQ7fh1Dop8ttfyUmJag3ykOe A5rZYbdDbFOYpepSLR+15M7NdVDLWC5kdyTNybVlzZfcUGmbok0W72hpfPARhS185FG8opPuevku oPHBjVsmuC2pR0hr3R0cywUH/+O1w/wOOtXQSs064h1NQoOoVgPQAlMx2dA9HKaphQ3kvIRr73aP 7hH7Sf8Af8YQIoX97wSeXxuqfFdc2xE1//CB8je8XUHdqxcZQJ4SGTbwddp6Nm22iyhlPjxqbQyY VOSEKIIg3jkT+ls4sDz8fXBSorR8Ft8YRuxdOPl2eqD7P5CV6505YoELWREEwxe2emr9Fv5ivJay 0hoLAJf+Eg8bUwIoSwKuNMwRuBtTSmnlijK5pPNVHH2BHKCw2x8nxOczFB2jLy0EoYVz2jPw1NqQ Lx+4ypK26tAC6mui7OuwrXGYIEyPpT58MWgXyOTUXXar7fMuigR0lGdCKsg3WUxub8gP2nwfJRmP 51P8PLB+WDBfU9Ty8jqGT0aV/jntrsgUmI6ZKjbKl7Ccgiqbk2pxLQuFcCn4zmc9d4zUfVP7agWP hAh/SkzwKz5D3XwOrqp6j+jQCo9XsGKnR4Aav0YjezzR8VlVIvbAOIbm/ZyCI67WhWnHQqTqrQdP kwzMEm1hFN6MYR0fKleALWDEePz+LN4zH4unplmvpn7f4Uo9nITlFRxq1IXK/et7ZUlLEdJ7DovF 9ae6XQkjsAn0ugPfQNfWn+dgzC77Opvv6NQiW5WGM4I6nzKnRYbcVhoDxeVfdghHrqmYeP0DFbYV dFWXatKJUp9n2WKzOPaPiqCyltaYzH3Bt7OPM2FHd/9PdgbTwB0/Ou+2xZUr/YQvj9ftQ5GbXsr5 zNguLHUGxbXpmha1XegRCK7rTw8Jo6KebrYwk1s9YAbeNMJDix+b8L6xCetMHaCZ1AFke0qm4/By pGDqJNvHCo5LYd5klKdf/EcFUJewRRWLIoVSk8sfBPPx/aM0Hox/634gR4BhH4ksX8iT2DEH1gY/ x1ITQ1MlU3gq8koUetYuf8hz8qmJelENX4SH1j9tNu8kUwNSML8t1M7fTqbed++qGtd2jCIBMTzl i1WVKy2gFrCUKMycRTt45aiwtkIFq1rlVyIdX6st1nyPORM/U8k6+/98wizq4LrDFOu+3+kn7OxS OrIfi5TTbJi6hSu0M+DYizB3kh8ugjXe2BHtkpsjccIcIYm77y9PQwS8Cyv+luxIluAWDohCptTP 5zSGcADzQRoLvdsczx2TtQTUz72TKVZt0o/q3vijM+ot+NUg1wv6rIVlC4tTB70T9N7ceUH+QzgR LFduw/HPAGTPweroypzd4qnM1qIzFc7q5ObMZfe4YYUl7HduOq8yx+ErQqGiUwYl6D8EJhARM1/W V0+ASozvHpc7qWZvE9ZhL1UhjJZILZE6uu/4+NdY/NVYVXt2svBPY+gJQDWyJL5WPasCdEiiQ4rE 0DZ/LIkB12kjv7x63qYJnNEWYxK8SJWlVQHfVtwXL0C9xXYTtqHv9tqSc1m60Q02l11iL3pai2kG M83Aiw7c5g+YD9+OQxOW76tVtoYbvyWOD1y+7qZDehzqmU09r02iMHNVF21Kk4g4L0CnqTtgz41D V1PKntUK1LZ+zXQZXXKD5VK7pV2uioo67ZKdsfcwo1kjpVo5oPGYvjq6okMRQPJxUmzKLUqIFWTc xwRwwpRKmOKhXYYcBELSTwbEgfZNgRsfksQbqhUiEEAqGKPE4XIlUIbnkaZfbpnqgf3aBoxiUNLW wz5PK0A0+JOBwT28VseehZJmqIETccXkxMEDrC45LGe1d5ikwSafyWDEabyy7xAezF4q1YmBHqxs Wit+P5tovT1ORpldbqRyNY4aIdfaSOOWIgEibVXbi2TIPCjvI1a7KaHxgGXpEgYz6vagxwzvZJjR vMk0HuCQrrJTkZtEe1EdJPx9TDv25rpt3YKBXlA5VJ0xjRxM9g+wceplDz5wRPYqsHhmJMPCNRpg sfU4dtIoejCjo6XYVY+43bh+TaEpucp23AtPyHzBL5ZpD+OTjvY3FwBL+LAouHj6vuhIXu2omXfc WKU+PVIVAo3EcNBAI1BGug1H5Fj4snUl9ijcU9EUVix9ZGIs0ydsEQoQLwudC7BhGq8RCwGNo0dW YmX68jWL1N1KhQH6ZPtuXKou7RbHOeEZ1sBBGiib3pDN8SVVt3Yp8mi9OmWb+a8cpdmRIkCV+nNK lbJaZ8OpJ3BkYGQ3uLm9/uGd5HvXOux61GcHJfJ/014NErUL819AUmb1n1IXYWFVuZDwonG8IidY 8r+v8hUmm6rM6x1UwzBzod/UINwyunUXt6Ub/w0RjggaUBkJc+4NtzSO5/PvCwHuXcilbk1mLpzz rJdlqjJHog5BHjB4yCT+DTKYpRkxQqxRMAZA6QJxRTIHEveWrXTlJPK42O017/uSX30bLbIC5ktu B0+3RMF4VS4iD+8ndUMmAeZSvcABkKlxEZBYug1FXda9ti41CkFeKHvkG0xGT0YkMnbb1d2Srdiq m7+DR4ONyMgh5eIpzgB1/YEILti2vvSxDB4Q5ZwPYqyM7o6P/H4kwxXYaJXReCSJWH0ixLTEoodc DnbkuXzkW7Bp8WGGA+Me8yko7zifoJH/QWYspFJ9EdAvmz4ECU6ganN1IyEuLp/ENve8ps7Q+lsP BzFqi4wxJtFnRz8hlY0jt+UmE3FJkE8GI5sNsUlYaVqEIsu2ySYkY3VhXHilx7fHo9Iu9adFacFB +ihhjtHeb8d1tN1qnStDKOH9D00rFXQ9e4baQm3iojtsKYlVHgFzrFHJzP5JhE/ePVRiSa9sR/4a Rw7gRae6wKBZn+1r1E2Ii3mSM24ePPl14XTiKETIVpxS0LDM6VMZLT41T8e1DhdKWJpBaK1ng9GY sZyuV4wolQdUeVN6pmhvT5qui4Kyl3KCY+gWGJ3fFvHdik035RMgkX9alPoN2P8BU4VUnw/yJy5c OywmcsLqqpNwAruDFBkhYiisKH2Jsdde3O3qs8W4fN2aOWrxxF2YdGN+8xJ+7Mhwtk2t1u3sSnTp kRALJEOK6rj+Xmw5sj246FCQXUI1gRumKF+GAOCyX8pwAYZUe/Mc2Z3UnD404pU7jdmqBp5nFfBY 0vuP105wpXlpDHjP+oGBx3r5hi5QbLoi+s1tCLuKWjoyBbbfPE+VeDMBQWiiVkYR4dtexnXDzVVB 2WgHtzkyOTPa6BzBF6xW0z5vBL+L3VfJlgqjkQ7uyTBvid4Sh/fjeAll/4dPuHfzzK7Q9HbdhmuP 6kyUEVD/l/VV3GKnkucFYFDwkr2gHhqtkChccRqiElUKAuqFM+d99POWa1WjsZhEnYwpAAfwrJ7H dicx6KPGW/r55mzZFzpQdQFmslBPlhFTo8f4l0/P+SDYA3I5AGx1UWLpOb5TRS09lnQc7jSZ0ev6 Y/AqhwuklCRipIbFiDgXWfmK5Ezivj0JkT/E4TnJM+VRw6GU0LCYQSVe+bHtO4aqxhfusAVaZsDO CvzzOL3pR3dHsGWgeX3+KbVhS4N7GPL3XOn6ZBpXZopMWiNgnRkVda4eKMYdE3HPfc0fWwjH+85A 6k4yLJVGf1sCqVIb5zHjVRGMIBriC0ML4zWeL2CzM1nPJLM5oyEOaIEZSPjffcf402z7jJQZ5zvr 4DXid61V0rasOnbsDASppH9c5Qk/L3ZDB6KnROu/Wp3kUlROAvE+1DfnR+oNzfc2+kbzglIyVYw2 Ni7Kb/4tPkYUZTbDtn2Oj2CQx1Sg0jmx3Bog/mr1G56y8Q2raymDoPR+qgfVpW2rJP4EdUq6n73c fGzsO+iHSa+xhftQXflcIxUrPUeo+lFDR4mEUJWe0+S3PnPJ9hRnZLCHHmelVNIRbLDdC1YTfAhI uZxYSjB3SnrxgVWPi7DCFIWVF5WY0Ky7uSGSjYgWBHnWfBWXvZTMaBRFO5ZGh4rC+iRJibm0F+YE Gnwex6kSvk0EBoBdhCwwpIfURM9/vdxBBz6xqKrOgIfrLQmwJuI6BTnLpqKXtFyutq7/YhWv1cB3 9tBBC3nh7qBcAh79/bIJG3RbhA7PDpux/t2QTTsz/o4CKGmfZJ4VrWLIeqKd1ysj8O8uRSRBGMD8 ATdQ0eO6nQSjlWA7BiLNWjcn4nMAFU8RsZRK8Pybkx0TvfwfhF9e9Q1wy3nyp1u7dhWVaVrxPNRX S+iMSM+zsT89Mm0T `protect end_protected
gpl-2.0
570a9dd009f81649f7cd020d61af8287
0.947631
1.829693
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/xfft_v9_0_comp.vhd
2
15,794
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block MEEhltsBBSFt8h55uOLmBCP+UhqYMLLMxWW7mWMUVrc27xx7aJVcafmUuwjx7zptEjkIy0Hx4bUa z1y/c4qgpA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block L28y2duu6Ac0umaJQokVc8ZtPp1NkVGhAmylWSCuipDOCRpEdDbY8zjHYIokudO+5CIfwl2dLpAp Aas+6xXvSGaTg+4nNR7V1Ku1THb0yMm3iYsN1qmtrmorvoOUCBl4XdhBz2EQLaqQIZco9TQPnykj LXDmA/DTAY8kz8Tpeq8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qGp4n1BxgrPcfW/332Ei2yzqwTLRBCwnDFmCKPTwP9HDrVELYEzUA8mzQEi3z1zZilAmbUcoClWy FeJOT8ILI1OPdJvCY05CSw0mwM1m7WJNN2jgUGvgicKgDDTirzlQZvEAk99dYCeUOZ6CW7g38hSu Wg3LDpkzb2ltfMB36Vy0QJsW7j+gREpFDMJQPoMv+e7MByKZESG0PUaFxxnns9bhIuZ32BeYtUix FT18hYfTejnyOtenR9k6BtDXwCESS81gDL9FfLbU5IT5WJRa21QKbCxkTudHULXT7/7z8b6w2vWK RmMCJaK7VKTczhhVhgOCveOy0D5z7Z6AYc6eoA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block G8MsvTLQSD2sYle7voU1qiWGiq/xR0Nhtm42usq1ILXXnQ31OPzpbIc8qsrYq7e8EFk7ALJIGXNv IF0CjLaG7I+7TjxaIH8a5Noy3EQH+I36NqaNWpggRRHql8jmKP5UURrUJJb5xPJeqMMvJogDQXyi CImWxYPgyq/kVsG6cj4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VeT+ltWl9dwnGghVMFwB698SLSrRaqOnBe70DqgZOSWID8XeB9xJjoMq4l5NsNglN6bSHpNf0rSe xGNSnCVmGMj4xlc67ulQEHTCs98b+CPz1IDU7LFIph2zKuy0GyrGrj+D1tLm/TOXEYId8c8UOdqw jYJ1pOKQ+IF3HfqKOCALErM1QxRSuemh1cd3Dtysx2qsFMCRbV/oSkFCObcwHplEvy3g4YAsLTqo fCf3bfC9c+BCrjLS/fRrT1vijOe0DiI5O9/tLyuWeR/i3hTDv0zAPrfLSVBr7olK9PMeVy8tEi4B sUoHp3i0Xs+2BuCWZP0XSlUk4P2/cVDAE1aAHQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9952) `protect data_block KfCkU+pmNTnqwx1xT7ebIvHKHTNJ3qC0j5q+i0ZjG4AkzS1gczKtVShbw6WeMKQxxx4iG0G9UV8Y mbGzkjxO8rsG1qM2OyqDyDHnsT6Ym/fKocnE28v6atQ6pbi/tQBpA64hASgRlal/V9Vm1iCNB9hz 9Qi/Ev9WPtxMKQj0J+nnBEYUVVGPMIOotvn7k1LUXOnvfFKyjPVa+8NXTMDxqVWZLvan4T1Hx856 /59NcBpCF94QhJPpdescU/qjae6HCqyrB4Q/73nLLjGxROmhiO0o96JB0Kt6Lkm0jXuytnPDJOAb GCUEgOAdh2y19YyKriws7Gk75lIEBWqaQYmhRdWhVXb1i8aigNVnPDwN5FxikcguooSp9WnlskC1 WOIYdpn4FydKYZCW7qwq5tz7P+3nijosUNT9eNZvd6LO+yWdp9YvlTpTeH4qbNGHp5pC2S7yTWHW i9iNEld75w4CzSdUwdWGdWDUJrtfQPebpQ8C5RqhUFNf1ZzTfO++RUCTUVFpf83ajSAYjk8pLbkk 3Iu0vlljshIwCg4fR8P2SDay/QWF4HETTkE2E9PS2Ezm/7oxqZC3LlXoYTkYWv4FoGl2lhQ14FFp 97u9MI4eGbCUvVTP2RGmQJ8MH5i/W+bYZNy5yNCtgxvO3MH6CBxgNcg6ksPnyERVOCwRABZSo6uP 30rWAzTxUppjYjzxQnDl851lFcx2VjgFU8Jt2if1l5hzpA/PlVptcvOuZGCTKQ765z6sevgeCC1H VDgt5gJHdhHz6im20nzfMfgXZbmafKJUAYTqfp1hmPiqt4rE6J72ah09FoSbL5taiTMNAYlJhBMF /Qo4Kc+bpgpaolPubjvsK+CKiAE/CoZgdzocD5idB4HhjA+AUszO67wQUFctKDhAWxxavPSNeMz2 RMqnJuObUiPLpbauonS0wqFX3/Pt62mkT73hYzdFGihQvRCn4X6JBNTOEhqtvD/0SKNiT3vYaIIo NNAxa/TIBVO6oBfAGx9yheS97xUG21/jxK9F8plKOWcIRqJWMMTk8N97pOKifi0i624CLZbXc2T4 TqXVl2n6HIXOUFEeaWJIIGRJgaFLe5WIyoXyQk9pgjklPxJ3sVCIsGgDrOx0omnTOcfCQWh+XE3D P9Mx/kwaOVmWhgnGFMYZ+5MlInbnDALK7v2hK1Op5/8nVAh1TRw73KTyCilAuRBCoFnWbrSk9x7C Bvky6F3xKK/abHpRrEYI7lxY47Xtkk7Un9Dgi+S4wCn9usljMqWHaET5WUpdBeSINLVbCwLX29/a ggolcI7S/LfmbO3h1wZVDp8rOvr0p53jXP0fZS4sB1yciMD9g4AliEr2ZuarpyzCFsQ7jPytRp/h J37ui3XbM/t2b8bdzQn5fC7EOp/M83aTx5haTohXI5+44lyB/WKyNogdj7whfnAS9iOA49WtP3YU jOCTIZXMzLzUC0cT+DswXJ0BnD9/hWu0QhlQeah79ys1mq9B5XboHhoV8DFhPsgl1Xb2HXtqe0Jz j7LsGwMYW1RdHhWLP1B5shPofn9FRgZV0+Jg3/BmBoAqsu7vI6iGUyW28n7SSiuYhhbh8WwTbPgW J+JY6y8Yl6br9VzRxgR9USF6v+S0r3LE0Uawcv5tYpU1mT+QOBh9IKn4F9N+EzML1LLYd4fxU+Tk ZGcohstAV/q0XgwB9OtCRfsM6KCpDdTxymAVnX2K7IHWGsSlDKB4t8+8Q/R7BQw8ooiCTu+g1aWN WOw5IM8EXmyYSVgVH5jUEOvBq2OvoQte+f0c5qN24YeMn3kc8C/ZKnBk1N5ii+nNsxj4x4K2RIxF 4s6nn7ss+G23OTKa5oYgGLZRpuVyaNRT2PZbYY2h5rLY8iWyNwGyD/9vsutjPf+swtbHM42adnfp GtiVBOkHr+0CbaZIZZ/+vDDaaginlPQHkQqQ2HYhVJ0YA5hcSxN0vtF2BQ9E44Wjugm5ccZwKkuh a9sHBpsDsxDLJOWQ+gSkK6xjmh9yIHdJa4Q9R5KpnV8B+GuyPao+s9xdP3njc3OPx7Fs+CltA2M5 BaYLvkVVZ23DQpPC7zPAXeQsHn4ODpV3z/gFmZfhKpyFQSDtAFpfs4zIsWSFc+KO0rlyntisvkdc dLs4dRtFQJCcnp39KtT6R0oVlZcqjVY9ioyKXIW6dpCf5rlzVilPD39+CGYJUIEOf7wVJV3z++zZ gv0vzNxfIZpele7L6vPOdUCu3hhkLkms76oJQSO/Hl9cTO7VCRyBsA5RemERsF/ub20JF6W1ONyG zw/Hbu54c62CMzDJ71WFM0ACkAdFSG85gb7+f5LdZeCelSpLc5I7qYNxlVp596Akb5zuGEbQfd9l CR/LD4cU1NWIpsK7src1IjrE7xbeo2ig3QunoZYXCgCrMC4fr1aD9m8avp52egNW1psj0wyXJqAJ A+dGZow0ak+shjatTGWAJIRyM1k3as4FCrFFpuv/Mm0jegUov8/1zK2hSe+GnvFBkp7ijYfl3RKs UMq2YkVDSsD0OMIzumEFamsw5w67GUUp1vyOtgA5Q0OAxf9OiBMX02DDHWzjAUNsThm9yv+dhBGX m37wvGJOayVARh/Ra/PTVh7iILohul6e6vOAPHMmMgmDyei/AfZG83lDcaaTiDz+j0zRFBNA+Hfg H6KsHmHwVosbaNB6HG+EXTQdPApW62fPPHRrFo8Xk05+3sG97v87iMjtYYzZQSsab9LkIKrwT+dy a1Zzdm/VuA33Bk3OK1cbJHPOeFFMo9JoRxfTpamzycLd+jwrJNJN+PraT3DQd8h7ZhmF7Zy/xYxK oWQAd60QjKlzC7hryCwsbDbcXc1e7O9Nz97PFyCJMp3DfDSB9C8CqeiDQHkxU60VOqs5HRT66uT8 I1cyYPq7n8yeeRZ+2lV5qa1T3UdG22EE/fkzKSQ0iHfXXCU6aF7coXc+CY87XVzn9Xa4HVyhX9lf bS6Mk08K3aiYiwGbj2Q+mL8gdPObW7njQQO9pS5e3qCR8S/ET7k/d/gsHhCCaAPAzi4yxOCWZSnj FV9U+ImiLY/1XYybB5Azfs0wprfYIML6I5XfuOjfc5pq7vKRiaV6v73Vkhndwzip6hXYnN104WkY WDey09QM9h/XCWRNjBbwZ3bxTj2RrL9l32Giv+IYCF4B78wnWghlhNezeXIGI0YnnCtXZEacXNnP +EVN9YjUxJY8IyZg+9qTfb+eIUS/dqoxUqd0socQ/dxIAnWf0o22VBuKMFg43Ru7lv/7/VtW0d5U bODb/FQExlpMfVPhSkSr/ffhqaFwYOVnbopGkxu+HDqq8UF1hTLe6WyKG/bVh2jvssxf/6+eG3ZQ vb/R5gVCqCZGQTPkjqwvq785zkgSiK9jzj7a+qiJSeRJ2w5aPrk0BRHpqxj4Bf2ZzQYh8dE2/5R3 DF7BRYHxZ/mXk8b3rWKjMiVr9wie7UJCSMeOTYLeXbeEXF5/ftAKnD+JE8QZD6haCesCMsRY9CAJ K/svpjtSULceDTtWv9LiWumtKvVauVehzvOJwg58cBXfviznHVRMvfo5ORJuvxl66ufAcXZwM3/J /a5AWG/QYIcuTQ0Zo1IeoDKE6Ss1dzCm8f/1q6hfoL9+RZKVewllxXoDDAK0Fkmy4PuGxpw5sRi6 y5ESTkJkrD15/Rd9yWDANwPEOXH5YgLYTNvkvqFoUqw/Tkl2m4l3GYon2zMZ7hz2jhmif8NHkwiP oKnW0uQ+SG9VAZ0woqXst2SFTZJXszNvZW8gwMFZ/UaBQmgT/ImRF35/wvJG9GCuPPWR1Lo/7brk h5bSgt9ddZEE2pMJV3NrQPHxrvAAhvWnQaPpVcxQ9YdSzyXLavy1owA3NfmDfc0cacX7MUcR42Bo kGD5onnolAoFtfeJaLKo532EGITpfcRz/IIU98BMzF9peVXGg2QOiNrJBHpy1JOoImK4Pri+SM7+ Qyu/rrknhkXg0H0gfXQAgCQrupo3Zh+kOxBFQJLo7dQBIZBuU4Y91R/UHeKz4VJZrN0E2eqSODju gYNyi3uJ6ygwb59OWIt9FLgIuDDZ9q7zPHO0KrCH8wtU6WMpKf9eNVnXiWgmrME1zfB9RE0z0f00 cFyIo123BngjR6Bs9tmO7y22L+sMtouMm9wNuGZ756L+8oqIo5qFKeHWFxss9qjVey4cwqBVg7zU MxW3B76IG5G7bsAR0tSlA5U7qsegtShgHIK0W9wttB59gmSf0daZK1Oxueu9/6CdoFeKvCiAqWp7 Gzg9Iqgw1zAv6QNU85Qqcsqz7Gfbrr9SQF12hBs50Xmrkc6jwrM7uO6FBBBk5VDLlWgZOICXbLDn s3dTSRAYEIl6Uoc3M0nYpWAejmW6IBWHvQBeCX0i5m5Txv50tQJR982YBhYHzejYtveXU48+vbCV s+YBa5y/xvg8a1Uz5ivaOBn4PyaQIejZdK9ZhaIivSGAMMeMr+l14Vsiwy0B/SLnTq99vDguCqof svW/86dfnYKxFSzVxLssyxIv8tWirSpgEgc2VjiEHNut+/YUduo1bcwQL5gdRMtXdq1b7hdFMTJo 87PyRUb+VAk3wsgrkhAOrhbcHuQooBbm+HtfiFMaaIUD9j/Mj0pd9Q46JGExLRfWIZO9IBhWylhw 5c4IgRHVUqliOwnYYrZCf6KHlgWYXabjghLitSAPq4nDVeMhCngW4rmrcXwn7NZq5TT8pSe2+gDI xDC7Z1T3sLOaQmILCCBH8gUIMLEqAIg4Vg8vf8WfadarIEyZ9NHrJANXjCOdvTc9+Qy8vrI+Xu3i oEFNp8E6QkqSc2xwn1zQunBVsxRF3TMzY12NHwuhPxPGYe2fnexTHHBQ+XZU/WM4JR4O+4/6o7NV jrIgrvjYV1p75lwP1GO+MfEak1tntogDiPfPfKTPzc4FT7DKzBIWAsB4OtlKYZ3FgIJr2HFLvN7J IkZ6ERw7e3XmA6lwN/d9SvkKcuzji6Mt9rX2eIhXPPZmOnqkyt7uZpS16PaBZZf4cXzDdXGVMTbd zIWAxVUpDWAkFB16uts9zLwABm3LLOgXjQ/B4RzwLHQtotrDr6uYhb4e4uau9noMzz5Q7DWNoqcG /e9CyYQGVW02BOVoi3F6s/rNKcQ659pJMvxNk5OhqbXKv5qDaOBGJdM2qDEioq5htlYLp33OR/yS kKVd1cInfNK6NE1HNCTpck9vJw99uKIbYTbmS4n4eQNFgH6htEH8qLDjKhvEaMspYNu6R+2F8+4Y pmna9I30fhZZqidk7MvtfHddz1feUqaNfzBIzZGyS5xXF29ilue21y3PNO2lRkg1w3tSoYWxXKLY CqlgkyhjB4uFpqiW1VU0rnWdwsa7CPxU4JrfhIMAb6+wqHGZVdpmQB7NqPP+zEkKi+jTN0Qc2ZKh gw6zCNlzLdZJ9vdlvlrb/2s2X6aYWCimpt9wKLeFQMtyywoGiGyT9C9QZkCX/a1mGLhjnCMmmPfi WAof0AsKZ9C+YcH37ZJ/EnNK0EMGCMNqu4hqWlMseOOGwgFq6xTT3/61Qrnl+zCi3Ze5NsvMgMPA H1UMeXs6FmDRKcAhtBSd4/phoC32vRw63TWeA61H9hbsJh0UTuVDO3g8zQt39j7RjyOxoUNGHoHC t71orGVJG7Ma6SaaXjFKZD6QuO7GyhHIWQnHbHF0jEHUItB0EBTsCFRJ/jSTrcV9JXwN7L9em3fn /umKfCsN+V1q+LeqKyoyPtFt2F0LURtA8g0NZijCQUeulz+63wgTUoJyFv24GWMM0Z1CSdeDlOGv NG0tHk4q+CnQA/GL9iRlgLhxkb2wGSXmtt2Qhb6Ijx1/M61SyCyJNTunXvjEbblWgdlBRg99GgXY uj/dsFmTVCvRT2PAXX5CKrCCWYxfIThilcLE602VAJw0Tvrp8+79/lubFy5me7pM1p6g+TmmyiLb A9mCHtikY8UK7JGIWPjXNjd7Y0gaZXNclk2XEt6NZHz3N+AxNeUjwRS/dMxRuL4fAHrSZvaPS1VK SOecBK/3PMtOJkb0Rz5zMkGw/soxBLIJU6gwDpzqNqIz6iEpo+T0brPHxpM3JVOoEqJ0UYjOROo5 F5S6Id/yR0ocZ1YPTJWYo9OtBU9fqyYp6IPCnjDwrrKdAsRE5ukPEgEjB0DTPPK0pbS6Omssy8K1 XL82+YRLZ2k3+fE2OjuP0bNMirbO454lWVV01hydeoN6d6sMETHvnjNdne8Jc8Ai8hqoC1QZczyE yt8e/nNoQyIP4FMawTqcHA4pwZB6ZQpzbwWItRZguxff/onfpfzV+/xQkbWCvM1yOnv+dXLH2GjQ LM4/0txDcsChXjhOrHXzyl8cUSKktALiABfhHvqkh5owAyiJEM5Cuko17CNTiO8sxJDMPHJyMblx RytZNFrFcfCZ2+IAXRJa+MlfWyX2XjSX+WrjJQVmD6aU46soyl9iB/y1TWNwHYtedb75FctkGPcc svJrDKov1KT4Vl2Cq7d1DfW4jejk52WLKh1rLsDhQwn3squhXqsQmngw/BZr6GfCFiOiflpUtFWV n3VEiqYQ+Wj0Aw3/SsnYMTZgMwbeq4ROD3rGUI1uPJ6Wqxef7pIfqGObsAsNVmKBnBczN92PoIA2 tJaOv0aZPfRD8MhakOhHxJyii1lEbgsqVCBWLqN/jXB/Fz5yrgHHbeor6WbuNQOcaTPAHTjvRlf+ HdZOOLTSiu+lnS5oBIZMS1ARudlRD7Q+fLdwvoYWF0ciMnS1LtD9WRxfzsczxmmCuvwf+1Jhyvui IzHo2P54FmdRLg/nPXreThtJ+QP5H4fLDvq8dgM5xtDYpV041KYT0PX0uHOn/y+BE2dwF67nG/vf cxLA8lORDl9nolvm1pTJYPjKZYyUuIGGhTaMpa+d0KRRG5EuEbebEcdNtZNWxO1J2iu59z3i/uBf Ubhp4Sh201RRvdvYsI9rcvDFbosN3YNZ9M2U4v4OW2LNQF0J4NJAieFQKMA6xIlSV9GtxQvxcpgo kzVSN8MUAY+Ay9zubE9NjCz69/KecpMBHxUR8tdz/U+2frRSGWYvN5bmQUjNeTz//uCrY+XUigb/ df9jVW8z4NhJhJEls9LEnwIPcpS9wRs9oIix6XW7iBiEeFBDjcpghtDjFg4pdsqjC9v7ycLAzvyb uD3tYX3VUtgNtyE0Gvt3FPwap5blw42S5muTpPLTZkoepeAc7SWITU0tZQoGiGiWUKMkOYfBrRxT 0yp1FPySOjDKQC9y/of2jGenqBqHzcXBc0Noi91pfzKl2ElRdAoWL7jtHlfesLoF3E0aeXbJAECp cHv3HTaYIjQc74G9xFt0gG95GVJqCd6f9wtyOl4O1vX3q5sVQv2cDcX+XENsyg6UgJN89Sdp46nz DZfq/gb2DifjJzMrryZ95nEIPBgGoqa7CDTRft0GE6C7n+Bk7/0ME1+h4jjs5rB0UaZDHLHN9+9R T7ITRqdJ8Oo/sqNxTFTbMdP7XKNjj3KoHJW+zN9Z2kKscT0SS+TD922wdQ/pP+x5poQS6Ugw29nL PbzXiMTBtJLC+OTFGWVG+/bw1iBfWxJ4YagGL1kMmBb8XT2PxRDOcpbZNXYjUZAdN0z1KGTXyoX9 8/0/pdeqiJP1keoRgE3NSDDVGIXha/KSuenNBXljSp/uqOLM9lcl6HzbcET4G+jSdH4Jm9jUfBnh d8DltMbTlW76ug+MMuxB+sB2a8O07SPlUKl8EoLq+hxnwGZtgFi1ZqZf4VzoDy4buspj2Wk575Y6 VBqGY/b5GeYGArvQ5Aya1QQPb4yN6OvDfBTlbl/C+JXjjgRmOIZQGvrYwFFKJJ9yyhyiahnMZoKY gddlWb7s4wraSjfkWcAmkafY01VtQtHoF9RjZ7eFLmSsPAOQ9aCc7GDnNuecJ2CAKbf3L+pQjF/O MsiPqbyvvkds9DBL/1cskSoUUe8waEt73Z/YEmRxnCla4hjBnLkGrpdqkXphlQO9dBMpzssMVP87 GZ27/CNWyZZ4AcMpbfEsmFUySk+YcBQesbZd3m4uGhhh5E0ek93ou4ZZgFpic3snBQd4C8lj42Ft avaxzp4B4d9dZc/C/GYtfJ8plOoAAITKk1CTZkwljN580iOiLgfNZ1LeXPQ/iedb8AYgxd8v+/HU bkfpYYUsqZlVeaa4q6nEB+fNPLTckc+cEwefg9SknRZmFf5stC0YkUYOAn8NbfpYPFXoYAkU04Fc 79Gws3gdLuRU3VRI8O0/xblkDjaW8CS7sxSIViNviO0KSsfzZxH7PuQ9x+381/IW28Y2l+TNsLp6 YC3yWNrva/T0DFEkzOOq1eVYyznSfykLarg8GkGxcAEboaQ8n4i30U1iLZd6+pThMA1ahthyW4Hi 58bLpZ7Ne4cA0nr8TdaLdQVdp0lZd8857zLR/eM/NcolBNRJnhMv5iShXTT5uQ+7bvFfm0saswX4 GcfXArMBuSoyz1C31OhjoAZpsgVj1wP8k+ccw2PaFJtOPpIxHu4V3kppqMmKiIOoK37s9BGTVQda hhvgUQ9XpthjaP6cM8PpDvYz8E/Uuc2Y44XiDlthhMepUXDW3Zf44JFdZ8kYFH7kwsaA2vXlII8d Mp9N+pB6DNL+voRFq0HfXlluYTGnd85c63u2WwnKhEOPV66iNm7sotdEbT02QXheMKZvoT87u7vK Vu7B4ezo8+Qp5HrGakn1JejWNoSKQIWeUvVHzebOp4GjVmFlhYOULPBPDadHxKNlNs7FCitfexwp 5xkXzlx8Ft1I2xbBlaPhn3SJAFFCC7haZvSgzpuNxM2xE0Disi83PmO3G3wDoN+zC5j5+RrFq4Ll PxDAXrZ1i5bh7k93u6VllmUC98rx5AMhDPraB94qQuk9Qe+qdT6n5hbOFC4lkWQaYFFIj4O1rcET SaSGPF3f0rbcEARUjFoive/ze6FqF1BdmxPq0Lh5JXVTeehTgidcwZBggze/DjzvjyP/i96dLUuE sh/M5EEtNDHwKub4GCHaGoovusLsU687lsSK1pXa/1534A3jCVL1YjpM0nllLYVxewotuSO6Ssfz gSEoTBhxsEwD+TremQ+4I4O8MRLnu2cJyCqL5RxdKrbLO4v1uzpznXDcdbJb1FgRHGzhOd/xPboi 23N+Qp8CcGq7u10YVLusikaNyvRlZxr4/8/vROPbDuBOEeuJ9kdhm4ts8WCSC/+LRV3zJXCI56t6 1PZ8YKwEdqtrOY187Yen5EQezHa3f8qvThqGp9frINStvWxw23JFc7NPnVTKIguuXYOtB/t6aQia 8DlLmwzbldChGWlNVMVz5UZSloTKmcLQsbpfCZ4pdjf8Pm8HaEalv/JaJeJ7zINTDFWzX20O0V3L CpoPpOqIvojfY97o+Bf0FxqjOJsmGI7uAlhwSNqN5lhIB1J9rxIP/UHimZfknRUK/j4nML9tUX1N ZroGVorXpxspaK5d4+q3wgi9dN//DLKFyN4K+HME0aeosSQKncop5NQqZRDYuwnLC6Gqn7ke2nR2 amPrYuvPMUvmDBm1HStS+fcfWSY+FWGhRgTGDYJL54fNCW0LS2433uoW4Gp7bgD1zEK/b7A87FJg ickWjXB9/J2KT68mlQ+PZTmFIMj1U412seeaHfEmwAU/QgSJQvEiXdRbl4A+BY47u6CDILOtc8tp WjdeEwbu/qmcb7oSkL2ZG9W8lRswPCLT5/7yr0xCEhT4y8DK2Vav+fLO/qQkfpVWyHrr39wC0Jwj I/cOuKX6CD8iiiJhnp76iHPLhsW0ViAQlNnDHntj2D/aJZQ6WChDDKA7VkDJauqPFTJ72dyuTU2X 7PUkuTWJBD6HqfQ+TmydHwYZX2JcPV8vuVYeM0dz2CP2AIKiT6I0ooNen+rC+0QCjV9io+MnnuZH nNpwccVhoo6OIg5F8bm9lmEEXOVnZcMgL9tZ0hN9ZobVGXemF6CkNeG/NKx+GDjelPpFBdL9JpZZ qicc7gT0yqI4GXwh1tvTaabGwvjanolX6KB/+jFSBlqu/IVm7q98PJ1xbx4BRYUjed8A9Hw4t6st lPoC1j7275U+cUOKdtwj9eYbwWn3qGUh99nCgVsuO2gE4iYJwo72qJASKaf/4rgc3wBtvaEScKf4 PMJAVL2tEUSw0C4S0jlE8rRydNDzZjvncc39CAoKvyOsrQZi34KkkA7/0h0urfsQtUAuZYRncHV5 YJ34i0CbLZivDbkGNaC2BCW+7RyZrHKkpfJxmTz4JfCTub1+VM3SDYJxLjPHbA+IuyTUXKlUoRkr DX6Z4oahqKZ6Vt+bsGyacv0x9nw/MiT7pu91Vq5UkxoNfi1e14/FsMZ60fiHxcTDFZOt1RQronAk 7yqiwmd8cC2c+Yyoap7n7zsjOTcFW+mnl+eB69f69NfusBR5FpvO/kL7AQlbatp84b2HmAPDcZ6v fLb2F8mV/Fv/MVyqRs7HhK/JbjJ6HAqAOqS03wVbGQHmUhO9ndpV435KxGYx8V8SKvW150s/6q18 MjQgaymj2vIvkcx6w3g65gDwxiHaX3WrKcELiutry9qhdmr+6LFQeXXMi+TnaQnAjHleWQPJTsSM eXyFjyUwGOdpj0K/NfvQB66s3Wp3P4QiIKKnOQSAPqKU2YQq67pmHsVvbwsrcr0ihkXiYgvaNu4+ U+V1eqMZYtexwFj7G7UD1Jl2/gTLeQAp9Vhsz7dDOBcG0xFNtwpPhmislM06pCkl5NqZFFwqoPXc Ny53i0yFc+bY47p4K9wVoAw6WI9LTvAGllUrI94oJJOCxe3w7R9dVJDeWOPCJXKOI0jNxmrizUdp 0x9pMrAFBTU28/eBSM9G5X7+Wf4NCbN1ERuR5kzI0MdBAh8T2mlnSrT0NIav2cO8q+Ic6qQjkHsB kWJ8//M4mGMppIyq3q9mZxP8wSOqSOJLx1suVqpPTDIeo6iCpCUJk5SrXiIHrpORHXeCg+56kmmx UDvnngtagmshyBA5VyMniHRV49dYMVqONDUNkS7ZStaPUzFy/RUYiYPSYxo1bgsyxPBj7mUqNX5Z ufOyLfy5Lg7N7UOXm3G5N9V3IQv42hYlJqb/10YvviTyqpmGc7frlU6+SoCCyZ1S4rJ10E+4erY3 QsRgzDmyvhFjOyqbI9dduYb9gSEqJrb9xS5Q46dWsfrwSA1FAv5RuBgjNm5v3AXB95Rv2nX+Ww+r 9X4XuF5j/P+oKmHRedtH0ogxoO3NKzX/+f+/Mqj+0ffRSIbnxEbnlQxPrzhGG7NpHaPoWY8f19Es xisJ48jv+i0L0DZHIcvZ3UGWRBCWxzIA78FsGMiweIs61xruwGZEOOfCW+2SBSkaEyKGnbCcM2jX v6GMTOYpGouV09j3vSqXHi616YRbnJ2UgIXCisgNdBT6JHMkIU4zhjlJWLjBUQZSoFGzlPSkIgTg UO1OdGO5b3ZHxsxkdD6Y6wMX4G8kzL3/AZVXtJ9ZmZAWArnWTcqKYnEXjnPYdYrH4cRupa2yXl5J FIeYfj1PcmIhtjFaNYMNqGiLO+5q+NPONykl34JSzydiu1bEZLEoygcrYSwnR3dF8dZtdpqjjqvP ethjQeBEo59nA3Scmq+6sC3XJIv0STVVPYpQToxPORvaJaHfaj1Uy5ql/RQpqha3UQari6xzxpAE 9uW4OEOx55iEkLdkFnHnIyvnaPZh7FAZmQ05OjCtcwZvxQ7qXMLDu9aPzNEMm5yMF9muUKJYdXyN fMP7KsvD3ur00iKcU/qLTlfk0fg0YHQfrvAvo7/5BP7c/x2Dtj8cyOTOCENRI6oTvaj7npHs0RhN ncT05/YpZqH6o1o3UnCSjv0fmlgssnlJLOfGffhefH3Z8zYbkcc0LMe//V8j53S+qTbbvHzOrQUC tlhcx7jall0Row6VUnMzHASogknTlm9ZA2DY6HDjEQ/nt5HLSMssxBub490p4yHFtiCXN8BkC/D9 Ww2JgzLF0JrnH9PbuNe0/weBEMmOdhtYUoEZlCH4c5a0QwpiDLuQ3gdDjVLD/O2AT5huj6hs1DDO /kznauDhPB6z1DpYSdC1TMxBrC0q91Hvlp3t07UhwdBaBbwA+/7cQijK84dP6k6MIbD45VzG5STN zeE6jdVuenVyuAXHFBjS+swnwAgXWZlUH6WxF3GQh2muZ5YH2pdOH2c8HuK8k6Qhk6HZwRJ/cwTp en2+ANoUniCqNjYJmwDcC2Na5Ql00gzkTv0KyQ1iKmcLjnIat3vYmV8MXRFI7bkY+HoEyqe9eqi0 pMOhIHcr9mOga9AbxYhAr0vSBQe0oj2B4Z/Xddh/KVIOc7M3oRF0EFHpGzpujO4i3VJCr5zk10dy qIvLu1tioWe4gT1gqw+/UKxhy8zILbqMaFRXY52q4hgDil11EXA24vK7nypfknk6yn919OF6q3eL cC6VTuHB5e+Sk9c7Z2RkwlIZdJHWiotxH7DL0wGJpPaf2jQ3G4DQs6X4CKiFt9qRyVV1UtRZ5x3T VFDFLO1tgYxMoB0KQY4Ng/8A5q4m2zH6obBGKio7px/WTXYSan+csoK9sUSh+NswDs93dk1grEY4 WYECCJ1hifDOucLRa8GoBExWZDGleE93/qGGVn0z5enzpXqi3lexIEqvEGb5g/pbRuhnCLTwp8BZ zU6l9olAyMFvKtJh8NvmEMBTQjt+efacC5jjSwyrOHDu12+dyUJ02tSTm4AAuAXOg6ltXx5FY9Ev rXSMdyrNIJ9FCxr1G7MZy2/AUmCxkAqzVRCpvkEBRyBD9cgZDIe43SFeJ5ZLW+IC67/+l+BAPgqv tNPFP3H0czK4yRORgDxmbPQNbpOVOEV3plZAOqI9Ed/W2qMHUjt4Q0pLN9aRsng+gbKXOQxv1qfT 9XFj3a0sLG0a9z6fk+TmiAp5d08okNL5Pkdm1O3UCOdQoepCZ73xi92vRSSPYh259WPNCVxYsdFK llBeMOybQyZPcQxjfNHtbs8+vm9VYC9uG2oUnAmL5DixGTW3yg12tlRYVAGC0IsXfVrJZyr+J0du TlUz3L8GaRhtTJe5Nk50g66DXY67zF+MHv/aZzMJzx1XXce329dHI5dyYFnbEvqKR9k89uXiZEkc Q35Qk6dLyvJNKb16lI7udyswythrSTVxJGqXby1YYZKBxJDAwKYFswvhWqr4UcuydXhxbcvfG1bf 30SjRaoZ5ApImq9VrZ0A0MXcHc+1JXeaapnGgY7F40SzFBRPSQvYEiv9OOYiJWWEsUSpemJ87aFc W6Well0488011KEGfSD210c8b72ZfCsunuL1gpJpf0cy+w== `protect end_protected
gpl-2.0
5408378959ad9e1382c16560b3c46b4d
0.935545
1.850281
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/shared/normalize.vhd
2
17,457
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block hX+r2FgqyRPswm7uz2R8SBNUHgwe2umr9N5JAfnbN+s9LFH0JVj3Dv6MwCHMYaWSB84mUA9irvr7 lA+w8nJRVg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ChzTwiXmJqq31eUPVwVhrMq22U93zB4DJA8+Z1BZou8aKfOxhqKu9hE6/Gja6nQ/s8Y5yadl16Rz 01NUC7MNxnjQxXVYIze+8F/HGNDUTNxFuvMDi+TRbAT18NjFLGbUlisL4lYdWDfzhavGeU2cjKgr wqofew3yD5nCJ22mN+A= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block UNxKjTG8mck5lCg+Qn4kv3/YRIZX3swysl/tFFT1QVEst0FAY+nlCLQ2MbX4r1ec5lIYABKmnotj 2VygnF5Q690GjHdhXtecZW2Vg1LG5MwI3sixPG/KQtedkLBmkS8Xb5yfVhwlop084why5e42mffp lB17dgi9kY9ud55OBCkjXgoNXxZGjEb86/7I7plkpYqjm+sznrG2eTX/fRqoejlx6OCXehrR3B4n AX5x/uipg8INC9bPCzdsDzC2TmMmESIqMJ1t0n9DXNs721NvsdB9v+Ul0FjFMnkkPFA5t/CIX1MT hdboR4Zx5gHzOD/dtTL20MUXAhCU2VFqst/8gQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block duwlRcXA4/m7tPNPXZ8rAVYkhDnejoEfyaq6N7duucw+e+nP0vc/NkF721OFWMNOdqDhzMso1d7M /X+wq8ICHLJur5xlxxI57AXH+ctyU4sZlUR9Dxnz74wNijvTDepH9xmHt7+K5dKZc96MSfSFN5FM gxNt18/3YVxicOHCw9w= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block pq5Rd+LbdI3FzHvBIsuMdOTt+zMRuYhLYbpOikk/WeQVUZHWcRzTE4h47p55NUwyZIH6wPirIs+O 0pvP4SzZOtUXhAezsMtH0jO6MkvWnURz9ce1Y3FQK+NsfB87iRU9vZupsGlhyxCYJUSe+P/LYboN L8txFCqSzQ0GrWiDBCYJL3y4xNaKNEnT5NDb6HlqB3TnBC2jZYkBGDyxGVtFGgHWmST9dd8dsIot U7aeTRWt+er7yuu5P1cTiYMeynlbcvcSFIYPvpsl44nCeWtB7XD3I3+vMKBpzHJOCwQXoq2E7IFE rYhPN+d+t5IrDnNMKQPpB5Vz1EoUWZi6X5MtJA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11184) `protect data_block EEt7eKB35wSYFvOmKOjCsRWCnOTZEK/y7mfSAlxo2vrHtOcoFE0PSsU9gkKohCjZGbIbQn+U37gj sUHXuoyiQp9SUZ+94BRBnGeXj1T5nqhsWVsul/VeSkVNkLmllCYVkIcuae67fNDtXMX2nIXV5rkl ed4SkMwX0LGQIFCZhC+FF2Lrpo7CFdlw0ugjRVD1IRw1c8qInXNfFNEJPLnsyDYAzRz55yGCpEFV rLM5LE1gYY8Rh853WJ2eVGclkiAHinwTJ0pa73hgPzgQDrb1oWEqVFysSiLPByGA9TMvEOSCbkDu WttOfcoG0bQDundiR0tGREZhhrca/AruQybX5x6t4lKuaqOZhM4qrEtwFtc3VWaeymcQRjwIocq+ Djdj0Ih8WgqiWPsorEq71zERTqGz1esl5E12sdFPu6NKUcTuwzsyJttks6aK7W3mwKz5CndRMIlP rZS35z8dooI2Mm3DYYtCutRhNCpFqsOWT9ZRqDT26uNtLs/bcgDRt7yNZReZqzrL/k8JJ2UYv+Yx P/6CTkRoW3lidl3Y/WjTSRYI1pMi0u3KIBF/ZhIOltjWu6cwPRS1Dbb9dd5bUkzpnbJtLt8urPDm ddK5wrwbcDgHB9UZCu4QOr+MZQukJa5rSephl0Cc0FdLz5ginNjZCwa+iGOdKVNNIsNuiBbUoAZ1 1lo/JaJSjx7K90TrUuLAr3Fvl45ypxc10ultAYLdy53YViQCUGp5W41Ce8Jf3iNWNCBaCV952S8U EE/zIt8zMB2Kp8sWJnsgbPquJmWEAsAqCqp0OnKj7AoZkXAgmyMMohBpYi5AlaNKLPpKReVaPVHV qyLRPhGOoDDsHDYS7b4GfHarE3iAm5aTFs/Ju1G0wyTgDggd7EPCXKNqaub+gDrC2tsXYo8bMhGo QfSxBOTgd7x5QIj79XxM0g6E0z5h6YUof7rtqoJbrsgFxxuDlwBwEsz5FfMKKJhLlyMh8Hub0mgb E+GHkpvQXd7ZeQLSvelwwTDFXyFZfJ4T96WtjDWrA2a3zb0n2uQnqIGt0TErqoslpV3VS8HhgAeo n/SzOKh57F4GLcYv8ajQBhVQIfHwVYqFq2HE01gqmhDfUzzSmxsaz/YlVw/JZ+Ocf+GeTkEn8AI1 +lvJkXEFCBRRHallRCl20D5kveqbzmUMowirLXJ6MqxLan6io2bUKZ+LF0h8x7zzyPDyb1suBu0v ehGHWTLLArHyZUxxWURviYYDINXtBa4vxB8LobWbanP0sGmeo7rx4Qn8u1waHPv4ljZcXNxCRmjc RTavsm9femtI+S+tPWGABJBHhnSk/U75jPxG8JirqBAN4b6EJCDZaSjmnqq1D+oTfniMgeheoHGh cG4WUSx0iReKPrB/ASZDZtOpIlWg0PKDWupveL/NXIyoy7i/yrWGP+ral19cMt8SStrVf2c86TQ4 TeHIGR1+3iu3J2KGvKHtmG9WjDcClk5hq3GfPvJXePy57DYfGLc0OSXnxAjKS3iG21uv/MrhF4sM RrXV+KdX6N753zQLCYpgLNSJKOXVdYg9aPqfi/ixn6opiYVGyoZcQFh+BDdQKXu8CQrtjWlVzB6q YmNeXKJzbqfbRa3J2DlwptldJ/K0clGd79NuOdI/IPH8th5iJrd3EzQsU7ppfORX/BFJiWmCYMMh WPXYdCapSrLZo7U057dH1Sg8nhf90O5yGFU9QK0dg+1IHpe8EaDO062yY6zUqJLwG9ibxxw10WvJ y6UchUWR9Q8IxlzORH3J1B0qXERBROKI5jPjfEak8yiuuFDs1DHyUvcgH3fw2Z7w6ruDPLMb2q2t yGgEy6JNgviV7CFWUpdeQYC1KOzbQRItcnNXCe1S7ZBQK8DkD5Pi1Vu1bnSqD3pgMfmNWcTTC/NE Ps2hZWnQ9mSSuLO+pPa0vQuJXQQghb/Cv4Eu5AhSN0iCPEKc4qPSeupkSakRLSaHB5aArR3S1Qog a4LvOMnIsU/t92VxyArls3+i3X44+9v+99Alr7PplQVxvRu96NIY4nXdvFhjRhrin4YWr7EQCXa5 kmK6/f6UvGIDf9r3DhmM1tRHPy13NLLLeqKQ2CsreqrmWb10L22mOx+YNZTVvV25QYzK0V21GBq9 y5ZR1EJwSyvMXYUQDq1cfz0P+SJmXYOxdV0e6SB7EFfZ/Hxqhx9vN5aV58yA6UiLujzxcAV4x0Sf uiuRk9wbdFaqe7ofcVfohiRNrEN1ttr2fzoy7ZTaF6JsuWPZOKh6XQw3Rt8jkjr1RbPro4vdVv6X /bGXOP2uJQaO6QS6UVC/ryDN/etnHqDQ5RJMm8Tw9D+atvqqEbFTBdNzWFWMtumVouDs5GnxUEl5 CsDe+wcvmAVY+gO+64pl/B2PPsHgeN9iuTnZSoslOXl6r0mgImvyb8qUgePmJXJppoZzEnYKBStI E0ztPJgSpxyijTqOqm67XxX9N3206ce4QQl6x5V3Fo4eI5cixDtSPBjYfHqceBG431qBUtT7zS9k aOfTAnVic7CPtBj+S46TVQQxjBp8Mt9YLY5bBNVgoz0Jt8AJPPyJvvGDgxULfISoasnbJ7Azym5p Eh9V/TI649CbGvJvGAgNLW/9G4RExra3uE9nxfh2KYgmbgbgR7CkbgV4cl6YnEOwvqpX1UyWxAMk 4Q96p1YESWWTuaA2U6rGYB/gWeMl/8/G8fgsQ7ue+E/T5KM8eglbyekJYZfwNdsXHVE47pVz5+2V eRd7wf1VvZw9ltgLtONHIHer7btAAGc095G6nDfQxoblHkouElw80WnA8MCAxlkbhcuMydg/QqUl 5nmdwURW292bk+opYB8UI9A6RaLmkE1ztFxl2DfZ+rsn+o3BMJ+2X39A/AwZRtgS5YFjt3f0LAnw frg6zRFZbEmSCPdGaWTVYueb+wXsrGep5F+v9c/9oa5UAChtmMoAmW0AiE0+Ick9g3hMIGQ496jw n2j7Epsv60xMi/LdN6CTeYAAHz2N6a7J4ZuZ9E7kobtQ9FpcuHeIludQxFMKibjiznpxFyfgPKGH PfVNM30N9DhbPFEsRd4ce8kuZ0OmLZPSthssejprz12vxLrBMnzcV/i4ZZ5vh+178tRetDwJmd33 GcjOXe3ai4UeXerpfvM+t1NhyI/p0qiDUeHjxpViI2WE6S2uW4phr0owCMfduPISx32IiSO6xF5p iHFP8+zJiXVuoF3x04TVqnIAUPZHqL7UDUpRnyhwxOQx64f1RpgQfkGYM8QU6HAteoJhgG+lD62c sZdssERoCyBFBo65F9c3RmlNrbzBMG0xGeV8IWA81MX4MH34gLzjoqsNsf6GwHWYWvRafwlFOAwa dhrl8b2Xpx81w88DIb4BZB+zK9qKTRNJPDfSm2YpDb4EzMDiagR/ZtmpluSORHVZiv6hLOOq0iV0 R5RlryKWgdiJRUhmcnyXV5jIuuQmcODE+ylhh14N/sBCBW8+0HHSadgAu/LCOX1y6h3D30G63/Lc QUZC9eVeZ1PxQt5nHB6MSh4pQVcJjjXcoGfQ1hplbtA6NuyKbdTHaveydYNvFxhxb74IWsKFsx3K QeaNpWk4Ia/+YPisWZ3Fom92OpEG5qfEEGzbHrBojXwvVUXi+V5Fy5B1mc7itTEQXGvMUPToaLb0 Y9TX9gyYXcp0UcLgMfquLfxS+3oT8NTZQDTfnjuvf1roIKZK9FzVrOJXFmS/lVFzsdSyaMgsIvr2 YfTl03LksCecJd3lZ0UJ8mVCs3M/fyjQIah3779K4ji3/KeqDfX9EjCSLH3J46btHnwi/4B1iYRt ORXXeEduMPdd0f9b2PHJTpM2coBFj8ZhCvfU+dE0ThjCokN3W+42HGXQVY4Mo546B2w9zRvLv8h6 DyFnZxArm4mLsGp69QEayIijRZ4B2haVdHXAEaCNT4GcYl3F6/wUmIc87x0Khl2vaQXVYdpKKOg0 qkqEVzJjPGRKl8QIQlfMHinSKOTj4n11PWxQ9iiSgHHvgpdi3CbbkVGGXvzAGmvUvE+uXRu9/qf9 5QYNlwZ4fKx7WeuNBBgFdxB71f7pqHLY/hLgTktdXOqEJYs8di7R3YGVUgXzkstVpp9loA9ThIG7 EtnyYYoE5xbPTbOUzmoWtqvh2R2JNubMB8hqPkCQVULNE0WPKUdblEv6MsxbVtWScmKRJy9T3tjC ERb3fTREfnC6+x2Xian+0k0PGFZMo3Sd7Zt7cRlpQnWGaS+ckZnaVsJwiFHLgD4CDQXBm8U+38Bv MNerxkQPvSPHiWj7+eNM76XbgfzdFETIdtJcopD8PKDRLmGwqyZN1tWcsz0etB/WYPvbzWKfCO6O JlWQ7wGqYbjpwAXwa7NJih3gGx9R+0j/rWukAEZvR5pJjiXeOLAOtJJ2c+tzw5XsAQDuDGcBs8BW dZEhJkCEMuHyWNdQg7/37Jej9TYPcgqOxesT4IPak9FCvbXFGJOUNn9TArlf11r2fp3+jAODVg5H g3xluj4PHY0z1/I1H75ytrfY9mRh/7b15NlhKU48GQROZK4pOX8n0o+e/TLuV6sDsPJrW3xClnvy 5PjJmZArtG7Z8dKcBPbPbjU1QU+i3A80rjDidnkn+oAOTmS/oYl+zzteYEHUnTAcSWWD+Af3Upwt UQeS/RPZZJeStUierNAOYfnCamUP1TbCCgN+nxI4S1JkbBLNpgptNAHWYr3m7gdVYNW2+mdsiFCN W/pNcBbw3WUKn5iNl/BGhjKdG4PMCA822L889RIMqTRUSyN0Io7HLWhHg1MbKNHs7GpzSC5U7x3d ERYIsWYZHzTmNzFZy/1TQDVWy1XTTLDu3P5RatMKh2QpK1FePuW/9gn4PKyiHN9q7EZutmWWQtyq wuhmOW7YUdc+weXm0iWlAnkUABQ3Sbw4tvvf6V6Xop2ba11YzIu36hKvJ3eWL00GHqbXbsvG1q5u 71hdyyZ2c21A3Hart6UquCL6vDz4CdCYvqEOjbdAatVgIFu9gWTVmq4Cn57le1RpdwZCZixQEBeF SFsYUz9iX44pFx4er/lbq1PJGpOMZULftWNdzJQpd4y8CFSt/9fu5gRPLeOjUtIf735vRdumSPph /+qJX3E3ESjuNy/Cy5QYV9BR+p+qCGZTajwSn7fiOF1YA/F7qyE34rr2eNtLtyn157JdBjqqfXRT OdKm7Dc6swkJIiZVbhXO5frL0yP4skyBTIKlsaNlronXVStZHW+bIvBvSzbR69/xnn0Z+xFYoeKz G8wpJGGsdd+Vsk7HG5JSmVpedehn3diIt0uE0a8ntuf5tiAMzcrPVLANM45EsdzySVIzRjRdEoNt ntHivdJriVPeVpAfDBkk8S3dG02nLIuD7IfEYlvB/qQFfQdfB/7hpNMGDAeR/x/WDtM/tsIbKnXh M0oMJ8jBgJChLsR/DZr8AuPyy6bEoTcaCH5o5TXLekmwMHbByhFb8SodZprqmvveuzZLRri/tH1i BdpfQ+YK+iJLDbDzONpO7VriJoCsdwe/DZaLcpNFzl+G87vIvnNm9tOkaAzuZP4eQg+uHbz/oS8h h0bJPGmWfVdl2K3XoENyUIMofGcX/7TKo19Q9Uh8xOOca5W9KKt2RVhNFVqqi/1FsDX0bQlewGgd tXVgLgGek4jZjtaYMBeI5q5ONCmxlDoR6qU4tKadLufDCiVU1149gdEZWZgHHQQVRJFDVczMRYNh PAwyfm3C9K99OCshe5140s0XYHLYkRLfWYx7k8Dov4GmPhZQC98VJ1jHdt3gWltWMctOuKQGsUiM Gpi+KMxN5vjNWQXatWHwieW2WHZghE+yRbKUVLGQIaYX1DbVqzKn//xAUoQ0vxh0yn7jpwaem3fF Cly3KSu2vWSi+/LPsxegL7CRxQYLlTXXT2idvGZXIwhmydbADFOgX83st8nh4PFXFAeOn0dWOHIZ 1zsgZb54Emlu9AUhGp+1wElVgyE8V06pW2+xEdeXyIl8cCW67z7txUOSn+aeUn8B5vggllNavbmj 9Y7XJmA18p7r+aU9kvPvQ59LQB08H+D8DZHu5tk2mM9O53gf3OLkLG1fn8Mt9eZlcrrapIb4hiHH 2zuTE3kM1NlekFG2SjRZD5zWiYKKEOhjUL8kpwWlqsaTk+aWE1Mr+u/DkzKO7YFaSKDm8VjJLD05 JU2ElTceah9yKno+NCwuT80eUqP1keYFQnN8jXzpIP+cQ1fnBOnf/qyGmZrPSUFdGDCnt839GhMa K+x/SctAWGvM0ty2Bot1O/tnzsvMBFVTCwDk525vTFlR/qdtQ+o6eckCuql5pSwRjeh+LOjktheW mIXijOnPwPmlgbDMfcB2W3vxkI94ako/phL9erzdI+BhPNtUPNhI7WRkF/oo5/P+iYCo8wNBuKH2 w3P3CWQCcdA/FYyqoFhtWZyd3nl+moLkok2cdUrFZgbDCdZdV/DP5TNkdP2G46NgLTR950SSkQk4 8SnJ7ntbxmX+7O0t1XwOtrfYrw6scB7KwgJdo3kV/GLNJxLp5+/XvSkT0T6jj/zB1ljFk2EY8YhE zIqSs7uK14JJ1LhXkUQapNo++TtfY80j7szEA6BoCI3/0gNrUDWgXyb/bZFWi6UeIveo5hJpUZmA +U/pOQL99I+MWMuibsemttJZMzos8H+U+mw6ThvDWnNxdcO1lTAFf85SHwGZwlh8cqBhabEaCuwA OtB1kZoHzcgr3Zb6khHt325ncpnAHUyd/x4NAZZbETftPgAZOBKKA96PZM9b82oSr1WkVffXQfVE cdoVTspus3Evrz+LKBqU/ljOzZ1lcVoG41Qh2dMdDE1QsFDL6MQdk3NQY0NVDCddhQYTbd+ACKmx 1TYQMtSko4Gjk0v0n8OC+0oSEcqa+P28PUuBVOoz9FGhkw+YPZ0nAjFxu844LBNnKePTGAgEN03W csyHP1kRWWZFyKVH1zizCVCY7KwMHjHbr1kzKH5jLEe7M6fq+9efI/kSVDFcmgtx2qkT03wBkJwV udUsmIMY5wTJYKzdDwBroMDk82zJd++LFmVqCMK2XKU1AKbFRQFfjxkMYB6CcrpQGc+quYd6Cqjk kuUOxpjX5seHH113GbR9QnUS7Otpez9wlttns3sQtULBNOxHjBFoaCHmApeF6X7L8kUazoYYmz+4 eLz7hbHWlQboew1ZskjbYRxKCy1YCH3bMlEKvUo55MU96CGht425GQCi9fUy3dQcAtbk5xK+pkKI mma+VIr5dtQFD/qWjgnPVjs78NU1j3qdEVTemvrkTPiYYJQnNGLbLYZm5c9FiYW1JqihxQTGVYIw f96WjCXbcnQdcTmGh3U104goI6LyAtjUOOLrBmAl5gCWmwjcqedfVthIUn92F6i5mLUD5cU4S1aD psB7KxVtB4yEjC0mAA3UJ7TaUw7qw9/tHqXTkTWfYl+sVf8PubLCUdgrKKsT7048wXBI7iwN9w8M FnOJHN58vHPaMquTOllEKDP2P76PGR/GkZ3P1HiHP2fatdg7Yz2LkSLQVwF6s+fb78ykmW3nHEdV aUZyRUEExEm53daZCvD1X/VbXKxL4cLCG4KIoR5SA6zKzUp/GCz+zP3ERns8fWMsrc15oq5RMhX6 kQHjdp6nOl8zIINO+ekCMPDGjzTPZpzfLtEp1Xken5lkRCbWrpaATvIAbR9tYyZAWXUsWlN6TlaD PkKFV75LGmmXQ64HWfn+qLWrJGeVoRjnPG8lmUte2KVYe2qESBAGj9aA6SUNYMZBKpov7JxKJZwH 6YZHmoZEujjDRlbVdtWqxlZzai3xvqod/mqb3MDcNmhIH8v+kXRHP6RinV1bD8e8q3HQ0qk73K0j NgCfWAVsr44CAaq9w+Zx04JsU4EZrqROO06pCLp4OFbdt6L8vzrK7bgyyHID78vIvf7K3kNjJlw7 vwBhCMtaQfQEGLf23u1iRz8nEjoDe+qZe+lkQfZyzdfqq873sCD0CG0BvrQzdpzaw9d3M/c4eC4z fpx8rBnasA0zgQW6Yddbuheo13Z6MUDJwSm+4h1zYRdKHe5clPU8Fj53xr1IrxASOAS+xt26B+y5 hfCne85pSeCXd3IUhaZSbHtGDqKMUKvehe5p+hK6flAlbLsBFXvm4OmWwGiqsDlhxKtjoSrt3OHA CFX799BTdb9gELFuG2rUzpnlpZ8+UIbJDyUf61FlxqcsGVIG/mGBEASQJ+v2Br5r+sSxEh7hmBSB XJkgruVliDHL3h7fJK14V68Ie7nUYcUZuxlg9GugpWRkK6Wizcb+EmRVLjYdSM75omkoyVfa2Xi1 9ns/xhsqwTzXat0eq9do1ozW/dSEPl6Aqng7j7DQbaO6Cg2NUdfonGDhFqRrS5zsS6bxPlYXiun9 L0P0heY/ExpEyjcXv+t1WXFFLm/sW/lmFfZ1gRxWl7UgNP3W3LSZSOI+Td7CxQtWng2ZA5RKBBRb idqqJmLk/l1Cc9r9zUG6/Wt3BqHsJ/B4Yz9cFucoLrJjNMReJzRHKYxTM/+HN62DoCcg2R7sYZJQ eKT2suXugoc68LLOp1oWek4cvqIYp4BiBKRpxPTPr62G0s7NXVJyNT2BA7GmodPAU7cWYx+2WqaE DJzzmf5RcXxx7hcI8IF6Ya2Ux2a+WyikkTx3s5uXDM4mo+3PGn5DBkAztjuFEyfE+8n9xk5S5xe/ sX6NfciSajvQnDskH9suPGeeBR8AqItZA5gY/7FAromdFkgbyIRfu4KCkHg0d+Heh1ddQhiOMe2X PiltATW8JieO3h5YULHGfkX6QxTAmh62ql8yrWHhCxpyu9UZ4V9oSuLPhpuuzNr3/Z2QAT/39ORw lDSIEbR1mothmx7F1e700JDvRbIJVVB1eFy8jyh+v4JcBcpzQWXUYUGv4xQgv/GTZCw358l2LsY2 +kYL2pqxR93P4QJ4LFtdubw99J08jRT6M4d1UtZLZs8dymqwoi4gTFZtsd4sJ0qYYTNePGoJhOlw m5uiS8NUYwRoMCPg345ZBKwoJEu0F7CneEaQNs76LUWlsgRzq1J2byd0CqJgcdq+RolM6pLZRTc0 LVMEdU0JJQYC6gobxyzTsEQT2lUoDczXC2EFpQ6yh+x9k4iQbjGidMFJn7Xh7FNTmcfYLyhrDtB4 Mhyy4GTod31Idd5MbCBlXoCkx2JJk+BGaAqUYSSzGDrmO/2ebU+glnFgvVT3YpIjGgNAHubjXQ5y YhaIG9CCN/CeqT4/U/wzandpSW0iVOUfTQNUf5kWP2jmARt9AAl4ftOImfKZbIR7xl0N7rAC0GGp DtM7Le1Swvl/Owi+x0Ju7e1fVGKE9c0ilUYqFVpEv/fGPT9PCxhR0JBjSnkiDkfU27b5/4pAcqNF HfiDHaf8tFBQry5ktt9VwJAE3sCse2/L1po4j3Q4bTffP2XHfLJvPMsutKtA3xd2HMTb+GggPW1V T4d7u+2zAo/3TP8ZB0hulopg2jouw42I5K8oduTnKiijsmYaXowlnuzrrkRLtsLq/AtVHTU60MRe 5SIA0Y2KGI6RQdQ76vjF/t7ojNwdcf3FPzRGfWjuFNjLttAWVIaSqmHZMw8yO7u2ZJZtJSJCyzvA 77wI9QRoZMAa6RZDohehZSkuAiWrj/nB2E5ijKQHSSp7m/GkafP6vJYKTYZwAp7FjTzGVA8RB31Z Dpwaa6RA98wFBYa7wwxx1tHA4j6/d/dBSp1laT95xTu/9STgJQR9cAK9xLo61uFuZIaE2f1bgm+c +lqtGISQzAL2EYTb3WS5pVlM8/TTo3tlWe6bM9GpNhVE2KDuJSXF/I9SOW56MeULxpya8wCvpZ+E N1BjmHwWkacDhlBsF9mS4C+gLBBXuBTIsc2KyFEgpxmYBvIfizgHZcrII+j6hCbMEXVDMuzuolv8 XYeg/Q3v0L7A4b4chL56rCZq1OBHIJfV8iOPW38Ev7+MAw1vYNrJzJBo05wpn5fPpNzBL2Q3kllW D+xM7UytI+dRwGpJB/w5hb9yxqugZZ1bhlxKRLHdMa5h53YMb7VV/uYTMDLz/FYsRELsVpxETOZk EfOQXp+Gmcb6HkbLfZjgmznOqRkkM3oTRKe5OkBFy2F2aS4bkVs8md6tJF8u85VWmgFx1/a8B6XF g//Zd4QnWq4hR2bO8I/um+eANQ3OgjJtj2q8zOv8NSa4526CPV2jrcFSdQfkeIHuH0AA1XBcNNyO RgiJK4gVZl4HOtLrg4Vw06xyJiWiWc7DgYjx5fJOZNkZlypST5/4HYNi2ZbkiS2S/EWtltuvEH7J zfgyOGWylQJF7+nCjR2WEjCmZ/RKH4hQSGUujS2R56kmc4d4fF4GPZ4Wx9M5t9nOLmzTeSO+yDSV Tf0rgkLC9FKfXIt86iHK6FjI48e3hMHpm++AT6fYQK8BnhrR31X3d7Nr2YJ0rrOezbhPl9gQgyAw lqyr5XFu1RpdYEA3gUKFnJTFG2pQXmIeOBXX5Vb4ex7+nLC8R27cq8pXRskNkLwZ6VUznfiPVXHB jFktznQ5oyK4pd9d0jSNJ5jrB/ao9kbpf88sWVn3HLl3doNn4h7DcRbAG5Jq989YdHGfy8LrkhD9 o1/jkm0J1ou+Os729BKhV4Fw3BBd+bUNZ+LyqrbKsACUVaAW8Qr4aGjDfVP/7MezvD7ka58qbBIT R3BtbYrWe96u7oPsOhyhUVwOT+zXFoHXKf0xuFzYxV5z0vUq4TfDLcqSz7Y7TkcC6xkgSgxly3Sg SWWlpPL/k7D5/m4q933bq9xdgDaFBNF5awM1NP3G0bdW+seADflaog1pIxdXV4c51d07/MXttdkB FkArYHLL8A45LI5OWTJnC9h77v3yszztqKfop+yPK8NQFR0fHOdCdOShaO2nnj3Jq+Hw4LYK4Jnw Qbn5Wy8/8grz4jVfDJQ4KdAQsZQJKK+dejNRrUsAeE5VTaN9zBnAb8MEX80fZ7wGyVcEhV1ZEYfB ohcDcMaKuTRbv18u5zQvH+JC4PCiMkr5Z15D504EFetDC0muVUqntxZjyfqm4pz4Owv1OdhTDcie wS5yDWc9RllQLkd68XxLeob0VjxMkzOmSF+TH/BEHiU2gbKe9pV+lilp97wqK9bLaLUIr7+1SRB7 JEn3cPREhrW++nI9XkrnX59mmfPti6KkT3j7yx17pK/A8X1zDcNEqoLw5iTncaNv7+xkpg1ulE8g Py72g/DnnPTFhY4ts59ze0qcv1LB/ZnbVuc3NjKfpbnhUAtWPjwVEHLehoCZ4NuAWvNlZoDpX39F kVJqE3FKh5C1YD6SIUEGgEa4f1dfHmFx+p/2fon8TqC/OAgJn5KDoXn2j/twkW34z+g13d4fL8L+ JtmZsWCKYP/TqJO/MsKHCy2dqlI2G7B0ihAvAyXvoa+AjiCy/9lUEllQPLscOwGu4HzX9LT5+qQd jxxJYVnJjGn3nKoCdoIfhCDhhVnmYhoq2h1/jLSjCbS32gGG6TtIReXwF/vhs/NMhXpEWNgQOzam Fl1vNKSw8vklPudGzK6fzY9lbvpKLx3SsbKUVlat1GMH70mfpOzYot//MM31k35IUbR1U/0tl8Cy 2IyzGd3X/3ezrRy96FS1ZeQS/Qwit+u8lRjSE9EtQNdAzz5GTreTGj1GKlKhkf0DbD3Lfm7zwDUs hCGrvV9FpjC4rVXuymL7N9RNK77RyE27sKdMxNw97vmqnO219rLoc6fwjiboHa8U2g+LSLIprTjN xjxMOijYpWz54jE2peHl8GuxZJxVkwypcYusIBuyQLSkIJ+C0H6sMc3j+ZAQ+GRVjNKsCG4/rOSU Zm3DrdGxBbndTp9/hmVPpNyN5L++XfbqVXfbDNH5jsLrjzhuK083q3Dpa2dUtpjxHGwQECCZtYj6 FPxIk7g7HYYcMyjPrNZq8NBxqUsndB3ndSzpoY+9eFGotYwuwrJJefRasCksuxkMqAEim9OOz7Ny aybWn8FaZ63j6I4Rpb9II8bMHj6nzPzobMJIYAYfiJXX5HAOFOFQsbo/iM39nRKfxV9Q6zuHVI5l 1cfQG7orZ4azZzR/AOsTd4OaSvpCIdjNXDeWDZWAfysjbP+s9c3zrZSd58I0mcxLPNtRu1KaJznG xTslVPKmjcek+hpwz1rNytWPr8ykrOpNjSS6E6G77keDKacb6HLmjnS3MBRsBrF8xQiRMbNCYDOa dl8V0lqy9sOL03J1wMvEvzpqxxCnrzeKNQcWza5YMAEtgGmjBrn1Bijve9fuE5nY1GBjLQ92ihvZ cXpgT05ybBmnAK/f9vsxiL4lHT/GVDSbeRoel1V2zk62mFj/FAApjQ8eOFr7OCS6yyQtzVfQjU7V RijjLS6DB2HXQSA52UVLYxJ/SlGPPJ4AKwomXcCpBpNU93YtauLbp+dSjiODjARsNuLKVzSRiQUw Q4XrKQZ5vx2ypz5XEemcXbT5wlyNibX3zOJL24FyK98e/eeMbSCvFlJC3M94FlOWklBICxmc65XX q+7y5HIzKeQvcxt8HDfbou+kN7QV+R1gGEIydAY+W1vhD5EvNHGj01N5WId6ZjnRi8ngF2KCY7/E xDd67vxZfjiH/dehdqNuk1i43TTmUwEL4LOH28B0cVCxpr22Ih0Z98S1d1XoHKzsqyBsgn52SYqf IZ6Xuq0TkoG9GA2HRt0MmVDat4PHo7R0hGF4G2lxu2sMiMH5sAzunq2Ga33Lgc3j0lENLvztlwYe 0EuaTEPVRrV4yNnC/kp3SDhwstqJGr6ssnFsDnSWyVNObZ96d94u3h/P2zaZGX97PDdSmn6eZf6+ JWHNHN7AT/hGhb4maFw3OFNbURj8aoEFFfTOKZH+hb7MsXSgGpDuLlQRjD4vNSe5KL/Zn4kb+1qf bXJs22hmLuaQcZ6CDVoROc7CiCrwdGshRpw6OUINfr9oOe+lku7lAxnoZUucc7RZWo+Y438yTWEi +zH2s4C8jM6pnUS/w5lruG+NqzV7rKr1P0aRiY2gVXCd4eAfVCsN0SiCtl/DQttHvO/i805Nfai3 TLlo6FatqlnLoyu6oe/CyKH/M/PMDI+HBbDS3kCHL111KAB/m8hXU92qJYWIZf/QUncwS8WaCzKH 7/sdjdC0pmRYHUBzOegwJ4ddVBu/gDbvUXI8xI46vQ4QHY4eGygjblW+J5NmW8GKld3jsvXiGSn9 Mpz2YRxkYpHX6E0O7rqPQbiljAIpcp6nb1IdbmIbCo3CflpXD5shWS2wvRvmnWip0GNY9kU6oEGH B4rcfxJ3m9g6qrgBwELmkvtSnY9fm++dAjkn7PKJgOGaoUFmSML8dFxQc7ZBd8j6Fv8dkKvBVhQz wIXYUdwKHNFrUoqE1L3ndOhW0ptrgLzUX2iSBgRksfogncEePptnJzLVSS82Pfn484vPW7nwIjGL TAsNc8gyewqvOXtL7+T6bbFPgqwrIQnMiDxt3MeD0JsXlrABqD7TnjrdKojoylZ4K0vgdPTe6IEE 8ALbBYRTAzAqxmFWpI3TFzbF+hMDdp14wBNIXAS3h33P0FztPosLt4AGwI0vLRlymmqJIcSjKo+7 eAoOB40QFBqJ4IXmteZ/1IFoT//OiJlyB5AHUe1EdgBU/haa5MBPYEIPOR6OsWjSg39ZYCukxpIF ZvmRw+60YVcLW6ZoEWZh81JBkuTXYwj705hN1tafCIzcJNaU4hoM3lVxxu6d/NAAQTwcDTfLVPi9 7bwse3kiTgupr8FWOJ8qFYbAHZx+cYcvLAPQHbXpaa8ZPTSfYxAVeybxG9heB10X02xoLFn6qJJR PfGNrzrSeGeH9BfieltODPGisDShXG4K0ytu02dPCUvIO3C6I0HH+x0DhDBcpCRM9kb1a0KOYAwl EE9/0oIHEVKHVDDbOLwz3Zgyu21bcEo7pjoCyvNvZVbVS8Ec7yEWflVNVIFFci7TCs33jpAIXG6x Cr1ilBtCrMw3z5wl5TdUMZh4NOYdwuZWLpIOteFLh4mWBFNhjmrAShEWD281HiCG4cxX1IGpkiJS hnz3cvOBW5MIdsfJnPy+oJTI/xsBmGCfrDmNgMQNAEOj5lLEz/OifFpzzkBtijP5q3QMRnyrfeDh C7IqXhpWwP22hQA79dAekZu6ftwl0q16pucjM/fcZ1iHKHsq+yaV1GDoxmTlVBKJAz/fEXL/9EEw 8BTH6NRYckmV56djTJ4K0OzcacnmDGvFDGPibbx0FcUMCbyZhJH/1Z1nLKnOTITKAgy3DKyOQ0nJ FHGNXuVGdmFl942FYGe6UVEOwZWA0H3bsy+qonzaaPU3/YoeRlESgW9rdD994S5Jqp9ZFc9VZKvt 04JEGt+L722egFKv94ZRad4QRQ2XrtiBcdLKNPvoiGSHPuyhFPuqGk3XZAwPm7x4AVFPgeDoKGLW GZfo8cCYCKCA2MkN7XHWEua0Q+0cWIIvIMj54LTAoDkW/UT9VF7rEANILWLJ+v2woydokyATQouJ ICTIvW4MHbk441ZmMvxYrSw7vjQjOju4dd2Qmy7rmJf5T/TLx5jKXKF8TeRG04A7xyzrWiCjT23Q u+7LZBzVcerLTAh4W+PVS/58FdN6Acn35ecl3zo5ZPGYDlxHugEyQijdZHIByb3OHdLN2UhQTVuY 2QOiogqQKdw9fpO7JbFJ+4LQy8WGs0SwDGTzEAtPaxV9MRZ42DhYUjC5eR89ddmLvVJYLED3PNzc 4wPrntMzdwuRGfZR+qNajfFKY5URuDrUI3WcDvvQ5JXZyDblNKGZRZM9A0jPvw+h9ZXnPRxaapGR SSINZtV9b96ie7mBNOfAamfztCZbTm+EdBY/jfXZqtyYJgATpdRLm0LPJPn1kREzkPQQXLX6p5XC hmugIuFB5DnlCZRArrdzPnj+Mk4C5jPHyPpi/BbiVRO1Npnqbls0SKHPTaFMrT4YAlhqjlvJRRtO UEO9Fb2CNU9FH59B `protect end_protected
gpl-2.0
6daace1bb0b43128d60c6bff86cbfbfb
0.938134
1.856733
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_exp/flt_exp_dp_poly.vhd
2
54,246
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block FgKvSOTJJDZsxIZH1OtK5yvvlMrKcQ+u0KY5SO61ZPuNt+nm6RkIuhMORvtKN8tkxSFO73ppg1wV kaoebIGNLg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block EUhxLX8fECfPnD94icfAFgkI3nEEZk56i9DVoQJpHq5/sAySFn0V/FvjgY1PkG0bMxQbWx4/j5RS l0MtP9561k6CM/vqbYcsUvP8B+u4+FPSFwyYjXexC29jrMysw6KL7TjdsfnGax+ESymchuwjaxpU uLrpypidflJjbCvq0B0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block z0sOJWs28EDuo3Um+VXqhrkny0Z/B0jexGF745aHkKNhsjandP3vP/bXhSKwlXCie/ZBhnZbDptg +xxbBYb38yQnfS4FhiFZp+d3PU71ZOi4cfSEYLCLHirjDIBdI7vQwh8Ajru/CcLKFdGU1Xulplma LrewPYa5jAKT/Pza0o8gbmX2kenQ9uiu4879wt+ciLyqzUC+vTInoO0W2g37VQZjhHsjiZIOkbZj TpWkubWUvHGHvRhOZMjT+ke3kyeF+1QIvkZTJxloVJZipPs4uyob0WY6zH6eKLUpQNanH0mjGwLy jN61aN2jovtQdUNMquI5X1n2AyebwTYxz/VoIw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block xkrdZnn1ZdGTryq6qD9aaILmGL+zfchhVYTpDg7QQj+vGL9OrwSPc/rps7lBmOpGurmdF0OuAE9i misnrp9KW/5p+v9H7//j7BALOhexD/TmKx9KL+KPKXEGilTQkOMTxls/nqOQhF5+OtRgoglVsQFY 9X0imLpeQanEAuuG3ww= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Vsp9qNn+2TcV3xPQiOHlS4ZLtZUEL1JebnYmRTpgoWG3AupvXgxqBxhWlN6YLL0HwzAI3PHja82f 4MLWXbecWV1Y57+PLxE46nnqnGqoeLWh2YCeYfZIlUSsWSixyuhtSXScJpkgwC470YY5WPFTdJv6 CZUBZHXLS3U+VDXx6/70pHY5Hb8yUEWOnUBmE3Hta4xZhmb0RF7DzNvZtgpCY2zDnki2/tKFZLfS mTSZvC2qUjikIK1oUOzeCfhVwO2W0Vf475kzruruwJzjXsuQKaDl87Jd4lwY8j0XaGLlZp9dvgnp sMPZctvhVQO7pCqvNXvybsX0SjXpURY+9qaHPw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 38416) `protect data_block nO2vcMzv+Z/BjO4353qK+ba5QMGLaUr0uTsptrnvKYzJeHkFwx6TMgyMN2iRnpZrMteB/9svx9N1 BIuJbmGs/Tq0cNg6QOVKOJKNijpm6mxgJC9PXmzfzvvk27p8vyk6Elil1lKaerJD0jN10FC+Th/P wQRWir7OHbQZ19VKM4TjxYBkKwELsGfc0gnV4yZQVrNLk2rDsSavE0sTfK2Wbmb5yfiz3YFn/7SO hdi2/XK+BK3x/TQ6AQ3avY6tHfo92+mxClQmsXIF5F6vpHkJxGLVLVW7po5IKNLyDbWAxuQG8qA/ XQfWMdtyH2NLQcsPvkbknAUsSwEqA1LyNL67EYpN5eAYbY1ZfO8ZlRTrUUJWWenNJ6mzzcJgCgJ7 wgCcxHmh89ojfP2xgkcTHefundJd8oxKIcNv8Ax2dfbBC9KFcoqTktc+nMa2NKHFts582ifik0m1 JbXz3BChvMgWx5HSvk3c7C90+FFu/zSwToCPNeXHr284OiXM4qbABD8FQejS5Nt8wFT9PBeW07DC RkF1rOoGsnsprb28giEqY21Kkig7xDdmWxfyGS+fkG0igEBQRNJ9DX3FGnXoQBKOyQZQBCZe0aJz CWEYAjcy7c1xSLhfECB973zG/jnvsEq1LMz2pABKaf4rvapTYyfB1a6haODmCIuB2YtgLBnSDkC4 EOH2ZyQDO0HgpqgkP9T+fxOgWyA/uE07O6qHOELD4d/NIojIQo1PISAt1pgOcTf+c/FVhSdfsEwO hcufiLlvUA4a+HN6fSsnYuLbGpB9MRACkrXNETuuXj5f1gIyWHOX2DoKD1VZv90vBSBoOcmBp7z1 QcZfuFBFNCIuzauPrWeoaowm0MNRCqZRLK1fjcu0Qd7dYFodG3jD5wM+M5V4s/orfLOIWIHl1FiL 81DtczQ/Eo+5Okvb4XI43O9h6qGi2n17lDmUEnFsz2dcMFD+3YFw0lDetPlzzwzTC3hYTMqip4yZ u/j8rps9zxPR+lSiK1KhEXkmmu/Nr7PDOht8faM8vjiYKuDRZZD5XofffotfjGpoF+p1n+DX5UjM LGd+6PL9e/FSj9u/ZJ41nnDdFqyqk9YDR/1OVgDwpgjU+gO0J+hRf78FK5DEFV7Rmxtj8RSHZ/z1 rYGdktvbbjmaK3C1PINHq3kIjm7yfpAEIt90/Ezo+Ya23woQ6WiQLxZm+DhsNx3S2BOOpfUoeV05 8iSQ4B/OMsny/8kQer6VIcexpG0S4yHYFYKzK8DgAj4VMz3xhRRbM+uTwLJ6yiwyoKv6mvV9Pku2 z5TRyP1Y8Hc0sCb6lfJ9jvt2YGmnGyfncBrWB4u9oFfjQqr/eeOEzd1+5hKjf5HxN+86JB04lq9d mfL6gLWQtWP/cTYsO+UheFuf2sGvzvQGZwRg/jw3QVZjsxKckUFu7z3dxcJj/pNY0paE49OU/CpN vvZwtGQ67QZ0kPHq6m6Wi+osk1BF4R+GT+Fi5wPakWGP10aB28h9lOnqN2epu5McvxFVZ76xLO/f CYw13DtvIb3OaHag4ceIH5cMY0quzWUyCdK9yT+MB25b7GWOoTAHeXNBkK+yRZ79XUHbysm5saF6 TsziaYu2Xn8/f84VPpQA5GQ0sqc0n5tD1z0RESr4dQdMq2667ibIJkxErx6KGERtBdaKXMPQ4C3i 7rJk3DS7fyM93qwZlTwKr+m/mPRoLuGmtPd2j+QAasuRwBzo9JRmpY9nGrN1+0yCxboqr7ZWPPIh Qla9t9RUtfE5cORvmAkTEMsWJBztxoClyhHOkVtspL04AFY0lRahCKamo9pnA3t/8en2hVYv3tfn 2XNm2ZfvgMoyA7zUfhfEQTzmSvYhGO0uEl8iZcmsz2kDQ1UDfHc3NZDBIiFGLH1gMJEz3rW4Jo6N aMxqWpgG/G2nMH/eTTlliMIgxexkfYC4Onb8FGOQftFNhVO56EXBfMFbg4Ag4+E3kQ79+FAtiMHV eQA8qEtuReeDN1zQQbgOj/Ap6ZIHsnq/JtUtl/80L5YY+faq9IocfZ+OTkN2f+3Rqsw1w6L0v+Cv By5PSwmVUFmkjcneyH+lXB3VVQ/1rjbeiPygWSkVdPUIySEjNIiqZUxnFB3O5MhldKAverunDOmL cj6sQhlwBH0RZUMDcHTLkM8PtEdykMT+eCcrebubyUvUcQi2UDe9maDM27bmvGyy5Qr/yRrCU47U 5EgWxr6RaShjffEeF2NMf9vXDYp7H1YllCHrn46SsUQfhW4G9toxwt+wK1vhj8xudjI1aor8zD+P gqOPQ3pLUHYejOTnbzs5omqLnQhCaJbnuFLzij1dB3wUz02bf05x34iCpjPAr4Kgd1+XRwF90Mup dQWS5Ufqu1ozDbXkJ2UZPrrtDS2utmBAIZ6Xrx22lP1S3P9+CkXTPWGVuwx0r52K/wZg/sAGI/0F Su4mKOOUoIWr58piPrjjrCXwQ7E8dTGf9vwX0/awfkzS2Oh2rNha4khE+hXZbgvGn1do7Ds9+hmU wL6BplG6ns6qlN2Qa3PR1+jX5dQlt8SFlu/G8W93PMK8OSxWr8TmUczHzUy9qzLPMWmpVmz7dgia 1Y6aP3JdAhr9spd5TTGELhSy+MFWHlNAJbAWhXFOfNbKpL8MLY4+GBpHb1tG7on5kYYEbXwIogKp A7I0k9cgzYHzqLWHC4kT+oo9QJpwLSd+D8z6wB5iPVLqBF55tFf/hTf0kon/0wECyH2I0GCTZxAJ SDm18HmEoaj5TrMbWxABwEpQ6zmVjp4Ac5y7PNfvS/Se1bCo3fh0GBVqTCiK/UEhRLeNnsaskJ47 smRO8vrwJc6RT5liXuTyX/ztHVuFWpz3Ujgkx4a4b6VntW7gkbrrZhnj3KHvbCKSHUqU8FYUC/Bh JytRhR4sZv8dC9q1y5M/SzL1k4vu6+apkf2qnX+2h0HkGvCS7VdRnlhY5bMz03u+32B4U6QsSrP+ 5egXtTqmHuJ6G9MosoLrI9zCizDRVQMbzjf8szWDpULk4XSV+cCOGrBAl+gxxd85bzMDGU+8SxQn WnNVWbwaeT8qwCxQw6QEhN8903NmR1BeLqpoV52o00Iws+Dib1srr9JbrDezyTQ7mcwfSE1jczI/ rD/SpzyQpWrrGuIdt/NPCmb+P9GACj21uh9Z69pysqpmm1ywUwYF6JxIHaio3K5vOnq5bbcqx8iU 8z8kPmM9YiGBC73k6BVqwRMuF3F+XOulkLg5onveHizzof6as905hGdPs2b/nYJNnX0kNCeYbdCa rdIBFXs28VIMU5yGwZqaFyab1nvayH5X6wbmzh4NUUaoA4R3atF810eDPXQekKlLiweUjPMPC9YB gHsvxkV2jzBMT20JmWz1Eq/AMoM/WyhoR2sOpXCohiLKRb/RuQnVdD9wAuG6YpZLJq/86JCsPFhw CcfdZEPRlMUQaqnzmUd5GJa+PyjOFtlrP73yNUcATB05xXkKF6TW88xs6EcpXrP7x0mIsw/Iqoex MInHp8tYHu5FSEGwff+SX+35AEdxSJigON2JIy6xIBl9unYWlb1boVn2C6dxtOM94Dja1OG7ELGb TmH8QfO7AKcnVPYcgug9OZg2YJo4RN0Euue31EBV2kmU/bceUUBXox0HXsBJn2F/2Gd/Xb8bHyaI wl7OViU5EapGVeQmJFkfm8JBImTl0rwD0SP/qugeLMyrfqGwwDCrsx3lpO7MQ0BpyDrNgLuA9yp4 qdZ/NgBcEsR15ChMS87uQ1AJMzcHclahT7G17A87GOxUtQ46NiMrejanDlUqlwAsMsiFpN+5Z4ue Yx7IL+MspgDO654LfW96pHK8yRkrhRRjjEA6XwvBUpBW2QhlEJ/VhPgty6cjxuj1/nJagLwcA6ou MWsKqFVBVLzx6jUmIivHkujDbEuommaO4hZulgUTctH3WsQsHEQguxGFfRvvTjeEZBaAJ80MnP99 vQrXRgLZjzYxIHeOdZ9/nNs2jhqMpJUu933OTqQYwVomIBTWHZ6/P98QXFw0p7PTnJ8JpIXvUfSo /2YX7IPx3sLADZq6jXhs3njisQDTjFZatICOly0JHJ38XQt/5Aw9vjqvqohKKBrS8k8XH75WsMtK d3/o68+djORZgtakeRuSHqgE8LBNGPWGhYfoYxSIoE6ekeSiIhUo0pT8W81GvEpXkPRmh/a702AF J6ENeD5TuPTb7QatzxfDZ8A4L1pVA5O3oi6ELxOmMsRuJg6WJ5Ov1DWCWzi9kbhg4lW54Ucd8nrE PfAvn2svcRxGVSjrLa5UFVTgBJTGlr0G+6ksdg63Y1Veu/IsMsSvYw13Vg3SPifgE35SHz0CojH9 dtJWIB2AkI9Jv/V5UcoebGPMzMM37lvm96xiq6EEHxJh3fk//dgc/Ut4YusUwSxvoX97bBrPIbtu C/YWfPUe7A0TrmaeK1TgPMe/QztyS1cGoGfV4GHqrZtZMDqn6MyhVUw3GAuaSaCv0ZXKX/fkY1kp 57QuphQB6rjug4y0XmxfZk6IjweuNsg228sMsyEwmJOFgxpnnAiETnspPumpTNFARyMps1iLNV9a tQT4ogYCLZcHJrRwRfLDpKp+XDYycZKN8EqaN8lmSTzYWlWvy1hC5VFtSCSfY94mGm7+Cb6lJZVw +Ecl4H0qAeAclUBDZ8ibJOhdsHiehaif4TTNWJI/srf8gHee6xd/u8xeF4tEAc9u1oVFZP5BrwCt LgSSGR+/IRarcB7c+ZJffwCgc7jHt2rUxQ0Kirl4ltr07eapaINNxOixMrUdkYtA+BmCvcXuovE/ F55o1rT4UpyUFsIamuX/++gRQWiZam2CpXYx7aMshmxHxEJcsYjxqBsVBBv+4H0ys7NEvh9WNBfl irM35bVHBMcqUXQyZQWpc5IqafO69frRKE3Evb4wsEDPIVFPwm7nsGmBh/pbpst4Yn2DV7/JPcwZ SoCVDn9JjCA1fa+gtMmYZXS4ftQ3NpKVMc8uOl6KNklOlk7IgFfFC3p+owM2Dng6//h8ykjLM/D/ pUnHGgbAWWaTjDkLw1TaIAg93Y3I4VIFpKaNqZNpX59v3KG40nEY897LXwaCD57+nC739HHe3Uws lCapDt3LUpAZwvhvVNj1KTxc6AIWtfcGIQ6rug8rzmY8R7cnv9JfKl15vnFGs+Xk6jx5LCR6p7tG EjJceVrQbIYLrDLkTSUDWeHrHdtMoTVVaD4vKWXdbx9GBoQx7ogcV32kM+a5Dn7nmEkJkxudn2Vh NuPMjHowaqyujUlPXuoiihmmDRaIFSnEKJh+Ryvck2C+ZCVUhqecXs0Ogxi7cjWDQJ/2yEqunHjT ny/IoKTE4mHExicHbMF8pRIIo718TLWeSyNWyvr/IRDp8Pv6aIIFLEHredHwd+eWqV6Ri2CmGj5o snNRuOuhEfZXo9gOQiowcjX7eXu1eT5Bk67FrFANyyGTrZywyoeLbI9BldtXLdZxfPDvCDh46BTQ oYl15v3ruSOU6KCTfDPff/lqy+ufM+M6ouERtKhIMlv5rtiWcFcLyvZXm+rvBC4iX89x/JbXGxNq FYnkMe8LZA1NIiMK6nxxzmRjRkavCCI3t6Lzljl50VZyxJ9zzheDNCULTuZVnnFS+x7Y39FqCkwH v6qDKW9RQzxSGnyRQK4sgaREQvSp9McLEPP9P8NIPNHYkETQLaYx9FDydHWhDAiOEz2I/eb4k8Fo jtUwmbDR6qYMyqHJx537dR88hsu5Hrx5vn8U3r2p5VUdS6JBgkwT7iz/T9zUiEHOp9F+6Aqb5rWv 15BsbDn4BeplmZp49Jc6L9SDszIYWDmbZxkvQJGjfU1Utv3z69EyboCdHQ1whZk04vpk66eb/drA 4+Lz2RS91VG8vNrqZZYGDDTr343LqY8JRkWuig5kk2D0/ykIZ6u93kpgOXn3KhwYAO4Ay03dxhGM t/uqqdiQye4AzJsDpyPV69PEUtmc+KvQQiE9KvTK3MMOwmppJT/pkfUr5Vmrcvt4Q0jXqo+cEM3I FEbGnhl8mOMxZOaKZBz7Sm3uZBABCEBOOMqIUcUIPGyeNpnjlGxyROF+deutxElLgDKylyQ33UsG dcZDJxalQC6LIQCpr78XCV68DcKMwsbDoJL/uXyhsMa3JkT1TUS5j/mPhWMk5pBw+PqulBJogHF4 TEdXDQk5GGp9RbNMdYGcRrHrst9cIGV6Qmgh0qIAwIYnKAThM7XLW5nDgi6LefBgzPCHzc7/gGqF hFSa31MDvostzfXo83aZHF7eOs0jxf6DkwP9nWYh4KNBwXHEx+GB0pXwa58I/gLoevUVvqX82on3 cNlkhG8w0UxbCMDcSHEc+WrnxjKyWsXuG2Po8+AlK3k02x3FHaqf9Z1XYnIpbzoE0t68vodxzEAC gDaIDUAg1fedBdME70GMbkdngKc/eG/mpm19rzmb0LcQLGCLxqcp3V0vy4kqilI6Dm4cBUCD3czc l2PeJW5T+5hTkAoux0w678T0TABBPL0zHwwfA4UcCLV8AiTJLccqsOihNxIZEyvyZoZXlLtQIRo8 0Nxj2bkD7M3Sm/0d67ZoYRh8cwUu6XHCCJbD27FKkhkxw+9QdjchL8X5GbBpLUhxZnJPXjG2X2k7 7Lr/pzUNh+8XcXuKAbK8eul4lDo4FSQxR1Zi3/JVnSCR8DKZGlvIHXUTiSC+zO4klccXYdd95YJZ YF+KG4ajTt0NfQlTYe9/16x6ItE8LuCLAYBv06e4yz8c7AJY8MUrB7hy5LStwawiEHCM30xYWJ/3 5O60BoZw5AzF/opXfo0RVzcev4oXQ3tUD0V/8yI+ZTGOoHNmRTX0tAFWvz99oxYapzKMPuBzstMX zpiwWq2tB4i6FSj2DSOAVjonxa8IGPYzvxGeKFNPAyJ6p6NuXo/orBFEA6FxGGNix4QhzimtZtyg AJYucJG8rDBghe3RZXXoEMxLfEdl85EroQnOjpWhhUub2XrZc2bgfqGRPsvxYSnY1fNik6p+k+gf IeUSKtYJiQbUbNJRCy/2nTe4RIASAVfIa6x5MJzfg2B51MNyvVejbcxudSgawmG+xcshgD8kI4OW cwqwBqX0X7Dz/ZKG8ojdlJJHbG1LC+rkEh2eph8poziUlu+WJlSE8PgTGEeAncBZd6j0wp7AcpQx ivu9K/azqMZuQyBNF1a70tE5RXNCncAKTDgisVnJ+ue+acU06si/hbILy4l5BmDXQWxF6nGzKrAb tm56OdR1fstyae2b1eGG6oBVId8RqUJUQpswuyOB+U+0NyXnsSfDviPS1xgdMcJRz2C8BgWBGjpA ZL3azH5F0hQg3qtVbRlJHotAUbrX+K+r+x8g2fsmUbUqheQWCKO/s9Qd6SkwOJt54daaPxdKuxgx c7uG5FZp8CnnPkVfLzRAkQdc+zrhT5V8O0XzBS2ExSONHSDwmRjK2wscT0+s/4WgXZlzJzh4psM8 NlgC9jaAGpJH0nPfA1FUWGxgIyk/3p9aSivUo3NVtg2PbnxFCes83VlADlS2Ta3Uz+3xMptE8pVp 2KZIvxGMEkDBdieCEbxwXtTrQBN8nGwy6RrR98q2AVKcmIIIyY4U1uBZelwM5srq8F/EYGhUjA+H NpZkR0UVvJeNJt6WnZdrRCUutH+s3yn54+mqJBXV9UYVIL3NWsmD5H7pWaK367MiPb0E/TsdaYkh sL5FMh8NQtAlHqVRsbSj3bzTNiOYD2GVO/9UpBHpG05UAMfyghfCZ49OaGIfcLyJEfzPY6XNeWkr Q9vGzR+M/zhZfWHgPwJwV9tvkGYbvCcx83lAgeyWVsiKmMgxj9DjEhgFe9NimRmPi0zEwir1XaWs mCCHlvzaEi3+Ql3KWXJzXJQ9BqEBfqIEk1OWcRCT8SbZiYTXFEKgT41isFv+P7D6CP3d/AeiZwMl xLp0Pg9j6q06vPt/tPq/SFX6C2yuFMlGmStF3Xjbcm6DdnBiq8O4d1VPefc09v1ftptf4O++cxFs yEPcUVu4cduROv/xhlLarO+m5VQxA4D5ZaJOsLf9bAmlhOE2LRRUxWjwzyN1adAbepbf4B7JHFgc 6pLdsESpkUcneb5GWg/zlMgASgR9z9zS368Wdb+9NUWan1soeGX9SehcLMz9rqdXtmus4BiU0B2k lh2Z2+p3QOyIdLwriAeUAsmLOrS0UUgUwrhMyfOXb2i0XF3bqiM5ebOtuUd5sVPOjXpF/P3M/tvJ KueTNKDcVofv+rbpbK/0HMU59RSJwKbsFzFBfEpA+4FbeB5uh28jaEiAIg9Vv0TzG1/S3RIGu1Lj SZTK/QT36wCow+lxUsi0BRB7DKdJF6ePmfCYLBzv+aRXYJGbZkuupf0+BMjK6VGAbpFGtM3vV8UA V6IX/vljH2HDU7u4PT2qAUrHQl03FTEJGdlcWD09aIjPYcEDIDqZTfCayN6oFBd/gX05UWxqPLGv 7u5EgfhZS9pl4eCHyC3p/ize6pK0rPURTh4XkUFLu7q3k27M2tMdfw25gpTlfV4gRVX0r3U3hmWB XrvW4qMxZy9szCyHFOu00r3q6m7FlUtpZ0y+hB7lTKrWjLIAxWfpWXNDJ93xD7ADXjAyzJdVlH7E NNs59gss0+xPK/QzSKuJov9bNBZIymW/w3AoEMeB6RKbs2ejRmpp95oCucrEqtY8dk0DWUE5f4d/ PZKAMbVL3vlPK2PtH3XtjcNbVkOVvN9dXLDQC/U/s0V72fu2IWODYbHAh/10x9vZjVD6s0pEPOGH +zClp/3r742MroQNrvlY+86ZpvJpqen58tc7u/KSNmOprBv0eDImkdPCp0tS1HJPGQ54GXL0uBl2 WQm/GppezXl7H15eh74xWrBm77IIU0O41B9FWCEwlmUs7zD/LGfUlbLzXIKdzAVEh6za1dPMoa3U TnBlQq0nk+80aRr7XqT8LnSBb9wqLDicwEb4wLtiDvyTcNuBfdbE9Cs8Cm6kMSoninVKJmZ4AitU 4t3boLK6Weg3r9ljSAYE589bi3MpSlRzLdbMGKF5Ia+cU6PPtD9Xy0Ppj6o+KDT9hFoyBI+sq1lO dRnlZA7RwwUb7guSmnfHV8Is4Ldnn6KidRaDMrQrMUx4ZNEFX43EfnSSGjKwbIstDgSgdCVyA3rY 5fTiw92y6sEin00Grz/3dnkneh5d+BtNDKUzi66YvnRtwxhDbts3jSrn4fzNI0vGRs7KJI5HpJsJ pzl520zTOeilGjh3yXZ3J788P5fmkwcph7c3flParVRxvS8RVbPSh0GznaQUXFsnMfE/pecXTwMN m0n4+r6OhormuIBvD66FAedzvs7tysLjXQOJmpar2v/2oJ5D6o5eeoCQYlCdbMiyMkQ0fbnWVs02 IPGb279ebKY6dHGP56aNJjGyFVCnn+xEpS/drTWzlmjUfHmcPLz8AKI7oLXu/DjxD/RAGCqGgWwH OH6qpgbYbfhFRRygyosYLEl1A5DhQEVNWJvjZEWtiB/IdvwIPOSw2F3KIfOgIxbZGN2JXxLyaqcU trBeTDwr54U8bURirh64u0Y+rMjqSlD94AUIeoQU9JsTUZlw4D0DvODcrujEnh3VajOLn5vDxE28 ma9+8GN0EVSZEpe0p6P5la1NJGlBM/IM1yMW84Vwh7wEgH6cWkA19IHDwaWgQ3XivX44cGE9rJr2 HEy3NyxLgogOl15iRNcOzt4wSvmeD4lv7IBG2KgUt2LNu90LpU0S6CONDh/mGrAva3HttjJ1y5Zd L6dWSmwD2AbUgW91XiBtn/VjSA576KYiThZr+M3YKlUNLEMapvwyoaH+WbUdj0aKf8CR5lzOu7Dp YyVGbnnABKD6KbzfaErPsYbuJYwyxO5CRPu+WaiyQah9DYQqGvPeb7vTi7vNbrZYe5ZipQa5YaCi 6ks32uTfCw1YeC8N7BOkipfAZ0vdBaoEm6rqOVnx4viuzTyMaZvHF6Njaa26+u8clzHyIjpGsBFD RaoqixtIB0JdZddnbM9IKoIoqyiwW/BoiBYZN5TwWCrwbTc/NjB/6326qvhvWo9nmDvbUZE+3gGX AfwV48SQYdplRqecI2jEU93ZdWB2QSI+tap/sbZ0Kr/G2OVMYQH5NnHhhWJ1l86Sl3Qxgv2Z8a0B z33OCpPK2qS5sqtehq8Bx6yBzXDjL1Mvi//D5OFEpLIujShwrSaKVK4hp9SdDlDAxvno/2q54+fK +mleO8P+y8jhGIfWXhOhREb1FACLN9VUdxEBCsaXXCZQRvP+MAIIUrkw66qkrZVnH66kvqYkO57X VXN48dG9PUeA8kHAbuAymOQOHpwQq/qKkMcN8VoeRsfnUjXaLRmH4+x5Hb77UFFq/8+LZWXY0Ynz M6PVldCWwoa8etRyMP2yzogdXf+LMoAdOFvG5qP0XKGSssDTFDAAHbj41EZHkDBnSv/cu4MMi+4m ZGKTbAmSFUMHM2aiptP5nuj7WtCSwJ0qHSlk4cRgt+Ohi7sIHmRRrmdi1NOSn09Kff3voBt5LERB xNyp35NG55kfwgMCYg6VA4PK6Vu+CTWLIibUc4KQulx9yGAJhgn7j//8TCWpc9u2ZnKwBVjsnyLu pswuok8bIW+NXeXT/D3U62uPGIXl/MYj2oofNKpK7B5IwvNDY2aKwjXijjD4TlfghqSXq/MnwEyI M9tDpfHAersILKuqeQyfvE11OlH0DYLInZkVOfD2sim8and6XJf7LAqVmNZLnNYVjVeWL5tQkIFq cPx9RCea5yZ8QchV1U7DEheAVZILCgzTWA0meUS0cawpzV3uPsJVVqcoLrbIwdIZye+ndbWH4Jpr 1YBWd59Zke+M4yQl7oa2VFNnJtFKUQVIShlZHFFC+MEZyLvxvZF28Gr294ixZnWg9nLEH+iuwHHe QPtIZ319CJY1U24AelK1TRMFO+Qu5pJYzK0zi9UUoDbpNy343BUkKPhVkX7j/lspUFWCduKZTjQw 1k4p2hQP8ga7Y3W5iwO1cKdPKiM/5Zi6iS0MC3Xm1Am55076F3gZl+sUd++4GPrWtFdtZGAIvP9I ol0tOSmcNSA1RP+MbypyXiBqrnqvagtbFDZZWDak7vfPeJTcvXCvaou2e4DuYtnwBhMkL8LG/oRG EUolJN6jpIt//cspUariOO7qKIxD109xiHEoS0R2R/zVo86v/0YycNwuzw6/sVGqoSlxbQ5rpwR2 7RsK+37xfmyZr1qoXyW0sMhp6DfBKgfCCJpA6+vZrGtt1lS/VTR16b3syGR3BF8Llh5jo2hD0Pgq su64w3ptav+AjvyZk2jSii+MrW+20Ph2ISAtXm+gC432RuVMI5vnkBpAKUhX0MZuSdjiscNEqyFu 3TsoINrXYZuYBZ9zuPuaBxiEKYiPmTqzm1MjrxuqKJvA39Cen97af3XzmtJf9emCMOUZZxAC3wO4 EXPMoTfElGEeiIhBQO2Pbeuth0mZ2KUCu6Pehf+9wnZNGDl+VVZwf1YkjNBA9is2JgNgzde/IXfo vvI5BrsmYxEYa9AmBPaKdZEZQna9sugKY7cn/F09KIOnNW6fENpSuZlhJlGprchEwmEjsIUv3gMJ 4zKu9oCDPvNvZplPbtnzZtKn/SgFoZrVJq+RuNt/8bpP7M+N2KXIP4tBOGjKafG8dqAxUl+5BLE3 rb8yHo4o9CuZlZX/1Ue7qh5iAt2zhkSFKRvhJUF4jdaDXRGxTq1jXx87ojpPH5Rz+AQALwgLRHsX f/CmjTg3v3rdPVIJ0aT6MPG7yOFAnqOwEVtCNjr4yZVhq5wg4lMBBionhVNQKn9kBakS0PYhZK0U WS/iOXjhA/siangDlDtGOoubtTMFMr2ILwMMf+gQ2tKDpruAGHzHanCOFP7uAbKeGfoKCbRr8YLH WpKCQWTUuUPwgKVvc5P9CyQcefMGJRTGe92r8hRqynIQ2se3eQIvO/NTMjsz7g02xQxACniLiWYB BcXsKn8z0+6Vwi18abi9rxolZggk01DzSh4AV/sz+P7erd1Pc0tqgpnxV6lJNv9FValWoCNsO4Wb uCwbTyOLoY80Ntuv1D8wr/X7WGYnkNdAZBK6Fm/HNcRJB7smEWChsVInWWtTGJPtN2QhbBcXdaPn hsIDd9mkvGeVSw92EuOKd4okUHjYOskDHfjUM1TMRplYzmMZiWIt/b2aU55p+/oTKG5YmBtTS4rT u78jPVaBs9g52xKKI85TgsH9fiokvEORnOhpOw/PRiGliudA19qC+Ei01Q+otTC18KjOFbSXL5gh xNzxGX1fCWjcL9rntEqJDscHkGpX5xH0Z5a3RAghhNCDwLtTMvAAmlPi1VnpHNX+hra8k+3yrzIX NcKUToZe3mSwGWH4iExBQTkIvF8yakpI+iLAQJqboftuye7MIxNKIEd4XJJiN0zbckZ3N8rkhjj0 uip0b+cBCAIDnzb3yGN3M5s17koK+Pii0FMG5kD0yJQvczDMKSwNQsKYKcTBVuWetgUqd9gEXv3j 2dPawL1XVXE4JVgkR5+dHxcdaIG9Z47timXXuBnQHYgIoaUcM4BUbuzOCUTrInLT6CyRfe9rHTvs 8J2bEUKU4gF7gU+60c0PsDpxKWu8e6xkT4iFhbnp/sw3ngDLZpFqx0wYUa9mpLT/QZrmwaOFf9PT /0XwvtkjeSDuEWO0CaIhVqOPvTnPEQ8Zml1EXeD5gmm2tBTxSXaGYCbzEWta4SIOcuDn7FKhXkv7 Vh10jpRLdO5/0FAdTnP8As71PyxhufD4GPRopVTq8I0uX/BRGZZ6CJRmb47niqrPF5Xfl+kq8CvB +WclZtPe9l/PGiFo5vni08BZdxmUlKfYGaMq1zNp2XbDiLbOp6QLhNVeJkhiqOkFw22AGQYgD1nE KrpfzM+m8Gri2QSWvaELY3qj1a1s3Y6t/1CWWczAF9ZlS7dF0YYSIK+eUoC0leJ4uPd3lMrUEf2e J8UswUkm+qG+Z8+dT+DDmYiI4UQBLZT73dalOIuOt91SDoxJ3u7W+mOxhpWGXa7HTlNJKiV4eTCz AKpwRrZXii4Ras6K92/wZuJPraaI7+JAjDuKlGomKZ8I8HhMk82RDF06FhoxXvWmMjplj2LgTd9j 2CQUYzwqDOePo4gLs44WEo3Sg2atmOZTivTlwE04FLfncnfjF8/wk31yAF8TI4fy7/JMwwupJ+BN SzHJwInrgci/D0PWOlPDqQW30vQW/oYYwTQ2MCdP5WQ3Sl+WnmNeUi7WNtQ9XUbZot+53Y6f8UMl GYN+fdRs0c4ttPkYm4snkT9jiamvbTwGmTgAPuZB9o9VV7tbfUMdknuBIvzvHvlSJSK9ok+fhBO9 1/798kdZLb5Pp/LZaS7K1iuc9W+2JQqoU0kBhwrFoYQGzFqOi+N1A3RYetlL2wIvcZscEAApMcLG NJNNNstJK19CeCKMQUshKBnb41RYJgwXepO+2t8ebTQsDkFR/htqNMf0J9mDuR3mBk7s2iDHAysf oe3M9/kDAVX7RaUgpb3osBSFmYFVyvK9qQj4KruodvDrWPFMBsfUBBhQ8F70ENXfxJHCVL48KUPW Mnm3XaSA7UXhvYXz4qWTTFkpgEdNc2ItGx1CYN2PeckSFh+f33vyTWPBJHuwNBVm7I/YzpVmTeXG 8MQCYuFjYlffEXQ8PeO+ZBdLmA7/Ax5vyv0LPsCfUnAGsZmkP4O/2rG3wpxoQCwhiPYn8If/0xhI ymFYZAZeTXMU5JIRWQ2pwyn0g7jN+H2SDa4IiBKqUmEPTcwEqYK6LLQBB4mKsz/2GNPtfXYYBa58 0vjB/uPoQD9EZYEYnpXTrTk0cqC9w0sTrHwmG7w//+ih1tHhu0+YSkqLAmKo8LcK3dfxW2X1oSy5 K4R/CPRsrkjX9nwrE5BSXDMHFnrBN+iairAoW5SdlPxJCovnCtGxjuo65qgifhOyw5YtjbIOMz9p o7kqdumSjtaRr4c0WVS0jycj7UT+oHYTc10OPBECdIWrmveqD2/3cS6Og0emwTKx1L0JxnfrQLxC VqmjGd6+RdRs59TZdPw6M8lrB0CCtx5K8jnsqq+noeqHEJFMETBg+4qwKGxFIKHyORXc3IzlmCZQ C4JuCxdZ9uHs1HvRi5UiXMcK8A0jOsct7gMhiSKP558OvVrSoPmrdWNUWPNX0sA7sBwW3QMMIR53 itO5xe2ovRAVLb/fGmgAEwbAPhG96fqFxvjDtuzzkt7vVX+gaOKdz6PQ+xn2XH9DubFh+s4aOobT QgUtTRTr/e74StrpfCv0cc7hiGu47/uTwxCGMuwJCMpBDhL4LSDkIkhu1AZBaiwlB/gZpXIkmMc+ DOzOLX4sxAM3jCUnr/4qu/250bUuDZKKcevRs8HsWFtR2ja1COcMD/y7ENlWEoNVzAOrrnAhlfG1 0zGZcT/zOTcHV0thvh5oU3SNR+faOkCTU/6LPhGlOriAMBTrs78b54iwkSiqoFCthYK/czcKdI64 978NkmxZCNnWTbjoQahB1oxx4/eWvIe+pnDKrzVf/4aqvuN37MFse/flCIKG4UFQ23cFH7NW4nyp vOIBhfBgTRqca7dFe3mIPDAepKUlTeCbMwiJ9SV95BPyUujmevgIBO3Iis7f+Qsz5C1HmRPQx6ug wiaHp1LBul+75Zok9q8oqiJVi45RAi8nJkGRYtGJmdzHw8SQxHOU+3Eevwqcju+2JvHLjDlMtlI/ VG8j/uXotqkSME1dXLpEAhO1CdZB8P/RhDuKFphLcFogmWUclAZeifhidmSyDjdqc9QIZ9N+dxiD nweyy/QwxQ/fPow85McD7pHn0sEG0cHxmen5DzUaGU4S/CG0K+81nuHSmdwGZaojSHCf+WzkeN2G +/6CqrG1k70KJMTT/q//RE8LHs6p8K1Iy17jwLH4Mxb2zU3PTA9j18F65AElWWHITfTnMHvA/U0Q 2VsNiwuWN7hxZJ8dQ5SkzfmG7tKvBEYvSdohNKCwFbLIlFDkWo7cWfuG96g9A34mlDVTOj+42wSH S2g/g69sfSoOrAKCCLe8wv0a3/qZWXcbQZpXe2OfEX2S8u829kT9zGpFxodym6NFIMtlm/aDoAH2 X6xeypJhjn28JAO7hppZcdjRdCdEE7R/Bj8r4/7hI+8eq1p1QnI5TvU9gJUMpRs2qrpXBSydBINt K1A+q940VrmQ9cQsaAwBMJY40/Vyg/0Cyod1iymuWzqOf7BKRTQiji+peSdt6dYtplzV0x6wWD7R pgmIl7/OM6FrVt4QJK/Lbum67lpWKvwcW/56Cy19I7zDfvA7OsOh6WxY/R4UZ27JtZvexFP+KTXz pC3UkxVhfBrHJHQej8NkA23AO/OKg6aBpZun3VPMfdSHlz5L5BIrjdeil9GcN24DD5VDPxEvPLbv /P4kuqkuJycrCkYxk+SxmixysqP5npECiXqQ2YVjd4wuxWR7Z622FTZ5RZQE1K+B9kcDfPWCHgIY 9olCCCZHxd0bvrPF6kt1jsJydo2gslIkxZzwzCpov83hazIKMH13/eGHw0QnkJCFk9cpu0Dw4mRI 1mg3wNHZiAi065AdocATUOGpLUHVQW5ZqeA2leDt2tsw5gsnxplJgYJydBgT1GBMTRvggviSuad5 UyzFol4/Mg02qWWrbrV+MoI2iop0pJRDtJvZc4Q6R6hUJgrWAnAtSn04zL+wCaA1pswHL2l4rK/l z+jj9Q5Q+0Gjjw1tM7ke4mA/wk8GR3kHTu3lH/amPeNXc5IH3Fu3EkMNy+6cU7oBThrvsrulna3F 7P5Y3Fsgy3L0fbTW1iUV0B66qatUMqhKNhwLULc+QhvYeSCksyAbGrVkGBNqqH1mBH9TOtU4nxxk SsqabioXEYtkN6sq1ARtJPaj7fIl2xEfs6jWp089nnGBm1L47MgY+9A64+nZri1bfG6V9u9x69U/ r/onA2zAgfVHkJRZQatnIEgDYLTiDgITr6pqBJqzQHGbDMvHClFw71Jzd8mp2mvQB92gC5YwBmVN 7Ua+ASPPwnvAa+Ga35/khX2xp3DO4oYo6MyZ3EL7mk9kAPnLy6rKTC78bQzqk14V0+FV5y0KxC4u /lxP5cs8/G0nBSD2f0yBL1deBXvf+cEA0oZtJ3tOqDm5V0XXHaxN6wt6t3bKChRNT0/A6QgDM7vq m4RYSJjgZCkSCDnhPkGRDPiwDHSBCXl61Q5mkz+eI+HkfNQC6ZAr/Tsgj0qGeKefFDPbq30/JRJF ELcF90VkHQ4oVq+KoNT8+4zWtA6KoJeVwya/9HrfIpc5/87Wlb2ZH69eR+naHI76300y0tc0B9Cr 8EYt93rnTpHJEqbtcmXl4RyfBDmRtCbgtZLwpxv0kL43uObqS/wzB8eWsWxOSbE4q2DDL9Rnn2LS PAO7VvBXTwMs0xQtNd8paBp3483MX5fWxufkHWzJF3BMHjfwPkVtxbv2iTTUKPOHayemLqV2z3gq PaI0ohLxkeUpj9T+zxJ5+2xDSl5OX2L0Ac21vsTpRxFqu0anjdmPFN5HJixVW6aphPVvHYoS3tHR ocxForFJz8ptnbqhfrj4GdS4Rtrlhp48vKaWsg1ikoXG/YR+sJB4GAeljf/bFhsZbqj+V84VO2S8 uGASZm5XI7TRZlW6AI5szRKJySZU02tu5QZmEew9xP5vzOyj+o5plul41kzaA8LBfhN4lfK0lNQg pQAmF9EydagU3NQgmr/fKSm5iXKO71dFQfhy6eFE2op82f4J+3fGTsU0vLGao0oN2e7qAhR5sXCY AaDJ3CHK4+1rJ0o4jacJVsi0RqAWQQPbthYgIHvPw4tN7rNKM8oQyI78TwwTNuFNIsrSs2rHcCkM YAvNKy/DfdfihviBb2bgGJ/7AchX+4I3cLt6OYcN9BOgi72iwtsGguoWTc8HL+GIF04/OOCiTyL+ vmGtIC7nbMe+Y3tL5eFkgcdiBQ4J/GHqckkRQZxyzhiq5rUTTXq0aHzgFTo/+USR6ydg72vV/iQN KG/KZgEhYk5Il6OM8THtq/ZsfICKx1t54a5iafRg1ruIbThUWYdB2Audzn+AhMtDK6Kz7DIJ6h16 whAujUsRgEVQNQsJk7mRQkOBiAA0KAtDvB+T8bvcm/c2l3NoaPXaw0jTuudanJvY0wzxsK84zxSp ofdHMlfqup2cnunZxX/ICGT0sami7Qx/7Jx3Pywck3eEBlYgT9lk5iUZvs+QfRHe7mGPa/K29r4D L0ypR7wnVFYBI/oxjt3GY/h1diZpYHBsWO/LAZGrIfpNwxZ5/+Tc6D4G82vkEPlPAmJe8KKpb/wg SGzsiA4ZEwCdDVU5n1mWTGQBzSoUe+orN2DcOLP3XikaNxG6oTMe+9uROK6w6QbNVmrFsdzHgyPl xDSZR4QZH0lKOiBIcB48668mGtWU+WCStdzo+E61MPLrEQ+ivbfzWrP6rFj0Qlgz7tejTAJTrAAG xON83n510IqgHt92rUWpvC+DpNlxYx5VRe34pluxCav/HDv2u9cWbNITdgqd3u4Uz5ALZ82UnN2y y9Lcwn/NTsEs+599/yR3J60GIBv1fRHvT5HspwpDv6LdbVTDxCOOl9aJBP7L0R2r+p+zV/S4FrKz rNtCo0CM6TYJLKyJPj36o+NFeB2kHhyytLPwCX55Had3UD6CwWVT7EA+HPu931/w9avgDGf20ULf +zOfW+GbC0vR3jn+zrgzr2V1BMKSXE08tpphEW+f6do13mSFLVryiW8xlwksOiYHZ4+osS/D5oVz wmfuzgCO+oa6xw4Wl7Wmce5gPufV/IYx3CqYE5P+Px/00sYEcJtm+MjfjhTwMMSj2wvZrDMe8ron FmvLSQfofKqnikxNimpLOVv7X8eP23Hczt/rXSI6A40fhPqcHmfcgK/0w8dyvpN7bnkvTfbI8Dh6 bAcwblmhWzlqay0flrq8XYaBg11r9C8oYqec6W8x8+sXvFMQyRDxSY9uTJMhI6jdR5olovO/LkCy IyJhT6AIc5V+hnaqT3iWoqymvAsDhPmVHgFw7Qy73bJei09uzptd3EXv4mO/NcMtF/UiA8/ZVjz4 ckpK2RkkcVouuQQijUOmukTQWm25TZQnJK924NqDgoZZP3ti21JA6NmVjHHOSSvFqKb8lRggZItV cZ+dua9kHAhQyjrJli08XODIZu3EjTp5JxksGzNAYzsAFdgDaqUZPusqSLW/4pB5BE/Jn5atPuTC 5lVrA+99dpipFzhhO8jzPWKW2yAUTA/N51uj4LuOpMAcs7JvyHiCbZDwsXbkZg8DMj9DIqppE3pQ QwM5q59sYywLMtUG40V7ItQEe9lHKa0KcFBHcMnWxmkqjgo2K9GkPQnkwCawHtICBAo52NoyCErk WiBlo0A93Kc2RbW/EIT173lFsVa6RgJwMagYm39zVOjtForKTW17Uf4fjUci9btX9gICoqRMTsUD eksidy8XcPuboVhxNFsoMj5JEgEGhhXfvVEvk0I/J2T27XqjGEEqYlaJknxyyB1JXvfoEJQoKMNY Dpc91W4A0umzQNUFbpk2cooUrlbxhJOt+/ScyYcienMJJFsKyYJpHEkYbgbUMoxoO4FKyP/Oz7TO zc1e2b4XPrVFIh0oCT/995HrywUCh1P45k35oBNUMOARwyCCkCJMFn3uiv0G8okxzDUx7LLb6hQg JOHPO60CLdcUzH4uWIHzWy3xIPyE9XPrcQIbIb4buxzzEYfsVWoteoucppYnNYqTj3BmKFEgw+Zq hvpnMGHxISo8SQUYwbj1mHQm6NLBzzlorAxEst0oM3Hsr5CoxOjuSUuW+9JwQYerMzCxSm2r56WW HfpQM55KksZclIG0W7NGpEBFKTP9qfBxSf1/0l8cebXUsWztTNQ8oZe50I0Pb4UzX9OA7FIIKZLR 9+KPcDeXts+0VPJodVoG3Y6Q8+x95WjmmICljgY8WsmX3Nzvn7YQMj7RKna8OWUrqNsZn/r0o1xD lh2kJAGuA/cjEjAzk0NSBVJyCDSF78lPEk3ml5ya7eIreBBZKD5uU8rPGTSzS6sotBD17rVl4jko X0GywgYvbtIT8/sX1VfAdwn1NKy4Q3qdJT2mNaBpPp2jbm9Kd1/3CdhyUepJD0d5qG5HQSs8Qktu 7e4kMrQTj9/sRg+QOr5aZAVGehXb3XG2TqwHa5Ei2pe+ZaH5hxOo517Q5MDdXSEx5uv0+EWV02Ro yoZxE/YLlc3nxSLcBIBS2DD1/s02sjV6Bh8wfmB2n41NutgkHuPHBim9+iojsQwZyEx07m9CmbIm Ab3tOfWHbzMkN8jEXJxENyEfg9zAtxASh+wAGK9sM6XW719Q3sgFFSR6xJxQTPeuJXtfKEoR/Q7V JxkMBN/VSA+vPYzAjt95Y+f3K7iysVeB5c7qThKNY3i9V3YOp/3oPxNx7nBHFs00n9tctKnImcbH XfTjbzaiS9d5SHtqd6ml2OLbn9ZueM6zL+ZCrEiFuRTx8ZUlkXiNUpslm3Z6MibTa6JyovEHwuuu kDP2JpQ6rmT/3w5INLPtQFwVBrRjHzQyZlHLpzYJVGk4hZ7WRl1Ie4AzJ0nviRd5r12bxqVx5hWw 6xzuIRSjm/x/c69bNL963Mz+JnLLlXueJbr2XtnCKBq7+GyX8Mc9D08xjpWeWh9S0+3b8vDsxesd KV95uSBOWOaYn4RQrKrKJH+IsEOlUIJ8hywLOHKXEEkw4ijqO61HbddxgYHgvMNS7w+vXJlHAHsw PT7a/YAeLSP0qfDwFr0ZxI/fg7tmyDPQahAP3doV3uL9ZNZmf/JwMqNn3VAHy5oJtDuFjCk7X8R/ HswLbhmX0yjCl/zwws64zUh0OkP/IiLIsmXs0179N1T96hu1bT9+2ebbF+Gg5R1dBWTamHDOD+Pf lJ4nI4cNkgR31NVLWlGeS2mZj8mH5rJpHQ6tCchkCWWXTCsraK0foCY33TMzvCAqDMtVs/WCgXY/ Uox7KKqoeff0usz0FHX/ffORHwW4C+WhJ6mafrrOG62+OAoW3SboZfqQO8P8auZeLhSfAu0syVS4 GVgRruBCJTp/H6/WyHyA5LZH1gR3FHvR5ZvYkPsnHs+kao7COrxeJ97JRc6KTglaMRQcQRNOyJD9 LsgXUAnGM/Y26nrTo/WP59Sn++nravdGNNv03Ej5aYHyJsw7zqGe6uisw3K2t3cfotuCiHY4Nlfg urUr4h8Ct7ngI6D7bIN3T99vB/bLqUp7BSuhvMOShUA9hjQOtlU+7II5n3xbTXs0PMvDnj9nFk+W JZcYHlkfIr5Fv9hwKXPsAoDpKXBJeEawafiHtVsWwpbeth+psmEBcP/McQhejreLtQBNxvT3bNnq y8v6w8/HokDUkwNqbufdiBv1OA0ZG7lzFMAXLsOvFEZoGLI9jJW38QzPDn6VZiyjvMmEUkSgd7kn Hx5jmPFldEJkYkwpQ3wRTLpTmgMRWXzD8aj8bD8Db0JZ375fbJxOdip+u000E1ech8nPgVz1BS4G oTqdFL/LWVJuXo6ezWk33XVM7aLKoZ9eTrtPwvn7hMnuHBkGGUKwDVU4Pb2g+qyzE3S7HHxUrgcA RYB/E/1im5WamnQzMLSvlGNv8rKc0AyhltiTDw/LzmE1V+hdwFrbX5x3ZttiUz35eMydBVZITK9v qmY/BJsgl3tXOIEfr+9WfNApGz9oeGMO6U1behLnbj+U1HW/po88I3O5I3luBgXW/su1JviHMfHP KCqrA+o1xOyIu8dljd9RipK18r5KvPE7vIIxzG/I/9SP8uA/214RZfbJGENXSp2aF5qInCssUuWr Nw+ZQlepaHmm3kxfoh2dAOcenz8lK1M32dAmiImuXZ1QYC1ZCYrPH69V+KBDIjn1tekale/A+c/3 GFoqOnwVedtxXNycxZv/WcsSCm5IcJE0HCvTBKI8utGVIef+G9WWu5pYNvCwoel/x3UySFwTm7g+ 8uu6EAhsvs0Pv5LxdSXcXYJSdoQmPBQv1CBafSeBZNCnlE9PcqUru3Ml7SDr1DsPOmKguwHE1/cC 97aAzGEM+SKuD9RiUFe9nqVL1av2Ifkj1z4/NSJgmbIkqlC+MnxqVBQ6ttyncOuJeP/TQirR88qd jUyzNogUkOcGG2WdrUnZbrA4a754QyCSj7JNuCMSVO34G+/LYMl1VOdPDpsvxKtFIUYf45wpn0A7 vEgVQo3eHaLTxYp/Yxm1dgkskwgIoihAa1F2pbiYQ8z1uSB8NyZN/YU/OBeZUDHVPc6Xyj580VkJ 6pNMxB4kKB1BUeVHp3dAskKuQT3AU95lM8jXURDs/dUDI4QnLwa76Vs8vYBBcq3x1sNfFBP4gLhL n8nmXFZsdXoQ/6Lg6Z3YSM3I3ZyosKjQm8J9/6aq7Eius8bnqLTfAfFSRH0+TqyImo3SZMdQSn9W 0JUig+mGM9hLgQHicQEJsupOwxBDvBHR8MWLiid/3mA5rUwR5sljLMeod1aa3hq50AOM1s5j/UVc gDXpl51s5pJECN7bxIaTNkXxVD+7JekCRw6IzOYebQC3qEZjt/lCO2as14YmlifqoAiVpZ7ER3Zg yPyZs/KGGt/wBtboFtPlpzGv+bRWdBxXU11tMGIeiCqWH8rS56U3AkukTsns2OYAf7v5PUi/hogs dA122gXsmDa6ACplBghqS0qeCvskY2D6RtAFl/2FGd4Un1UnYDR11yUgyj+nE6gm1SsC7b9fNQcP +BiBiym40tHc1HIhKt3uduVoHN+f4bNaCAuYjKdopsXhwW6E0rFC95n9lpDXRz6A0Io/qQueDNp4 IFWtwpBcvpt86dNZKGWFrzaREWhsN5VPVmwgr86lukxocSOxWj8wsDpJszxx3EOmQbJyfLhDB0M9 YLgYCefy5T4xlzh0I5xT/WCyWMIsvErmMm3wLahpjOZ2k9Nbzv7UKFWwBnwGOhrRoh83JflFthZk sW6oLqe0Mf9NE6fmHYLc1yTMtpuiUThazptT0wYGnxJTUXKv7KRgrtADUnN872TU7RkMCNgiNjUX 3DhirBgbgcJHPeWuL6IKZ8aQP3QBjM+0ash3Ce1BxXm1pRDkxdH5oeQuu672qpTduCgud7uj7Syv 8z2am8fd/+ZrIyCHKqkOOrkjAsSFjyoDLYOVAXsxgyCeQZN4G1K8aByz/N8m/YrWSOekkFJ+yElu gWgGBoChtg4yJZ5Q7Nvmpq/NHzaEDbXf83K1z4wXYheNUUyyM9cCPU1XvsQr6HdlBre7JMfuRHDI uqln5v9cBnw293HdygQPtQjIMEByfRRbb4BbJmPtGsHwajxl+ZtW/F9vpvJlz4gwdMAhIkaS89ur /0wxgyBhdBY7uT9g4rlz4hkjHO8HbcMFRIeFdUHN9fE4L245eJTfi0HKs2+ok4YeXRLDHcIqP6I3 z5lGbb1aHYqhgk2Qe3jYqYYaGvnVN7Z8PZN+WYigI6PVjkeYPeFZ6o1zB0QCwlsDS6usqRLtzEir Bj2armzzjpps4D1jAYQZmFt6KXzmS9m7L9Q+u2J42CtsvDExeUi0XhmOnUNanppnnkc8BZys6VUR XMdvU+wO1YYiiu04ojTzke0GZfie7ilKmXPjpsl90dNXL5c6zhLN2XGUxdLLa2+3VhI6wVJOTiuH 8Bph02138u6uQgUtej+WUoUmFjF21Jc1lryu/anzOPv2g81rcwSRmzVTiwPtmCJ1BkQO2UFbtRz5 N+C4ip1ZxPgLsaV19wT0oiPilX/ggK0GLJgaPdPC3Q7tWah8gisaflXE4GNXzkeLI6qNTP7bwvCh yjdwB543cHGcl+nhV7ZNb6vayza4tSlNI/XbFvEbsp6yz0HdhCZaz9rTaGGjMgo/nOdz1sdyALCW aKSYKdlXo1auds+waY/qydh4kd+H+5z3Gt7zpwzOXIDJ7RgjC0m6CgxsyYYab0D8J676Y55Gd6P+ oDVOb0waJp74VT9L+BwBl4fIui6vdFjHkGEF2Tj7qlAzUTZlh4pI2rcFc48vhtLUIbnIbEiWSPJ5 tcwQzmgVxHM+lBX3IdBPLdyecTtf3uTSnqXH/vVE7DjyRyt1SvhkIqFwmrnJNJUlcYKfovcKZ5mP iZ20E0ObUVfLvVEyaDv7iMWRDEtMr/R2sDx/T0OQhsCQuD2rRx19ICxZnijdxMnsw6B5jPUrXssA dInMG2+plHpWPpWgOHCGq0h3dIsZ1Q5NCsN5CvvNLglFDfbUKGvK/k9IUb+LNlHT2yD3MiEFiS60 iwmyYYkorjtMw2U3ro4sfnT1Ayg+X5ruwTFgCbG+CEjLE5JF6c7DH+WOoqqsRqNlZx0Oars2rKgR 1N05E7aIWoAXm5FvHi4lF2px4gI6P45E+FnzXSL93xRSLFLzutSWKAsQqkx9LBLl2qI4tMs9FeYW hfvuCVIJqM9Szk0Iz5yvaGUojpsixfbovoIGcm0zPp5uv/psqKoa8BuTD+f87ralQGHxcjkXQK43 5X88u0jEyqtHXOFbQgTCsSLgCrwdwIfyFhkyBK0gC5ofJppWQpU6353+WtByKv9SJQal2VPR8Dg5 wB+d/iFNMpoYHy/Sich4CAbJ/pS5mU13tZhENdsqoTRaB2qUEbjLjuSWzwgxTrVrNIe7/Xs/fUxy RGT/0gM5LMHWffD4k29eEbLzkVJ171MOee7EONApJwoj1uOXWxrxBy43vjl25CBqdAivyGSHuM0n 7zu6yKHKT4lNZUTq56DJGtndZoLFru78v2b/dor+kAxtjkf4VgFcmAwnQ0PlT/GPvTbV5j1D8WSV rTF7qm4TA5oDcy08vLs90nAAs2wAbcUoIX/zXtgGGXt8saVJQxAJKp8qZkAGNxYuJZZe40ppsw+V B2sqkj0lrDMsAPSDTaF7H9Dr3t5enCnodeOfVUtOV8EaS7cheRulLRxw8AqmJmZbDXStTsTaPVA6 CjhggSYFL7dVACq3XN+tcbIUPnnfg5KEgIAwSYB7Clc9dfBDhTO2EQq9Q2kvjcE24ryy8nStPuZs 7NNt98AFBVncf+oDnWHF6wsknXlcEshacrJ1/R7HluwtHt6Kj3pOjF75u1HGKbfk5Czf0WBLca5Y p9Xp8MAlZvcYty7CaqGaHObLWBnYvUKzHqc1yObZ9ZJOF7/wpsaniXSdMNF7e3Kgy0+jubUKVwzP 2vIdHzfvIjsgb4bKVwUCUanH7CL77pnMT6NdH4bil8TpXYCTAJNPep2uciQikdSpMZVdx6CmPA/O VQaLWLU0QWtfEc6QC/FKP0RmIgVue8A2jeloLiDO0GiQnbHRVLWl0vU/+6ydMpWW4TJWp4WKpWMz RIZ6RSLcwT80OLrkwrxmRaU0MdmS5FIjYjtVD6BMMzhw7r8ux8ZEHRXkP/Efik9GQdQATxFpklc2 omjcXPxPqkEruPsN9q475W97nvx4HiLnxl4Nreg/kVNA1m3B2/9iU6vHXJlxLVtrY8oMwwoYNxbS MCaM9qusDRK+dp5KmFgRwCqqkx+P8ekC2TTYM4Kkpy5bVCmh2xkp2Pvyc6xgch9xPuV7JB5EMVDo YnZprZzATf51tSs0OEJ9jAV0nerIYtHOp8Gk0WmqggrIySw52zf1ZYdSZllqlUe9oIjPidM/0bMP 97j4fIU5m4jbyTqCdWRoqonndEYdSSV2+f1N7IgdHuLdZCilVqDnLKwmd4hWJj2eKuOa15W6o8B5 qcDe/Gi+pXeqyUX+ChILkvPqjrENG1ET7DDwWqYYZCcbwye1mL5+ftkhMASABgt022XrLOLOQuRm aLi3hPWPP7chf4a/WsM0vWtJcv6vbu246JZfeYAJCOhHvrsNrmaSOb/3SQxut5MA9dxu1wMCM3pf Eec9N6XfOMFA+uOMvXMLjCRF3j47eiSt8f9T3rpRn6Cz7hExB9Ds/rzNwyecfRazJZIuL1THaykN huxltatCAyPbx9FmdgosS/8YYfVlq2E3+UKe+J59GdKibcpeSvmfCo4u+yuGK+WEIIWYj95R+AIy G340oeL1YBfXkA3Bqqct53lCQe9q9gzsdRjEXF1wDhiNjRdxBq2J4JXUa/iQFPLOwLdT8sP72H/J 487PkU7PYjZqmW97SQ/s0sOogidVdg/0ldTLb88xkWfQLCF7RNdamKS8KrFrmZi+8sei8coOJs5l IHXubJd+PsQIlCRQmZNHAbdZ/eNAo3C8LiAJi4GvcLiWQBywVDSLk1Yh16+65vLOmrHZE9gweVBz 5TzGd7C9BYHC29oY/un0IL0VMfEd+CGrlhrm1NM/ZtU/F1qojXqiCStST26IjKacqqIPS38LK3kh fp0hkAzT/DBrbeEgg4teJqCnLehL2r4tB2LGDP7hUG+bT4nGShhg8dmyB2U0NTkIqblUMYKNtipo S57HgP2QjEtZMV7QdcCFZOojAammlM7QAL9E7/ykXhxeW+DwJctOv4pZT+6bthWYzNYkRn5FNccW gLqqlSOqgMU1WgLX53Omg9wC4pzgJV/05joU7GbePI9joMNdT3ZqJo2P1WtT4lacfg4ezrIrDGLM oAPHqTgXjzpXz0nRe0Ifgwu65ZvI6SEMB6Rj8IH7wAWIf2HGMtk6UOoWxp+1H9z5xvD9GRrQFv4E 0zHja7Nrxd7vrbpKfVfE1Y//5fmIT3Ow3Cy63ztx+jzO5z33nsHZpUCoy41T312Q/DCfeZ3bFojs 29bheuw6ia3uYEJE4IXePocNULoPF78aGKwLsbfD1GKCwcOdBZ5iheVsreX93ueuj8egYEQGoBsR ooIzGVRLMsj3WC/fR4rWD9tw6VFc6baWbEPxiu92ZBALJFNax2nW7+ppu6KBzxGr+ynOUW7075if dZ0iiVN3gIxgrMLyQ4g5wpnC+DtQCvrz7c93Nzi5Le+0qk2ls8Mgxo+o6YEGjJfMSEUOX3CR6Ga6 9hHQb3gvvs3coHUr4hd3VixBgpkCKTfA260cc1YXXbustXyh37BdFGWe0zZR58OyOGR60RrLZB+8 /L+Bw9FSrRJYVLnk1CN1JmQTz9Qtb2naf5ANRI6094cdpm5xTzDhAWj6m4ZGx8i6TSs+DHdBdtW3 qkCyJgdtnUaT+bD2hbW/ckyF6OXPWv7eJmv+dgaULzirhPaXBLaE086uFDXzkaCHBEDvKoucgnP8 JxZvaVW628X7uh/88ao1qNzeBHNX//tms/vJo3tTWC4luD2EugUB+D6krwvATwH9/gwKGAiB9wR/ CJt0tuDPlXFR/K0CD1rFYku0dK0VcjF+YnqOhXKxpkUsmriNKISRIYfk6KNTPUQnSo7kuMBRrubb FgVymdPUoOYDcEijubmuhgd93mL7A2y4rYjqB1FeRJWUx3aJ2qhZAaM8H6nYlXamr3VluRGcH7Rz X3f5aUKS8+c1wG9s8m6Ls1Jv/nC1iX2TjPYIktisAbmhf+ARnGvpjE4aVYx7BhjIf22nTYce/1oI kX6FYcqFXMjVW0cmOudq0nSwguBBmA1qPsB0euGuqHgC5pmSSw9vxujLKxCx68tgMDCh1M03y/mA GDef0/dwgbvWUq7QRj3adaut/qLpyYduBoSDJWXSsLmPBw4l7dNonLdYpQ4B9CuThhWp+HN++wRE P/KZnr4ywERuTcx5G0OVRznLuj8RyJT9Ce87lKNFNp08CPJnLOuwVP5u7wosRqAzkQFzoDV9fazP 2cSprVH0a1ZzcXIoNlstN1ZiHj2TVXyFYX54XKZ8URL/g2zTkBT20IK6/k73KmmB7V0INjbCEEyH /k8axSupeBD8V+ZgjCAOi9gKu3rZp0M1kIOFbyszZ4kzEFkQPr99s2j6zx8FKL7FkmXtRjMehubG BKdYrrKGjqY9K5/RXorLmUGv+4siP03UI+4u3Lz7wJqfrcuYJ2J/zR7ZT07J1l1lKqVP1v/tl16I AV3FCfyI8iZP4IJIGky9k7X2LdGbVZlBp4adul28upnlF3obiyQ7yKrzTuFl7rUoPHDvaOQL4t/v WkEsRm6T8/LJHrcjpe+cJrxo4xAd/3GyIktJggVRgiziTEC1tWtY4BUhDvtC1MVBnYUaXH15ckij 4UOH6+qqKrocF/bu8kuurAPhuEu3XTlzaiO+QVIvMVfJwXIdW+9DjMcqHqAwE3hvo+h4PHfyrcVk GFAjVq9GdyVlVBSxOVKJPV4k/y1ZT7FJ+MrVSDut4yVNfJsCmnuqQXauXhIkCqaBUmPaG2KK6dz5 rcjIWWYTvtkY4K286aFp+vzbneXP4A7CBC+bLDx+/sGzKQSQa2DyWYBQGtMlQOAnkJ2BNB+jleBG WS2KBoee+wL3EoAC37dXLEZGU5sZ4Q1jMrtHKJMN1vX5wnYeCRV6dRApuY9BGsvJ8iJ+cT2jwaiK SuownYuW+Ok56zqQ+Sdd+ILmYpaQz+z1UwQf/slDip4vU5w7IOvNJoPGWtcI5URaP6WHuxaDLkR1 hN9fNbF5AJx8ivxZFvOaISx48mugLHsJSg43H6pXFr5103a/9HP388PtcI6QTQgxriYdlzFOB8Nx 3IbEbUQWUe8Ko6aciHFsID0kfTY8tLPTVrG1mnynWIOOTj8oqSz65TwXXEm0CSEAp574tsowr2XU iTx+B6LvPNMhSSbbR6c3zdAAqdNuXOXTFdAseH2K068IqVdtYSY34/4BTvUbV90+9OIrMadRkKIi imdBq0lSAiLfG/9w5Yw47+PZ2WV0T1xMOoygHWokLTp4+dbaGNLkfoiebYDaL5Mw0kNwht36w/YQ v352jcmjqjSUhJr3QfwU40SU2DF4AFqgK286n3HkYy8yQWiM8No0THrfnj25Pw0glStFGv+1VZ7o PH8woJiJzA8NAEOjoR9pgfMU1nGveJz7HvHMMEYN4FeCUuGVkIWvRhlyhHZS5Ki5dbnqPNDIPkPx zwzMZ9zK3Um+ghjg+U0IpPPf+mGj9mGoBPSURf9koIqnBuURHtowVmbNuxBuIqACZv3z1lNqexFI 3h4ltkgYsQ1JNlKcbbZeMRYVzJA1aXRKht+x7mcPHzXKG83nmYFy0Uydv5SXFEq9tQ0sO99r3Lc7 11nFesTzud4/p7y89ftNgCuqUZdC+mB6hAuT2TwZcSoXYQxt4jGJYE2jA0X6FtgX0+/bBK7wbDwz pulyWysHErqDxrWd6c5Qn8g/Yc5ICVSLQKGoavSt7bhHn+1fgjQsrTQL1Wx24hfZq11zt9jJc7S8 0WVfOf5Do6BysAHqQxN/mIZ/Z2Cu0HyiHPXSSmp2q204eA0IsCE8oc/sIHCI/ta/A+zTZ61Y3PbT 11vf+xiy4KwcfzmhSQUsCNtwacCgl7unXpGUgBbrRkRjC0XrdrYdxoxee5Pn2i9YcRk6Jj/z2LiT augi6wyi1O95wLGc7Ln7YBU/CFpB9e1EqxO31TL4o6Mk+ua1bQSswMFqWFrr2h+ztLuTuZc6NCmi TUN+5ba9w5ypD6m41fxN3Khr5y8dAsmTfhhi3d8FIJ6Yipd6W+6cWp1UX1TAzxHeip/G1RbOCW0z clnvRRoCcXU7NoPtLxp02cA9c4h2eui/difKAMB6e6iDsgCafwVtCDZfEeYRlL0wSMVry8qtRCsp 5YDCu3qVjALfj64i9SqFZ4+IZ2gVB/7Du+IN/FayMD/JuGaaqW8hcEyoK8avWm9eUCE8+eiBdI11 CfV3u7FBPcRvh4t8j+wOZ9PfZzn8CiX0FHm7pg8jrsUzPQPcyv4rIawvqik/+iszSoULkwaY6ejR FMJijyoqndTInEdIumrs9W+8TYtgYdybL4YHguHiCBuQi4POVAZfdBIxvXXaMbNo6+TX3YZgYzFy pC3+u5DxV3PRLZSxA8eGu1w8RvO+scZrjJ3IRAsyYVgvc0ZcIZh5cY5NTZ0sXcoGc4O6zjFTS4D0 OI4zSPoq3FLhNDM+E59aG3mO5iZj+g4wxv5NtqTj3ZmRtcLTgqgPsWuQBSYjSM+hfNJ0jinc5pkc THZvLtsMJ0mKV6STKray5xUx1g/3mw5UTRFU9u/ERbIC1KE7t8f1s18T3/jO6kWs8rdQUMyKrE+C 2yXjWpvmfB/BvQEZsi+cCt9rly2jbySHogtlswxXC/x6mK474gV3FyL387TrgS3n7QZ9fX/Kedys Cthx3TbaMJrrDIIEEYo3PsvAMYFo11um263jTTYW86OtK34F4m0xiKuRKWidEZfTNPo40mUs+/nn dAf9UkiPRaORYYERSQCNL23foiw72RoL7/wn+yIZ//uwKFeM+ORCbyKn9yTHzHkZQfq7L96vGFeB GQswh8K/ZYytSbReHjKem4oZ7nqMAhLT7nbuum3A/IIIsjjhPPSt/sEuD2XFO+cgonvYWH6f1pUu Gth7Br2WSSSv+5OIZnBWG1J3aDaIjNLRf3EKEIk5zahpIcw0jIQmMNHF82HDV19OYXQmvnGcAjq0 1wPg73yY/XiNNPZ+fppuWAkXjn2ito2WBJmaRAm26Mzjp/9ecZhxIECnHImr/r2U10b4vpo9Bnlw HuUsRSX82E6kyO/SWx5QP/+DXh/76ujgOseGBHKrCI8mOomcmA5UOtuqfZriwEOOrE4E3DBK3CoP GMBwWxT1X2jqRJriznKDmues7hXem5V/5VERibmBBwAFBwNj2G+yR7c4jYHbrBe6589lhPdOYaus N7F/XE8R73C5ENXnhcdoJAtSN5PxWasYdTdbn9DyWAd+VVhXbQcSTGUGuZ+PDeZQa8U77d/DrGFD qdfYwXZVpZFSlzqmbhTEJHe5bQv3WB90Ht8pq1dRxNnz92o4R5wl7zzUzA5vGi/pzjCeD7jbagCj x4IZxe931JxOzSfE4xFMgvt0CF7cerHY//Ak4ZWsMx9802ueWLNJFUuN9/GVSRls2bTiF8/XSx+E 8OXoD+i0bv0KegMVnp5knwdeYC1S6rb1bVxjNeaAsUbCQUf0YGo0YKrIyaghHmJnEVCu9+WG3ryt Bemld4H+tkULKckByM/elRb1oefQyb4nJsEYq6wbvgmg7lP4qy9BuEENYX/9lpRRrXxV6a2EbKVG bI52w1vRsz4WWWpPhGBmDZzthMta4VsP9puxMLpNRNppFu5VwnjXsCnJbC51G24Rn6fP2aiQ9Ss0 +ntANKH5x3kjFPQ15m4DWn8PDL+yx9uOYymAVXMu3tmt5Ki4G1Xontzy4KiykTxhJuVwqyVDBtbK Jk/44NlEG3QqXW8tZOlJwlt540897HGNWB9vkqWGQBCUci9TbIsESsWs6zZg980eK1OyOZZio0nP 7PajqkIRtr+rqJsbel7NqzVj/8FQJaiC6YGy8FctSQ7nkQf0vrkFcAlqs8UAiFuWVcoZwiSpSKRR YxQDkSzOTrAznS4tZksULbdu+ChhxxiyuvgDdEE1wb7Nzoe3zFUtQrSqDhR0iuQp9jp792F9Y9y/ Ngf+YtvqP9iv9utN2qRF8Mn59QsvBDT3HtjS2HfUAYJNY++2PIHA71tCmNITt7T4UZALOkHD8OYe Ta6tk3ZwT7DgGqkriIQLRuySsB7wdJMHDbNvNkTP3XEFCsY/S4CbqhUHYe5HcUU/tuOX4jYO4MGp cGGD/XrPyaaR5YGWZym/eOP8KEzVnYHz4iKAj/WVASIuVCrDlX5s/8WlUrulDuMieW1UGnFK9XAF FawuespwsSU40MwHyivZUJ5pR2m65fxkCddf8G+MlAfJtJoogltAqgPVLxe6dHrZbN8cO7cPwRBh uzY/SgraLJYQzIFT+YLM8OQe2+3Yl+LkmO54Ce7PQhlAaImEzH4WqtBqsOj6HSDhePum0jrXxs01 WWmOMYI8Uryin6oA18ZS6jIbkJmajXMO9OqnHOkuKysUfVNWlnQsyyin9jZQKHNsic7aTvqtt7Hr xGzwWtp6Xg/uvRQ37kUlzxTieJSClWtU90NxjNL6WTOyXGDMbNHnBH1iZA8sYV2dB+fZeNM1DInm WW7lshfWJmEOGVx0qJ3macgCwTyem72s3x9UwMakuJURt/m/fiCUHdRY1SRcp0LE+o2w6PxC8FG5 ILMXqtD/J46FD+M6s6RFb8lZe5ncQa5HWbEbG9rQUx5o984/3k7hxasyRZKwJ7jk9KF8dUs62myJ pkHXF8VnC4dipo1Of+u9UPNk/xm2iIJVA0ZrTplvjcjoNuUVYf5xXJqWflMEtuApnfffhT0WKH4b DMaHvN/Sd+axtFaT2LRsex4AEV+P7cuT+F6W2+ecV9yvmu5/9gBeTNePLj/wSSNfe5Gm58H5C5XK ncat7xxq4yk03vQaQDyd10iJqsv0pha7S+tqrXeC45pqIpp0c4F3oOs34/GoVxVypjGREI5/00zQ 4x6/3oXGktV48QbFbD4PYgEXxT0J9xG/g0MeMLHfims8YeUkeAg8xFMayE5uMupXMI+wSPTQTErN I974GTeJ/afBJkKMgjleUcABHKKxOAgSGps+OGvNTSazDtHiPr8YRUvVwNJzkVK/JPRcT8oIIvi5 sOlCLyp/T+SPBqKYAPXDd3HfbANoq/sfu5dqZxdNDkFoVzV6vLjBZ4+IQNYtgkDwJAPXvkSkDMfu 2sDgk6PlqnYJwwhJwoBgo60rt9mU1oQ2iAAfJRM9VH2sajbK4E+Ljkdc/y0PigiFRNDAD5sOH+4f OQ/2mcb6yGtqYNMM5pzu2LPDk/z9PSbjSC/RgqjB8kIprg7jXsS1h+LhQ6QLEYw6RwrlQyZgTEwM LLxifyQ+QJij6QLttN0yWyf2efmUAlZbOKD6XFMLIN6MOFofeGoUBP8o+0Fq/+gMREJDua7nARJz MpeGsSyfMToTgx1CS4GorDQw+93NVcC4ArN+3xMgv0ZDzgUUrWCbCxQQHKe6JWjGFEJkQ76b6CxB XB3V56BBzZ8hewAbkXCLwtJC3ow7Z58Fa8c/kE9tsH/dy1iOtKYDxn1GuVmtOXOwOUvkRRuxr5kH VwETyA4e2iDr0sECMyD3fk6msHjK82ShGa15uBQcu69fjoFYgsyrgYGE3f6FUIyKJVbbhtUrC+Ce uROIN7XR7Bc8zzMUc1LaFxvO9b3IBUeb81fWMSAMkcmkX55B/r7Hf8lujBVrBUsAx+M1wt7JzQ5G hYdqbPKcOSdX8UfBAipHF3U9SPQQyL1ieZq3KZrXs6Q0g+DzUxvG+IJZV6KuhHpsrmohnyXANJ6Z DHVg0X9cUbLfqHvzro4mxZDwIMo2ahliwVkvsw4xMdq36e04wWINhG6bm+Sx/sPnUPf2yN4+Rjav owGzTpt6Cf4t5qPV9Er8NxK+3gp5ma3yxcp0Wdag8syiz3p9K4CTIejw8uPiPg46AutVU3t8NQw8 zagyiu5xcfILbuRh2LNdlQ/UuD/IoqPF/a7atppktL0YU8btPvt34IZFYJQtcFRNS9FQ1bak1bhd 1OP3PZlWgSQzbZJFhydAkirWpwxI9aFOcCZXZn8xS+HrO337dvm4Lw1X0dvt8iPc9sbfGAsyC12Y E+bQlG4gppfaVbBeSx2FKPI2wL/p6YLUgkUVJUPEHdIT4QsMnMbWC8bUkiZziGBS1OJ0jAQDinYR rR3/ctnD934G28rETc4bnUkEhfpUZq+SLNYS+GQy7eav+j3dQA/tcx+2m0TUremvzQSfgE/8A0bO XO8iGVHYlVSgw6tXAlOhBKrcn4zcYzs+7WuX/xATEnY6qfEqeVMIMRdbd9a5e2d4OyksdT5wog70 mC77ddE/0mUHDcBG0vo+3qluH/gyGlPDd4Rt902xdCmeBVQWwL8XcpkwFUAPCab1SqU+kpEL8GOD ehvY0Lm6QLmT1svd5LNGErg1uj3/+y4j3DR16rNa8YAlFoLSIqQr9MdNaxXRAtZsZBD6YdSzA3zX Hu2rAcTS5PT+oFQWGqhsmyMHwNtckL3oi5lPQfo8jrUDCjw9CmYWUlXAhDVz8S6TMt16AUWBRgzf qawIogQZZ+YzFtBJduDcFv2QJu5skI0L76XMPgDhdp/MnW/mkS4sETtgke3NIkLfEztJR68yY8m5 sgLPMixgFGIFO8Gdk/brWR53QvNB5JYlq7SpEKrM/Tg+5aKOtU+Gs4/czvMK6u4sltbFKe+kL8g5 7VYICWwfpfsbd1yHEwEzokmnmVzm0x+9Ub6t6wt4lqcSmUcEXdM+SRGrqDFQGoP0g35juZS/n/Ce XQeFS4i5HP36waNYbiUtmaKP1VCc2FThDpPQZZ9nPFvqve0qGkALjRUT8ONACIOxBZ1zcGlUA4za mbosyzAmiAjsP6gAl1/SyDCaxR3fxNn9Sxy65/9JWZSaOWlSxn6fQwEymMxdAYSMFJMJO7Q92RDb 983FdAClvvjEPTM4m0ABecOC3bCdErQq8UR8R1hVdMjl8qBrHHE8mb8SaA5/MdF5oYuLkaWfxxeg 5f2OWkCbVVzfLrilKejltwr6NRRlXNSDPlVDvbadHulx9PF8GyEPgTIVicZgyae5Xdf6n43VPaaq ELWqxQQ2sdUx5IiPh7M28ApEeh1FJv45e5sYgUGp80MACzHHQcWq6DZe9XS9efnDWjrLRi0jB7yD uzU8TTZynpWTRYE3zVK0FMvBoU1r0RMMPU1he10l2w06MGuxsZJt8owl6p5aumWAZ/S3A66jWr/B JQGwlzuo98Dvwqqep4621aVArjksqVagFfmPWJdeJwPjWeAjX/HzrTx2yMfXPF/zLubMtRKEK6HP /Gtvk1A0GE1MhaH+3ZCoduYt8jhW0L0cqk9Yh0xPZDylvu/W0OqPiBcF7ZpOeBq3IlflQ1wMNiQ6 kV/VCuiUvjFpPntr2ZyEIpCh/iYkzSg0h1eV1lBJDISmeWmxUtgbalFSdPFyXbQovhn39aZNfFDK UE0NkpBQwxf2wPZcMWs42mFjvvo3D6/nSjdHvItPl++3EnchVMzAMhYu4bk2ctfETPSh/BdRm16u CjxDe7ldznTnyjN2CGSUGLk29m65WbeSiqroka2+JRE2OnrjEyKYiCwnjjAAvBTbniybAaHjiuAu p+rlWvBx93puV3VlB25B0WBS9uxO3ML32vadqlEdHKXVvRBT1+YsAhE1A3VInsZ7kXn6SnHh9Au6 XjZBrpixT90aNpAoIoE0evFQrTh7bzw9jMExPdlZ8dJC89KGijqoPGFlZWS7Czv/OlKJ2dYqtTZS 1piB3sMR6ovoA+nNecHsS7rx74srZhewSTUea9zuiDRyxZ4rnKVppCK6od0HRpqisyMtFZGImNMr y14Phg5JwMP8hZWCk4BBX95jJccu79T9q7qoZlDXArkpiszCTdhpjQBzl5Vc93Xo8fR1EnUfP3no WWEt4l0JRLy45mInLmd+UtMDWDxWl1CEdJ4phhi/eq3TfFZw2AJKNg9JUkQoDUnk8EAczTr9WXqF dJatKgxMdyfA1oBSu8BFkHMTCEbSF+DSwp3wFTCtjzcmG+F0eev5hZKZw3fxfxWK01G/L1ppZoID qABFAUeRRrAykt/FSbBIp9s2e1TkIdEwcS49244USkfEBjDe5VWLaX3khy6sAYSHCbbG00DlyarO 3MVRHEKoyw2lCkjRdSVU3Ou3srkM16Rjx10wrKvIm0p7ZoJJA6EqqdqTRqyJZDZOe9/Umj/qkODd oT4UwSdhCjtZ2+vGgOXxgIcv5LYokL9UDB0igyoOtwpAYfGMZI05GGbEHGx44gJ4vHzcx0Lq2w/n 9XhMrydVMknF+fb8ETG9aXKDVLK06zn5Ik93RTVXVA+QBd0oSgAQOS8e7uVr4hmDg/pcbFtYE0Sf iHrvGLfHV4SgvawvlGTZGBzAUV3TP0N0ccxEZ/aqiDT5VU2WPfp/uGGHeYSNfkTd9du5o8PURb8b fXBtpQQJjqwrqiP8vmpUgdKdGXtl8VckhIs+O4mLxjsC4atENpXvj4j5bqnIzisrrl0V2sTfKB9P 1jC8ah02DZGlZYGuAGClmOIjfdYTQo0sCi85oYyfeZmis9ysHK2bqFLi2snt/Lad3H9Y1gd59dfJ DXts67IP9RLrT1nYq8Y6iRspEMAJKPusCuxtjByGOHp7Z6sEmewpNd7EhU+3ZFPHasTvBK4b6ezG 7xTd2o7D5Ymb/HtSimPRlgvmWhtDIO0GemXYTt/kvrQfKSYgt5V9pZXLNeKN3kX4auw5RQfSWBu+ G5dpesM7BPkS5d01885WiSt4lHiZ4f+ycHovvHKTp1FlHWqckcjU5LgAoCRsaJdsWJPsTqOHXTyI q7R8myqvWGoyLq1+Qwp/IKJTCmms7zO4fvVhg02I/lOSruaqKAhhIkPq1BQxkR19evl1Iks4xjpp ivZ5Xe3XdJ9hEeKM1uwAAdRJu8dFuJot6K++5BndW5ZfI3Iv4zANqw4BhREaaqLasCOjbnHUTDW4 H8sGHJJvbV0qTv17eZnXyaBkFWsMFhZP/7jXYp3jsUIUoqMK7YIZTzzg6hIHdmarUnRZMJVIRhxa vPV3Cbf3B1Z2s++xzrjCsVT/+FcpgChB+yjLdYNkS8IMQwk43ucOzhS3SYRHcEZo9M8zoRA4cJYV dfY9i9hI1Y94LFFPPPmVbLXa00xJwHPnspbmqgzR7p0482dTZ/ahgGLtL5Ze+prvyXs/Cy9M6OrV AOYc0+FL+Bgx6/F5sqQbhHr+/8DHadn+sg8IrccTvdlN+PqK/UocrauP2CBQUy8XSssTd8VCLBDq f3KKg50xTzvn13ker1m1caEe0JgJyeBL2RusmnYjuYISgXhZQHiGlzw4/Os7Ha/1lb9vJH0D3WiT Tu0FIILf29YmDbk4PiL3EaHBFjFD7A+ZSQluzD7hKwikJTancxxsziXsxvW8Pru5Lc7KCwrvJq+u tVmG80d4esg1SHO0KYHYty/CJ0SFOVc2Cu20SXWWkz+PgrlsT65SS/7NJc0jdyiQFRdXvgRl+1z7 r2LP19469GV4NDUM6GwGTbR8AroGZS2QGfX0R493UGdgLi93McqtVV0CcVdQa3CkaNa7xrIz9uxz OgZK22Ml3CxvzqgX2YlmsnLYU7+GUKbSaJxyoZpt8D3KfsBjctwBfDE1rQTCcaWrPXLl70qKIoUh AlFrhtlzi1onJyaZYWfe5SbHcMNyItW9DLo4YsJSBmXteihZAKJ6YyHUe3OMMIQOZx+gizvdmL5v PZoWZNDI9qZIY7cqDxzIUFweDGuOLw5ulZDTn0EvrMlpg1fi+7t3gc8ArEq2qAVtwcsHt+WS4ukP 37rv1JUWyANb0Z1wXnLtOlboMWQqlr7kjiFmW8eS0+U9KgxHip1Wd6Si4uDQBulxtHBkaPTcl7Nv Of6b5wqw9cMcTstAWhD7kV366FqoitweqsBUdkV1bNIMD5gfyq3vHYU0H0RYZMadBpVpyzVQFzdO 67ACZs+yb/7c/qIUY0N1TamtNHqPfCV5eXmWibaJU8pb/Yv+FSGT/kdXwAftsAsl1gMReGS2HmIr h64LdL2AkqvBsJvSnbzkuRegntQyeZ344ticV7qVWxEA5aU89ttf87Ae9W0EX6CbsAVarw18kJn+ RDR9W7EiCK1n9JM1++xYm/y5oPQPVWWdM+v72bqgjKfwE98uYsJt4xqOzaTJjE/jab03MSlI9Dnq 0+xAWEJ+3zBBYdy/Ue9o2PO1xVnBa8FpHnlCeOdORgfKESNAnPpoQdjgumPPBDF5yaSV7y48X22z 2GdIZUz6e4qZoPAik4dxRSJ9DquYOqTJm6lLjwsxgMRuzPWCGmQLFl0WgpSkIrR+mfDk8Cn1ag7B W/7Xrho72eF2b5KAXrDE3isGoP50ZC7q/y7v1f1oouF77ZZYFKQbgyNTkBu5Yr8bXfPhl9qicIip xl7Zfea3ejBfbC++hoVS1vOXsf9HS1oebBWa8cpzyBSJpScO7kBM0iAig95ig527UBMc4u4256Cf wISha7m34IDvaYWV9vNqTFl+Ij0Z/s0/PG1noqr0fIg5vGT8QAg2gwaqTzb+/P6mdXi3R+sL8wT/ fMyuNQEbvTX0kjxr9WLyQwz55A5VARcmqzqjierh+BV96cD1gjphJxDoSIGOf1R3V1TJbo8er3so U6KSbz0f1DuIAvPlcitZU49W3ayFAB5NE1KJBuaEHllZOIMfcj515cViIxlc9B0GHk3tmhcu8lGv fLcwj9ZGZxlTvWVqwaCDr4Ji9B2n/rZqRD2gvCNmgBWiFG8eYu8S/9vav5/8AUL2xcmLz0EAax++ KWZ5zY0AaPoH2q9SqTL1sN2xv4OmSxZohra6Kda7wORtE5P8u7lZHOlCJTY+nTOxEt/dWo2mzcWQ 0gL2DY4OpcDj27/8cMY/xOQeLz+GYgvQlIR+PKiM3+OaG8kDKrIK29uHDPvhAGmjfItQLH8FFX/t vVkpTP4cWO7p2wtI/nUmX27RvYv/w3NZTStYCunuBX+8c67NLF1oUURdkYm8QFUf25vQn4Fa4l6S Lvn5ts1QIDKs8ZioqT3r086QOnVsDfZYiXr3WGr9vXRw8ddTQoSGyhdrvWlr+FK1H/O3w3XQAhGk zOYNbu/PtW0ih6bFWiQcQOYbF54UaGMyHg8k8+m+GrWuHwAoeAq4BLnFRb52f7stW8yviL2Cm+N7 e9ZnjkJZUDRH0zVlv9VxDC8h1LiYpo74glzY2Vmpmnsm+9NG5vF+APKU/3voSpqpk03d5Igywp1M +radpLkd6sQjdd82wZj8Sni2ko7maTI56Z8hYcTniWtttGh6r0YZRLcAhjYjHxoYJ5z0IglAG705 WQ9UvM0q9QAttsm7ir8GTBfl7GV4jQuZM6t92Bf8tNDG6TMcZ/NTEy7EZNO9OiTSOEyhbtIvLhWN e/5IUs6RIcJszA25Iz+ZXF0nRl6mfp5U6jUNjv9FsU+QLW/CAWAHjWfjd5dwRfGrH/ZMTnOOznVq gcLd5sj5q74iqnsND/Sh9htoavb4FKcvlZMw4glSJmxlE3ZkrbL/qdkVUwY0C01lXT1Afj7y723b xHRxf7nZkROiORnN2e+rPlSq8m+otcx5Z9LXk1nl24EZy90NdlNYfI7Og0iYyzsgNP1KnpI79mCE qb52NvK1KLfkvA7GE3r6PJ2Nm7p+uvl+bYObGj0mwjQOYY67uCGVrbWjpcJttIkiQXw7T90IcJjN 2bQNKJAHyllTDLTb+tbajhbO/Ika3dkujImsBZSh56qpCllDMkgsW0vlYfJfZYbd8j/p9EWYmKS7 PVVZe7Tb+a8w9FtnKCQVrm8a0TTQkWfnfJUqq80LfO0Nwf2czyBT/zeyOywPLJWiurdFZQsDsVs4 gnNm+C7fr5+EFKET1nQBDPpoadVttxhleAVy7vikddJPCHpgNwXQVyAtmNjDBBICdiDPbgbKkWFP lcYZtxAlKMKJR+9etapC5iQt3GNQQkvduouw1BMFbiNYGjRTGw9n2vqgb49R2NQHf/J63pc1i/+v CJZuUk1InOyWf4JO7G9GGhYVknf7cnmwmXXi67BcaqdufzifJy9My8jw24SSJLbSCOJfd3+rChjX 4fVMDM5WObKGD3zTzH7t8KMaNsUbb6/GpnhBzNS+R7DxDaWr3wtcfiOJwDeFAR0KTEmykljQDoSg 3pjoh6auUTbDqwQwLUGGiEGXRZpHmzYW8Q51gsZZwdPRz1Oe5bEp2CZXxagrjIi7rym3dJ7P78GJ DEP7Iz1O2jQsZI8ongJB1B/O7JOE3W6UbQwsTFly4frYqmc7TPp2pjqp7gIadU7y6d4Q4XK/jjoW cY38fnbNWrpfuhljFWf/sg25EwVwOqgsLaw5Rf5LOWH8Nokgw2OkZ0AysdF67zUFVqL5/HY41uG9 WA2k+eFunRA4cVhO5zyKBOMUbVqbUyhwYNOvOp1nTH8isw9sTkdYFIOoGcU96FDP9EgPCRT8K62j fP2DvKvuNCgXoLCu8R0fnS/0XACcLKC7Zlpu9iraJ8vNDkAzd6ZWOM43oNezmr+OtvCVFEJtxKN6 GcgCoxoWxbwfLQ+zX05kkmCzA25QQUCe5UHugZJvM923msRlJko5AAk3vAQ8wP8Btd3mqqRZqjcY fcQJJ2FG5WURiLjjKEo1W/8gyBVqHxiM3TatzsYhSCPOtl7nRH+4S9kD6UnwIBZp7m3Wfd7Zf0W8 3bOxGsZqFim5V27+T15UcCZpsbak6A12NcJjFBDKHUeUwulZvNCvVGvMtCBTatrO0MAM6P7ST577 Tw9JeKNHes6o766Fuxm2GO9C72eqSxmlal5o2fhXbFUmlGuHBQd1x2vYgpYz4pJbKHWFw7V8fTeK 2p6ZZ+BphwcM7qHOW04BDazVFFSFSX1GT3y4XncennmtkAmK0+309sGZ0LmEQdYuVmeK9VnKM1Ej 54SnJxquQQhEF1MYkfx0lhJkpDn2gT7KO9ds5IlOpktHWGcMVe+z6uKMYTt1dBQMd6mkc2cNEgEA UiQgBghRCAOxLJhKEK5+jUtoSJbrJAJlcYRPnySz0idAfgOlzupF0jMFNmIbJ5q4EuCH/9ruz8HN o+x4I5UOYyPkv8vsYC++nSbXI4xVGyvV+1OflMDCbxPejLQLnvStsE/jzpn6BM4D3rZv5qcPTelt 6n8YZiYXzByo3viKy5Kk8TVA10R3XJI+V2X858KJw/jDJWdikWuPupGrYSXc1cN5ajNfN7F/ICtn YMaHmmyyKAt8njr3ecJGJYoZ9sRwEU007+tp0EPzTpad0qyP1qTAODkKH1lBdbQ2LogguCLtSN18 p5t6q1Uqyf/QfAy2RpC8JF1KFuUXHhw8OkenE8pR171fnNsIB82cEt06Lx4m6/aj8Por64xHR1SI YduHhjq0yRlm4ShYO1dJxnt3sz7tIg5iaxtomGP+nhzHF+/RyY6ctUTxnPHV1Bzojqxs2w1SBc6Q GZGSCNx+DSY6wbTOuPwstOyTbmFoie4Mk784QCJ1GlLOwjeaHCI7ftUUpAzowZYvWiOltpdpM9Fd CVh7bW5X6BqLxWs3mW/2B/JqEOB/iOpep9kl0xILxkIg+O7i9vBjxDvpCHFlr1u5oEnPqHSsz3lA RmBNyBOHjMsB0JFpQMrQeV56yOiQbGXLLX7DeUQEP8K9GmKa+v73g/I2SLept6tN4uoyfZDlMn5V TIJJ95pMLDjP3t84I6VfUHkvPq3HUPYXfaeG4c4VnBGMJYkk1ISVIKtQMUalE+BlvYACNq7zRTxE zndrdpKOXb+Xr9xtirCJ0lYotSGCAQPuBb3rkYWk5ES6zlveB28dc4ggWzGRAWCJLuYAfqCZ7Z38 7Xew0yjg8NnEiNAFm3vnVduttnCaEEYOmsiRzGDsLcTg3Ho5cg1RH7LmrgejWNmIjF/PKPHklMOa Osmbg+dqh5gwQiVfVn062wsf23zm/5YjrdIBxtYJyl11CPuQrwIVeC5iHs5IKiTkA5DkI8Ik6lpz ta5CfVx2Wh1+1BGMv0GBh70v75/t35C5IopmvaT55GCrlNYVsFL95WipeKaPefEZYB4+MBuBA6OI nhSMrM8rw9nvAkJe12K9Bh6XuwV79hkehW7jie31ovTSdTw+5xoWiABK/glJEFXt6D4mjdg7XuiW BoirP3amVHZvwDODhskPX0Pj29GIpxWMIUJPlvXKtkcdRgZmahKlovZDgowzTWVkV2nLilsYwGJ1 3LCECfAH8gQ4IWenTvMBDnzlGaZezVcifMmfiLon0sdyKvDQWFMKQeIqpeM8jVRD+apuaXTh9qbq jUSnfQ2bHaqtFcMNlBdxgTYxRRCEl0PYvwCeM8O023F1RybrbTYycyNPgWSaMuon16a7RWculBFy 6nqrPI2xnvdwRswyM3rkCcgG2Mos43rM9LrCbCk1Qi9Qm7n40jp+YcxyWQLewR7rtpWzG6g3z0Eh XBNgsU0E59tA8jDTlJHKcp+ta1oIsR1HxYfWaxc1vjBAge+o5bHFsQYi0JWtbujdModVreEtL3Wv r2mu9GMztN5JHIWyerGvWy1SfJsPII39Yx39KHJUvFdZfva7L7e0iTfE5Zgx5fC36MbIbJGEmzoZ kkOTT9CSeY3pUqVSyvzTR0SqgaICJvVKjYDrQn4bSXaJrUEGvDnYkDpGNJU60iSjpY8OY6zO+6zC UsAeKeMAWUR1f3+p1rCQzS1TDeWeuOFRFZhKQpXXcp9ZNRRk+TE4sSEhKcD/I2m1Vti0Jw3Y1iG+ MLqiSPeK+N2d16wrojwCN5qB4Ry3GYPq8MJ+o9eaMXDWrrLtWE7iz2IcdJjOnmtjTa7b6390g6SM 0MnoBZA6W8VBfJEKTWoA2p7ADVkJBjY3CXQ5BPO9iYZHz0jg00hExEArsbVAGelOLpQ+kUIKSQ2W oFFUWuBTOkwVK5RvsPlVsJOXF/807ivdG7xVFu4wWyD0f3xfsLAzIHg4i6qugk1gtGC3kxDLM9G8 0n+oNlJ7ynXf10Hv8hJuqfSBG8bDTwM+aWiobHMkhGwbx64wbPMK3mhRhJSAAegsy9wf4mcNkAjJ WIpQ7oJSb4AAlZW07BhLIiGowlWpiUMLTLLQXHH6Y31MP6DSLV20b8nw49tYhQOudM7RxLzehxxF 0HPyxmS/putdMdvL50wbqWHWPss9jb7zsj/vfTukwvz2E3QbtOWdhSSNAgYcae3ewtc1RuPhv9YM iyom6jR5BO25gbEhKY3AsXDmUiY/ii6PEt3foyd0LRKI3BKaMF6u2FH/kaJzTpnUsfJFpUPd8RSV FZ9FXJVf5X/8lLj3A4fvREyjqcmKk2Sa4CMV4Llvv6wi4g/8oCT6iMKBDrdJdMh+Ur2MBdD9gYtU fZEAGJA1aYxvI3ewpPfhpg0w8IMV2z4ByIowfiiKEUq51bcMUry0gHchO+m6msH1bhnQpxm0kgF/ 638rjaLW8o+d1xTqvLtMVOq5ueqhQzKNyHoo4Ox4cR3zeqkEb0hsq6MWu6MQOtEFrjAFQZhCueXp 7sgmcoD71OsBqEtUxGYjIL2YTg4xDxCDFaXwO5fXh3QK7q/RnwxIUceXk/d1KoD0o9tcvCI/RluI MvCv1kzlosZIw7mfJOwgya0V2fr0IR9A9Dmb366GzbjKkS00CM6GUj3C6qk/OxNTkev+qNqziJQI 9iiXbRkpfmEVEJxuwElbNSL5ol2+qaChCuOC0pxJW46wckw+ZzRxIiSYquGt9g40K/4/J77qSb9J wKx1XaOGbwIENQ3Ui4b1gfcsFu9O6eMAL+kr/XS2MLpwo8ZnHUUnltzv5ye9bras2uWNG6II2wHS TMTfJVVJqIP7wDTG2a+Sne4jkhY2gY/J+tABDEEIG0ZxNTxz2S9E5Bxlng1Sr66jnZNbm4QgnTEO bPRQzdYpazSsTc8HPtmZRvf9xAHuJxuKcciAiLD89FqZ5hL0zjZXAb7y79CVIC/SKTeGTJBJ5KfQ NhayoAOIZ3eASR2p8JRTUSpQlIURnx8gy2fSwZ1OWN8uAu3aVAWzhImpAmNamp+wd8uXyhfpugBu VCs/mwL5BCAX3Iog+EW/TOmbFCCBs5Q3d8z3E9yiCXvI9HGmktalt/Feq4YSS2cdoPUENSxz2qdU 72PFiP+wNeZ6mRaDsaGVUct5xKmOC8X5LgBR6u6/DSYi20yYulC2kBwbBft5aiIuve0qrQpnOQi4 XKTlSdlR6mOPmYjuGijTcxd7nvkeemrebdJmUTfjt6G2NxULAwap/s9TyyO6P2EYvcGYDOT362HL zamDBPnmuZ+8Oh2OcZJ6woSBjj7pUereZTTgK8rTdnTo2yr+Tb1FjMIVdkWZkSMsD/fZewX6R5+M aiqwyupMaBA+gHBrJ8BXvWzsfWiRoI5OonPJhlOMnfT/zaIfZamOXOCWVfmemKGqDygXNB63rpgD sOcBZQ/59pbHQiBUWQAlzjGzOt+rGpKwX170qU/HXfb8Z9VahaCPdfIezZ9Gppw4uFrQm/wUFjrx HZ++nOGDY+ADI4hSg4+UfWA1iNpGKWDrtbmFJhw0w2xN/b8SuqFmCSjo9jKDI4kq295amFuZi6U3 pd+sxFeWyqJ59YS8Y9B3VeSPzpvCjj1zOYzgHVUdS2ijwBtEOA/4m1Q9HRPin5Fv5Llx3qtrbgKW aVRMEXEMZ5eVmJa9zVLl9VHsJCj2lzHaKOuNXzSM9+SJimf76HR6/v2MdXztKZOfpH0AdEDzG1Rz r5sJbqxoWGvuF/J+/8yTZSuh8cADr9Nf9FkSdPMqnR4skVQab9uJE68UxH/cWg18Skk3gfYC/I8P HmcUmrIfbCGu3cXeEwsd/e2saq5S346WlJlRGfiq44WfetWfCASWMQWVMeXX3MUGQ90Dtf+zebXV H0t5cITEVDJUidtFmBse4KaT66WX4AqZV2s+5H/wMAKZ1xHgx0Pdd0ijFIpEFVrjIXwqvtSSU0vZ 3+JkI4nVGBEzjwuq6yVbT4KPte+OMBJ87SUh7yKmLuTjnPl1OWTy4Ggr6ULz1xan67Tpc/KsfcH+ FO/YWl7GxFIRxDuQpgR4hLC8e86eQi1hyPh+JXk8MuJGd3ED2Zkp+s3zLKhvR2M9k0DqugfaZycY vrltkf1JaFwP3frp3euEQ3jrg3cHzf/5P6co8zxJvm3Fg5ElirECrXN9FttMi8v+gQm3imhC3v74 xJZXow0u4vTC6PloX7xqgERpzMyWEsAIKx7Or9HBiXbMsxtu3GTY8kVE0fC6cvx2RwBsxIHNxnfj NFdtnNUVhohjybba+F3JJqKi+aUNmp/n55qQafgkGMT5dPj0TWz3TwMNqBoAzuhrXQHJE3mHjgRc sk0YDFHZy5dt3gxubxSU9T6QMwubfuHvOIttw7aPMRpSktYJE0qqz3RAwkI5KqCsom4dFHTSyAl7 CIuig0R2XxnmUvt8Qg9C87wXpDjiUUK4Frj8wMHDB8AIkNPAps5dVg7bSGLk2DG2XcDYreuD/YJo /xeSVffQR5Pmz4HNhLIm1lnHl+eNN0+ehfpvMobX9hKnqIjaGIkhODXuISstKW7sN+Wuq4TTtBsD zSCDea/rSwjv5CSR9J2rKM1AD12us0zhjQESC74eefElx1DrbeYptjwbLIA6Gq9HQMYI0QVLWMdA BDT0J33xQiQlucbJu08LsLbaSkMQTF9kS5M5EMdTlVEtYFRi+O+BxyXhjErvRqA6a4pbPezXWCX3 m6S+DaS6fbrB90m7PaTI/7M1N88QgXcfYoTue2L1GOuA62tIPPnRH7rEXzNa5knCvC779p0rTKUv U6ApnZdvdACS87u0d/fx2UXfrEf5CHjT0QDmRHS6ELvD1M6fvEYTb3AAH1+2Pr5njhQlfCpdE0Pa MytEgMUc43KnrR51A3wfsS9V4ZYzdPZzh9DszyBnRS81B1BeASgp3PtOU7R7x6tqcXJAVMGn10Qk rar3KYyhR0roqvPHkbnQKR9ggqgPwTXBvwLtm+JJo0Rqwcg1DgQFoORx/AmiyPWm9/EH+1Z9z5np nV/XeXnk4kLraJn78Zyl7dOc4Q53a6jvDHEyZMihelPIlwKLF59ax1Nn4Kp9rxkFCOgoRsgY+82y bv5o1CWgKAkTS4XOZHNpG1x6ZTrl49SDN2paY2WgUh2dFAUwKe5u3vhson7igYomX52aeCwM906P e0Io3SNrDTC6P5SN6gUJLNxVWcWJfkE9CKmQQEiRgEdL+r3yXFuWcVCoNpLh0Qu6O4yfOjtsembG JahJAfuQec417++S8sTU9Qe7vw6sIkSY0vvxEe78Su+JPJMyD8vKdc5IEKI5gyLr8p8JxjlwUXdl 7KsUldAcC6cMu08z3TnTxqyTBMpGKBYuA/JRGMJ3oo2nMSrmr2/zNClAc5Hw8NnNrhpjs+qXReyB IjMYS3DCPXBYwescw27ok0F3qyCPthB21IFx4DX/WrI44tppIIgVQPRhkM4YcE04Je2Y9J3v7Y9k XGT1lJcfNXQKcRZcfyzXuQqejJK9tTAjg+BOw3EE8rLg81Tr6lNQZd9nON7SzpPtjI2q/AIQHxLX IG1tTgxl6oR/Wze3hdJTFL8l7RSfdXLHXGhpCkg8fQlurYRuM5b0d4nBCWtm7Ozq0Oj+MZ2IiSSZ A4SR8AI5gXzNhN3tFU1VWbdhh7Fj8j+tSvOZvzRk4fQPiaPj8NU+MfPqdvNvx/vBk+KCre969oej PCv1ZEoW9PGgrPfvvMOdOB+ksCKrvCvbuw8bj8MftTpCJ2fAogSFgnmkxwR9/QQfRvpbPVmkCOpA 8xlwHtsuEEqYw1fChuhXcoyfKrOLUeuxu8wW5OfHJ/Uut9RvUfpDK1OxZpq8p873jMF3r9QuHgB+ gPzvN8Eb3JFPWZVj07oyZv8Gfh5Bi38ofe0vDcs2sXmFcysW2a+iaeJ01ZBS4I5/0m4KTkMtSerX N+1YMBJRpPqVBeroqC7kBb8CmsT7l9g5dEs0CyJugFmUjFeeOtEyUqGxdezZ/GqP2OPuxC5mF4tq Odhmf0wMN04N6TBH1RRTkTx9dkZ0d6i+sUsp855iRiptz6FEaAXzf8PLNWv0pHpojGHIebr2HGMu DTt8ZLwPOSAoF7qQ0Fb2FfPswmh9VUOiUNcV0i6IGEnUxnO7OSlDh0ZB00DEji8GB+Q6sU6p5ETj iqew3q2tvcfDCCzr3cRUxLLe/H6LIgGeEEBznXC6kVpAHbA19y2dTXD2Nl7/T98dB/90tfCaejpp AvD+GkVgruRfCrRQ4zSbjxckiVwLEwzBA+qFiR3dLNyObqkndDyaurql1U2tepXi54UMZdHWL4tv gZg/y2emx4OTUTlhc55KhAfItXPuYXTSM6drzqL54MipQEDXzLa7MbJuQKYpu5b8ust6l2RHaG4f C/gE+5GmCP53Gg0gv8sLNMhdI7aH9fWq6WHkED0g8cNZyaZjDuo7BRX3KtcM5C04C6oQApGBU6G6 NsKSm07RJ50D36vHhtORsOBYVk32dZIly3PEaW/q3Mapj7tXkGNV/rlJeWR0C7AGUvlcCtEvuebx qq32q9jApucUmmmrHitY5pBMwIgXX9DcIQWgVL4e6rib7s83Mw4fN+cRZU5rncR4PIexYt2XoDTT d5xn9uleRw7DhkQ2gf34/xzirG1AzpB1+4AdTtabEYgVdF7p7HUZi7LGe/nIS/ZUk8SvZC62RhtE YLcDX+vQcguCsezbiI8s0ls6E/gnvYqfMSM2WTlZvKAXIhd8+kItJPSakKzMHjO0r2R7PX8PUd9M myhT8z56PxFFz04ATA5KFREhuHahUsdGYlAfy1t4sHoXmTy1E/jm7LKC/A0P2i28WNBbdMOosigY B3uVNIuLe4LXb8PxQyhP+I8n4o2SBoVfemVumblBpIiRJ4fMCVzmR/aFH0lJp915YXXN8mdybmDB p+bAxpoOazbtOZpjQO+YI6mYY25XiQVj3NkkHfnWkSJoX/3Fbbuovcvk91rmd1vIJxvM3subf/Dp SqlXtgUf92xfXm73aXTbqLf1DnAebAzZwVuVEOw/SnKJo9hYglXdGLKwd8aRbAAhPiy56R+QNXHH MdNm5k/aj+D1ZrKRx3M1QSwP9ikfn6fLLheYlpVPHVIWHpftFX0izGO9FgpB/IVrS/iv5wBAbxk6 Jo/9TvWP5ZVo8wYI3ItdZeJNufbUHMcNGc9n5VguxM3eoacdpnoVCUJ6tY03SqA+PjNu3VQGspi7 A/C1fRVg9tL0J2hRm+msR3PDKmzas867lgCOBICG9OublzJrFW902pEFCHuXvLC56EfWY5yV3gNv OG8LYOg577dedRVPvccxapQT7rID/a6trjz4kGI4cbQhgPguv12q/sOn098BaIhi2mBzQX55bGz6 TREJ55Qw5/YxCR0nTTqgRPTuP+ibaUqk6sAXt++K0QZZMfmNTLygxLa95QxtfKTZmJ7FW4xSdqVn reIwuAGn9ZH3urclKgYW7yDoq6iKk6b2OQE4VpSq0JerOI1rxwrkAV8WScAne+SSqRpidzhAoPiC 97iz1lF3FeNPc3t4vZTQ5OEwBL8uXYkjQiKAptWDlu0uixQ1zgUg/cTFC5LM+lZK6ejupZISCZFD dOsDN8qVYaCseKnt1kqo+eKOosFhV8xtoW0QPXPx3V9NiHGW3VOnUtvFfR2Cb98n53jc8oYMm+/T Nine7bWQroto0fC6hhmxQV77TuCtCgMuBRpLmN2Amzolso73BQi/jK2WDEvvkEHQV9o4slOFMN6+ nGCm60gz4tfjdvE3Gu1fZy5gWkzgD8Zft0dlnJ5uVoLgErj72RYj3398ikBRanodJGAQN8bumBAq 1J/2qKV4aDU2LaVCOqm7ENK2w2UPHDjCl4gHpeh4GRZD3xXA5k2jglV54rQcVwJ5c+eJUe+RpqLp qkTUvUFHzOCg2dwHlFc9GHcW+gOfNHPv90JlA0RWgPFXGfC3543E5UBWguZ943STd0CCajovVLPs TT3QMCVH/HsjALa7nnrZFmrEE6I5jU9W9RfRRD8w3eKKaAGrJmUPt9H+QJkmUkhMSeTvOa2VKtNR /PFMach2JCLd/Q+2Z+KDsuKFRsky6tdMo5iHPDH9jcng7b//9Cj/BswTSUsljECQaxOtic7U8A3M zo9DPMZzS2dWs4A0tugJVGaE3TrWYcJW2IZEAj79G3qxEY1/p9V55jfh/EXL3Ns2wTTCx4bUnEYb cC+/pYY7kiPfyAE7ZCcELoRM4QFf0KdFin0HDn+Id9i+ppVW3hGmCJ3IcFyZ8je1sq4NYQGNT+ZF LZP/jjCJeiN91AJAMOFFhHqYmbFZYpxf69NIjzmHZ8AUFaduuwpfZ4gCaM4ahbLMHoeDyct907d0 MqwcuOIlad0dkj4WWojlDqlrxyf8Mp+/yAsay/hr1kRf3XmCf1ykDMN1lJLpfyuL0QE+gGHypAJX IwnDgZ/Owb+qW7WRScvfeTuXT7/ictgnq0UKOoMACmV7NyHm04KVoAo2x1EMfnVR/FFVZL7pu2xS iKGs7igAs83sbHC077RgXtp/+pbQZjgq34CuiM1CMZIvPeG7kCj7twl4AwJyKwO/7JrRyRwHWkdp dgLHaiWWDBHBHndlCb9fDfXW+Ty5NIFVsbUhm0nDHfHVCiSoP3yKKkL2qerriV9MQjT5QTTovoKI DAzgZZfl6WZp6QoRn2UfIRGjgGwbfvsqBJIU9sHWQWYv+MFexeuO7g9FKIJVmqQAthYAeakpCJfu ifLT7gKJNEzmhNpbBL1TfNYgASKung8zfxWjmmXCzW552o9TjL8E2sCWwWNA7AA3XWYjMXGLBdXe ZnthnwLZMAa4R3jN8ivJZAAIlLDIZrFasZHiZdYVSUfMGTi5hZeTZn+kxLAXwBnhmZxW7BTxv+/F 6YHURUq5ON893IAhiP0Jtxz35V2gbtsMU3oevKCoFwismGGjakXTYcIPPE5vAgn2Cv8eF/kXPItR ux+ivQTYM0fdr3HagGKe4AZ79NzoVRuM/WEBBAx9IjsuUWJTecNWrO6pna3CTlrTSX4DVGoPamgE BLb9qi8IKburP44mhLrGc7Npn/6f9RNwC/6KlBjMM2DVtPwZmldI3nuSBO3XSWSCfnl7POuIfRDL piJTBkOO2RIFhakfP+5VeMa1UcH708nKJwZ1dZdGtmn3dvkyCiGZ0FAvgbxsejW1JaLxRWmDFGzD y/FSibwLROVAOlxpqFxwblKsZbWCAwm2puYbuPXBA03obQd23OUEQTG3HC3Xt6YGqMyQLLRIsi0H uMCCbf45RmVHszsaqYgOTXSLsTWiobKqEh7iRVgpnQbk2h24PeyAkxNb2yymFhouEzqTFOP8IBK/ DvOUSWyOn8x0a6EZejIagsl3qde6FtDXW+TxBrk8ZPGhWVaFXetPR8EVZJrzsTAWMTTHEs1vVIpc t6OnFVfhktJiYsi/7qRAMEIo9I7Htv7uG2AiQkfkTStlEiYgs6AVZ92nFOW0Y1F1WHmJfOlQJbYP VSa/D+tpo/x8bfHFos7IOdLnUHSDhckEc7o6V2gSajp57inRgc5F2jbe9sb1rIMTBO/KuCMJdeBF aP0tGKIF9Min/j1Dg5WNssjLMZIHyENR9ksiYSTE2U4Crj0nUV7atfvTNmnemox/6wtCMTdELjdr AWZIHFQv2heStvBQ0Pkyj8TRLe04pbtPAkLD0JzkOjYVf438HyJx9+y+VNBW5/W1ZZq3CZYvKoyT ka5wJz5X5YsZh1Ju91nJDtG7J0me98atO643t8b7vU6U8aprHj9oDD6T5kgzZdabSy/7jCbNUnYH SMvWXTjW2iyzJHPF8dUK9NrehChiiB2YKWwdZrQbkf1CM+rgS+qhpkkzFpeCG/7LzebD59r19xkr zuViTOP2xwfUThw1z5MTKT6hlE8Qfn/ZAUiEct9y0naJDtKzbVIsWy6iS37mAVe31YQabbq3Lzv/ Yf0fO+ljsEIXBCQ4TgoeK8rVYtDtiFH6lzAoaXA7OAg1KuqBzfC/MKF6oiCA3gA3tTXUqnV57ene DyIdAagR5E3eHlfpEeQivhN7UxCf4rvG8QTffjjQH+VpU0SsjpwaVcZ4w732/R1c/xfdEwHeL32o j7jbbhHynGdvrN3hFs/2MF0qUkBD2YSaAzGNxoyr2UWb/MOFXUv6VWEtEgIM9GAPYjbNGO/lq1td rDmw3OWpAYsx/C6YqWusz2XZPEqXApNlVitUEjCka34bIO8A2P9XqmQQqduiyS/uY6hpevm0c0d6 gmEYFdQB7ee84KaqFIezEsf/lYIvHXsgkyMhoOkiDlyBoUwIhpd2vvo8J2Abgz8gogaoKLXeQbBQ TokB/hL0SbQpUo4QSTjJFomORMSKoKxt5iT+5B0rlmE+mgZPmWa7Y5mKmDZ5ON6TzDr7DCyDMh+g /NmtB/t5Mfr2yvIsK8eWeMreatGl16tXYfXIFQ5DVBuUZl2IcTs4I3zB3UIYWzFATX5OAgGAfp4X du9WcVGxwkn+OVyICg3cE9KgpYEi67uC9NIftwvAJXPR4AWHw1ytI2xV+8IlTiQ6c1VQGQdHsGai dUbSU66fZNXU4Nl5ChdN3xw09M8Nczdk4paVP2pKKgIMWDlBpWrZIcMy4fWisPygeupnFzQHx6iK RJ6JkF6mWACZlCeY0eQ4/BJE1Tp5ucj/PurEAznWB3wMIb1hmwuR4NPvNje8wehWnpl01qElKb5s kRjvbUW6YiaHWM1cPxnMo419ydSSNsUnOeR1b93mikihKEszb4sYRJcE3ZIhViPjDu9ar+PfUe2Y yoJKx33MgjK7Hy9FkRHQacXEcN9DHeT0WMMmBAd0WlOXISe+bfbYTUyIu/gvclGKq7k7TGrnqQAA uezn8PChtkVBopH3QpflRxQ4JA+WcwDoI0dLyotVa9lk0MA2cZwdXPtpmbeG+t2XsqUXHToSd/dt u64eErF/JYtEHlt23d7Tf5J91/dNdUwJRhRkji69WPs3nwTDIXiCeiiaz09GDh0PtlTVhB1uw8FZ kX7/QozV/6lFGMghALolkIXY21eX2tglHAPmfvRWvyz6nXu0+jUF9zsiUz2TdeLwcdfhhyC8dHFA iyq7h+HKmvNBl+IcOojo98Kqolp0dVJO0Xn6INzNOOzSHaLvhat/VnH78ANjWHtOUEZ//e1KStRO U4Ro8hDHRhTpvDs16fowiz6GeuFcxMum/Q87er1KslGVpdgwjvvqK+R29XKv2R4IvE8UogHS9BGj WAAPY8zD/OEdSi5UD+PqzT8zyobplbXgP8HlP6rKJjTJ97Eqca45W52BGWdf8Gb6sWHlhTPjuzbq HJ7ZgWW4SqAgldx8eJWysHa2A1yWpMle3mSyuFcujrG8onHDso0S+SUxZQcvdZyVMRkCsozmvGPp ioXy6mmpbag9fjIfvGlO2YoUU2JncvsnfV6LzWLkh9d/WjHT/UvfXmv+SsKbVOUKdXhLm7JYqKJr zViUa3RJsJkYYPQ0toKcIfDw1qYPoQAMuFaukpBep3z8vAEJzC1yMYSGQOotqlmb7/hPbB7oPTMl DpyhpSvAmUPly5n9sNh/srreMLMdgir7wYg8MrjJUcPHzL0XgRpa3EXngyguDIOZKA5iTjwuH9TB rapATLLkN6T4I4Uv9AsA3nN8uOT1Si2Jz4Ig1BaSn3uG4azdwe1h0xQCtDBYqd2vXD/tKJwFCqj4 Wr/URsfmofpiecEXRNnsbmMXC3yJQCJ0/eqPwcGjLHxHjGMwAPgNMbeXLZjKlG7427kjLRp0yOoW IKW/E7dYNnTRXwQjyYxBuZD6VWEY8DoiKCmKqGAa5vZMVtIKy6a9fhEByvf12JtdjQhl6cUGjL6h IaS+5bMVvY7aKdVocXslJoGVSQaGjgk8Cv9v91YH8sSJ8kOenreYB6KV56nvIXAd9rtrIuHz8w== `protect end_protected
gpl-2.0
58a32d013f48f789558f70ea9f996f87
0.949895
1.823334
false
false
false
false
amerryfellow/dlx
basics/sgnext.vhd
1
474
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity SGNEXT is generic ( INBITS: integer; OUTBITS: integer ); port( DIN : in std_logic_vector (INBITS-1 downto 0); DOUT : out std_logic_vector (OUTBITS-1 downto 0) ); end SGNEXT; architecture RTL of SGNEXT is signal addon : std_logic_vector(OUTBITS-INBITS-1 downto 0); begin addon <= (others => '1') when ( DIN(INBITS-1) = '1' ) else (others => '0'); DOUT <= addon & DIN; end RTL;
gpl-3.0
13f8f6fadfe924f7f52c0792833d965e
0.664557
2.576087
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_mult/fix_mult/fix_mult_dsp48e1_sgl.vhd
2
15,081
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block QJ5U2kR+W+l1Az5obKykXl18VQt0ttWKOZ9ROSq5ykQnTxj2E0nwfyU969XJoHqru4IgFv0DpVW7 t/FxcmN/Dg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block eO5NOnb7xHHi3Z/Q4QYrYfbrFANTGtDIPGj+Ddbh0NwnkxO8OnquY87ZkGGTJToOcU3lU9sXJ1ea N+5AHHj9tHJ68EC+qxhPjC/Q5OJJuDfdkZopRPpRwkbErynFg9a3UT9OogBqrBFkV+IpAcWfOUUt /8dbJbNoxjLbH0L25Q0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dZuUPo/rvdr/Kmr6sTpJwOmvzAyKp7EKrqOGppzCQrZiTDZW8J+vz5M0ZmbaLAlkel68lL+sELpj +CqZlpUXtl+f51ENpoDOK4/xfAk7/wX21EXnFRNFj1V/04Luo8B/klle7CDgQ27gAROPbSLsog8k 24HbbTV5WQGcECufbaZIA3XAhldShOxsQ7AH4CLiVweXIRI4wZ4nhH5WwWFwIbDKneGBuehaz/KQ dk1ZaCz0bJhYLtaJKLxTeKyFmGyMyKNBmDsiccglobN4s9F9JWuYrJuNuQZBU9jv3w65l4jIeEzs /TPl5whEsPa408Ns3QvftasbdQltdy1BsNpxvA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block kZjqajqvzbs4y7WTfHfO+fPrPe3mIEtmwuh6gczfCot0IJ6y8N/L0g0BMFcxSg8WHFqAtNoq+6N3 QDKtRVWV3ANM2F8cabw6LUvBIKjPT7TGLDX5FbqlRJJTdJdIcDuOv5MepH72DLWpBI55nMTHKrWF Sr9wr7wRnpCyqYlZNpU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block K8v0LfkMo5iVWyCfn96Nu+KG85zHKh8D8Lj1O9K0ck3oS1/DvWy25IcwhFnRivLHfrhHsnJgBIXx f7DdQ1WVz5zCrcOIS43JK92Cb9Qax+5zLYFaImFqxxT+MogDsvuZjCVNnJgZg8WS+a4DSuh4Jes/ 2Et3pouyD0WXLEqBjsRI7mCuXLYiutgnRBD83vCQTibOTnoYXClfi/0eHf7xEyABHfrA28rnuVEn r8/x1hQAKgRCXT7ZxFe4NdMdpgW0l8o/4A6nkb4z2swJrgLECbCMld7sQtZ8rcuUMhv7tAQcUxqK L1UN/lxvBh+l2gsU8oWzeyycsDIpDi0YRh1TGA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9424) `protect data_block iJeq3q1lo1KcxN0IkxjopscwlEMYDd6J0HErh6cXB7hA4qk0zGB45uFeuo7C+e83+gKD0G4kM9lo oBeGwYtTC+I6lg07oswlsB3UqJEz8Sh/6z84zItJw/EvFsWl9rLJFdWpV4g/KKSAqGD+W+OiUKfs oHDm4JZ4vrruolx4n5wk5IP2lZ6E1Mh0eU5LcBGwKPvgzdPSXckiaSbTfq5DGKjFCVFhavYcfYkH 7VXQtZbTA9XlZPQCK1XBNVuRJptZwanLDaKX2LwnSPuEdAcSgweMu3m/f3YAlhQjEKBtWKplxZut MSvvmKaHLIOq++y9wcHj9AiwZ2X+Fomoz3gNrol5sSZ9J76Z7NdHgPQ75PTWqjQQIJdC9w2yvXAo g1shqS726akacpa5aDajKwEND36gGxncHPjGR3h3cKkqEPTLQcgPfbkIKoZrwwbFVu3hmE3M29qL mvWSb0iskgIsYydd0jGrupbfBPCww4rP5u25BIOTgKRtyHhZQi90FQwlPGS49s7/psxuki0OXWX2 2gv4XKDjX2Ws1O4rYSKjoTZhJRJr0NveUQY7P0uAPq3rD4RWR1/uf3YsOf2cmhpjFncbjhXaBTz/ BHygV30+mMNIuvMb0GUxAyvl/IU7ivtU9p6OzRgonS9NpOKSWJ2e4l0f6QNcAxO4cGiGd9b/vfG1 K2GTtJY8KHIND9Pvb2kkpXF6gLLVlbTBwrkbLzAiS1LzIuLETJvk2d0pzxQ+jdM8AOroXv2v8neL Ho2c+7icFcYEGwPMcPVs6Qh4DSn1sTZ2Lutg9VvaD8Pzdai8dFC+EcL5PX07yYUL1ACsD/6FIyqi V48DwnjcflmIXUQs7vC423PUZ/DvmTyFtAi7kx3VGurzVtCiil77zf7sBFN4InB7cvsT/gO6K+Pc 8/41v6rmktVYSu9dUT0byQWcx4ZlmlUoG4th5Wc9l9gGIKRqpOxK0s9ikVsQ+EwHvXo4XbS4zO6b yhi55Pt5qeiJfqTTYY7CrqNDIYZWKZjryx478A76VDLSJb7EP8hLyd2WzWEWL8dI5dneEtFTiKPL 8CpOwxiRMydijrtbW3igP6pic0xHFmsbmeLBuJ5RKYt5k52r+qxSCNzMK3kjxmebdk7Gyo56aDyk 3O7QN8nE+r05wgxrjYnyU1DswVTVvRs4k8NrIePMETo94/ZIYzZEM4lRKrsVGP8Trf6ZsMOS+4Me F8YW7qq6vIHUtcITnLwlbMYTPbEQLn8iEeIfE6GeZa1NxfoDjs0V7QalTWlYTe1rrvn3hRUDJ6or wsKEICWjmHsqADT9mkG+3aEKNhydeVg/YQEhZZU8M17aLx0huyc9qqMu50IRrc9oIubBB3zNZjJ/ +FiiIBjehgBMZoj33e9FqjbB/h7qOaK3Y7M5Go8rU63su2ZugVjIbD90KeLFgTDb4VrIqQQzUxo+ 8NT+tN4i04BDZsd838/24tA36y8ffQCX32L8iiE02zzmnSpCCA/89G5NkOB9WBW6R9Vr+TIqR1Ow kk48RyymFfsx5DEJWU8tUS1oAKu84AyJm9J/dgBDnG3Qpdz4QenRn5rc1o7XU2+l65bEmfNDS4iZ T5m3qajLdn4/eAWTXkSxn3eM7oOqgqUE86vq737lZxzcovOiV9i+3EzvPRyDF8iLZABH7GcEFcQv vX9Fh6J+pLiD19DLB9tU5hoRlnb09kHPrBN0bBtAzxmnb3rHajaOaqSmOCyFFOaVShov9zXwERJ8 k2LFGCSYezTeEBB8tIuqAPE32L+5ZQ9V9yL1w2412dTM+z1zWmCk1fFbOpTDUQgx2DpHQisHt2Qy 49PHbbgkijjQBJHccdu9M0zDqScXQfINrRGXeswYgXJXxQeAH+9GekzqJxpLKJ9t4BzHoAr1WobV 3uYpHv7gACKGTnlu9FEAd2JEnPsSoyI3FmNV7/K5U/r9pbOfqBzC2xMl9p0s0giPucLIUD4Juy+8 RLawI4Uxf3hKMiwYn4z6oGDpHGLI03CILFKgSe02b7oXP7KMywJEEUfbbTG21c0bPChJZELcCYw8 zXgbTWBDMYB6NNz0uWC9Pa4Bm1mDXrFvBxA5p0OuDpmh8lSccT1rRXIE3nI2CQn6n76xPr9cVg9E 7C6r/GAERmA7Miow8kmBIuWt5u2JKzpRHbYOg3GmoLNQ2ayLs1hrgJAjHzOIOalnCh1BTky9AI6F 2Q0ern1hS2iuKy6ufs5a9TE5GcgxpNzaD866PqK8siFyT672XpVHoQs7shYySMkE4c78iOuZBObQ LI9gqwIaVY8pxFQNnmYK2iXAQfcmoWNQPCWkyn+QuIFOpk4C6HntFeSNw3Aq5s7wwJaqSQ1Kny3W n/0xeilFzEiHBvcpUzqlByc86Eib2ZOAt1V4xGY9YwIQjmv4eB7TMWp+uCgXMxO6bOxS1UgwDa3/ cn95kCBPY0CY7F/v1Sgh6qKNE9I9TDOUGk7ncmZfxkpyw52fXHhiECdpWEO1iSBiQnsAKCONUZe8 J3/ZeMNHCSoaXjNdaqYbltSB2dqjns0Hk5flizRYKsmZrZUnhVYzMpGBtu7Jhfi7l/thyav0De1w oN/sk/1BRstRgI9eHVDp4NAMRzilR6bev2pL+OF4o1VeM9IHeOTZqxW1It6qGYve/SrLy/SDdlnl LOT3iw8JEsc2f7Juv9iqkDM5RavlMvRY3PM0Z+2pJjBQpsCnbB7BycAreXOZ0d7WzyBpEQ4/bsEE 3w6Cu+1i3YGa2TQB8XZ1vP3rT6NYZPoa5AYhjySOtTasi/KoOiJ5e4a8/IMkdVYJ+t6ZKBQOdHlZ nw9rBc/WJAaj+c0W2Dq37scYiw9KR4grNIpaYLPiVgbrgA2Ag/U/7gD+OMdwcdOgthHRt4G6ggUe eTNtVJphZ3iXnIS9Dh50oNAXYwEjYEyLZDERkYoPAAOqMZ/FL2odn4dq1s7BRwiHfc6Z29yT4nMp Xt3K3QenpKqshaoone8pgLFdU6clf/BZUC9oBbVYCeB3UCsN/Y5NCB4Y0P6zoZJhp6atc6UFAQdy ejJXD9kIVCZHv5QVFh22+goaIRb8fTQwCoeCYFD37zOsV7h54O1w/TcmuQff03axiQFgOLsOuqAE spzk9k86yDYiy7dr97JPhu4lMtCfEZt00fBf1SqwYC9aAt6N7/KsEWDdaMYXE8wD5bXlNHPaYajD jAfNt/++2dyQaNoX3M3noT0PHJgoru41y6KqCTbFDLr+hyvcC6X9hUFeU7plnZp190P5qAVxFMsd JMPJ7AUlu868dn+BmvIYKtLRNA4GeWfMmkqPaDE5e+gJdKreSWZAZkrQvZT93j+LrAzML2xdMdPF loEs8fs4bQeu4QQf7/dWZ276OwxPWOFzGXu8Y9DEi0IAG9p9dhXnaoFI5V5LpHpjB6RxiadXJJVj 8AqAdx0rxKQd9T3C9OBsGN155fxykjRQDBp68PXfkRdmF+ztBBZUiWSJQJMChdL5OEOZDBqIKvVm hL3pJD7JvN35/ZSsr9FsgyXuIYp3zYnhAsDzJvdDwFT+5caOsnfQtWPMy95VApGzZYf24zO7Ar5u hKdDQjt+tj1BpfJwSqjLawpwRndWb9rV0zPJghGr5B+MRqU6oR5QaWJOsB8HZbcGKSaSiT5rO/6T TwobIYCvtjqwBga+y/Y4/ccAXc2dk9rrZYieuAaHnxKUtbHrbkYPLvQ9TfmXFcKfSvbDLmjL7U/T 5IXbQqn53xas7haDzkgnid/k8oWhsE5WGAJRPmaelWSDtIXSWPrMQmhcC2FgWuqhePoeBnd6QNrG OaTNuatgdz2PDljVQAbQu3Ncm25T0tUB/ZgJBMdSYzJPAfjnK7oc6+T9HCRuhL3Du7Eow7jcSER8 ZM2nUoD2JMf+hmt0d0pDsofGBkguNVD266ygFU+zwn2JA7V5eh5ZPtlURaSjwaL/YupAICTucLYA iHnKupEg+ojV4+9OIXqUMJclL09Aybwyd6cFmwp89FHQ2m9XofqclfVfh6wcRFoRuj69ErgI7xiH R22xrSvcdzKdFibYkQkWxosRQD83a2IZxOl1FxMuM6ux7iAYlnAYgJ9XK9v1Df5bT1TLUUln/S+q LCUy/059SK9fGSSyLcM7tm+ha0NS5UBu6K4zAQZTW+XEnJ3A/ghfMAqkmfZbWyAy2g4uzndKiEE3 mnwyEdZFAA2VZRQ8yKLDp4cI8aKfH5APLWagfaaY5v1gCOEnNxCOXAB79QbNZh7Eaf50JpkBtdHU 87sxm5NBUgMsODEHiI6/OM56oRzUOQ7nnZE7CPAvFDCdtsFTPJVxTgjxInMaCA0jeZ1gfIIHyYb+ 5P+dpkp9Arm2u77TvqOZE6zMevsKZ+gWv7ufaPlHcZ76AfMlyRQPYH0WNlkVznKwnppW5h/i3fkM wBe9MYc+a+cbKJAbO25v23A0rqIC+Dv9oBwmyD1gTFJAwg076OyzLsRCv5OJqvnPvJGvyU5DCZ3Q n+GfS27TkpKZyy2mfqqkKZJmuGs2rOuVRXB8Vs8d45/+V2SsjGLwu/5RQe//IMTepbJHJeGfMx0p DDvpFj9Wd9AHOCQevjJIbklNjawt2mEZ94qa0vLW0Rj5a9ECY2GrZx7NsUFenhmI0yv4Xoix1QaR KETvFSjA6YJxPFgLPzPDKC5x7L4d/A1Zp6rkRqh4HlvRv+/MqmFyw3UoiAk5y2zOMSQnJ9i+ws9q Wl927jgEmX8lfkA7YqSWN0QTFUIF3Ibopo3/WldYIWnPYiAvywptXPwXgskzqzoxWf/5/Sa2JTB3 UstXw09/NUkW/FRlNw2JsGr8ZJ92r9fTVGSJ1Bf0LC9vspL+GmSzcH7sxKRuePzmNdhld+vdtZXY DkeU6Nc526FWv5OoeFOFvTf7zgBYNAn24WrO8eCHO3w/mIn45k+nN03qk7uHoPF5wRAUpYPMt2TG yP1nM9fBaZyYDqGCxMSG/oZvGv2aRfAPtqlR3xnV5Kl/t31RYBOPdIplHxge/8WSolrzESNV7b/d sIm81ZU7KHfA0D+S4nHURUEgvINK7SR7L9KZmehwa+czfhoKZTHVvfbKXnSrWm61by5BAbAd3c9p zChviCmeluG8JsQpQYeG80W/bw2BZBmnpus0t1sRRtRj9JZLQB5Yk6tLJiA+WXVmRqhYqEJBrmZo QeEIpdkiKJ9Oo0OBUmmFQ/xqKK89Z0cHQKMP85H3W62DUYSdCoBPmPbdAdJRoaLOktQ+NBZP+Dii MG4C/kNVH69B+lEyyv3oBAxsrJe6xaUVkmbnBSToPtbi5FYTClBqmB/RE+2CLs5Ln5geafWYDB2m 1pgU1OJWQWAAlshy7x7/0/ww4SL/LOMdiYiSBxy9+ictZJyL2pjqYnxD9NX6fQGysk2HRmE2BEkQ c6dyjbcdZYuAjCUi7FwDgXlBFDFwuTwXS6ct1p/ZPGpS18aMAnucy/ngj2SKgdoxvjGzyLrg+uAn 3WC/Zq8tOnyQG/l8eL2Jb8vBxaDjX+vFb2a+dRkie/y+1QDfK0MDIR6Fnirxjrijy/ynAZ2gXlAJ e2CU/gQI3pXrta9kOoY2zmrh47eOfdd2lBJNqrRwxqi6oVfQumQN6wRzg8mj7hjhg2tfcWOlkK0I ufHqqh37dNjsfQU4LbQ3+Viey49dGvQEmrisYF7/crvH5RU/Z+O7obQay8Kx6yHEthFic/F6hOq8 TA3+GW9iEH2gua/cRV6cB2xQlbJPubmKhdUxe894UM7oTexTVtqCO6HPKMoo4xrWt4lc9sIDL9ci b4aExXvzCftbBmcCMWxktqcMHYuL4glVdtd8/H0+xdvAy32AWkn3i3E93JcXMJkdYZyCKklqjZDy quTpHXeK7KV39umtHdzo4r1MTWgQyxvuJZmj1/1zGdoSKI+sRQcOgCtznOmjYMhzAfgn+TuNZ5CB vqrggkRkB99KJqgVti4Ll6pDNEURsRGE32MBgaJvaP0uWIWw11tg+cgQyhLEHwbOw6LRvnmVNIUD 3E1NDCrT1Q7IiRUMZfgMvUo0pyIqqOLUS+HDmZJaAb5yvVb5dXMKpiRxZlmPhTB8PwrNAYfnZfQL MjTm3hYN1L2LxjPSZEnZURR4Ll98aBG4h7fb2y+JxxlSMVFIrTLFqWezTgcyjN/zDvTyFqrEGeIP nyWdeLUzVrx5+cQu5vktV+FyMGuadtJox7NCr9aTPYiiglNt+lz32tYZFJLjS+nMDHvSfmE0Nkzn 2uqlxgr7eIhoyGYoRfkihOPOSNJRCtB+MqSC6x4F6dIEBFfrqUQVMsAIt1ZFdbbCHMhydz+iQ6fw 07MmI8DjNp2o/E+AdXyRRu1XXI0At3b0gvXpz8TSNAAIp3XGUL1JAevt2dU6bHsVaOxIZo70h07R mJvBZRstvYtBEJkouV84YGWD8ktQqS3C8NpnATaxTfi9rMfoGZckDIf6+G57XecJxLnf0S4KbO1m qUnW/KzZOalHalW4yT58nILnUF1M9UsnwjO6ESGBL19D5QFPLPYfUMP8ecgkCjdZJlJVy9dDENjA XiX3qxhZaCqD7JjNvoa/MxXi6mgZACPorS/+qFvrGicWSOq7ScKYqHOHAYB1ncAhQIsOdDDPv5Cg zUbaDUrowum6UZFLEPGU8E52YMjQ8k2xnNhAF+MOkO3pKpqsteeuUHpqnr9fTYa7pe19N/jvQxA5 RQPkfNhKLhOPveCp6pFoGNf7fFqRNhs0Fq2+8Z/+H7OhoJe+YIMf+D0w0jfToHNQrha2I20N52+l fXDP2jcLjtTchBTlHoUPeYNR51X3BBR5le/WxoXxolIvwseOldb+wYFynQHqlOJYPDhYDjQz9cnQ 8/fGrDmtaaCQvVz9trbzll0EsOJBTdIi421vIGJtALwFaFOyfxNdRW5j44DPE8GBmZGLbnJsmzm/ qZPiQQg8EWR7wEbFFTRweEKr3bGX6crjuQ4Rp8WRg5bvC2AO3qLXK7GXUCAIKP9nJKT0m4Ui41nB cEMSIH/I7s9dxOWBqwbKUZajwvo7E0STxrt5Vzp/F0Xsg6OXtd0F2iL2JePn1wTpul1TqyPAUa4I b0BbH3wK9QGQAd0Ze72SRiv66Q9Qk3ERHT0Q3cMKMMapkyJXUktOGyeVISb8TeC5w8wsYsmSbnVU h7h80tWje1bX8ZaI3WDEbY6o8grsCkajQpG92vgfIfWk4PbTe27C74oNDwR/j/Z+qF5o0s/wDjYD o6gFCcfjNut+9csqN0fxRTv8eTgGB7peXH+FrBaA6fYLb3zXjaVgeVwto6F4W2PTpusABOUfAVVi 2Gc8Pv1Q+qk7DjVXq+Czd7aflxz2p6XVnGgpnqymEfP/+KqbPgTTuTfbOaWY3eyx/45K5iIBzE7X PHZfRSkFn34m5yh/lemnTF45Mv+AhqE6e2Se0/LvZ9BWfVaNLE1hpQQQcqYJbtqwd7JA2NY5Mdqh 3QbZB8ndu5ajSppe3pRrCQXfgvTunL7LdeYkDaXb+XZflWY61EinmaRdtbwZQLqvuDp+Jkdd8mIn kWUYf1QpvFXjVVlfFJ6GzHYLmZMB5NGjTfV5ZlDzZDkSPtdJeh3ycdmPPwH5F+ipPZjNSH4Cyj25 yuchdwtmZt02XFm4okxaPCTktClbGUmKUPwvHw2rLmc5gs3tGH5VnMLlK41ERHjSjAPvYy5HzleM SDLcIguf5Bb/GBZCuA3A2n4crBRXsJhAd5ftKTXDunZ9i5lGnLOzMfULDfnJ0pN5NcgyPpTml4bR P6qrpQZDa9QNieTjIqRQLrccwUAYRif4L4XGPkgfMQm94p3GAeVs3SGoBYOhDtnJX0CC7IoDlVut rF1yXaOhbnk6HxDUJxgQambw0uYyRVEKVv0b8zfddrRhKY2JdHqZ4UAh3xgzy0A87KDAdL9l8GXL +gLXpld04wOUJLJig/NMVDJqf3ohkD6tncIbU9I95v5YiCdY3LY3GUl2hlIuzcYOtlVeeJV98/6N CqTIXwZ1ZfHRO/FXAqIHJhWLfA/XHm0xmJPKLwojirvyJQFIYKWQ1wc5l/SV1hpQwXlfJzomZgrJ xJADTjem68yf82kmT4GE6M+0uyACONTveA6MnEgUxf1tsEkRxlGirwpGZlyatjY1RAPMq0aLdz29 TepnNhwsBB5SPs9H0nJsFx18BqX4WXx1UjUUgujwI2kDMFGUpqUeQHC8H6I/u5uR8k1Wzl/qpjN/ sBBSLm6vmABOmOeVCrsPeZYKXGcVcw9hTLKQi4+4G5VXPQoqcbbf9oduifD2Lr3xkyi3ahBB3Zjr +W0ZpkW1mY9r//Wvf5jRBmlypPHxxgO9H7yCNTNdPVLS8skxnA0Jxdl4r5fRgm+PpNtTg+1ndGRt fEntCv3XFMaAHT+BVuyqgCn2DDXALpUP+k86/9Gm4i2UCxWBfYk4Kr9DVJKUZvQeQIs1//QFQOi/ HEtWAl7lG0Tzc5u6GNdPEoERpa/XY7fZJ4fOHiyrO8lh3Ul3/JiqA1v66GDhdQxR81CUzaBK5MDQ xZ0Q1VQJwO+L03T67zmIahjG3JgfOcxuNo5snDy1zb43ge50KjsZMrv3XSomf+xw8iW+2rq0xpZJ jSxd8MWcKVk3tptruqArV+ddYOTbKoPcQIA28VsCC3mQbvScVO/ZkI0air3h31Lc7rDYjvb6r/BS sE8dmqLnBGGnJ9ZCz36tI1n0ZYz7WXlUnc7UOMymCv3NXVKzjfzQRx1vEUe9Avhv652y3dKCzXad LmCvLZylJ3qC0Binkuyi0uY3MtTXoqzzAQWOHhUjBQRU189XJAS4olf9GulJuflOoJxhjBRH/a9K LHnrM+Ii2GhaXGV2ml7PpMuNXR+fQagLLQf6e/GwhcdVZgr1Y2AhVM3RHwTHqTOU/8sZCUkfyqky uxPmEvaeRrVHpGenXKGwkUq7KBIdAvYpA7pmeOt0fZHI2SgaLSpUfR+K7SLGEvIZHukAD2zoI1fp IzRNy/OfdRKQ+aLq64pIJI4eEWFPfljk/D5r8wKjmv++sU9BUi6rHMy9EjFQ3DQquKSW7VG9l5f/ D+lx0yqBuEy8LmOW0nbUITARx1boI1D+d9vkZUZ3/NU2wk1ct0KrscdyLAEgZgxAilUqI+rAGJN+ 5/r40HRHLGF6/r0FVIdJ97EkUNx9SsnpIg5F0XKdXV9udjPmtYx5KFKevLbZDXpqSb4xJXmyLJC1 ASa4UC7g4+Gstnx31+PExyZK0FkGjQIZbVXMAq5jcjzs6w0HSA/Ecy7AFkALJIcWYKYYMnX3FhE3 rPoRgHDWfDLPO31Cxvy0eajV0pFONPnoUXhutpYvLD0ygh73rjEngivi+6nESIW0VVuwljCH6ybi JhfJNbTu07Dti0Xcoa6k7LOd38QY4NLrmWo8jLesEShxhGesjy4j+RDFVv3aUNs5gpjjh3lpS8Ti R41v/RL6O8waayQ6XK1CNCqmskOZWqjqk1URIaB+B0zn7bPm6QrOgcAvK3E71eIOHFNMWtbZnrGF 2AHs3A2byzNT4S/rROIu8XKelJHpr8SSr77UXtyFJhYBck4V1PkejFZeVFs2rPPkuNd8PUH9sctI xBeX/nj6aJo+B784luJcSo3XX5Xeb/wWny6Vf67wxN3TYGsY0TsE9GpyLU3RE3LSAuc3IRhwe1u7 4nvqfQJwK7jOzl/UTxjIS5OldAwDO5IT3SjV3DYb+SFOPSAdKXvoHhS90c8WscQzOU83q6yUCmHO HVE4E8mq3PXrFYiBKOqh4Qivfm8NThzu5Uj/YXZCDbTtvg5xZiiOSWtI0T6NyDkkrqM5XpJjsdIu u2Cn3RKwuxmZiXBVepUGqk1xQTCf0HNO2fqQ8P4XoU8Z5ZEfcy1rAN+ell5B2Y20Yq7nWzaWg+0U rBDi1OCpmKdVXV1dJaWN4d43cNOqE6QQJFQl1Wh0JS3p4O/z+rQptPxVhSkVzR7Qq/s6rqPE6GQE MjzZMcD6FjmlKiYmYbvjfWQra1CYkswLTlDbr8HlyOAKQE73cdR4UIP4YYSz5QxjYnz3OEwzB5D+ CuZtFlMlr9dZqS4sZfnUxPfXqkb8qqpPpAOZiRhOxrUN8+ejrWlvxwjoWEQVNhU5XdsGGgUOT3Tc Y9bETa0usEGkiWqj9Y6id26EUdOXNvO4eRcqzwQvhtGALGlxeDY5eW+TRmclx7yU10pK89rzB2Ww 0PwuCQOKPohbo8Li3uyyDEJHlCt1bS/6dy2kBIPu06FJF9n/75NvtEB9+9BrlAt6GSe5uk+1+RnA zYHgAYIVpbvGMAVWWbdt5yHV1yk+NRK+InMUl3036yZvgqZvDn3k+4ltv/P+G2BqMOfxTEp96ALT ehkQoCKwT7PLuuIM7aYKtW2ggj7/gY8U/GDuHuLZh88dwfp0suVzC5v3yNJI6k1y/nGCSnO87gUs aJIi2UD6DD2GA+cRmqYn5aF+AbLOKOfvlH+H8Dy4Or4uXVRtIKU3qodjSOUC0WjcpgZ8ch+4qYgg UTU82DAkTrXNQ71VvEHuyxCIp9ChqtVjSaMVlv9uwd6Z+KJr2M7tDYDG5ooZBPOmaLZzhZF5fuQ8 /kM2+yP6yLdYFaFmMxOHlBzFKWum40u9J9h3hZBRB/J4gSwV54N5ub6ZO7a2ZbQpomQwUME/VfY3 APjNBG1YU2mpu92yx3lErDsfPIh3b0wo6yUTcq99igZA59ekUPrFLGhpb5M4yIvVf+sEL/zGAnBF njtrZQqyymd0LD5s8gR/ZcL/1GJvMhwopoNkhJFdfAZLuSG6Y0fUIwINteT8bU28GAAA/A4qp47/ lUlYzTt34iQ95ypL/qWY0kto10YR+KNuhDGzpgPLDDkJd9LS7RRnamfHYpBd35ZA82Qt8/UcrMRn NyC8I+uo3Y7c69Q1hiCo3ei4fSH40717IKrOhIrIuMfUdpU6nI12/arr86HKbc0RAGShSwBUC81K oFmxjSHP27b8g/9ScDs02kitf47GB56nAgDx8mHPchNt3AyVWi+jvBWkyNMuTPH3om+qBBrwYE+B me+vhHKmkxngFbj54PkvhxBvAv8Bj9uLgI0Io0QILoQ+aCSZqFboNIgYaSNyQkKLEPJle67qImeQ trUcx9MyX2XA7a+mh6EyQOtbSmuh2k9fVWnQamcI41HJLL8P/vEBkeEOKMgFLqLmpb8Wv22FQZRS /3brFtTrmQoSR20LohQaqqPZ/2GFsQ5b6/G4eyfobQaBIIzeGOjhRF5dzu7hjV65krjU+WiXjk6d zliew61CfCj/HieQ9HPtMKt5O3uXLw8YREP9B2Trv2vh9c3Q/29MkoNHNlZSaZbIdNfBeQwQUBRQ JYr9UuwvLYeLFMa7gviIQhvijCO3wWrLeKzxv+iGxquSZa4FGhwxfTDzCnZEaJ5tefYdDLnURn/W GJYIHEklvs/9kC3t/IXAlI265clbkQB+r+PCYWFJocUPFZuLnhpQDViDutF1XdKcHlHaaPnzSPA/ vBH/gmOAcV+V9CDZw8pE0JqZAlX1HYvnbiWx2FYXbSEzpkqyqG36X8pNuKllpmnlvlHgA9JNnAOF f2GXrAmxsRv3iuZ1/YcyDJk0eE3BMqXnVYVJXICRYjG48moHILDvWf7aEr/pSEAI3fU8QJEE1ws+ Fgl8KgNiy+kTJu8OVl5/6VCOVQ3Vjey/NIIA0T5zg1bys7OXa3uY9xBo8cEf0CinhOUg1uESmala lX08fhKYwJ+5mBrPlZG4uXw/6KIaeH2aTcdvBa4XJCwKi3DDYsXB+Ytd3rimAaIysiwllR7gds2x dcti28qCgBRvvJ6aFCPG4wukYLXOA0u0fgFKwFJgCpK40NATMRFzY75EPb4pBa7Xm9+C9xJTE/cz 9oEvb/Z8XH1LlHxorh5PMOv6cIJ/ss7g6Z/gMzYhcOCA90Cuh9IxC6s2nLkODXfCYlDTNHdgPvBY IkpbJpgeS5nh/ALrQC3Tkm9ODtvNJO21IXwUk6WwsPAiC9GtKSagddnwbvItiJhhsJ3ayZ0jbLjx E8UoPDdDOmSCQlLW3gIjbyqQJhNj1mvAj/UWf4KPMcqXIOdFehXa5trATQv3t+5A/7a1hjuKYVrN 3JZXQIri4v3fSsss3h2DC0OaHu8MelMqbZ3eay8ra2yK3t/1YLqaCjxE1ATmFVO8JxeiJmCXpFli n5lV8JyAs1WywGqEKnaClb/yBjLeczsaI6nETE58HcHZ2NANbhYuSOXpl6Oliv/+W6YuhXvVXndy 9PlDMBB4R3nIZTiTc0SakU1klw2RJyV8JCP0Yh9FzYIiYA++RHsecL4L1dmltAlPesh/5TlH9MUZ M0+b50X6l7kUdqzsstsTyAo1utUb8qbfxAJYOs5HtCAtANvYwJMPi2dstzR8CBLThuYg1WgQ4qK3 NYLs32Bp2CCxvxh6sxjgwKGyoLr7TNzRf3SZ1RnSAZKO9ylrD4gqjYCQb0KDi6JpukK7uRDcUZ3G rVhenwVEMGZjPpAdH8Tqtcj/R4Ixv2QIglxm3m7F7S4WGNEptjytB0MM4rphs6pwqrCV6uEjAPzM fnHZz25WvXdUn1la7jZV7tCoyA== `protect end_protected
gpl-2.0
0decc303f1187a2a906f0ec2dab35ee5
0.935482
1.85521
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fir/fir_compiler_v7_1/hdl/fir_compiler_v7_1.vhd
2
19,272
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block YYERXwtW/VNQ8SLJebYheQcRK9bBEc+vz1iJ6iC1npXlev/X7YXxM/sxMck3zAiIFEzeNAngP/mW qupTw1n/tQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block F0mTdmTp+fqH4vYO0U+hLddognQP6qkGLiyOeDDeAB+1aQdIpwm8xpN0N2EEeYqVKti4aAsRwRZc aRHK+c8xfHbcLChBD5DDT7rH6KNY9MW4a0SreCIiPxQC1qQBtPJGV8YmaHReUZXRTw4ImPljw1KO 0GpFaCcjwtpuG/31oOU= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mxThBX+uPg8HuU5LH8KWcTBpzmLo9Oqzlq+5B+yH3KqpVpsfxe6KPz78pqoe0P3dE1wWMe56bDv1 5NpvfBnGOmUMKBVFRGQ5SSM/kF+J4wCGk4wbxvO+fUXkwGTo8RUQCrBkUVfdGf46MVv1M0pJvSgk 1b1GrSI53qjhoowvYGhCQJATripu8j3+Y/W1Ce6loJBqCbeJTT9dUx5BfjT1g3288PxlOfnmzNQ8 lanC3hETTcXXXwUKwv91deWmoT1/az1Zbyl9r/Ous3a+mfuGWaRpSbCnAkCeLoJOUkPwDQnhqV3B hTDcGXoTGaAtV9Fi+Rj8SPRwzRLKAyopM7Pg4Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block G3w+LawHsPgZ/XlhHG51ih8mNsVnCOVvQZXcQLQi+I/xopb93VaVqaNyM2qajlgQcesLTjUIvV7g yyjJJd4eVpB6gk0Q/Q9KPVHsxBRACSgEEGPTmc2y/52akTB/B6CIF8F21eyslELwq64yjpZf1RW2 rlB5V3xXvBIarD5gnQ0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block I39ff3CBEcsIiMbxxK25zLXZ8P766vAatBJEWPwejZ/EJyMPQqeTkPHgxwveWOSDv6C/9ueMzEea dBOfSHWGS83KdMtjSMhyP5kjQG9YyPeDNNmXpz6wEjR9yh33gvaRbgwh9gU2IO47kCwI6cOiRBT7 08z4IX1ngqKC4/IoRU1SHCwvmvqKjHQcKvYJWFw4MDsu4x34B1hOV0jXuQWOL07PFSKGEQEWzSuG jjiTQ4Ljsn0MQVqrVhI4gYN9UHSglk0MhaWeubbdvgzrf0EAo+mhA0z9CQ44KIP+tyWJ8Hpzaz2b AJ1KpskCzbxz646SQ1wfHOs6UlZCviyNZaKqqQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12528) `protect data_block kqmAA7cf0e5Rn4ltEn+R58ZwUyP9ZD4DuWErYfMyYdg7WRELkwFi2SLUrYX423eFtmC3PzLHGgVV orGCRaBh+/aT0h/xsC3B3MpKYYjvi2pl+N8JbTFsgDqJ1eHH16j55g3KnNy+GzvMCjk28VAsRS0T YUa5Hc250Qed5p4++ZqV4+1YJe0pJYS4qP241Y3LhfDSSqNs71srGZcY6Vqj6r+CvI63SrElieCb Tok/oQpb9fX3jXuIBnSfJFsxQzoiWzrTGkOnYXxm9PBY3fDiWxehEUxfaXnxTJguTj66+Fjer2SI O1iTiUVkZvI8hg5+VqFSZ2mP3+f8BQQGt8Ax/6Yg3pim0MZjltQie9SBVlo6RH0vyOzvZKnMtXAK SY8MuMa9NgiLeoC61k//f8L+FzhRvsfiYxqwRvOqAG725acnpDSuRMsaoBgzhUIa35gCbDz5xEIX qnUKNAan+thZ6CkAoTORG7/1CVNXNQ0WyBOEZ9Fv/zBkqmoDm5Gbtwu3ggbJDdP9DuYldEwBCiGH iKNFh8AKzEa0e7S9gITrftfavGxr+lrGWYkUx2zI5MNDYrdkHweQ3wSHkQ5GfAmi9GWwnOyzqdd7 rRLVEXmWDQ+3MWExrRCUaSn4nWvj91SXw2xsBwFiWv2P1CFhCFGjICBcD6lSSXKcBKxMWXkqEeLF 92XcGV+jr3U4/2wg18AH+Gb+QRv2yLXtRS09zp7lq24WtymSNJRfKIoFZVZ0eJ0/BMiGezMUUVHU 5kynLmhMXNyNh2SuHBuZxawx83h4cC+D/UZPbeYC9d8VIyL7OBqqfOavUeaMcSje7oQWaTNjd7mT aFza71ftVf1c92a0Z9CKoiaBYTfl0lqKbYMwti408+ofgvzfcG2+poOiEajcx/HDr8ZKcI1r6yY7 A7QI6xeKvRI91rUgWYYkrk12NcnIH9v6PDJbkoTNEoAVdLLuWOBSDAcEeF4MK4+PdiTcwZaxxVwe HQ5VSdtWnBWNDyGGvzWop/yTf1GZn5C+mcOgb9DL2TM6Mp62zsHWA1M1e8SqVGGd0ZehsS1rhrXH bqr89gOFcXWN8pA4krNN5XkHSVIVRxmOIyMHiSImqbF8dbyeaMYbngPlja146r1FT8zsukMekLgc rlBPApOSG+WyVaXWunO7ZoRs63vSpkCsGMl+EMyxSrzmbiJk4qqgJ9vWdeuuPQ/hs8S5AdFBsMLc F6542bQTq7dwgNjstTu7NpylSBJdn03L83FLJlhuKtdPnHYMeyDxsvyCH45KVkkuc5rb6uGdyaDs H8F0NOaNE3kncOG0/J+fUjxqEgFBC03tr0+/59vOUdEXJGVlVjwJeO4m/8nF80FUYyjfhZJljnII b9bdk6M+TaK8TCOMZYmoydzcKtvGTR7NyjNWcEvHQQj6aBwEQLIWPc/iGUdw2QBFX4ACP7cjbasb vDtkV5D9R0+p+IsUUNsAlqGSp5ns6vp6RnYc2uhenKsv8mTN7BhI13ge9iZqq7AcRcDR+1q8EcKV gZmG3b08XCNTAAGbrlHojUX2s4bU3Lq3TtkcJ8Z2noRk7O96snBIBMcD/rEHg6JhhiBdUQlcpMvt vQehTW9iQOd5yfDydStDG6bVMd/QVvle9eZ3DZ7MArDJrnPJx2OdFHKOi0/DC4+vBVrFzlQIGLaH zBSFlfHL0K9DIE/83IqOPnOUGRmJGChS7cEwNWajbrnr9qI6N9SI6U6Z9hDLRlXdOR+5moid+tQU OrSr6qs/mKppdkPd1Dx1l3LMu2BgcZL1n6vs6dmy4OSON71D5Cff4EUEj6fAA+ikU4axViOnjah2 stKLjS5FF7iCODcWVyGYWDPawueO2E+pjqd98l3X3G8CAWzJhDQvYfuipxcYfUgQGydJ0FGz+Dnu npyAyzjFMgDR9pufq3z4WpCZK6Nk4SHIu+eWySi++uqFTPXyAe5pMJH6cmyGGP7P2V7YK9Fj6t/t Z5jI4oz0H6XfAqnktQRsUYaG5MtBzzeqBc8DBBbvAudbvodCELlfkToTaYpKSf66xnGKeMYf199r ioRYUF7uMJFuR+B/2LbOJuZPTPixidWNoSKKr1iY7hQgZwIhQJnYhnkoljVzhnNsFjSnldL0Vpei i0MSigYOHeFqp65hpcC8HemlOMz78cGJ6j972092HxKGBgpW6Vj4zC+srLyiZwPOvMFmzVGhr/vq oAX0wnx3MEjynX7oiEqaELDH5cZn5jKTzFneMM21sKJGJnZoIEX4d95+ANGoIFbJhy7+Teugnwbf u605KD6uMLLAaHFqukFpeWJqlhnZ2BaikJHmsx++HTRnnY5oBLr7V0/rDReFlqpq39CX75/14iVj F85QSVnv4ED2Y53U50ESPUmZpwIEsnvYsA1TSzNesGi+V1BOarlL4e0cprT43dwEfpm2YD3fzaFb 6GYZat6z7UOuaTrtxbvW9d2KBxuNhD7WhJkNhGYyStNsOqbVqeykxUJcLmxeImabmrdL+wpDSpKy AFzfbdo1iN638ns7j/fhZK1d+hZkROQcJmmXhceyeabAV/YV2YGMaAsiFufMBIRgxfVp7Or1vSfc 9z2GTYihsWRZQyB14+HehQusUFiRULlOQVGbP4duDn9tu4T18trhL5vYmWXrdLfWl3kYYmjWTgae 5s7HkrTh5/R9DOHqRTTUd+aTLsaY37R3U7kqM0Vd+rECHom1+sPamwONwH+I6EBqa2RCXqLoBSZO sbmHFIxR/3hwja8i5vIEopkJcWJj5p661iQabn7QKgBu4qZWcOIw5GDJcujO31D9WWLQC8eAiOnI SrlIVqmdLCA0ZNfzvxDBfMq/nKTlYxtIMfImki7o8aeObM76zn1rs9ARd4yuSQjxmtc2MUnbsHu5 O74IG5dz5TfzG/VmdKuLjWlTAKOxxc/olrJO1JGQpthcUQnDchDTwSWgBW4OhNXMeUKX5JgI1zwk QgVv+mR6rlg3pzzwlSZNEjCGJgFK+uKjq8461iF3dztm3qum/T6Fabash1cUUS5y3czNzYIB1Qxt gQcTqTVPZC1B3Lvnf1+xAW0c2xTiRsb3I6u6LPKKkM7QZ0Hur27nw3ij8QX9lPVwqftrukTgPVWK 0lbFW8a/vjOwTgmkbpUAjkI3rQGtLCfCc9IJ04gxMmTEAS1Z4s2W20HJM8FA/5RY/Y0w1CawPwdL PoNHgi7DzAwQ5xm6GdSErvkQYcamD5D5iynZLU77mp0KCPVH5CS82f9SBsL3wsHyO3TkjeVKWH2P 6ClSOsm3O6TAIaAo9cgJlaAFK/4qTpvxFieN7PrP5WfBTqGUn+3l8GdkhkcHFwY611OZuxTkt+dv PUOjs7fI9M4l9uYPh4MsNKVVOWGHe7MSeaOh7ybD3WQvge3JwDVVDi19mWUwbGDpQFmxSrgNwkfG bnZb+Qjl0W6woBsfNKOHDeZGSqXVQOGyVvF1KaFkVwSfjtpACvbNN4N8IY+3m68ToBCuupBPWaF/ IZQEb5SPHJhfldWg8u6qTDcd1bEzsc6oIyxuwr1nlHqXJ8fmv6UDXwi7yo+mdwhbqpqRygJLaPE2 pvKW/4SBACJ0vro6XE17i9DTVIGEMzpaVYfip7FNolCAHE3wkZ+D+24EG7+l0CZFMZfmojJ9yzGO 0EpL13XIyTI5g7p3rZspRo1EpHK9D2I0aMVtyEINNMxtSss8qM1QgE1SdKdB8FXU5LyQOtgBPp9k dEZ/Mk1L+tK+8BFf8xyMTM1kbrvKuw7HU1H1mGvQPRUTCNv4Dn9jG7Ipjphm4SSL3mRyRyczBry6 gL3FF/yVoPGl/VKoOcdGjkHjlfz2OUnY/0eW3SRnoAXiYCjTm9m4Rayl6nh4c+sXLMA3pYPDiPjy cezTtsLTxBRJWQXoOyyElhy5SxPp1COVqno6unKJiNOLji3iycjOhPPHAFX4nhQbiSkwtjK45sU7 leyFVmVGNBw6d9ZBPAFKnOjs6vjb+TwPDjC/nA+YAZLRjKxoK+75MQ4uZ/Qup8fjCQWeljv9qD7Y wye8yCJADT6fqyZ1cDt44Z0imimlWrABioqaFe2uQfIqZcFh0T5HGlUrBMBZGCmLhbDSTt/Ei8Vh HV0lW2afWfaM9sIhwpaLu80tuEg/1sUfqcg2PHsqtuVKXsHjoGPoJNNTzPU1Rnb2QzcrloCFzFKU JZgJch7JOMgKLgD1nVKEWLphOLWq847zsXXw7VIGNCwkaLD3NVPB+IhBmkghQDjr7BFfpSbk+oRC lkPN7O7AaqFtRjgB98nvKZ7YAWfpK6ui/dSpn8cOpjLfNTjn6R/kglxXd5/s02kDRlmODO/XuThX BV7CSqvPVZI7YbpDk2GWLtKIBCxCUMkAgosvKlvbKwe4pIyww683rasZe1KlZPi8ZjxEZHP9e5lC cFVOQ4+o3RUWsotHFFD0XqCi2mP2YUZ+JSOhdBuhYvY6HqcTCcc+PBBmWeTZrKB29EB5d9Z24i82 77IYXlSax+IgX25CoKjKBJZtR7kDF9sB+j48WFis+aRcrMIM/pjCBZpugoWrd1yFWyGgwtdr2+w/ DqPS/yK66sChq/TIWwZm9nl91667vHHIlBF/hF0vjypz6Q0bX82q23HGLXvABcDDmPBkzqeOMSiB DONgFRttn5TdgoqPpY68yO1jmqtR1COA+PA/bBhLha628Act+EpfZK445gcFrCNsedSw8J/5eT32 z3uLD5Q+Xp6OdDkd7bYCX7YwHe7jZL4E8J4uypwJ1kzuTdvj6n13G6EYlnNhqDPoBiFENypWnOz3 CcBczDY7HoetDEcXSjCPdAhXjpRS5nOiVZ1xY6ZtpoXgxJ1vBjvCpd6GwNbfVM8XG4h7FD4O5Zcs AwAscZBPdPAMdoCoWdmKXhTTLoJvKFkIxWHyZQVmvayyx5kU6iYNqut16mzOtU0agwcdVmpU/ps/ p/cNTm3+PVUq8J2kPNn5s2WvNaok35nV9G3Q1V7N2vQOe8C8gdIG9DcUzrmrIOMZiXYcFTAfI9BZ U0Qd8nR1L9R8by39IDeVGLaVo9E+A4LQYGvww9HciF3GMg2hgukCkOjb6B4r7vvr6JWtFPURmt7a Kd6NHoMRdvwXbrrFHeNPScRtRtwovbCnv7PqmHhp3zzCHo/CoFkztUujmmrOKTVYUfLMQzMMNOxA EM+HwffOnMPIV7P3hlQzh//7AWd5/6Iuu2u6SWRhXyFLzMNdPX9/5O+GvzkiZ+Z9CDrP+D8TxWoZ G7DKSO9L/64Uudm3RggxpPVvzxqwJt5X2Whuq70U8qPAHWxEdbzyffA577vRjRVBI8kBcDPSPIu8 pni7vQLsElo2F8kEFyATf5TlN5RyZH6vcnd50Es0YPWEGjUW5KTUVncmrjeXStp5MUxjANA8imK/ 8QKACNdXE2IHrNMbhSQg/au+/M3LdGAz9TG9eNR3+G/Xea84OyOrESZHvewfLN1PS1MxxJO7vIDk 3Y+E43JLbjnhkpUtpvs8nzdTkCMPX3sLnZh7ccZt3Q0K33F0Oi6gBbf699knR/JUf0i8ByJYMS4/ 196Kq1tj7JiJ9qyUcwWTBN5gNegDmmpJtdZgd27fQAy8cAdMvPEPEzN6t2xdvKaxMy6g1UBvu5CL Enk2vLw5PL85RaDTJrekvw8jge2becepYUx6p/VD3P9tVAwCPOYKu2U6o85ZSlqYI7j3yUmCjr99 WdAD3Orb/bDB2llFbZvUhv8qpQyVRSaSkWokt+/dyLT4KY5v6GsrsGhyJpot2LNOtqDRzrj6xi66 D9ziMqnnBL5sigVMHGtUNi51wxH1IyTwUHKAciiPNd+Gf4PpX/8JdGZeSl5Wws7yfCSGU6JogtvQ NQajaOZgbKy3SFP+AVXZEg2RM9QtH7s5pTGb4AmO8Nx0rUv2t53taGjxnvLxPbY9+geWbESJM1GQ bQ9MnWk/M0SyFUuxr7qLatIlqgQEnS1jdW7MxawbxZkzQkWqVHoSnPquxNdXxtu777s9vQrmZTsm 9290zviLCS4C5AUqg9/OSamDPmZefKF3AC9svjgE1vwsOZ5gORTaZhxn6R67yBh8KdDJq0wG88cW uaDfLf54lDTzoj4b9vIumv0KDiRn2kWEt9JEFi2UA07nCGTSD6sp6iYzjllGROXat5O5Mn4bMgvx aT9vrXLQXv1+6ibtFAXwB4JFaz8cdlRSRoZuMK4+fI3zX3QINroK/vY6Sz+LGZvlqaBFMWV8t/wB 4mA20e2LeuI4V69kt/Lr67wDNyiQ6eUAPHvZdeiPbN9jnhyRAWUffrMPtEgyXv3OpT9YnaUa7CEg IkrOKd9qnOnFEGuFphGKJ+51ESZLdw1fFIUFXwXgATdy9hU7yXjswT2ti6p7l8rSu3a2M0SMgTiu xO56OrfQU2A+xg3FLZhZTFkGVvaBBOOdrbX49KPDj4wzijra0KwoSKkFqJeUYsdsXqzi0VHc3FV7 +iRf55Sm8YQ9QCa6DbUMDk5KXt2cHXVpoChUCAhhoL87p3Lqsop+cdznBZnsLY8NP+PYdNZv+LTZ U912k7DWmB9WhqCLlf+VwSXxyhE0634d6vFp4WqgUvjD87zNWiJffmivw7oc2RQ46zYFviasZbTG ESBs1Qv3WcDe2i/EUbeg1dSLQ6VIT2tNgMxkTSKdgCR3gvlhSmkU+ush69YlCqAUH502lbZ6sqwv o8ROznXKmXEyQVM0zEyjDZaW2pmDDmfFhAwZMl1aBTnXiWa+Hrohv1BAsiINyDOMLvpz9ztmpJYH PkJyV0WSVDf88Sh5RrYrLNBlv4rO7gOHNWvhSeaQ3TUKJUpYTrAr8sJgaufp5gQs+hSHsbIPTzt8 T2C8sa+silpWo3MuNIA9FOZ2L28YZG8R4slKJxNWDXoKxypFaaFiEGac8nruB23AVm3/kZJkakl8 hMk9B6OKHIejpxskCq2OnwpBv7AxtYQalTjxwC1VHt9/Oh6GzHVBe1IaS+wxh/KNFm5O3v4YtrrZ nujzUBZWquuheP1WaxqlDyUY4nMnF+tG093CRP2HJQsLR6SCcs8yRjZ8/M1hdnWK69iH8eLG7Y1a Gmt+wxSpj47OYcLVP4Ddn3wtO7V+heqT7E3pxH/1etISt4IsafOsXJNzCDSfKDxqgzDK+bGMoJW8 pY36VJgHQqaM1xaIn6NmphCAeFsvmLALW2b9BI/XYpgK3kv5LjCznH6gVF30DwgILcRqteuCAZ4L MtxSshki745TK2CmfSjyExpqRb5BPEaMSZhr8ZRBp60uF75Ttyywnb6XENxh2ztn4+QoSrRTngOL i3GvW4K0YhXtuc1q1jF8Gfr7onfDBeZSYUNk9tnvT5i7OvmpHiZMRjuqrNJ3tGTCOQ25A+uaGKMX eymC7AUF7+5m+MY8Ak8XzuyXXFMsKjmx29NfcpCfxWOwrvJP0iqApssPAMn8IojY+99j3rml9wPe 43JGTVtVw502RLOYdAlA+uN8wPRVa2eik5cpQHmNmIBCXb52PX+S2wDUCe0JlXcEL3avH/XMnn+A EfweBirFi9xEv7ZIlD9qoo8x3UrphqkN5Wv/TJyohYcD7YfTRUZBzbRHr9OYoj776LmaIgzrwU1r 04baRgVLbj57Q42vMlwKqUVCrkNuiNBoUCj3Eox171YrKTME413+uSUbzDnpEtDxYtTmGYs/9sdW bp4Fm+plIoG/93NaZhaDmql04XbFPQnp5ETck2RVi1JejYwmmOCdzJmpoHszwa2DCMEUsWSq8lir XdrV3U97sXwjgXCRBQE+YHVU0RTEBivC8jCjnhMezkMbFRO6eeAdwXi5UgxsYdM3yfpbltVYm/SX m0HPGR73ydYkiomzVrQ1yecDXoYByKkV5tl0+pn/z7VUpugCX+mFqcZVwf1Okq4x2d5THqQD2ZUM Rtzz6WsSlyWIepaITZZ78jJGKb0EbU/dra6ssdY2d+yDnJ/PCHyN6X+7Ao2MCDV+aS+8FcSEhZyk mtCzSrAIGOp/tDUiMDDEgXzro1Ng7eRGP7lwDrEzTSgSOLfZGhe5kymhOOkuw1uGedcVHKOQANR6 jv6yoRNxc05haxJ2qAN0yrxFpIz4vcZYOiwTm4H4HT+GoqjbLKRyd3+0O9juoz7x8sMi5JHKwIjf HrHObkt/Ki9czNaRRHd5BPi2J7Zrt1JZVI0BO9MQ440Pi+I3H7UoY9WZf3Pi+adJokXC/4lSUzyu K4AprYphyf6YV6waxjpvAbTPBsqeXOwdyBayz5UDTySM3TeIdHkelAWt246DoTPKz6/LpqbLBb+G ulLTT2Ymhtrk6O5eaoBQPjTms0lIbc1fsZ9R0F7GFBVx2EGBFF37fWgHdga3ti4Sw//833hn9EkP q+DgtxXXGu5vKshNnE2UiarAWKXScyJH5SOTNffI9oTPVBWpYLiLHO38KncRGjMIiv1KoVLqgxa0 c2RBrTr3CBX/hbGHvPwRGZ5aXhU9vGGJFf+XaUNus3ZZey0aq0NGtyTa7sGwwPjEggzmciNYII6w zXRvg/J+3xJfOhFa193pk/JgLF3p3btJOf0Izpn0oclQBDvPVRChUrGi3thbijDN7BeveJLxH+xz uLzG1y1HdkDKftFfKNLTy/J/UXf9d2M5ey3dlCB4CVreL7F5HpX+QgNb9Qs0c6REWHUC16UxbnUa gIC/tYpp6E62AKcInrVuPwQenz9oJF+l/yIRhSmzdaHWMXwIr16IYtME+Amhn1iYDQcztmJYaSDE qucnaOjy+w68zervq853A+r+AGo0LD9DRgOodv+vhnq9j3oFwjPYQdL35YlxK/EhSVLMYL9JFbxz Eeu4azapK0/PrVzCKabifZ4TbXkj7nxyhkFqA8UDqMKgTnYWZJz0zlb6qfIqZpvf02o4fUx3s94P f8iSUwlBTyLVhy1NP8mmU3SeLAnqMxQvXDRFmzkFllWewXZ9b5MPowBiUQxFAzqltQY/P/5Tw4oL 7WJ+45HoEorVibAWfaYQ7GW7cWQ6QyhKUMLIdyfQjQHspbGTL1xLqithqpk1W7OWvelzRGIa+Nz0 0n98LFVTa5685R8aLl9Bl7S2I2UbBSIKlF/gEar0slN4YkTmFjxVUEgJx+iKowGwapOzBQgeDKfz ftRDqSiI/t1cujKa20K6VhZqwNS5CO3S2CYx6FDS1dE8mCkamSCrBMLXK0D9snlJg6HgiNMrHH01 vN8VQKjh9wzbe92QhkRvwOJ8fwrRb3k3p7D0RKWfO1gh7IzbP8GAVx0Ft65yxWdYgmg8+1j1qaT5 cTUyXgAeJZvIm7KIpxEvMecUlNFzEUcg1g4w6jmRP1lMXMKYoZhpbINBmPyKEd33osUJgnAiBSR7 dkF+PbKgv70vXkJg/5HZJtbTrnOd+I9K+ZnUmcu7+ngGAuYtMP4iP5TQpJoXU6JvuL8EWt2DROKo 4nzy6UtUHU+HxMPEr3qJ09mlQEm3yEtkI2DM9iUpYu0qZYnYQcq9Xugv/ry/f87yKCNkJ8RsYaYP MtCWckn/Ay1HeQHzXk2uia13ZRlrGRhmO/98Hotal5mvLn0hg3z/WyhtVu6TBPPUZ9mEuBTToJOL 7mUzEaPDiiW38IA6/W559PtZxXO6vHpSaexV+1cLNa+n2qYS9G+fSiLdzAqcyD/wHi1pS7knyTbt qce2AC21cs1RMervIU5I0b9l5PfvrUlQCH7l2CrNNCcBVVhFeArMQfEyU38UVMTUnZVemWmKtbpP KgXkpq8ege9TIO/QTKHQkt7+Iskvn5k4IofI+rVx05sVrFgvJjwwxgufc7HWDazITK+m/ax8h6nK e+lqXO8sK/T8tT2NNWkR5mpuL55pM2FNTE+Ja2HRGzN+Jm9f9gB6cZ2E78Hw3ycXzC8wsyTcy8zf A5/jPY7p1SxB/TO89gbQm54zXzeWT4VbCoWFrhJ9rIaOed/ml9hPlLuwTm2V5qVUUu/VmnbZaADB CXS6SrdPj2bMBG9bVvZIej0N7B/nMFlZIPtm/L2ksUx61fbZlvl1p4f++TRV+e8olHUpys1LqH9I v53IXiDLcOpees/DvjjVkJeg5g+cso/t+Ck3bo7Ln4NM9DJbsLxwAa+kDTt4cGyczsreEY0zk2x0 x3wbiQzed8R1BVptFdP+MPaCzVWxv6D9faZ7Vn3RNWn91X+K6TBM6NsoLA5fEOT3BOW+Q9PB7Koi mBdJ8Uoa2eBMy+9pG0yhPkiNe8AA/0TgqmWcshXri01BV6Ck/++Ly355hGy2bZ4CHiI4CbrFywsP XTKPJJ//mHEXaj8UBdqPcp0jOLE0qUXpZP7sP4Uhd+p3jhKpirmmj7NeFEMappAJXrvWNzrj/1k+ z0dCZWeMSihjBhdTYL+i+Bcw7TALB3MNTivX9Z5RRs3JuG5aunUpHm5iKUjopMBU+zokkq84CDO0 Yi2LSoNEStjqiOZv1YVn+6HSY7p/aWR4uvS3O9u3K2AKnlTxVwxU/z2G5K5tl6n6IHIuxCVAyen5 zupDvKGqH8AA7L5tXZx1oYjqX2yb2xOOH2M1cWnTrtAdIvae5mze7z5/hclaFsCY3tXdI91YJiAp WxD75/RK5HjHklA+i/Y7EI1qaq+SffSujnnI9nLaKi70bWwZL5KpDrHtSBhsBKMBm6M8kdrsD7l8 JfX9vuYwdceQSBcwwQxHT8+gwhhydMFkkm2MyGcE8QIoc6v1lJfWiTpEe5VvczSmV3f0yxG2rexR 7WBy7LTiOshh0uEytHoD0z7KCKNiZVbVbSfKSNyfb9cfoCC15YP7xTSGLRteYd1ci/Dbx9giTe5h k4qWklnOA9C8HA4JGx0+yCK+modbSc88l7Zx0ZL12rm/Wnxxn6WQdaPpo0qx/prT2FUiqcQFxu70 7ALcxKrg4fda4oDKNuE0HmoRHEmUfOBWs/Qa9kKN22qqcapOoHB7Vk9dw1/9qcciZTHeZHLfVx13 vINaV7frg3K4suJqNYlsJjgqQH38Om2xOOllx0InAzSVWs9Kk7vBBjpWL8+dlVYiI0XmVpQ6yf1G mTbsFsH5moXO5bh2E8m0ifWXUe2wSPr9vmSoFhMsKkUFrEudn2r3fKTHf+WcTPJMJqSGf01RcG1T LutVMG5/n9CJDHHQQaRE3wu9W5CcC0txwM+++vpQQFOxh8agawimaGeQvsnCv4yA0FdK4kG5n/wm 4a45oGpkphqS2jssqZimfDwzW/BV5UuFBBOpPyL0YtUKxJCBLS1GeW6br3GcfdP3Un7L+Ig+PuKC 23n70HOg9Bf4teb4QUYG6o/AxpH8ER3A9JQ0drfJn9iBzF5m87HuNHIJAKKq51f514bftQQfom33 xwu5x8P5sSdPk6gRlYCpr/G0f3wgyuBQyegwtPzIC19U+6G4sA6NZhUoDo0JUhOtubtrXNPnjqb7 jJ8Kd9fV6hhh7mi+Uzb8R49avH4b4OTk2AF6I+hb8cHLa1t3Gch0fETv38prE7sYeREs5D+17Qbf L2qifWLthHrcw3UfzEeTh1kVCoXoXbvwgPjJ074DMmzLxzFLLJkqVhQOq9/x9+tAyQyzj4iPYIcs yKZOhi1TztIrInKJSRIHbU/rX9lNO6S1lYI/4gD5V1JZot0Xkr4TyZmWtQHp2a4L7Y2yOThrP39f hXFhl2nTGXWPNVHS8ksIEdUSkaJUmRUXj7QuRXuBQxx3c/n/mQrdJtVgZMZxcR0vTYvCKhsOobSy aH0/etzHSJzDxLJIMgFui1E0XubyZR5MbCLDhg2b/E2nNlq8hKVF65mP1FdM9Exk9C7SjD1zLjLg uVwhmuYcDisOtrUkvbVbYGibbCJ++HGLnHhVX+kEt5TKf0iPPKOj4xbLqVpg8JViHlR3meZa3/O4 hADrSSFPGJCUiRrFv0M74UramVPQNsC9LHpshxsRVWCJsuAB8L7xI7ZxjJML2vV73z6+xxUPoBQK bSlHTkhEcRTQcy6DwjIG67XxedAC3H2f34iy5Lunw87mUpfoKGbiIsN84UfKBzHONSg/uhRrqXEV yn2sp557YU93kpJHkmvcDq6fq6/VPKNupdlu3P9hFybolj1pm1uHh3APIZMwe6Av1WFtDtor0dPs 8bgWf9p/r8/wVCdIUz1XWlUqIuyEwdLlrfMCURcU6/UVX+zl/FP7bfe5iu9HSQ75n0WTE94mlkf4 mhUzj08EULId/nqkemxiJBH2c8569y0kWexWFqVmrBKsSUR7/27Lhyns7gxiT191chK8DiXz4pm8 IOG8M27F6/I5pPLjlHXn5VoLYtgidD5kt35o8jySV0iHKvEkYVdUtR3QKA/maOHlevWVUZIw3Wm9 zZ0MLQmjcCMIPH+Ri/Wln14K4PNiZr84y6XumTTHllkEtS7L1v/+13d7DwGHRtjXV9ZTC75Rz6gT yImupmggoNH6Ii3lnce0plX7PRUO5A5cU4T3apPJgEQcpOyZsS1yPrcsJYMbLF5DxGfHcpEisMdw iQqK4uSwY/1zUUD1EUMfFSGlbM3Vyfs+YggrTU4pqRgzkdTcDtmBGGl+mbie2K/DJyuSutKJgDFB JKL+NAX5eS6O/KDf4wWvLn6fq0bGLHCqA0e0AquXJULoK2AI863BQ5ochxod1KsA0e1WTFYBV/gY 6KS4nnz9It4hFj/epgiwr2ZODrwZVj6lO2zFYmRTUwZV8DYEVbz+I3tOlKrwgfOiY8eBnDNCmNyL 4Y/CwhFpkmmo3fr6Meo2EPAg7eoyLqbnoeTLlh9lAWZP3fpLRNfIKkjsr0j97aSI2g/R2ut8FrCB /+4uh4Difx4Um0bAdElbCLvhavgA+pzDbsyKCCuZTZssAGBHoGJP0+jLqPS2gKTT9mMYPJ1Qc+Sm Ze2CPSKAtdBmhq5klsWguzlZEMRYla6QtOpksS3SXAsuYkIRSUT/7BiPheTgqwfMIaQtRJ8/Ss47 MjmEiJdIFoKKuAlVufVpYKNE4BCBNPZumfREdykCtbrdTHvbJKozItATA0SuPhEpGTyYBwyrOJF6 T0swPPdkcGiTa4Pq5zYRuT1vez0pzDH0KFG8q1LLfMsUnWno141UWwlNEn+T2e32yIDtzyRURqgu ajpwXMG5zM7ytlnGkwJwwYhSi6KkztyNzkbv0S2R7M7qFvLy+1Rg1K3v/0OhZmIkScq0JKiiV9Ku u1QUr4nJyvzIOWT4yCC9NivYMDrm7iZ5kvat2tOP/LXi0pEt9bTEJ/k//eRhfG0ZIjhwvzyEqvBY UOCONtib9va/oM61SHxjJxZLyQlV8WhUID/Dcf9UB1E8hn1/spWNx3WAOcvoDS8Q3UAay1+sMzlP wPo++AoDs4Pc5iYs/gSWGOmIZwQHiLbgcQDWbftNVMMXbhBNzfyKeRHJgrp21wV1/Y7H9g1w6kka 5CoEKbsZsIfYRuzlJJNCEK10b/JT6MDomaiGDrPvOvTUj+Rsr/CzxHIq7KyQw3pihtMDtBottH/P zNjdI+4V1Di6LPDvtXrsMXtDfuzLlXtLdMpqpjQkFTqDa+VvQC3GJyp4FBkQgSkbS2u9O9CuNg2X yWuFgClVbBvjzB7G8dKV+PrMpbpUOvMFnk8t3jO9EocVAQLoHyS+TscfYK1UKKsQ0wB/1y9Lppx2 UtSPCmLSNwU3CYgIbzKCUPwXjd27Aw18IcGC+AnnRQQUSaoDC7anZAN+oC/TAHjANscTDIDbrXfV 8d9Ql2BsmlRJQj5jnTIROmk02BNbvYqfP58Eg+xn3Rcn2ROWZ/AX5SCTZcDhgCn/3Lt6E0qeS9u1 7gM++OZbABJ7upwybLuPgwPHe6RhX9FcRuNdKOlvFit+Lyh2oNX1F2AA3TgVrfV6ulnhQogSjcsi F/d7bkKVyH/lOkCerqbj8Uht7BKrx03UHSW5LfTMTbQHk9MO5ClpZDlU1+vvR1/+INyHU80gmRHM 3gtuWQ0W72HvMrQg/7h4i1GDwI8E6bvoWKrkRftQr57YlvTw/htkGae61KrY3HtJu/g2iT9asWou u9XAujXPqe9NBU1quAStrHJm/RPK4s+Vu04D02I7gaIJHTtt3C9ly+0x1mkTfWG4gc79mAhAospv Lg1qlN/k8DK5CMNXKcML7prsUfJYVipuKuCOU8/uGdX8lL0DWTds6IkAWesTFKzHf974rYxrOhII 5AtVEGSyez3Jd4Hus535pJcZBEe3y7dUTdWpv3xmjAX9l541Q9a1PKxs6Fte3KYoHR+TRKRstrV+ 5b/vhiv7O/d4G5c4kwy7UKq7F6DNHZyTw6/xElHFwvTHYw0wfXUWHtfp/NxZL6bFfmOFgDg3hy4d hVCgWsuH30eaqyq/On2T4YTeqSn8H4RuBKR7oSyqdPRF0FcU8MwgU9cGWqwzXm+ImHlLfX2P01aW RJED8ykx6u4LCKDeUOmgCahnvL2ijRdj6kkmjkB9zMtfJY9pX6wZRPNAYeDKwjHLq44wSy2Vvx4P uZMeV+X4XNCro6vB0VPi6Y0dSnjdzIjPUesQUiVmbhBETHmk4WmxcP4r9WLJbxsjTLE8eAS96uIE 74JZZC8hbcgLMtXmaL83MX3j40cFCsjiQwNdqCUfd94xqPL0sEUcZbxocOsXBrKowIpT4NGALTjC KIeh7kcTXCJt/Q7l+Z+AzDTDM48akVQS9oxum0+YhmZ7a90mCXOpVzxrcDvTaILNHymngoefrNjM 4QIGf3nIYuJzorqk0HAh9pxpbfcqzKvRl429smQFUpDpKHR6t0y4ShsmyNLmvMODY3TtFSLQlOtj DFPqXK0lhVf2Ic5VipasXESCyW5guRPb6ucFI37NWOGEqiiV74haQPRRc+ZHsDjWJ/CZEfeZWF8t PguhIiyrqGVEfrmEFp98F1pwq+SVmZwRIupwFzn5vDAbKnw14nUunqZ2lpvcj3b9f4ePlReWs1HG LrL9FoYVgfVBXYz4QUxjBSvAKxy+cRzYtc9PAooEs1+dhjlTdYt9j2Kt/dNn1X2doz1o8BAxbUpP /kF9IAUvHfFlnYw/DDn0I/vzcJlSm8zbzHW3gTe3v7pep9HkfQbrZRMDIBsgBXlaugfHfSbDlPvZ tiWR/W2Vfgg1ayce8/ApzRqVHMJiqRLT8Bo2RGyvOMmPQNK5G2WrWRm4XtBUtBM7Xm6u35OFNlIE 13MShNOw1kKBHJHTgT1pMbEYnxiMI17uoiDb4b993r/IfHW+GJsnaA129+lhSru8c8eyjnuU2RUA E7DrEnvgvRjQn3lYEVvx0Sx9a7PYE83zUOtllhWTiU18xZHSH9TgjWdYcp23xVGM+c5QR2qodagw 4kzxjjyIiguGBDocuMlE67Wp72RJzPtWiTwViecufnrkOoiJE0gGH6DHQyiBjmeDqI8CAyq9wAd8 yEb5iArbIfYAwB02ZbMz7AMxsKZcuIdHGMDPptunflPD3Y+jqY0ao02NqKy4vfJDP4F2WCUT0f1p a5sPnxbOf0dosaARy6jD8jbdJlrAjt28b5cDI9ti/Ov0sucpZkA05MxMoCBsvwizfxs19vfY0AQ4 7BjUU/s8Kz1N0XH25g/ZmLbljhUwW3sRdxlkQGEXlqfEx3yeP6ahiRD5qydGqJoXqZCpFR/ZYGMr BFmiyj7BaALaTfplsR2/WJ0EH+hDL5h09S9fL0/OtWOPF9+WRmQWd6+kLsmZcpMQOR8FKxSvTTNp 6yAwCqZy9VP2IpwLMkdCD2mQ6+1UK+U9VbF9TBgt8q8Dz4XWViMoHGCQB49SvWJ/a3cBhMVBrrOO Gy661Xhs9eV57JREjbNFJ8oX9Anr/PEpnN9HIvzBmg9RuIj8lN8e7cMWPBH1FztmDDwnpox4ZcZF zMu75PIOLO06VHMxuoyH14UbNy0XlcoSoEQcWO2oEzjhsyT3JHGg6VRIr9A0mHX8WDCZ66Kl8dJP i2G8/wB8TXAZKt04F7GscbsYGIxZ/2QxNQ9sYOCURlQugmndjtYseefWXIKXfW+r8KizfxRBmuUY HgTbDSOfI8VJLL9kAq4JsWIwys/b10RPwMAofWY5ffimWBrHwitK0nRcGeBGJ65g7Wui+Xe1RXk0 fk3F8PDCDmv+PJGqcPCtfd5TFzgHaVPJg4RUuPdp5+V31IwkMSsxaQGWLIVFErnwq7goH7niKZJ7 uIDBGwOCw9eyOvt2BkJ1C49U605FYzVxAyvHuXv7ec3NxhwDBwCmyfJjG3zYEs7WFqYEf6o4bZp4 +eIOVibFBgiAO7oNBW2mAMavk+icDuzQ0JWqMkTparYppTgRKs3wLAxV8EWrw9QYbODF9TOxT0st 8kcpDNPa6La4ZkBVEdFx+8JxxX5FNTSz8/c5k1QYhbEcia1L0oLpfwetn6T5lgkknaCZ8FwmGUQL 6Gk8goCB8VACBZ3BS6PexEdXRW1PClZIpnAVaav3TYTLqo9bh7zRR9t9p89I8v2KDcD6tHemsUje 3SWyyIdoVCPqizkQAalV3QZQ+gBDQ7BwshkE9kTGhHuRR7i6yG+rDRItah7GniLyvzdIVSe48pcA zibfvhoVEZGjb7nTh/+RSgHQrT1zeUUQOD8LcZH9nCw8YhcSp3diXO/XVzwGxPFpbCQoKf5u6az1 lDPrVEIYw14NXIQs/4jxsN/s9l4CtjsJ4XIFnp3LBrnJsCWZXi0U5hlmHVyF2FDoZBMm8YFL2ogL dW4QYHqurL0BguOhX4msJWBBP0ThaigGNPQg91bnSon8R7fLEUjkVDzn3d3Z `protect end_protected
gpl-2.0
8b858041349951a7d7e1a2a504726404
0.940484
1.859514
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_div/flt_div_mant.vhd
3
29,821
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block TirZP2zrcA5KzNvjOFTS3jkfwaeacR+YlpAm6JVYd1U+Tt54wVyxVAp5vZ96K72o7O1t+efN0LXg aywBC2ovvA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block lZmy1Vwp0W8lsU0B9rXR6p/ddLFfV/xt1DWIGardV2yj+nTB99ltSqLoFK1m55tqUfWXK5ximDhv 0R9IJNghkIsPJCd2Plld1951K/jLzUfxHo7Db0p0PU/cXMcMlNZcBoNp8N3/XdLChsPnWtqLjeEA BDuiPv+2lhkwLMSoM5g= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block IcAl7OUH5VT8oo3a8Fd2FAF6xmE+fSc/7CU1TnN+luKSDLdathvYzMpgf+KC5ls6whZLBPMzeeTf AfzLIZYgZDaIAm73fcvDUaTSABRvRg1mKQZeRemS7lGvkONFJ/56PhhVgUSf5wycVn6N++4ubUQt xMGFWh6/wO5yls/myE1OwaTdM5DIlSYhi4R09iBbtj2nvsMOAWunUhf/flCAHCaSNTWU8lbuYa4m FqnHsD452+RQ/NBTE0IYRRgYnjnS7r2SE/DASz/lrF8ald8/6eU/RAAxe9e+LFBinEm+yYhzljav YPdmWmz6A7bCKs0SZBR6mFGGNWmUl552vJZJ+w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block NgN+Au5uzEOC9wgzSBXir6Es26NCM9pccPmCZlQ2ca1BHZFNNmWHg4Twlt4aeAmgtGw5Oo5GVTRc iewaOMY7Hzdoiye2thMvhNWCKhSpwYUkakpYHVvJpwmn2s6Yenw/v0qQC2zWgfFtHg0zg4ViqWFj koNhZVQPNr3ooeci+FQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MzR093uWX3HNTMlbDF9tQhKuteu+5SIjjSGDsecgIPuyafv0SIdwdEO3k0+yTH4rXA2G76yLJc+Z WRpYkMibXpEov6ZhvHRBXW8C+QuvgtlAXNB/E88gsiUQ61W8FlpPIRyfVo4JJdLhfGTto7s9WSgO m2MSxwulTxfAOWhTFxh7ABbvx/HMXagLhvFP/Zc5R5khJdwChemZAwCpdWEsPTWgl+fqkzNKvlPd 6/A+d4K1+cWvtzkzfi8Gru2yAFDUSlJ2LMcvKxYVzzxJfr97Aok1k/rt7I1kgQd9EAqaKsqmZhAn M2QLS/lPfEPtjic0cT8gyA+Ex3iwU2UwFmF7ag== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20336) `protect data_block ZJ6hAYm603v8F88x2OB5v6WqHHiTEDwHs34b8N4uBXf7bWg33ylRroa1RXG5DCBLMqVd+hKv38sS j8Zeh2SgZwxLAlRJ/p4MaIByaGJ08uKHdvnl9BmRkfi/BXAqpTDRJCMA+9/uaqdKbOW00aQxqnD0 Vy/zy7KrVg580S4UawRaagWPs1MMyQ0pHEtAwXP678x2HN94BAJJeOIHBaUMF2ReYW7v+S8glLhp nq3zFBnkKTxHS2MjtQHrOIt4IVFnW3Zau7uawFzS+PolBeVfVHrZy4kbjkuKVJy1rGASehXz8+oK /opSI8B6IhhwuZjXIwSL53EVGyTwOlfb3k8RreidqG07v5kxTAo0Wa0SxZchNnXBpaE8OC2GmQOf glgmDmuaEUXAuoznv6nDMJ2UVHh3VJg5hdM0NOBa/kk8jy9UryzaGjt/Byl5ZD8QJsl5/UEdITQN hxSlA6+B93X55XlVhmqpqh02nzfRjNtNYQi81sHhwMOu34+bnz3nDpfhsYoiSXlrbqbWZxuldk4h DCklGgPDX9qiiNOaQaG/A3f3xg+L4lOsXtBu68h7KqFVHEvEdeMjXKIGf8GqdNHNgsWhgvTaczhy 9rok0F8WeSX2YVSI4PAivhC5Ku4VOhYZDObyDODxAxgtuQwnCoYRIaCSK4/6oP1NY7CTp+TrksD3 R8XBsPUtpeAjaa1bnyL6KKt0M8nFco0KWFK5aqRh7yUCR80MQ+BQHDTA1NWlQJAtihAkbEk26GHN LzH0unfFLoNow550ccpGQO8wnTRakgf10xBFPqBsjFkAF/6HjHKe2bpEdHXN9MDeFjXLhF8xzCDV TrwXtKwVqz1a1/ezDhk6y9ROqHA0DAbRRxClEr/TSor06KjJmE6CrPMdKuBI6E5/F9n3wCKnHmX5 QtgpieO6mGVcLvSpZk/LkyrAY0EEWrH+EVLcUgn7INFaHElJjPR4UIIXUGirJVGUx5Mu0m1tStDU 4KHSPB/P/4ZTnKSzdm/m6OJTSNXu9/oO0RywDrB6Jp2/0QyLPClE72mKGvUMH4YabucyjcWlt4Qo uq9ufPOg5NNilgN/kt5g1TQFUtIZeE+htHLB0yLWSgkfjibYxtXQnj0gMbxuxRsjI2kPiiwUx2Ig JikSLDTc43DWAT1ZLVcVYQJWG4AEkLJJw652VcqfM+apda05HMAktK+xohPkvj8j+ZeyKiY+7pfS KmLrIbNad+m+GDtkRqdxc8+6d08Vc3U0zwIMhuCH0zjhjPntcRZa5zt3chSIP274SrUnAwRTu5Zq AHeUeiO+cxC1/q6gtC/wQdWnWHs9CVeki8TXl3uEhyyw/0yMSZftdJ5c2Gb1zmjA8axfhUx9eT7H liE0aoVyKAKFR08AK0wZHHmp+5Vkq06xKCvTHhiNQiWvHX+NZ0RlqYxIoOxk/vQu4OQ90n0WlWxU N9vRSV350jHpxffZXfL7RouEkONQGCv0BDc98EVrtvW1q7iCLldBnyfLWKaDOk409kqcXZKzr8pJ M2CxN3vPGjh8ob45bF40pneQzy+KHy84pjd8wdj2CUAUunKDr5/Wtgg5EsLj914FgsWc3+PBsSTB 0KuWVNFyeH9QWOn4CG824TWh1+89uNCzsnF6nWgxWd5ROgywcIZgPPY7MRt/mebJMXSmamoAR5mX PAHUXKkfU/dJKew1mzjCzmAaTqS32xK1pUF/6bBPiwCgb+yAEMLVnouJ7DoZ4QyQ0f0IvNQDbnyX mJNU0AdqWopuzK9eaXeJ3S8Fn7QBYWj7rVTuBp4h0fUJN/E/mEy/CtSqI07XgFAU+JyBCTsSU/6g 2qzU56WyyOnABM/MjB6JnmeRUfu/zHyt/QpY5RG8mxdmUEXO9DvQ7BnKJZjLN7nwG38jnbwIU11T j3zgeHnIIUwkbuVoL2xfdoMoIwOaiWjfAuwEcgggloLUDYpC7ddUovI3QveWG7SW9h6OgQkinTXB aS3O4c8Oqq4gYq2x5ukyHf8Up9LfFFOwHB/TG3MYpUlXFZR7nr8ybvOU3Lz2r/zBqioDvxbe4u1f y/pE2ezPVg95XBOzPC4Dn23iTt9yTN0RYw275trgcnAxrO6ZnVvG0wiNJyybLK/YYzj/+y7G18Pn HpuNUsHBXKf4ChbYIlERKZsxqMFPRTuQKwRAYh3V8Pp/YSGhXF0aD7Zbwk9ONRdhmh8Vqy9aibHt RhAk99PHZTXZvfIc/7BO29Gq94wLdhcOmA5YbIlvpSVFu5Jk0lc9T0la124TsZAKaeB7xV2F9k0d IhJJr/m7WEXvfsdeo7s+EigQ8rmPZe4KRkmsBvKlrwq3Uceedp7WmfsHmsimfzNjzA5ehf87s3gV s+H6Q+vAEQ+ZgM9BVpT1lYGpHlka0ZlUpIVaM7ICofyBk92mPArSkuIZPfNYYL23DmwvQNHNzb36 LAvq8MlwCTP/JB4fP9NfpNRYrKPenmtuk9ijTmf8OZsJj/oTlCBpM7+BPan3pMaJEPApPMUW+Cx5 btxoF/qSuDkF5xG/i8KiX9GLocZ5EKoJZavtvvIWgGd2YiTFdGBFBK9ZC7pwiazfgaZfqzuQxyQc kqPNZA8mylG+j+D7I+AjjzRV0Bxg5rA9DKwV+0sKpFBvJurlanjbad7X8cVDnProg/DzsPMLSzxF japA0Lmrgt+JkY+wrUuOQWGI3XBlNJ8ljGv1lNzju7kkCaB7LFQerxDEYdSSfXvGBO9uu+AK0mnG ceDo2QdaGq7GZV0tGZQLbtQESOFx3PLwI2UYCk0okHjj0bq2gWe/SoS/UloHL5OQCdJDkszT7ucS tOWa3LlPadEm+t9dtB2DS21lcem1RD3PN/GEMHW9z7jHyoL5GApExFbZzHjDxC1ZptouB4wDpDkN L/v7kaMg/4YKg/0WEQYFOOVSIPjLkR2gG1KY8yEBh7zCeNaQw8mPHRs+JGP630o0fVZVk82neTD4 yqxDAQ+6u1zQhkfz2kQvExcaRLlwTqbUXnD/yqDpM05RMpWCiodkxTsscfKdF/icSYMp2vCznTgO JqAhXGRjg4gr0ERAjtmZJC+Egi3KSzlN0sk3UI6UceTCCHbki2hO8TNtgyw7jGFQ7iqNnxwdTqlA 6NjZBVK7hHYjdIKufRv5ba0Gp2pZjba6XGVvhZtjmQwFu9Sr3fbHmzGSz3vJyx2yU6G3I066vrCp C9wCcCEDBlGzcUodq3aNyc6ZJ9HpDv0+IIUtVmurtBnsLhXoc8kIChYX3njAAmagZd3dYaA4Z6Sp UcCHRQwpWKPzi7o021x569ioR9zwmiDyNLezdVUVUO5AMImR6lnSJL2wzXSkDJeGnYt+n8OsUjF1 xE4uQ0dPiyR6uYwielHxS2BQ/4LV2yErF1wdQLNPQuqsDw7QqeMkKKicEt51VYm2tEWD7tSGx6WX LjbFjRvrOqvyUVI0z53ArSBHaA5dSXtsv507H5gzWJfkposC9H6jL/Fd825Ty30vFN8Gk2XgcxD6 zThO7p3+PkffWt8NUVA9TXC/pj/YExRRRKpRRy1tU9VsHRmp6rxf9Ge4vH4BVlAkRt/crhGOaDp/ AQ24QfEWRQ5/g5huJIFfleBKZMUFA+/ZTpBMIg8dH86LiKKtDCGRHvAZ4G6m2TN3o3QBWr90JNZ3 kHJK25ODxp1DkmrfYoAzhMhET7nHdwDZJc5Ez+q+JlgYm7Wj2vdA7TOzEEo8s5E2Pe6MFn5H2pmd qjR2VfYbhny0Y0IaZK+9hXkAaw7Uub5tBMYozRmS7VfdctWy4oxlHcq+ILph3G8yg37IG4at+Q9t KZG3c6KSx6mhhla6DWF2x2mE8x5C03u2QIu6+/P2CKOf+UFIm9tqaYkXGP8JZntdEmVnNJMBJdd+ v/WlffKxLjWxnWjR139Iy6lPYlxhafaDkBBNXZHPujA6JmXXXy6c7XeEh+8efC8PXBvZE8wptQJm ksoq1vLewCtifBT99lKPVRysNxh/5JFzdEte7dbs1oZFNyD2u5WF49/PYP831/4paaMZySH+vZvr MRmNJCb2C4iPggLrqtiGnrnK49N/A6yQai3nw4qhEryV804zH74N/pVb7aYGn9E1na4yNiJTdC0E gyW93JU0iAypUVV/JXTUXzyG+e5ntkNYX7LWvUpS+08tnNWrwAsoFAwMrz2F6Wc31XMnVyV0DXcH EtdWxzDPR/bdsg3hOR2tEmMRryHJy9bnufXzTKLVYkGAwvJDkY7pjRo7uFJk37BXWZkbOPJif6Y6 t56WY0opinmWk41QzUewIg/htcWfsoYsMYC0XoHug0r2spBCK9OrJWnEMunMmzQmFKq64ExbVRSJ lLNKdEA6JP/RZ6vGtzhQG/w5i/eo5XURg4YwkfyOZhWyCyZscutKP2FoPVQlk/fr6UQBi2AzbYhI ueI3rQsU9n/SILCqLcx18Q8V2GPe5+ynSvTzG+mPdoVbmVwVkHX9OkqZKQEUVmDWUxRWtbdrVD9G Ap9wJEMlZsxu5yQyeL2rrh7vsZ+wbI3syy7TFsx5PJItRDWrfETS5e03X+5tknhsKxRLqgvjay/q sCu/EXaFAH3f+zIw1wdQtZJLxEcua1Ab90ifhdO848je0kXziM4b81+hofCX889tHU3rDTDBdXL/ v5a2Jr5+MXydgjKFW2tbSxX2NK9LF00PaR2TA/EY03zNHxDcobb008WCDZ4Zo58QcHpSS04CeogJ IZEBhTxmpto6O2nvA9ailCEAnczRI03qUtTgesYBl+VBvgmTltHkzwVCgc6vAYRv/GnlOsgN411p kvznDjoOdx0ZacOu1mu+E9AhOP3G0e3wrOUDc0rcuX9KePLuWtYbiVbqRjMCHRGI3uWl6gZt6pTR HXiYsO6P4As6/g9znumRZuNwDkFmojzm0mAeyeZjCEmRivYbqpSMWxbMeeKbWQHGekvhdKOdkZJE 0E+XIn8UQd392IThtN7PPOh57TKJChAKwuSzqDvNQhcdsQKaA53Maqh/MxS2AxfWeyUOvTXM0eli zOstKAZY755V9bFLwuwwzkZ/9D+eWPK8vuxoP58BdSNN83OD0HolKaDw8/BeEzcACci0SMrrPhEU Nsibjo0+I0DpjQO3XfZpreYFxClEzJ6RAmB8yvuL4bwh9NyPIqsYSCPPwS23ZAaRpSv5ZpHk3kKc bPOgCRxDVqu4bxivX9ooeu0TJ227dpPoRqGoG4/uHvyVyime8WfZ/Ngr5ndLW3TUqS/HW91v6yB5 IZFldVDH6JIxkcEvbEMvcAK+U/Je9RUNQV7MCM5ZnsRgXlkeYN2lc593KffNm47hjZE9B3INS4oj CFtrkuun9g6U+KcJ8+XKX/+KQLiddi8Fc1nEkgv/xoMwj/ov+0GY/vGGTZf0cqqKHA3w++T1ar81 VupgZRlSBvfKXp70dmpo3eFjJwd7U8kVdvBJU93G14Zpm5JL9pVK2MPM29GdlA+WDHxAyRpA10VZ zVd9LDzAcUrZwGaK2TwIr4zMklllpjJwFSpqTdxS6T3Z2pCZFsvWvEiojD06bYoJgUK/R29Iv0Oo MEGPdQTmXGjbTlSgn8iBmalKfsE8mdoVBJoy2d4MH5A5zExB+eDI1m5E+6u8bEhm9MBRVZi1jfIr 1OeirN+25QjxTSoyIGQqsUp7QUZLGEBQ4Dg0NDOryKk5UdYXu+Awg8MOWkdxtzlMuPWeuX0hK4aw lyQP5Sw/4vlIpWb71l7FKhccmZCiieDMmoWkSqSl5pEP8kMiIsgvRMM7Chok71cyw9hs+pZsc8E5 aDU1QmQEB9H80knfnORN591P1yVic8k8qIM3+Tb1o6vvqaCZJUBFUqnvTAWeLJvizETfG6nkAUKU DIQhvdsnS8+A/Cbb+ndy0VldKeSZSM8o5qPaPcpvHDfDglTex0hlfYvARGUGU6HcM9GJDIKEfhjM 2rLU4drSBONtd3gfT0XdhZO97gPd46RDD8XDAIOlBtg6OgJ6/h2QAhAP5kRiKgSA3Cwdz64dME1s xB2mA14cSUj7a9Rc7fsOUf0zR4rcdfVUJBd3ykhyYUmGFacZGHPL+UriIki8C5LLSiTHWgi0NaRW uvi6DvZefFjV7AIIH/8AIcajjLVaZ82qVY+zTV2z0JxlIWKmVxub727hdTKo0yCasDyeqy/zoQLf gX73FE2eXtcgQPNMsL+pfLm/0oBa2haGtXohl5kllRoLQMArY/wpMZPOtG+kmalQgL+hh7GYC0rn J/cMfjqT/VtHiUqvKnYXLbuCvLCNysazel/u4xU4CyuYYPx1Ps84hkQ+kyq3cgggRY1ffrMDNPnQ oLq+eYxQ0n5jJgeLlA6BUnimHGGbSCKcgvnA3lvaHlmyDgt8ASpVHLJhFM3nkH3mQ+DQMd5NNaBj d2qcDydRMGBMxc0fCKKM7HwVeU3K6nRdLMOIkSSnWe/rnXQDVubskAeS37X3DhuPQ+u04MRaLFNz nYR1yDCANeUDgGs/9AF2qWTlteob2quPgj8UUyM/14d8g9CqZP5pA2ED8o0/TIZfsJKN+YOMtgSq 0OlFAcjhvAGYxkNm3bAhv8Jg+CwnWkJn5/YeT96oEOX/OAqowxq7z4tWvTj3RBUuH39S4vT2HGyA xbzm013eSULHVTESyRcaD0jzFOSemzgZD0bgno3ogtbRvmUI0KRE0IqPjFhnHA9O2mcqcUMk5R2p ax1g7DatF0bAJcWNzyM9kKj2DBp2VMrd6mTjRB4JlIwBKrLFF0MLj3IcxdqIGRtGuOXNcREPJ7Uy EAyMZE10P8kQ12fqIa0j3YR23a4ClyXnCUS8NrZxPVf9PsHE5Rc4tr9WpxMsugtqd8V2GtFnNaxV raXgWmpG1Yv8fI7tYsIsqxFLdBNwn5dhEkx5WZVAFR4YuISDG4aovWcEb7M0WoqRcD7s9+q/HBHL X9uSa47DXwMpCcUofYrvsLzDvFnhGmz8CvbzKYso6X4bb2UIYo5Q7sHAUwCskXzoAMRyWYqwFO9z ND/vaqNJ/02/UMi3/Jiy50l/1UTQ4URcWg44aql3Q6twKXi1NNycybaAlvYWOb+9m/zdhf6exAnR K6Y0OQE6dJUlvd69iwn6khn34o8ViGJlSMvYYCbBa01MsmgmnWsDMGa+cLdk9g7djQJv5rHXN1Sk SLL452SNag8C7YeaN44P5iuQ9YY3RGeAsZpjKEkXU5NqyiNsgYg5TEQxfdMyHq0W7XrNu6NA/fHD unoPg6ldV6ZpbKZrxL6zMlMNnrXrBfiNyHPPfyL/yFpgxNoHxL93uqD3lGgKSA63ijvm8TYXY3dI RhLUawOKrt+RtwzM7U/fZAdKwByWlElvECXNh6ApGN/Z1DvowO1gdLE2b0EqYMRxjLz+jHtG0eqD BCPWrH5/ekjtnWQoTEYmMsK7AEbyQDV4nXMJhUzrCACv7laIAJl9UnXn+oBUPRcAc02OuQTdIBtB cfCxwSgsDQQeHDiF3BkP3iQTNkDnxncWzxBG7ZMQlxsvWYH0/znH7C/hxHEbNf1iPqtvA40j+Uml npyudW/56gtKoRmw7AhN4tl36YVcwzSm0Ljhb3gotB9OnxnLBd4ftBL2kUW9vGWZBHngTmgiqOLJ 8AUijILpvbIXyEdS1GYsTyAtkAHzm2C6AtXKXo4kJQUk+J9x+XyuR8fXM3rt3M56m/9L1KOyt0NB FaLuZU8H9H1G3MwXfQEcln43SQAErgBtqjI19//dDMjErNy+v+wPU0G8Rp5ZGUt4fwU2zwc2G4bS euombnh0vWi3xA07aOjsYABty8vOk10w0OHIKPafD1AO/M3Ug1qBMyhECwxAmzlN8pCmATpE8ZrB 5dgilTZ1WBHJs9t3f997w7IDQoyXdT1Az6S33AdU7PiceB+qBEHh7mP6dx3SrEpo2VLE5I4o6uXN eW2i7Ql6cpyqMtPKyDEyU9rXDEgyspMxg0et55b7IejDze6QdOH3V55/bGwanKoxFN0LYwuY81bP rs8cvFyjhQDQUo/BDr2A4mkwMmFEp761bBqw0fx4oGGt249wkkB1fdxNVKl016oqzAR32iA8fciz QCop2GoONS+seolLHq/Czp5kS6y3laPE+MkmWbvP+DA8eNvAX18zer6lmDzTG59O30BUJwyynvbF LkyWozsiKSFRLdOvx+BzxMuqeku/hmiz1UCz5Vk8gpaWJbxS6q5ySsJSfmPyu2JdyRsyQpYDN4KH QKbm9NEZ2+oietYkrvxXxDtN3fIEQrTSMioMllwHlWZJocdtLcSSri8nkwvSDY9McqAZPMUb3DEq NYd82psHm/beMLwvst8Vl4QQ+j4csEFoGzTmcZflTbC1l5GYXy2/Ane3d7RjJqikDSbZ4SDPZSRM Bnk+TVcQ0AaC4yNSsci0WG2pN7LUk8dAXTjHwdcJIWE3wz4wlcYmvuRENle8a5lVVk3s8f18RSRv h/N7cqcrfzaPwf455thwCHUC/uLJKByfmfWm9D31j3IK2ZNaTxWti1fSrj/qvQ0qEahr/hOIqZEk uJPn0E4O4+SSUO1c2GAQH1D6fYvSVA2Y6c9I6D1gCRLogLDXACCDQaI7RHJIDbach6rfG00wehf6 LttmtE09NTObSZprp2t07ELDeBOnhd/zS08mT+4h6DW2M9JH61v8K4W0gCE4l1NSpKoJ81iYrJR6 H11HwVHUQrWvkZtOFz+43IMKKWhhwWscVVoPpPJhc8Ial6Dq4hsyqaqyOJMe5+yxtCx+sQpyD9uh wnpDD6Ryh37VCWnXLylpMmRJOrH0wSORzOPlDXAxKUJUJEYf3rEk+o7J1r/LxIZ6Mq0ibCnnNvxR 3wD+o8H591RLY0Hv6KDSdPUpYbjNsPE8LVNVmwuZCpsPXEspXAl2TSmtvEhOI3qTfxBAdfzHLuIG oVBCfpA3DDrjl5VAFjObes62ML/PvW6lDr4VEJ96KZXSy8WTtRZh8b+oqdVSD5MkwF4924+12M5h Q551Q6HlRsNCsvdoyt42plw61gZNqblb+FnofhecpM9Utj7mJxUUEBctZDxlwTiAVOPbaQWUcsba uorVpqyXCbx5x7g5ed/A2szIxEGMAIMcfdfseYW4/fdjJfnY22CEbMNFJG/is2WIeFxCmUoDI1dp DEpinF+Pml2kCs0IUzUfH6Rjm/piq1+cdE7NRpdhCrrl7K/Z/ZfJ4s3t+z23skcCguLveNUstJUe liz0SZg/SrbVZ0AhNHSMbuWdCvqd7j+wJHIPMbIJ3+z+KBRMxaR5P52X7ZVKs3QDA1HbzF/AMgix KU/cLzToookxBqwaih+x0WRcBNeeOAnWcFk6WnjKobkzbLV+ysZIq6Ieqr4pYK6vkv/GMyY+MtjA spqVK/ezeTEQc925AXyKpI1DlA5H61iIrUHRYt0Bw9Xty4I1YDjBlL2+cCM0EVQOvdPvMKe2gBwh QTfQe3W/G7ftB8SgF5em0dvkjLIv3WwFrJlAuLVvba3QwM/kUf85NdDr/viE8uqtU6U5T8qyBmT3 Rk6sbYRCTM4K3CSAIQzOdehzsabpU3sjNhmxlbEON0jbcqYvGEaJ+Ig+v58GTnKGhuxac/8bw+yd Rxf9rpCnS5QbTMRq4Ceyqhi+IpRt2bbvL+x22dQUqCobmdPjqXWTA2iQ0Ats6H6NDLIEL7lL8n85 rV4PlHS+0UUB0J3FRiFRALX9DGA5qdRKfD2aPLT/nAbo7+kJ3A+Hdna98PT/iJmCI9u7sRfhHxYQ t/56rtS6HiS/9/0MSZ/rrcOnpO3ooKDHjHLDxGm38mMyFmS2HIZw9TnDg4YqVUq7I2Mtdw+kGzBT O1+j/yX2Uh4laHvk+0wpNQT8FxkjAD7laEi+QITYXHPU1MRjUMFugEFiqw65N9uXdbwXZfbWJOqD 7pvmOwBYMt40ms6nTDfBu4MdlpDxniU2mQrsgkUXQtllLig+rN95pgmr8QLuRxhHmWsRPnoi86wM RXS7NTmWkfCDl9HXDeig/0cr/eZBT52obkCBpQMExLtGzglXiI8hO53hLv0IXbaAcUESTy9AuSM5 65dXf90mDS08x/x1gPQQJY1llKW/y/GkR5GolKY+zD+b559K2PcED1J5Lg3uvN5fs0LBPp9dVu2W rCVpcKPF9tFhIjoKWuWMkwGY1Y9U6haYfZrnESCYe5Il3Qjr7CXuNoLXWbIRz3iln2iphFZf3LWe crMI4UBvX0cOCbOjlTtLBPNBzE92BgwfIQ+1GxVwUPcSkY8ny4j1nR+5Dt8bOr/02U3ENFCso6uC DCVyjTYT/g4YFTxkiLbxRerbLvjc3XD7uAummeMy29lRtXXgSqYTn1nulLUt7kiIAu5OtCeMqZJV J1Ux0cWiSlHLjWFMoj9TvbG/0ZiaGCgaIJ18nPAm9/3Y7oc7ZyQrd27fV3p0f+SEaGe7KggBHUFs v1BppsFAtuEYLlnGE4Uam1pDLzHOz4RKJEy+PJdwuC87qVTND3v2hqg8ioB+bJJa1BIfCtYdyok7 fyQQq6HPlOiPuHLFQRJrQ538gjPhKy4tyFZFlSgxbHsWyxRqSrd3Iay7AzBxyQPec5kIgOxfQoju 4gQalVLjtNC9eZTqnbbcFswoGhqfEbgRJzeJNB+d5Ql0tDhBwgqH4wjkNfnVi0h/Qtrb+3RXq8us lta2OATvNPCUcjvUs3KytJHc456YSCoR1+plwoov8bzuHyeTv8dI5CI8xtWTD/aIEv9Yoymetm28 vHFq44cU4hKRAjALOcl07Bs1dBrmC4ZKL7deprbzZXnkz3SBl2UaHg2T6bZJa58sPsk8RGK/VH+2 YxpdTInRuBcariXDcXLYzeWM2LGz4dyrzhXijFZpEY6Ztad7fp4XFVrTOXROM4Q4GoIg854oEc76 vuJrJGZHhw0CXKWgpYJgfQTp9XAYjY9+g7NFesCJa+14i33/Ok4PULpiQQaOLdadLsruGaKuqYBp OPcXf6LiXOAjCum3QAMBkRtNBHnUahim+497nsf6xY9pJyhBmPQSh+vmk0RknkRbDxAAkWC/iAAD 9HoK7BBGwSqxtwQBwP5byXdLTGBVT6VICxZZyl8teRfIpv3/7ny4o20cwlfmPHvwgv6bOflQeuly 0ifUIXf0b+1SGyJWo2GZ6rUXlfJ+quri/hbV132s9JTh7c0AwU/+nqHUoUIT5yHlFSvogcPqA9Ol +WW+CRYhV1GCrzsuehr+9dJK6uzmbbeR7SRcnnivMUhHRrFzfPM7K1mXMjuVA7EbFs3GFTdz6rJI edVyzKQmRkgmBzUq+savfGHv3eWN4oe+lDU5zZGtZZ1zUAIeP2clnIevjG3Z7v1SN+yNW+Ifgeq+ 1RNJC1qDmUypJvVOfybJSBQ/mZiG/qMsKAiJXWicXTCCoGAXBv6ojuB3N0fymn7kZu7f2FYkfgLs gDcpJZbtpvi9UCPSCgsOATnzf6N0zWlzxJxo/v0kDKutmrUTITutaEKD5WOMLCwT3NSrVO2acjc0 RjLtAmc4+m6BH+hhVKncyDo/88reXWgFyxLCvWPvxlZfEGXZAEQzHy/h39+H8ImxRwFeTJ34xJvP 1dzg3V+abTqnJuA/cM3fHsWvZECf0HgQCaTBzFFbY+Fypip7nOTckOn8uFydVhVRLc8jeKz8XG/y qM4PSsi6BZX2IKcgOxw9+Mj5NfeKVA6Eo8182Qlmg2fWVTYxEIlIiQX7ZpjIgNelMdzxcTx+Ln6O 07zY9zZtg2KQR27mE999Vco8hsRW1vFaUIPGulw01CvGPb+gzVaa9gd6thCbqR1hgbo9x8c00Gld +2g5hQcSk1LWrx4pv+t3UDNPHW0gCyvcWnapDTwgxzO+C2gu/KegdZCsi0hKNwft7MdzS10TLQ1Z 89dnbRBEZoBvSxxx6BfjVmaIF3YNNU4ZMMd4VmT/IuVFOAM33zSCwjRETq2u5GJ/NIJUnOQENJIi X/WVMigFCbqWPasJnEd/cbwn/DEX0DAClbjTSbfWCRjYrMBZfvLpEwtXIXFdfMRl1FpAhrwoh+Kr +y+4tFFsY9MpIUPi5nzfput8oKorVvWauvS0NRazdxm5KgN6xzTXYNagCkKEurqlLfG4/6HGElEw +3kX1LqDIP4qDzyozR+pbBVQEag4GHT2/YYSdmBVRb4gZBeItrC846YYHCl+ZJHSAocXW0ltXBY5 69g+p9QDsEJC5BAvCB409hq3Lu+MI8TP1Hu/4Zk/qq4HfTZo1Eq7kjn2619+CkrtnlMo/sjNpZyo ZSz6KtFMKswutwFQ6Q7KqPK2wb7ArniSdaNEinxznsKKlQClYdBmJPujN6JvS65g0F4ohDRTV5Yy LAr6cYwGg1WiDRBmIpc9P4EyyQMNIn1oAWJeqHzJcHnDORM/hpmZZ0xTRrFI2GajoYPLQkldOA4z RLnwCrIe52f6S5lWzHApYjQcobTXMUjFbblacJ6eBBeao3N25KQPfAnLSv25GhtjdnfvLF7sy6x5 KhvJ3LQw8uaCmku4gGTQlyINFUQofyvFDT9dyoWlorPkfS66COgCiiWVTLk62hfLynJOSvCow8QE ae+Ja9xkCL1qLcKpOq/zNrs8MDCdyVfUh7Cjhjug6f3Ld/gWW+zeNfALZJ87ZbQ3AGhOPB8M5K+9 dmIpZzQEHHrF3VYKozuShGUBgTbUEuPspEyZ0nBxxqiFun6BLglS6+DkMGyJ0vqWMnQUZocLBXLE g6bhtOrQJ8C+mza+h6wYyMKul4hQMJWW/SMFwYUYcRVFC8bYomD//twQdQFYjPgSIOz+evJMmLys Whv+NhX/8n5VsVa9qQ3hR29FneoLfIdTSqhZqprwZIlf655FPU9RyMIrJZfOYA9QTV7l6uzZMSPE ji7CSe2i2XkBt64Np6caqVUVjch946oW5IqZ7rsL5G1H3/er1bL3mOyWVL8kj62x/+kcjjbCMFqv wJCi5B/7XyIw5m44fwkd6iW/3Fh0xOmNoWNlleXZHrPUTcgR7BYvyXMiBBiR1UbBCvGnyhE+Vq4a JGhduIV6k+amRLCRA4MCTIViNyJNxC6zi29QsI3+82J7fwQ+r4N6wDRkXbdCidKGZblmdtn5Lwyw eGvRgc0CTBwWXOJUjSzf+qXU2Z+FvOpEOvTdSUlv/t8PLbiBRl2b1DvaD+5BFrsSQLpri/v166+E WFPWR3QgMIcTpiprfEXM5WD461UJ7x7d0Kk3WYW+7nF20Pf2yVVjApqjx2bO0OcgP11QdN5rovi0 IoqRNU47WukU9j2GfEbhKIEUHyenhg3H9VduES+0I2LbE0oZCm2/tLzcSAM2TwvF1DJqHzHn/9eX UMsBgZ9L9UIRcJZg4aWeEABbD7UAeBjpxO1dWrjGmY3bEFLPZBPr14p/HQlQrs8RGXVKe3nimyif HXHUysho0MAOuLTaM1hu8mi4TC4IsV9vE3xoP0wL8+wQneXizVgv5avh4DP6QdWwxTKFZQ8QtovV yX2U80uuTYqpR0k2t4I4kTjLFx8aeHQFM15YxnFeUTiZU/A2GI52km+VDnkQTt7qT7A66Tsq8KXX M4Zuwc40hLQ6ooWI6XB1OvaznR+tllxKWZdqh0cSs2oWnx2/hx2rCZ8uLp5f5Z190SZ4vYB19byS DnFqXZ0fA9sBoHXlx091IwNvz1dPAeclBSn84wCqgwIIkxcqef2HJaVdG/V+vTG85NsNAMpVB9hS 3VKzbrVmGpDNnEOnNtQMUUXl2E/8onwt32CP5r4KDxeWmXGrAuQeykYOR4VhNyDITW1rT5poE7v+ CoC4Vqpy+Beye5xHP/fOHcqFpYLUGMYlnmlcq0k8taNb8j9Gde2Q5FkcHZWIvztYvrvQ7Cob5Awc OYTPmBnepOjdTmOcOUVKhYWGSDVFZoJPZBWYPh1JDiCCnZ/kjIR18dJxptD8v33dVVGQzHOaDbQt awbn+zY93gLIxKZaK636d4IkKjOGFjgGNyvz6XtflyzTNbTaft4s1KEzhAyVjRunJCnIc1J3jZxV hh7bwSWJC/ldSiehQhWYLXVLrQfolBLl9vcfH5WceoRfFSwDNHurZKXb0MpSresXiVwaifUIhUkN nM33b7a68IWdXazVfiYb79He7t/f6RSv+qAq4ZG/or+sDPaR6/oqRbZtre5VYVp2HGjOzrAuDsAr WBZsNWRd9Y4vGQy/ViAAAOS49Slyy9XzqdraBQ9NKDt6TmWNXH35kkCfsSsiTa3FXvqAOF2FfWkF yTFQdKGbPWutE6NSoLNUZUd1m1BYWu09uXkCM3ClALDszOJGuEcKyb7jk0LbbJndLWdiEEf7n+Gq x+t7/gGgAI9NpY3gW+IbMOaV/SnzPsobFOesPzsh6P7TIHDOKhnLkoU6gGRaKy3i89EOML+KAJTe FzjiXfDDeEsgeamOTKpgXaMmiZTL1kfpMp+ibyz4PbJDY6e9f6kSYzFkymjAWXLmKCik/JSZG+E+ gHrxLdhVLs1u7B2dMarQbR17Vawek7TlNuVv87GCYdi0IGOPlNUaok9RENFFBd/d6PqbJmZUVyqH F6oIk9T6p3EyBVDObAxX+poSTvUXU6JSfJkxBLvgXD8h5xRG15FF7eQOOhzci6dlQUHx2x2JEqyC BZoclyWePvYj5XbKp0kB2uCDBll0oPBXLyaT1dNqG82PPsBr5wFc9K7rAp1FY/HaMp1UTgtxVT7F de69uG0sbLPJ6S0LMb9O15BlLKWHGloBVRPzE0dGeuUW4L+H2kMnfiBIoGhfQ7KBkhfPbdrS51Dy Ek3NIX83bC5ODD7S7b0Am7fDldDoRepoNBxr1uNyviOxIVtYuKiVncTfvzpvCXBWZYaDo55NlQs7 TWHfpDPGlLjVW3xjzYwB07utaU/5q8tpTcY5BiuuVxCdaBt4rMaLzpxvrDmD4dYq7XMUgU+9p+gK 2oD1vlWcOAGfvNs37J9QbmX1lVieGwZLaBrp3ddjGQ7pwcewGYL4QC3tCxXGheUW0Um8v+DfIq4k jNuz/GR1Nzc1FRghfTrx/bXAjRwry3Dap5+Tv96FjxFEsNWi2VKIpygNecfjmbs8uFlY1+NrgE1A 1FbrkB8D/RN3PAyIMaaT+6m+NEAyx0uxzyu64EyKKiYOwHkebgxLGKmWI9NwvdeYlQbgpJjIFMYB 4gvSXTmcNaSm/ODA6oGOr1R18S3hIcAftp0v00FmMZ+Eoslxu7oU4ajy/al3P/PKAIerPhYelPA8 4qRguML6wegM5kZuSl6tUzi1PD6z5QdR90Mnrb4A1noK9JNYtMPo64KJ3bg2OU8Pjfy9nMoB1rI5 5hC7FaJunODA6ZdYzlk7TSPjvFU0ExAjN0BFdILH8FQq4Gi5HyGC+kI3X5P+wYVqN34WKm4Kd/6A uyPxVUXgluMEZPDQ/5NWz63U2BHoned3Ms3gAERCW6PZcMvGTjWAG8yvgc1bLs48oJdK+hy2Cnk+ f2m1dFm49ggzaDU5M24GTP9PC0nKk+tUku38DG7vsVqaxWFnPWVq8xH39xem4E0oSWrzGIkmHiNH zAuaPMTacwavPCSCX2rejNqu0yALcs214+Q2bNq0cQhBO4lW3Ldi7FFGQ72Ia7m68CDXT8JVNBz9 oDGX3YAPrQXyF/3GpEuWMJ6a7WdkZHHJa7eBbN64ZrrFCXOR3PMm3nExSgBfpITCcgKsqvul7Jxv mypd8hyZSTdubD706rsurZtfhovAIOQ1qedFnxG0VO94WO5dBgVUi06QqeNbhX5jLMUfpn/tD8Xd xVrp4vT/bvUAWDk4ijQTkMzs+AyUuTkM8Q356RBaifCZ7O2Gv6blzJzQvr165Pr5/WApQWxMGkf8 5DBaKGlcrppvAw45sWuheGpXxuFuV8Pkht2lNQMuk8vL9yzFWwB9e29kxgP2rIp9J5UQos8WT7rD QcbQ17YDlbhlqx6soqtmaAGbmiqD1tvs3DhwNXujTMHjVyIqzKIu3DcI8S/i76aQX0sTrdfWFztX 2cKX/j4b9KCpv7jjHXItYm6Xa4fcNusd4eLOhu5+Q12hMKes7lW1I9eqYy75cwSeYbblCyRYGoq+ oDD0bdyn/wSuaULTlDZOv5CMf9FcT2P8gOziq3KzvDf8XX7pTsa0TndvJHd3h0UuMzQHofMyns6b SMh1+63xXcZc3X92mDz90fpTGLBRi/oHg1uYDsrqI7pm5/jq+TNN6AOCorpddWPUhDNrpXcd0tfH r357ky7Mv2YkaSiK54cJhlZc8jBJF4DvNz7JBM3POFMtkHtUFPklW7y5UXKDXcNA9L9K4fBRBnFM iPgM3oUjhj5/e27Xk6rS5BWaCDbJmEKP4yn6rjHGAVCNxii6CTDIiYHkvsoAJPmv9iOzrfRxOkuE OqScNuDphtZJOHhRlcO/hzWmQhiK+ezvuZNpjo969SrSFwShM9p4iMhn4q2hBlgWawJWvDgUjMS/ YLwhwlHtsDapSwteIiJUJQLDhZnhiVJV05PvBErY/ouTxBTWUnSB9FDVUpXg+OYTSn8s93VfT4sv TyTHbuvYzVvXobyx3ZqCed7CeVh5qmDeQgb9yqBmJrCuWWJa8IoTDW03CmXIhp768AQFDWxPCcRy d1WHtdd1lnYJ5kQq99hXy250YomMqXRXSuj6NweIl749U5iez9Gly4Iu7+FNDKPrSGwC+G3pVviJ 0BSVHG9ARvHYS3QfzN+4aj3RhCkp7nz7kPWeftmIoLzM+jcvuWw9PdVOW4qmYzoD8JTQnwX4/nMn uS8jJ2rUdgdOMfdujeo7GQUC8eFaN2yrv8fh/nG6Vl42wrSoIdAqKsitKrjDmWYkXK+9+jY8l2ZQ 2PltvahaiFBonIBTxhyKVF+ArOalH3F3rIcvh30m+Ro3mjUBdV52fYPxoe0+uj20Kxh01VRDA9l6 nC6xjfabvEAVC89h26heI5HKYpk7ho0X/P9nOd4W6hUlegng9gbx5baJEQa5h5E738aWYMHlkWOd 3HYSq1ze66fVgrWW1gyM16icbCGf31yC/776WZY44QsIrukFNGNU4s35v0WCMpE4h/aFNVrmHZq1 cMR2153LSVrCcHt6QYw3A50mlJUEIDuOs8ATOJrQ29wnfAtQKpNK0cPb2qYNgkkKpK0XjFMWJFQK Yy/vz18Y6k11Job8qkiZwlkzwmq/C8WhBjhKpPUniXsLt91G1KE5zw0YJvkScfm+7SLVKhjSyrLZ Tj89LFbBM6A3IPM2uLlIfBqyZG0RmqmYLWLAauKw5pdLORZs2uW8dttQ1NIdDMw8//Jn8LQg3fi6 rI7fnhlqaEiE7Xl3G3zPVoAnjbhHDNJFaPJK8+PRHdi9sjF89zaTrrSBPFVddvGiOnFyAH3xh6Dn c3jzIfyqvFwBbZQbLbgfGAGcz9QgVpAL5vzg1W+JGYB1eOx/jCtxG1IV1Vt5lyqYx6GcAukPgP+C syRYyAM6thzvRJ1wubJLJ9T93LYr/ti2V1Bm3rekDX5ux9s7ayB0LLSVJ5C29BoX356MLg2fap+w J3Cy7XpjBfUDOPjCCRQC9dgUtqRrKme1pdRymveaq2YX4GKkPBZjmFzGwu3EOjUroYR5LzXByW7z 1U2e7UYhhDxoZWhhyBl+0yl+K8CV//sg9Tm+gFzkqJg/FZ50NKu46zpf/0LyDL4Lx1syPofyzEpd EykoMyR8RScIxsBhlZkt0u2vslF5jfTKHJFgjGiXpJq0C4UH9/8FIJwM+G5ElnCVXQs3t9y50Wpu XOHcwv3G0bvJ1BcA6wTxzHR2re6eEieJznUa8BEOu5D/ptr9diS8gYUdMyYd0+JFfXnaVqP1wvXb A+g8YYJprENROe0AzCut65P5NGBSgmqHmQb4TfUW4IsKQHC/UKnRLM6BE7DydpYT2Qnuzhny4F/6 u9pN/rqkVudujeQrkKzAf+GB64DNmQva0g0d3ZF0xKyR0S75u4JNiItqHgwSdvoBRM6dCq0pSnqp 3DhKqNmF23NeRxDp3KQsBaZVWxeKiGuS1l028zo5By0CRVrz1zSP74F60d1oZDpCrDBmlFVRONrK dNtBcNdD4qDPCoNHlqozC7NNLE45Uxdl1NtGsC6W7lce/g0R3hcmyzbP6sp6hncjhFdCeiGfAuwp Ec/Mf/JaYn6bAPRMedD71BiBNqP6nZM70hqOCxVV5x/ye6FN+2nKN0rTwJ0AyY9Ou2ECm7yLTmpd h1NeWu0cP8PMJ3gt6MGmpdxjilaTf5slh9r75eUuzoMCUk9sIhG6s/dY5CqLG0Flo30ALu/jlffB lEbcJFMAaXfkY4fxGffJQLFj/yzDq326Ant2IXsVALUfELeaGsK/2aQFqt3H3oy4GH0/crjGZ0J+ N8j2TphyePF8LU4LSyJ1jpxRI6Jb1VUcGML9TlM01jOUj0MTDRZTyEQdsDY+9fqOQGD4AOUtMQgJ 0wLo++7PW1Pjn0TUwLBFzTJGAw2iyDGsjZ7XVxMmPrl29VYtU7Wxaj7SA91vGJdg4KYQC7IW1SKU cSkB7zLMBNI+wxLR8Ve90SKvRG+ASLGzQeP/DfpeIQQjBA652PdGq9jjZN7jrGE2qOHZ2IN17fDu A4qsjeNt+mdrFCz/L9W1OG6tRhcuKiVhsOVV+CfTgZP9XvlPGH4pzFbxNkbb8zI//7eN7Gtuez9M sGzpm9DV3FqsxGrIHZMFOwqpmIdh3MTaML7H8pl1qUqhKSi/ZPmxftfSIhCQ8MomVcYcZSRv8Sso eDn06ABPQ360mTk1MjB2/5dyb0gX1/NqryCpRSaIpsWdbGzr44YJdS9xHbqq6f0HdVxpsVZoxC6e uYEhmnnwwje5h9cyeFo7pUlCuSqVMurQLHNh6ljkjbM5ZS+5Zt6p2gL0Q/xZePEwApIC2GKH/rux Yhu/ASmAMkjWK8NqoM2qZ2OUpdo7LHzqno9qsFkss5JBIEGvc3gevx0vg7vZltzLbdYJMAvbu9Ug RDfTtINUpbqwv7AiQWsI3lnZnfoVRLiNmVB3NLmmDdK2hDUVLoOHEynVBO/dco1KcRdimp0aNkwC LKoM9yCH2fQtf2+NULLojWybYIMHV7p+f8gSi+xxWbKOTRyyJZ7IZte2kGhgetwYdTqbIdzQxvSz CeY68p8hlz99iHqvMHDm3MrPTbBhyoSlo186H0o2IMEJK4nlXrttEbeQZeW+oBPyx7/d3Q+apVbS ZNLgP1Vg7lrrGdAzaOKY2QpoTnECWfoeEGRltClhKDGN838G3MuuycVWfgxiOvXC74RMDH9QjGLm CwGCd+KUy40RidFaKBDy3+G9i4KFIYdmbCi1yVS++WnVc6sZTA87FXIziZO7ZzXyMk4/nj2o2uAZ EK2cJ3YUb1+k8M28/w6U7i8/h4UsFsYSpigiHsYKxOlJMMF163erwcxae4U13z0g5XLj4VcimsSn CKVc7E21LvQfbco87/yrqT2EhO+FVuZ7R1Lb6fpIEDWCyDt5cgQicll0GNXU0HD7OfXUd+fpUPgA Prx2lePw6YiGUFODpQ+c85S21lK/FC8qR8WdSyJv8c1wGfZxNyzKWYsgptGcZYgDLf5H9fH+49PS S8O2IMTUk4mRwBF5pqa/lPkDdoDnwK9oVJ4YI+IkbvzvOx60G+I14Nd4Niz2At1JJUBPQIRrj1Ur 13Kbsw2ysgW6AQA+xqmmWvRdQpEWzLWV8Pm49887HvCD21RmbVvpS+e8Psyh5dWj2a5nkWt1iqgt 8P3I6yXYLeDS04j+wk4S7cm8mKEZoxvCpGzm7JbgXcwydBOrQf1MabcJ970tfKeTc6uS90HIg4QL zRjXakLYQMb46hZLvlFssiOfR8kSAaKfDGJUGzlFgty3wxUZ87lIGwtCOsXPz5Md5gKpaZeHtFrs h6m75q6F3RrNMh11DzMNpELbK50B86RiIGm5k8Rr0d0R1rR67MjnSZtDOnrZBj3L1D5e/DPimzM+ d6t5otWjTAeePt0D2M3M07v28iYlawJ/gzCiR8U87v+J1evUBDDZdgVZsK4sMjhJgt6d+LEocigo TwGpZq79HNrhrcbGhJtwdetwuT8t/QaLLWrex7kpjQoAzTGRTewOJh0ZXKHenfXyPuPaDQbP4t8u 05GMJW6A9n8N9AioZ4TjHotVOXeMz7ufNeLHO7Nxdr1in8Rok/jUkuR679Elb6gCcij2j3OQDNqM nsM8YexGGlR33yuuvB8xy+8eLdu2FbcPzzmDcXgF9FF4GEEalPW0NXuDNcOvOP9/jNSTvzjLUHDN 1J064e4+EMz4qJD6SoWvayPMIm7tkvVp+ZrZRYEP3DyYqYmAgl8eg+Sj7EJBDeuWGJpRg+FqiItS 2D7zsVEtAcWfqR8CR7tBWRMEImA6lM0o3hhRlpBD/ZW8pK9ARSl8+fKWaxe/4dbYX49sbsbCmK3A 81VmXMjQzjaAeuRmortkeN7K2CwKjFjVHcRxtvSSP/JCCUQnf/izd6M2S7aXd6cgtk4P4QwMu/KQ dNPvRQ94dBDkmszE0eatfPmhLW4J97ziZYwiNwv6EG1PJ4sEZes4gqa5HqG+jfKlcUYpc9NaijZq bteFacUNdmdL2I3YEC3NQHbTPazIETFClTFsR52vHvJACCzpUMYVUatQJvw5g2PSZSIwkegQJ1Xn I4/O/SnDcC56Dk7x6KDGPr6umw4ZdYnfR8qljGBwJ3bJeVCT22tVEaQ0iF3xiek2KeooCvpQagK9 Z2nGS2GeWXXIG0ZeUcQso3/VEoQdbfqApTyOfq8UlRwXPBmIYNRYdrhICFuua3ajdY1apFYsNh1c ymFb5NcTVfWAhabiyWJBWYS/vb1KAezX/kRNV4XyjjDkSa9bNb7oO3/vPcfVnIRNZuBD3cUd/HY8 6M/wUjnY9OW7Q3S6d3Tf2b088vXTb7L7zaUViXN7RGUuBLo1uGSvWZny5QzynsybxbJlWoiq03rB nnBTA/GopMXvnJemYtK15/hVQ0LawWyL6R/3ze8oIscLql6nRrfh99JqMfEPRQxBmuBZQ97l1UOl o9dtu0iZWDV63Zdvy7inXIi0tNy1qsaQH/wKMFa1/+Aiufz2t/+lG2Czjq5Mce87pFJFN7MRvRM2 O8n3eY+eTNX3YT5aBt8rVleIYX7qXnJzC2BJQf0WUuYKsXL4ZW+JJZgRn6u3v7xvJrpfBtVGN28u nHO9k3AxgFY39Ubu4f4voLd4lW837vQKXzB0POp6yCxQhLWstZSGZQAvjfohVlkrLhIJBaKsqP/e 3iNgMTv3d+RBW34epvnbDhFRo7s2GjnCrWueG3r4qSmoMG8NHXPHnS8Gkvdr/MDVTBxi87o3NVtt VEucB1pCfev9FCUL7HaIi1+GuJSWS9HEqfo9/+0lRpXbeEjR7fcDoGWyWpa4QS9UO1qZgElsIJqv mZTV/J8sbtuRIv/jkC8QmQlsAV9uWn6gAHM29AiIm9Hn1QIbnNOgXtKTdi+Z1TZT/OFBGmlGa9yJ S6vL+4UPD8S7Mg12jjykecx8vT0bBvKBd3OzX4S2bS9VypjWqS7A3fiz4tLYT7VTnbM9RdwbXWzC sNcTR6GC5P5Y2qSvkiwS3vB6/P6gsobtWF9tAGvPsiNKhLQJRYqqkSXmzK+JSTJwhMeSmCO4oiaB pXyd85Jw4mKO8946AoFXmo9QZ3Qx45JLcA2NISS2Mw5AIbPAnnYSUzJlQ5XjG1wcqq8N65sB1gVc SwGd4ceI4vteWBfuQk+kaVL21rMJydkyCBhVSpI7ZHsYe+8AU5I8Fsj1oSZi486N/sxVqBl7krBa norRm6PpsnRJ/2iJOIubiu0FaiosUjNGQcQKM/cFwmHwK7vgpMc9HwsX3k4hLAPogL+3+Yy4OGAt QiY66h962d9/Yrq7fD4G1K5wOQ7TuaFZwRctyylyk30LysWSxq20BF2LKUUpsxYUYiru4w+FMq0d U748QwnQcIqB0zXQkMtXrnXUXtG5OQB+lHFtDGKAM243U4EWVZXqcZ6ZmJC7TEr8rhxWlbwgWldc lulpKgZfmCAtUFdaIY/k7c9kEFZwyUUBSR2C9Ulk/X8BGFld+vgusOmkTLEDJ40lSL8IXIXn4yvg /2mu4pezIXQ7KkwUV1Yy8QNGX/8u56H69PVFyuPwGQClj1J06JEmJTHti8WexfLH38wUNi2uQa28 tTszYWgYiPLS35kV/y1vmHfxDAin7Dn13+OLn9rEenlPXzkNDAYsOf/3YNSA0SP7g8biGMITrbkC huTZp6o0/o4EiA4Wq0ZL3myya5+Wq8A1rRhcp5X503Msb+BR+MhcSslduxudUZ8GzrXPBuobyaeH qS4hkhzhlnIHkjaBx92LSPaGgslSR7iCivFYSXJH8vIYRrq/sgxGLw+Na5RW3jqgef3xowAJLjDk SEh8B3oxXqCMW3cIQx18ibDxmR0YxxgmD331G2TkSbluNQi4x/XDYc5QFj5ne/hz5+zX3+kdZpeB 8PbyRGFMtml2/zqNpVXQvR6lgmydoWMvHyrG3FRgNHNh4PLxZqIo2pStKgiLPgKZBzuQ0G+FJo3f trCriHze2tQloYLG1Yh2rOFhixWjGhDPZLtklqEKWJ4/U0/fWsRy+9qOIinMjT/jeWQM2H7mUpCz +0kp+PTFyd24+GxaalWjmm0movQEeasrLw2a3QYWvRCSe1iHUcqVwvIFrmwaujfJlXf6rvK+eZJa 1LgmoACWqpxCzx+SjoIpchBeL7SW9g7l7kJbufAbXhB94MDb8rk/M55ccCO0RMgJOmObATfU9jK6 fLCsWjEQh28Lf3/KrH2reUl8GJe2YoRMHe7ifJIBB2660PvIxTZqomTkmmxhn5OqvC2wxmi1xtRN wOIcoJTIt5dhPlPA1MZ93YL1QJPpOlHgKVq47BF2zdOHKUnVR2oslbGLvSbEo8UBWK12tT98zy+v TcnXI11ITBgjkcS1YP43z9J1LL8dD985vw+EClhdBjILk/uVtl3Omy+Zuf0X+MJpc0unhmJASS/c PBbJ2jBGFvlQh/lrclVJuTeAYtP6StvV90apy1mqAfWyQOzfnOozMW0H1YFeSncSgggAiVJlWQZV VppBFVXUCUG8uCVCZCW+2T5u/Lh8WoyVlTR61dydpc5+xpxbJTsFNSY5SwL/EvSB7zfhog/ZEgrE irH2X61EYsifMfCnXDl28jJXkL7Ske79mBjhd0BktByj0e5pdEgyoAEEMCZj3znUuIGlK9fLdYYh p3LjnYhHvsnGhwedh95OjY3vszm/NK9L199E4g+XtjqodkczcSIYVo8l/GxQjIn/kI/UUmUdY+mV QLXJ+ixCgM/pkrixN57zH29Kojoocq14g4b+L325Gk3ntRsq8J/gFHkLLWc5aGB7AEDIK2vPWpOw GgDA1CAmWBG1z2qmdCmYoOrNsnNkoyJiY/QxSQACDwvwVs72TNndnLs6lH751AFKcUB1asaJ9Trw icP05L9gMIpgtzdcSNfFrFqzgflR2ZNIMvxcxqB9CAU9eVNtqS4zej0cGNL+hd2ArGgHbm0j83nP P8kvYe1VqNM8ELJ54IOwraYkCSw5LcSXoVz7fPedWf0LLwg/SUN7//aIPEvU3Nfra3V51E49NNDH kt2e5epIMzEnzRS6TVHLodEfEy3TQG7Z0Y2Et4D1ro4LWyq4BS7WGduKJu3xOOHmGePe7VOA2Dzp gqRA+34lFU34Ek4fvZslrvPXn+rsB97ttK++d2pPrR0+I6jw/Yfdn/A8JWuzpZPj7ZiYLMmwhD9m m/k96Rv+OyR7yOoIdK+l1NmYlDNHDN6/EI+MJflJC/cgkkHf6z8wsdiddLVyi4uj8J3jRHgzisyD /a0i9Z2A72MxswS+YQ4cYI6584DdJDfMDdb2EuymWB7ZQ/TABe0VdlhrAw4GcxtbSpMBPSBO5YF6 aKzw3eYTzBn0Shp9fiqBejIbPdwrfmCyrkuBcaqvSjUAfQDq9Yy2NIKGtOFd20pOdAqzfe0XeyZ6 BV0XA7+5TpZkdJ7O7B51pQVVjaDcRAVQWn+nYmCVUr1H31NhD6K5gD5z4rd4qIDQnOChIBlrZTZC eLM5omKCTh/Ihe90s+WqNDZWiLJJy8BajTbLPtWrgmx5caPqOu8v6P9ucyV/Yy7KbsASjLWo08DP MkbBp5bYnOt2eD0/vdMaqRXv2qdWvn5PgzGMzfRDLeXn6tZv59wBT6rn5u4CfOCbo2unrUEgW6O3 4UReDZqGYn6Z5MrXbhUXNrnN4XzrEtdGiHvyssqGV2YfRerVH49dAKoWfw+OoUQOHzhB3Q5SSZj6 6vNKtzjUZCV1dedLCpxteEBffRJq83arD8XKpgDdpTBSVandlI1CIuM9P/zGy5ID1U4YcGiffwJ8 sMi5yJtiAK9PKhBAiaP8NEsH8b2+O8IpJjTk7ZKsl47DCfjgTXULjwg3s83TPdpCqo2rM2VBSTtm GMX8rYBCgDZgbLpaK2LRXw1SUgczvF09M+HCnc08fJ7ggkDGJLdbuPUz4xzToDtgjElYjhfidbXq ba+c2xeXbzA0+QohydVAeTvv2m/7buazGpWc0cMpBYfUtSS1ZzEfwRZ8flrWzjZ6ODFBIXf3ue5p YsR/yEYZnf2m7ZV7z2LzLMg/BXj2Jd7j16ttP6GBRFLctTryv4fddXm0uedRxwytTOz+XtQEPql/ WVJSP1HCi+wPpsQA6K8Gh54BenbcM9ZiSmsRXh4v5A8FkcZiJQrt9JUlx/fZES8ibUFqJgLulTW9 CGOV1xo1OcXdIvyf7NUQjRvqcXWrSb8+tJ+TEW3HXWVooxllFjrUAtv6Bfd5amMVCReoZWCFCH0W 3wMMFvIJztH2btWJHNEFjan1eT/3U0SpYi3vxKmso+ljVFG2a0xQ2u59h5pD+1e4m9vJstsla+Fg e+2uDijD8asuOa1pYm6d+rWG+pQ+ZAMZBMwHQylrybvWFI9zlnRVWFxXW/X+H5nNB2SScSRt/NIW qXH7KrebEsoJmG5KxFSv9CuktKAvPkF3qs9EBe0/U4TrBU78i1FoYYaHd0amZzHKo71QziOBlOGx NUGoH3ISLru7usWqyMSqgJXyEsRbihMwl8v4+qpAugVAN+INEXYNlzD11qgROWEeYpHDsZVxIBbD bv/LKfPFukNCkqvN6XLoKypOTt6j6XGL96w61TvoLNp6mttd8eADyF9T3jrmViuLDevpogddDpb8 53NDayzpEA/KEVT1tRp9sZ4bBdCSUoa2Po+90mUfLp2PPy7IC4EQ37y7FQjAiXWd8X2sTOe0w2cq TlDgxASYdYGRgZBQH/HmHmCEsZpYOD+PDVuAggxXUZ9KMtZrN4ABWm6pl2veHdJo7hRxqpZ48PH9 JGUF/GjyT530cxUn7g/HqfFepnvVq4USAGMm7ds86IekqJef/se6jJwIew7GOAR4v7U3aXXTdcjY 3OQfGvMlIvo2sq2AHU+6fE+nVo5lyu6a3M0fqjyotzj86LCh59DNfI663KiuJfUz0xdEaB8UvO0+ L67IYAudFPkqICDrSfBc+2R7CHc9zdm+O6LcLmccRQsrqZBSwXOK1xIaEnnrG7sjhpXjGGHqV6/e HwVaE+i+9E8dk6A8N46L84uXkeavxXxos0tINRtPX+MYjatfeDONddnrlzjbiwk8yeKQsdtRX4Te VKMQ/32PZ6VZuTEidjzLyVrb5feG4O9yzS5vUm871axcN5VsR6riBltamn1yxQCca7muunauvqlm GxDqG5mz+vOVyBw4/5dE0ArsPZxkPwfLuAQ+hF2+4HUv0eAepCPG+egwSaLJqKg/pj4o5JCox0lA UdfEv9sswuRtkovxRMF8BXhHTiRNIKGLtCLI5CISyia2tKqHGv3vB7b0jKsoClun6oID5Ef+NgfD MPCNFa/To3q+NgvwOKClNeT+D+wjUPCAVDPUgS3jlYBpdijMX8QZGbfSeDZDlhWfm7F2BdqaRMEx 3Yt3uuyozlHmKT8oOMqOGfqW9YqpiNGWcTvkrHxHIMtxLhzruMxUuK6MyYzPXW9ecQCpgFuQ4lML pK68u93yojWn6dfm9QCnryiFvt9HobcBnO01b1h5oGP1sgm4eq61Z+mdCuBEf7LrKf/w05AWfMWZ x7simuYMAfvLTIC5gkFS0/eUmy1u6EGUvYNd29/yuMpPjxl5M2PIPXtubCjg9YmNUvh03mGlOlas cl8mv+ZPRHzTRbh9YFfoX9rycuCgRugznFQSnmWtlpxHbBpnAfG45yBClbBT+mR6Cd8LvC3DX/rT BYrtO5+acbbrSGPctLb+H3q+4V7nu9elVEekPo1ZKUXOPotU3de0QgW3+pNkfs0MZttNEd+G8Nqg /1C+TeWtf4NdhUxo7GSiFIxbDQ2ei3uv++XUD7+BF+wdxlijQ2uDcPZySHcbw9lVyoxar2DBXLla hhLx1VmDYiEANOSW58FNfRF3hI4xcivBUQBohLnBO+f2Cx1jHc3qAyF0lMON51Pt4yu/nmGsvEp6 SktveuY4Rt7Rr1mTI01aTB4WnXF6LdU5hIibWbJucxPKHzy6+c8iQLT75p2L64DQALLg3MhN7eFu ZuzuS6fgF9vsOcNVzk2TsAhMBmzC9Em4/+QJgIS4zn/qS0ioDFlBrGpSBFM89enx+ynk9mhWSHhD lrpCi4I2CPkFnXSNNpkh7OwQtTlBvnvRB42+WINbdnzyKPLzVmn8RFy2sN177sk7h4XiYxYhQacP D3NDvsip1OfT3XA73Hf2IAC+gfzXwYEM2aUvWGQEk5KJf40b0so1IZZxA8iZaUNge3vqGNBJz7Oc dA9c7N3kFWnXllOgUTTQ8dtXoNggldcCjw1A0bgtTW6UL0veB02urc7AcsVC8lB72ypEvgMBWNDZ SilWwSoHFxT57ouRuLDZR6dax42uS0ufzf+LlmLZliHMuradjhDxUxkHOUtt9hrXZAAOKHGFZCC4 3gIBftLEgMakZ5haCEA76mth82JVqLs8ARprFzX9lhBqQeF6z98DMWXuA5oQfzaLNa+1TOEn983J G7mowOFOEBO4xDkhNcU/35Dq0FfFwtfuvQVjUC7vraQ3wT1Fpuov1Ul+OtpcmkvVmSB4laoSNmz6 xv7i+0E1BHU7MzKcEwvNCgeI+cNw/8oW/+Ow00C1LKOXb7M+mv8eSrEx2UI= `protect end_protected
gpl-2.0
f5ab77851c4ec3a4f53df36e0e21c268
0.945273
1.833559
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/mult_gen_v12_0/hdl/mult18.vhd
12
121,508
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block fAgj/3WSjBpge3uchVtDX1gnE6lYwU3Ik35plPnBc7INkwhXmFekzwlzr265C/YPIU3XVsqW2FoN 0CVd41WrBQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block RqUgBWoCMo7SQEfDigmkJ6lkcHYucDR4JCcVaoVSlarFEhuWTQt2MdfcInSgMRRIPd9nZY4whSoD i/jJGZiDiMo+rw1ZCBCQazq4qIs0e1RmgmeDqeK/KYVr0UrfQzdfupZavc3oSEfQmohAallkX9Wb rNDLho0zhfmvp8+jVq8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block l9XLKMRG5MiOtEZOdvmpYo9l028C5HJHPZzqr+znaTnepyKu4SlmYY6FAIItu71b4jgSRH18MpW7 PMrNCCYACgViTcCHujVkz0P3kS3ywfhFIgTgXSySBIm6gWujS4+u5LtE7xX7qd2HBVjPXAuZ7LOd 8qat/wyJroJ38u/NlaTZczHQSLiNRzVQayotOoUjIEqXPJ1sKxkQ72mhbnSS/sVqCUbkQZgYYKnW 1Nxz1epBr3IVuOz9d+IgDovkv/nku2ALV9iOKAwIoy3uoZldkM0aOCML6bjO1fk7xtRqBrURihmG b1/iCEqMyKTu3JnduGO3MxZUkVHAwMvKtTSz+g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block byrph5M1hEH2q5NW6bYhEW1dKKxfKFWeEICCVqEKT3K7lE9sudxZhlFKJwjCCt5fyBvUpOMpq4bd z4d9dwPLdi4nW7QlVV+HOjnxSvXS43UhWGBYUFWKt7IMzIj61QHyy4e/M6XEWj8wWAQEezpHNokX 87dtN/K6sq9iQ4w2SnU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OnvxgjxKvHOLqSfnT3+LWNnahbfmZ1FCBzQnL7P/Zj1qp60s4ZHKPqV9VB74C/UVIgrf/mQ1u2HE nTaj6wP5RybMtJOYL9J5oYZ9gBpoq2DUTgAFSjIiNmcoV7qw/yrpDuI1LgxYonyVxelJjGSbE25Z Mrll5wufsGMyp7w4XBT3amK5c/KTWBUCNbOo766gLEqzviFbmUYGYIG44W1KA8kgStjNbUKnGvFZ vAfmEZPIYIeZA4OjoDumPDJji3vJIPqXCdl9PvhqmyBPZ8vfCbXd40dyibAL65H52sZyzxYU04CU r9atC5/E21lZQq6cBSeRhJcYGfiupwCe858lQQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 88208) `protect data_block SGEx6AT7JWbKrrlTg6SN8SHjBpSIpfsAUMdglAV8eicxBwj1XQfiWwl57BwzfmnPFoRWc+JA2rIn BTJCkKs3JSvGSx7Pxjpn6iozcQIHrIJH9PbqP9Sn69bltP0yPHr331OFxlMXnBEZgmRLezrpL00M vtDmKWQ+yqsjTisjpC+FDqBTP0pSz9FNWLJ3ZHI9SWJXUR0M3UdbqshE4KiioH+u3v9hf3H7I+01 +z72eP0Pyl0GcTfjfUvgZaenJsdfjw2QZyq7jvuWaE1excBXetWOPWlW1DmBQ/spF2UZeapkb4Qc i2Fogh/up3jtSlk4CRQ838SYMF12Yw4fB7DElY21EQ34dJfTs9W6gn38LXN16egoeutzcdzDxl8V opc95rw0qrv8nPFcVZVtzp1mD+bToAOxMEVkTeZkb7za70Rg2HtmkpU2H8FnofU6TQPs8/ibtJ1G uTp65IQCJVucUEHKuOwWw9SHb1XPcRR3y/aTRPfCZXLGudxOAaFMSfWMxGIkr5AI97lODzAzlsuq Ne3XBN2yIh1wQWO7iI77HnYIfZKXpEH9VYCurcaZ0kpVnQbBUeBi70px1cpa1Mzzc/Ivvrr1TS9H dY5FecqMxMJOZs0PRQNrDlHX0BVqMObXPmtPdMatZWsR6YTB5tPx9h9qQmpbm2ljnj3Kdv+3fMeW 0S8KoY9HD1sz6sA8Cp3lsqJE2crE4j0cqEvL3FXP+WCYcyL2Tx/get6A2tYlGJNtRN9tswLf0YMU ITzEGmLuMvSFLUbSVvuAfWq/Y9Ej0Zljbb3II94Izq2a6+vmxA44D4GNTNPzgaB+4m0RKGl3ZTSN IAXKjYvzLR2XkgwUBVXu+U7aao+FdWpR9BGMpwqIhEtZ2lCrwjsW27wb9bU2WTGlKbFADi49+ZJb /jZqMv2ZOm+eiimwWqJQDpJMPXDyjXseuhzniLTdZrg8c19EnGV8rdt/Q8+cu1l2QgA553VF54hZ OwnhhDX4ZuR5TcXYyLP+UReUMXvyKl71fVQ3WQOkDyN82pSVhtQiVSr68fseZ16ECyRdpyjvKfBP 1wprwjYEcCJw0NsxYWHzJGc21Z9L8+0yiD4w7pUhxuU8HTgyCExk1izoLDmHh50KZ4z5Pv7l/Zdw EoZRdVuDMzXT1azFhNZywX91iJLS5L5VHv+ooNj9LtlqTT/x10UGtdZEf8aNGmnuIUz4ZCCmmlPc W/6+vAN+kuv+DHRO8TVf+MorC2HPPyJA828zqAHQBabnX1hqG0idP84X+y0SI9AMO+YFmaaEJHEs OqA7CZAKCCJn1CzkG75P8v23jFD2awze8vZCZfvwCrJ2ru7Y33knV6CIpCavFShoiFF6c4IeycsM xgHPzGQqEo43BviINT/p2vzo+//BLiVTLHXpyQ2EgZCzwCMG7WEit0G7I5jML86mCWdEKW7Yj48I bcUDfFVOGZdssnDMx3q54gj0gTebZlRcfUztC+TBuk8YFCwD/sNxajaCIqAEMIeGjSbgFzkgFoQ+ Eopru+vcZtJ0DAgvNm8vzdvfzy47xP62cOux+LU3N59xqKysLLo0QTNSEZW8cLnfNEz81ggHB8ev WPA1X5W8n7QbZ7n6lWf7d2iZorruXchdrM6a08I5TbcWlpMv0X2+HdB3d+j1j3kKVOKmKvpNYB9N fhN/VyRwUxzibDq7nOxpHrKZOSevWjstgk7W+dNjUXBHNYsjSDaNYPjMwb5ILljK5bN/GBZ+cFOJ 81PFBtEa8wQ6++8qUlwf5VOe3IeV0KV6ZfAZSjDJF6ZNlAFIWzGzHy1szLFXNbQ4FyAcoozPoma6 obX22+ZTtZxoGsMjKLhf0dsSEnDxRnjzOvBTZeRjO0jhv7TBzv1xPQewTXdjpkeg9Zw7eMHsVnwg i61pZ1xuNX+Bia/ZchjOLPAx7FClZeD4SfDwd62rjggHOa0iUVqhOtONH97cZvZQBeNAHaUti/hV qmvZUXjPGh3Wj9NuV9YaBgTe9+u5WNiaKk9bawL36RXc0bxMFGB4NTWJ7xFeA1+e/igWDg0RQZcn l6PstAJcNMcL52Nqfs4GVIdmUuJxWL494ewzZpD38mPuqD3jWy+uu8FZlUYES7HBuOY5nDSm6SPb fcnZovUpyIH0X7boyo42t9Sc9sPWkmDSELRXfjvMAsVNaP7GD6QnTSQICTOrVYWczC48grDSwsqS VmWvXi13TEWdGQ0EKtiZpVSbZQiAUPe8TiNDXTuh8eXH9bL7qL5ZsFCgC90pOX5Pio9OrDunKGRy NEbJi8bUbK7YLyae9Tx3HaNIoLki/UADHBPzkhEd448Pl+kzCMyPo0v77G7TVQrgbqKMJx5rur74 kSKCz/4M1dNchXzzjjjmeOVeORNQLahEN1HEf8OdiVH+/I0Ai7vfkyTQ0YSjDoyLpUhoznCvI8Dt 6veobAdaiuCxYcOUSODw/7BGVGX4gsDaLmi34CbfiaZT6AjHmvSjAu2a9ahRFrgIQiYG/QG/1Wws OcWH424vc/46e9e+6x2diCi4/QTQTg6a1sWhxe3XQ10eHG/FaR3OHqs06Ns0Ih33MVWDxmN/Fs/x Sx1HcD1UhxGyUAnbGgGoFxosZe6CD0C5uZQEEE8DQQeUM2RvM9PfhXmRg1lUc+Y7LiTZjMxukpWj SL8Rm+sSF6DUyjztSFA9ya04eX49A7ev3RazMxA7AdcWZRKGDjx5qfyMcNcWd8SaQIU5NEjTu4MB DLSXNq6BySf7MxvoW2u+lIrPR4AGR9iKDaAlcdJuqALCXJlxFCTNXprpzFLVvyyWwC1WuHNyR+kd sn534yhWttdDzvmr6wazddwI7NTxm+FPe8JhFxgbV8PHSLj0BpkeCHNhP9gJvCo2N0KbCYUuWh3U uuZgq2kvmoaQqsYpABphEp/HpGlJqJmO2qhOxkCqkzJZhxTIN9LtlFGR0Dc6jEd4cqRF/6a8FnKP UBTmDfyg6hbT/br3kWcalZHzssX9R28ZwU4um0q23mP5KBKjcY0jP9XHUKIS1+uYlaZ+mFc7MPxR paLU14vTmX0ETLP9Ng/W/XY31299CJffoHHfV8sMGP8Q5Kw1/UvxyTfte2KlHnqyd9RfskMbpFSv B6FCBADUfTyvdnfqJn+FPIDgD5+dQGXLDplMvYiQ7zf3+bgz9UWmngiv3zd6ljnQyVAyRI0GBzKq 1QpctLJa+8U/MmJNETk3CWFrJeHDXP6gguWCTIj10csqrhcVFzZdAEmh4anq26Cs4rdRBXZ/rev/ lzD5cmkk+Y+ifJgSRgghTneF9FbU4wI3gMPzoCNWOW0VenSXSDNX+Iwu4uRgwD1fWJFGmU6uJS3m HiTBtdfdNyHoVKqWnKFxuZb20Qf2a92C+uAJrBzjVo+lSoaHMUojMIgsRhOx2t2mclfZ9ON6O9VY gzK8jhn2Ig+/AXBhhWJB1/RH83Z8TZsf2scdfSEp2DLriOf6H8ZHDXbpkq2wVLNdL7WFjyVlnJeC Zp05+RZtmNoUeisM1O89cg63mmFva6I+dGubwj0zkp6c5YiMQxPOb2V9vhelzTZvlYOXygYLpXKo JrFldM3AORVoVAGUbJ1FGPEMkhU7EJDFbrM+sY61k5z50kwjJGEbfY0zwjmrs6Wwn1tZ21y3s8rx iK6WyIuQXhYd8SIEMxL3eNsrq1uywlKVFvkOkGoUtd+c7FCxeBiQbc/u+S1clRMyF0xD33vb8Aj3 ZoHatCJ1zdaiwevDjtgOhqYmxVYjirZyzL+j3eHihz5U/8PSwTjP9dP7fBnm4k3SPRrt9sjm1MEn uC2BxWkrpPGhTMcBb6vTPgCopQBdTi/YH53NdLhRkggja95z/iwZ89DjoXptyDmnej7Mj8On7pWj 2A6WY5vz8H09khZ62F/QqR2fKokdffb9CKltfLOlUZEq3zLrKdPbYNiykf74GZ6X0xV6Lfyrc+CI /8XI9iHuJRcEckTs9dOhuiR/Hd0BlBWfOmBZKr/Dx3ZlCJZjAw6Wk/jscoDHuMezfQbhxMxTXhc1 ubdI548JYLr9Sw9uBEU1Em+e5rqg3AoFOamvw3+jWrcfmvSW/5WqHIoXCKgEFKlkUrBCqHEIdmXS R1SVlJD+7afLIICFKE5YaGm37zl32pD+RWoQ/81qmJgYffHI1Xfwe35ag7fT1TU/VPyy5gFb6jfU 0mTm0TpuqyZezIAjWunoy5dMOYbSNSAq6Jruarm72F8ukicq5C62L3KopwRW86LSBkvpQwh0/y4y C7LapHUL0mdvLi9bIEIRiZNmUgzRQTQBMn80/fhNuZGeS5ncwHvixmePYFj15ucYOI62Jj1Kafb9 IvbXw9CKBt5eKnlNRfzyIkeykpAEWwqPm+PD1g6c+vQIrZAjfP/O8PBcEdLfaoPLXU6uqdNU7UKt SIo1+DyntOnTfHnY122DNhIiXJMArHhfhcyywtlVGkQ0yiDcQuJvRo8wkU41jAhAiUQwPikGT5Pu NIvctumkbAFcOX273qNpL6jQ4aOndYrdQRqrvN2HXM1rvpPy3ldDjhi+YT8mH025g11yWanugOZV MQSYyv/wGuNbQe6zuKmiyCcST2yKcHKGaNkJu8yUXjVv88GXemGIVyi6R0QyRHlmQXgGMAoNztGL Rm01VVSd1qdNe3G3wZcahbbvk/j8zJygpyKl502PP4tWw9UKL3A+gHd0dtgVxkbMoKl6KKKARGEc 1+PrRZrm24Jtg4LqwAYUen2aOP0mRjOUlvGouZASHwCLbVt+7AwGOQsCjq37NvdH/zMRRBsELo4/ VG03uvc0ECk27e8ipEoZGEgtNzLZn6w5Vi0xBc+dJcNvJ63ii7LtLgQpQNWVtJ7PxcAfxok/ZiN0 XxAAHxvVvpq6I6ezCVxlACk1HwBmztp23t1v1mMu0+drAdqxj1okn4DxeWTjPi67KujIo8t6OBxx 0MXoFscty/gYi4h6kLCJmQf0z4ZxksRKlab2al+zRe2/G/ID8mzLNW5uJtwYTlXc/09Bs8Da7Aq+ +aC9sXFv+NlLuSRFZyIm423g7jWfjfwYPi5qd3iC05aFUJKTHDdTcdX4dHlSs8KhB+r5p1qIQFLj 00oqDoJDp8xwrUSPqXCcBXPsXDRfzI8qNbUoeK6aJC4tB9S/J90HLeJyXb1+2LnPiKCNX2ltXbF0 WjtZfSofrJcwp30JK5dcRw6fdVNKfidg/U1wbL0cLE8yDNUWD4ug+uE3B2iRaR9T+/ziRWL8iHzI 0fpaSIUWa/zFODPmsLxLt/sWO5zrCqbl/M3Z3syhmZy+4vrCj/2sXeIyY7k6B3Ui1SPrLYORsgxB r8a1M1tvA9YArjIaKtGHaHzCCSSnlcahc+tU3lmIpbv0HAub37lj0WmcQETkuZCbtL3AlO8cj56e GQ7ZYHuBr2d2gq1ujsvFDHcYXWmnnSXUzVnKliDmKsIIeeyPmnXOT2VEJlMkn0TTyC8mNvWS4KoP 9JNEJhcMJVrSO7iRagNdKjGxsOwr8lMr5ZqIuYTzH++YSkcvPKAnTr71lfTdDniNh26h4EY11ApQ 066VL/yBSRfarTl5Ipzw8ctxrvQHEmT/t6ST3soEgVABKBJ6LDyEAewYsyb6a3GeIZRYYHs4iQEi j29nCOEpAsP3YqczIxAhqrAgXBs9hV41AsmR+O69Y69GjUTtYb2b/Frd7YZ8WG98ozJKS0DR8Gux 8FBvrmzg8inZ8FNnaKzr+ZfnXi5lEYVJYLgR54uDuNIo7jnODUXdfAoP0zpJrTGaigDe+5sDvUxY akf1N6aQR3GDS3+dK/bL6zvfSv2fBaGf9LBMc3WKFAQG+CiKSKLnuXLr5BaC1WES4+FnbaVJ6REE mnLSZySz1MxidmbH+qA+5g/2WR5r6zI8PnvgP2nIr8HauQfo1+BMZIV/JlG5FymvlaOsrELvOVzU Nd1UyGUOHDtarUITxq3TgeIz5s5i18CgxHCVgJV/k8WL5SVB8XfkKkuwlvGdl8OJvNFsVIFXg0D1 FvIWhbjbSSbMZm2VEGkzELXNZjcY/HpuVKDI5v3bNeDbLavak7LZ08XlVzOslB65X8CmiQMRnoyJ rnJW3bhgGvOLJ2JiHE/2i348GuJUg4o6o2HOl1aquFtR3zcMi5A1qN+jqCfz2Ygl5nKFj1GZ1kwU 0pO+TMyQO3yvYJ+Svd+NdBT2/duj6Wgke3cGVyGi4gNaYMxh99zkhFz/vjb79YrpXihoEVY39OLN 5m04UzoHJp0NJshCapsgXu56gwuqWn2VSDRhVMHCcFEBdZdSpUa9Ge91KDO4v1dVIOet38fVY2U/ HlK/mjrrGIcb2xG0V6qS9ikiN1y5rQHqu2LSeLJjxbEf0ITYqxZq4EbhjBVxM+Dri7cFrzx8qye1 +e9sePrMFeW8nU4xMKqeL6oRzFcBY4J+dxgoEnqHYaWasl8I6GK/fLs9NZExkHVMR/NsKIr+zkfS vZTluCznVFCwvBoWciwln3weUNBaqVQxA3JwnEYj6eVR8zBfOonKAFLb4q8oIg5ByvV9P1jntgY7 /Zu8tkMw9lhqGqQZlr/S4iFqcXbj/YxkprFREI7zwYOOhB7wIQWhfklK76HrB/KDPqeq6AzGVsyb t+YWgzqmXTjT8e3dEjitffll9AE3UaUOMKMVaj0x++zAsKohbAyLZwMbY5YwdPS4Acu7dfdFXVGy AfLwsJ3SVVbJYiEmD+f3uRjZ7GbZq5bd2TgXGMAve464f0Jy5f6dk7Iyk9CfVfJdsjsHlpLJXpRr iTQcNz1vQ5Y9MHiOyDfSSu5ueFaQp/tw40uwPvBruimqfknKTu1SUQkDs43Q89STxGOe5MakMg0V 2r9GRu2fMROG6duM/KxLyzRYDwCu8cBn1DkxFrE2fltdCnxobRzEevUlPswaHQUPRKG2YZYU88uC 13Uyit/VrMoX6iZ8GqnwMo85cahAkil2Y+B6HaFUAbB86akv6j2d5jVOhbyc8zimT23KAdOYncIM Cff9rxxnekPKSADVeYEa4AAmD81Oza4K8zkDL+h9r5/8BD40vPgcNLbttivL0xt8s2FJxQEWTuo6 mvp/Uc0YMhclrSavJoeLx0yE/yZ32RligKsBigi0jBoDix+MpnzA5u3M/YxewDTsFBGegbleYNBb FZ1fFa96SaVjqKMp8o7XBaTeBTOy2kFhkamn5gxKMsRV3n1jOq0P5NZWJQKzkNOoERyOsCN/2Ep8 47ow7RUBUOm71gUO4aFemvhF3u0qSIAxjO15D4T3d9EVvQF1GUXgF5ui2RfQmYMURqpYJdDjGFKP lRwGgAEJlGkAlsMlBefsrjVwapsO55lI2udobl0pbrzS0Sgc85QVUZCCrLbF/hbqASWC/7V25AKS D2vBZMMurhB+bGxvHtD4tSTY7Wf725LeiHxI8o1QSHtTlQ4j4+oGOvz9TgcpB3FzJsFAclajzGx1 JjBn0a47F33aaA5BerptpsrRfByfzIY/pZmqIq08NN3KQBwRQJs3kwutCtyxFNco51i4/y1zyw6t r+QuQW7+C3AUbYpAPWY/72k/KolddCUOrHpR7LHGm4XZ8dlhAWs/IwaDQPm82gTm78zJNbJKeqNK /IJ1nr5qKaSYxXzQP+xJU8xdDF+hmoNegWKBZFaqXlZ716LAwakDkdKav85hCRX/z7FRjtpVgIYj TpiKA4TEIZLrVcy1dfp2Cl6NvAS1R2xYDdCPKTUCPnzeoQN5k46G/jS77QipbjMZKPpWNwHWtdj0 xVK9OP7SA49VxFBHFme47qAIcodyaM5F433XHOujnuqiCagTWy2x660WWyX0CEIznvH+dbqIu2Tt wuTT9XAyxj9sjjAE/EFIHXYnhxODQRz1QpwnjFjko+kzcRSL4Ami7ulX07uTmHKRj4xnun09SrSZ UNd3w5ff48Y6LY4aUPGiz+4OyE1GeeP1rHgx0k24iYnCheFGwqqxvrO0htI0uMZtoZqwrYQXL+7X 0qjymKfJyqPYLdYU/5bZrs8p04ghCmge/3qJkQLATz/JXTnZObxRxbefJKd9M+jeSqkKfnpWpDGT NMwnO0oMisbwSn4hn2aSToy0qTdmnGvb2+DcXvEVWSVAUv8i8jxHwxdSbt1U2SvGIl0ttz34yUwT Br1xTgrlqvD0UX7+Us73OD3OAiAzeV+LEnDLzDEAkut63pArw23U0fqgrIsrak1A7QsxAuYz/7y4 m6dyWapjSMyXzxf3JGt8Hy6Cj64/VgcnABWWZX3ir5MYtkLzsHCNVtPoWDGsSajGv2J38BPSWeYq 6Pvg764CIpyzD6V/UDzepPEod6CQlkztAtsWwiLsckg+H7kIQpmIL8VAahhtDX7Oftsn0Cn/qn5i Gpxcu3ULwOjGxxZLAhBWUmVR2lDX0+qJa72MPH5BrNXgfjkgMBg9CHVFKjRhy/hStUqiaP3YMLko 4FfluQ9+XAw1Icgmsh0HUQ81iRKl1y++5T0vpeNwm9ZYgnv6CJgwvBCJsqcTtyeuOGflhk6Rwq/U fgBD8PU7KMDSPmKtiUgFpci9hOeeLpN6eBpTc7cjFVWNhp9qmYgbrVk/MTyLTq+T+BtwWr6OVqfm mXG6899uml9FrD2ylT59L71iUj7pkO9DJdpBticJTuxhWzoV0wDGPZjIDOXY/53hdRvk1Uyg25x3 kEoBa/ObL7OfEC1UA+mZyvAKR5GNQGcIbF89eex3Qw5xe8fY62hwjjcHBxCq5c8DfLbntuzdpoL4 h88Yl7pR+74pNpf9zvMhXE5LyF3Xznvz+DAlRnqiV2QnkBK4RfeHs9jLIMTlLxjaAAkb0NTrKxw2 ccl1brhoAsFns2xkKy+nzsjlRC3TN+Z4QLCACiokFRRytfO31wQjagoHnNXM8mL5kgGaaJHXJl3D 8xSeUktp7yEBA36QdY2eSGITnXeWsxELl+flcR+RtW4YEflmEK+3drLO2tjL2PVf6gVPNOPGZPYa xNgSEB21r9+v1IZjqKRHKZbOv0W+OKmbt9+XeTmNrgTVKR+DeyDTVGIeSOLVsjDIpZK7bM9tP3yY r97vSGc714iBogimZeH/P663zqjgCjm1LZ7twUOW41nS7WN/yrEsxyfY3I8GuNJhEdCnf/6wvoOO 4k12zTOV3o2kihSlyojH1k58kI2zPvdsdxGXmC3ZdKDKA4ZSyZyxh7heFKDSeNxi+usrrQpM0MFj UNgq/+fuckwW63hh2GNw/q/vyn0+E1H8t8rExnnbHOcnCQjF8AZ4EXkJmUtViQ0CQRC1GNUL22Ns icZWSZdDuF6xDJj0Hg1nGSXxPrs2mUfbpGYlzGPLDgOPa7a3gmg8COE03YKM46dsnBypUdzE6cvs 5RELN2iwfgry/kosppXorAf2BAB5DaRVU1sS7ZVroamquJsAMR7Y6rkimYr+2AHqIvCr3QskqPS4 J9xV3IMDIFHHTVMSxhRdTnfxhd7qg0GlUks35A7EjVHQMCP2rbYZ/jywpRBbKtg0cSOGiy29P0Kr C2ANti2SINbPGWNw4x/1e5fEbqZLHhhEeHE0FJOLrDN0rROqa7nZ0eTSQB67WDF4rCjIYgp09sfC 1AxKW96VV2j0CnZsvA2z4hsk0Pj3vgy+LqSe08XcKv6l0MoEgAKpgFLYwRjk8+utT82TQXmt2lhm tk9YWzxJs9/3AgM/gCCmJuJTV+sHAfnA4xvF7yceVcQw3b+tkohluPdCOqH3AbNcqTPpodtQG320 1V3gySljNIOiLMWJzAESlFMqS44J0f1RJt777O45Fr9iO8Wa7WdYVAqGuFmhta9D0MuDtdoLByF6 LoUZOdu30WsuOqSTus5B6a4UpR/op6bGCByyoMoxKYNxBzJGSw/qq0mfR7t9RaUpNEGpzCm2hPKQ /8RVtIOrnTxFE80Vzz3hvvRFwdjhR30N3TRE3+D70WBOLVc582QS6egbN34nrjYd8PYbMKoOzoRg FmEJfwiZIpwlU9eudbf8pMcuqkPkk4FuHBfFj3fw5O2KwfnQmAp4B5Xm0CWyu+CBnusD9P62PmtI 0ML9lHddo0/RRnkApXpsj/xVbXh21cXa3l5rnLluCghEqxg9ocipiME93PwTG+NMjZnqi88FbYQR +vl89f3NQJwkdCaEDsG518jUWLjqC+Skt5X0xhPMYoASDTMTy5vJye1JZ+6kbwyyLElhBleoI0hh p7nEVlUhxUpGrOEpdjMoc7qcPvari946icJYqcjGoSljekIOTnKyQdvGzYH1qwaXNcb+Dj3hnxSk qRtWvHY5RyWNYSWGQ22wu1iWf0wIAPTl2YDkLdOgpqoPSdaoyAWp+Gs3VE0u1OpFo+Fnmxvy0FA4 nRIi8l3ecEHZSmCSfpYW04ZaxFohLOosww0mxU76WPVl2Gss6ompCy6yneBoZRctXVYr16XYmKUn rXWWV1hbANOBGkbJ5d/jb7oQS8C9HeJuBmJwtFD6jtphLWgnzQu+xpkeS0dvpR37PtQ/N8Z+1ptt yivmsYlfPzJ0iwa3nc59b1NxWsPmfV3rup0QtAjKaHs+DZ/yKhjLQ+NeiYCAYJPBU5o+l1q0DjwJ qNwF2QcfFy2qkpTmpvkzR+hc/EwKajv8kftyuGSuLOVufkrai8KjX4BP3Ewj7trPTnf0B6gcTvzS HUdzfJ+PzONa1HFrVQd1iJyhGICb6x5vPxKeKyC3qrEFJozch1V+P+4dcpB4F/fWvv5X2vNyTOuZ Jw9HOlk7I2CieShLSyt0U3z+CZSyFe185Ajur0oK/GdMjJTMT8sofPRGrqzn3r4+teSG4THziQbM ykTeCZfhO9e9Uy2AK/sqDLMD1bmYWguoCqrTwdRO+KBYKQyB/pVPueeZrkpr4ZcnMbw3MkSBAxyT aj0pxBCqDZZgmi+HIxQvz3z+u03JMU5f6O2N5SOxWMZq6dwB1EkO7XVBMaWunBqXbGP0ZJxmT6Qq eYVwcNf5e+p0d4HHv2EhNE5KkmlplSssLnnIBRRIEVxsO1lw/PcxlrN98PhlSEWUlzyzLicdKnOg DhZ07RkmpVNg6P9lAkEPQSf0qr3CUULmMg7jno/KutJhjuJVME2BpHszML59VoXfjB+TH/pAYtbx tgWYYV5OpgaXE+TXrcmrWWk4lR+EEKTXzmT4CB05odLSZeQyyC0JW3MQ5QVfZwxym79E//NR86rS EDLtQMkkxOQKw0EevA9unDcbRDKSXVcb1ftxXB3hmHnXYb9LqTI1utJm50lTVTUPWw5IIFubprZ5 Wi+BJv+hBgotEdMyi8TXZRBcVE86P1gUvfmYS84iSBAorrlrkvLgO8OuVR23+eUKDQRRnkgxVXG5 8Rx2ona7yDhleY/C3scJKnPIo57LoyhnGUU+5lDu7WH5KnHx6rqFV+MRhnhHrqDfPS/b7AJjxRRS e+5yduPucltKYofDG7s4+ahM+rSi9xL3NyoDVaaV6ynGh+dI03k9VKWXIePESVSor+t572dUx0JJ 6yXJ/s2JA2ZywR7/92YCbdB1iHRT/N7OEsLgGIrMO/AityLJwXlzN0jm12xmLpzBUV/g74zfj2n6 jUWs9cKdY1Aa5rtYnsfoJWfP2G4moPPslGGE/l+5srty4FFUa+5E76TrqlOiM7v0041bgSu2lEq6 2Io+S0yKWc51VP1WRvGXKeXBb3kRKBn5+/rLw8hrsuGu+Enltv65nzbV7LESRax2sNoorbw8gXJB Ca0aomuBpZ8Tyf2DN7uy3mF1ylyJFCaPESoT2Xt/pZs5SbksKpTNzzLTUlLdO0KWwJt+zSY8jMz+ xhxj2Qln/6u5sxgZDJreQ9aJ1d8jInm32NYZ1sfGY2QJOPyQuyCIT1lsZWPH5+3BihlERxMVSY18 oPwisjbVtERUQpJVWSDngKO8Ff2JJDUA4t5ZYXTPBy+LrLSdMXwCRm2DiNDCZNBpvFNRsBOoFaOv MaL94YfX6BU/madaI+/E30gxgslP+90gDpauTMSpj9IF+0BJ5CqbHbIMTXGUOOTCW/xFx04nICVp gtaQmXLduJMMnmiZKjq0N5C4pEIUXUYY4gj3aXyIqWS60Ee4pwbkG/Qi7Pbm7MaxtoTk8/jk/4M/ B+yTEbh6vA0r9/OvQvrXpNNhT+HLAwLt6QtI15oAAtCmLw4pUkxqdwCpQGwxtzVuPn0vXG+AcgLi AJRG4W2Jh+0/KPVcV+IGFmDB5+2NvspDXAi3404dSlxqCj+F5pTw1mZOAQ9cLAJNm2So8kFxUxX4 3hyB2lYsiNrG4KXsBpf66/UOg9264FdYv6UyfLzkjUT0bj7PIl/f0/4jXc34/5ghIlo7KNF2nylq NyZzNbURfK3cG6DmcnXQyba0gaicxBAqrjlGNMsxBZqNzDZ6RRycFdvmD29BT/dF9MJfV9SNC5pC xknYmiJmpOlYuecJMZ+LCcFclYHO81Vs890JpFE/nfFJNOKXC0uiONShTOowHYBUCrvd+iZSGsrb CbuHYBYulnxZeTNeI9lxEkswEfqclqpWjuiw9pJqoULizGUc0UGDzC8Ujjd/Z1HrQKN6CaQ6O+C9 dvE0C28+wtSyghdAIdMJklVx+vMSzgGNDc8Cj4q14GX9Mks98Jrzw4ycRGt3CRLr8/WyDWMsXEu1 wzzlgjaQO1slhNDw0jRpWzW58wJeGFA+UHnHRQPCNpxbQC5sY+AmxT53Jh60TNcEkAE/aog/z5ex UU3EhpA/GsjPX0tUv9CL8/huK1bcxBV5k3sr8fYkd8jEDyaaq1oNF/m757BMrnGN94lHBEjitcMw eguZB4l8r+uRj7Zrx6e6/fgR5Z/xZLSF8EmRnKd9eu2f17cbo3FKwQxcSzRfSGBp/Vof4n2cddS6 FdTDDYwskTBvUiS2HDQgd/gRZYd9TwhN2/4naAlGFioXGB1ZWDtNtzlhYx9jAcMK2eVmNPALuCDm wGxRCoNQ7oHqMrG9u3ARHGvwcz5J8msuY6G7nvMU+fu6uNHuW1mgHXhRqKcec87IWKu6Itp+612v cUmxmCuwQdz4mNAIJPva8VO1d2DuX0F3FxDt8yTnYuDxqYu9Ezpnf2Ls58eVV+qctgWY56izvP+1 VfJe6B43D0rVqS/o27aoUG0PTQuh91vM6VqFjnGR3a/YkI6n0w2l+vrOlcSuroIpSz5EACg6OZRE kaI46BCg+Phr1vpbhVW+XxG0ePL+XHvaaMai9zzRuDIeNF5d8TFYB2rkFcVzI4lvLWrHmcCgWGl3 2QIj5ojqfRIWFV8TWI2LdWC9uJvViGDO2ha6uro2tOvPlRuffwrImE19GSMAVsl3ImRuE6Tl6rQx qa8wlfTIPBGRWw8K7iunpVoxGGnIs23RyetIvsJXjr7hm/qtFpoybyOIyPIbVDF98Cj+BOP5xno7 4yfV2y4eMSGyfKk9f4AMod53KVIEiqcrZDRxh+fcJdB7pqu4dbmEPbH1DEsX9rvYnBxbIWTK+0yd Fy7kKcuXbrm6H6YejR9h7eFqGjGY43rPR9WFEvfp2VcvcT6Z6KgsZ9dGurhqMSC8P1tE4OstF54w zq6c/+XiKZz+UpinabCPJeLgTA0L7zwu6Ec+TwdCgSkJG0X3hRYDeRxXEpt+7rSbWaPHUxP5YmYB V/Muj4Se3m11AH9BKwN4F0Xpgcm7ZkOB66yfgYrP+sqACrjjNBGLyELYcSvm6hLMUSedt3K7TxVM VPtK4Sgs2kjsq5eSpwvXNn2k8beAsl7QTWPCAGtGfVpy7L5eoTJAxajugQTBPmX5CYsNQxZH/xe5 hWhqEohq2iWDKRmmWOnnHBOx2sqgyhEQug9iSzJVycmdk+xmG0p7fagNPa9Qb1TVDh6IfZjmIuUQ Husyc9xVBO0iZwZ81PY1CbXMb7+rvG19w3sJG3kM+keLqKAKihmu1Spoa/dw4SE0742QyPQyZQwr JCImpqpyTEXOfdhcNNfP8vobu/9kT5LXqXF70As3gT5TA02iZ1JMzQ7uC3dhyTNuh+7Nazt7JIvS 0eRxCUPBwL9jfdT/SSZdu2JCO9dhFymfSzvJInTEUoqoo8mXo78nLWCUySRTWm8CcWz3YUoUzhWR Y1XjcNBaWpcP/6E/8gq9A0fK+zuBk7IyJYx2W3PWnv2g21D+Md34tO0rc/tF8BwhK+DOO6Q08b8v w/wyIR5cDEgDudPmG+tziO63YaeBptjlJ/Eoffy8JtKalstjvpIRYcyCUf2fUs7Ax/tTw4kLCJF1 WZQYrZ7subyQE5RGsqSZxkiplTP1K/SQXDy1MaZ2RGj6mh6RO21CmN9Epv2dJBLYZm1bs/tanaap LWUPmr+Bg8XFOjfWed94DSmhUu6wU4CGUZ79rfHJFq8WQbUTUf/X8TGmYjMyNlA3JV5z1zDTC7XP XMnb4eeW6VkegC+zcdhhhdL+RxnDwPiCUzwbHTemYO1JrIeH5ptHRvjKRkmJCn/95HIOZGXv4xDw JkhBSC2DZjuPOTWGR2oDDyo6dTxBoH5feGQkHG5blBn5rUpj73CTQ/OcyjPwV3r1smP2zg5RLAm2 6I8G1g3ruDiI5SJ9cPd6/ygz63CsV87VrnoxyhWTAqPzjuhETaOVSQv3B49MPXzg9+P3mdyqLNQL Em6xExVHLJ8GSJZiPjLV6Z3lgwj3x7tMN9Qp0Gfl01CYDpWLx9DAsCWavyDEmE4/2RaJ852e/Gsv Tp/yJ/iTVm9osq1a2/qCWXbJrV7Ij88rZTZTfzdL73oVBUCeXcECcqASOVJAWYQI/cWqxDom42yj WrYRdvbsMdeqpTZfhlG67MCA70QaKHIOkMwq0idkO7XpIj+h+DVBlXK1B6pA/mpMRIuzw1ZwVAuy 18ld6PPH+7j+2cN4PSZGwaRwjcved3QNgl2jNYKC8ZJbL97I+Lh68n0JhLdsktMVlVkZ6mUncVii 73Jpyv88ovGvez2VR5zZOO1Ahdc0ZyLjM/5lyeG3ITklz5Nk5CAq9LuCm+n7slpE9kABmxeiRbjO 7fGEPHpVsJcWpq5XbsvEvUr8k1Z/TzKhMxDGdEYd4usCfuNRrJq4f1Azx5ca+niyfb28xRuuo+KR VO3x09YLGKg3vOnFIFCJC3EwY6krkk0MD3aBdQk1lS9FKGNAVaDsQ/1HsZMWdyyrG1yXX1ctKoKl khXBsuFvkC2Ri8odcXsAI8GFrv26cx8fFYryL9IZDyeN4HxjTe3vGcKqKtcBP0Gm3MsON/WTXXPD aHuELeXG/XcOZh0lkf3iDLxy6WEQN1sbXI9WUu9E1galKOPNANPUigQUGNyCwQtGcj7yjn2oTM1i gaXlnnP0HmnK5y6SXMK1BAo6tyCz2b3ihEFEsq8ihX+ePtrS1U8moSwc2zLn/HAavQNLmsb/UwAI 707QWF1MWn2YhCFgFoPovRK0QkHmJ4J//6+fRhwDZu+tcsVVj1tMHePR2NRkF5MCcJHmyTh6YDNM BtjLsURgDddPHhSvOdYfmht2icqfc2J8U27bRSeiHZylK3OM4WIl2j4MdoqzGY3TLlaOli73NDGV bt/ee8up5FiS1uTP271lnGMlPrxtX69/lNOZOYsh1w23sP0oiKfCuyeOsm1uw1Ie8xXqjcF0eBJ3 HERpq0kd88MoxKE4acKwtSMWQ0EPiGWdZNWXuZZNtRZh+YdW+aTgoWKOAXp3/JSdHeoixijHmPbm R7qb/oQZjq4SIWMU4Ya10ysUgvSWU8fOh13n7OgTjtqichS2PsbKurO/nVpHQ2Q2M0xcIbJZAq/X l3uejhrL/PqhPvWfRsK4/H0phyNypuSr6J2dMMNHe6VanoxVvg7Lo5bnOFaXI6GDPclFDJf2TBjy smHwF3oWBtJklmkIEuSkA1YqCXzS7emm6W0IjD2hUPOHjm1Kh0ojjcT/VSFpJS1acOW4Niu6GmDn +lEKjbv05f13GyP7RFvWaZW7W3eYTrR42gfBFccg5mND3ZmptyXqqO3o+y7EohT8KMPc+R8Gbqhm sc7qA69SkD8QF92lZSWHpkr2eBG/8AngHNLnWa9AzApcTYLGerGJ1+rAp5hAWy2SJvRtfLkUspX7 5CiqJ8EvPE84fu250SENdJTaocgekS3JQlqHiiJXY7Vh6TuCkNW+W4QEC3yNrciMEsKCEYTHUZsr fSvXnnAvJr2ALq/M72SWvMzyWqsqTsf6B9s1e+W2vfO7283z2129DWSg47ub1+Mr4knqa/rrDDLm beZBMlRpNFocZ26Y2QeBN/pRqmdGwu7aKy1fzHhG2WArNyhMVfmYb0EHnffp570RD1FvA0A1mtN9 /ERAmGw1QLeKyO3P6XHEOXu8ChxlMsueB4cg5GGKyVsryRXSYEV1pJQWvC3gRd1vogtE64zQI4yp /OYIjQajis4CsmKXliD4N7fWbLwxjGT3mCsiOfd0sgOG/EHE0GtlEyCuETeHJFLUKySMRAbBU7qq c/RZeuqqrzf9dfAJ8hG2eWxwfqQDHN1qntbeZqi7ZBF2F6w9Zx9qr3N2554htllXzUN59nC8Rj9x w6LdKw/RH+eoSpd7qs6y6j/yVhVdDhWaN2d7uniGxiBD+4Dus3cZN2wOp2GmAhKB6Xnc59F/sem4 cG17z00YY0upR8xlLq3TITwkF/dQtA2Oz3ag0xs5VQPIHLCOzSBgagM+/jf68jLJjJk2JpstKB+0 I2NYJcSWoku1c4dciQutpL0d1Zylu5XGtRhx/QI+RlqZyc5N3SE/400K+aolKANDBuEHiQzPckA+ RRrMMMyML8b8NYXaP5FjOL5Vxca/bYO0/fEmaqqxHhC7EY8j1WjModX1JjjW98WlmJtswM3qHoOC Nch6Vv2TXHgtzVPdZKtdh2g9chCqgscifAPw1/jp0VJeeUPUEGQg4cWqnMOv6FjVLLC9IEB6d6OT zFolEVWuOkSGfjlAU+RM/NQ3w25OsV+OnKw1qz3ex5Cq5Bbu3N7WyM3vxzq2NRimbTr27Be9orrL untStjIWpvAiWZRqsoum9ajKC1iS/z14GI4+uorhRIqu6Q7a5kXgLOFkdznT3h/5mr4MnaGDgXlu cxyMVelcVZ0fqjeLFvo8uyjoi5COrqNsTOH0N3B4e0QFgYoVFYCV0P2lnnYl0zvLS3xyIPbeFCAA TRmAFTnqjYcFIhfxpIyFouyx82sGCoGtoQlRfYPx1SKeMzQnFYDfj2ehc+IhTEEiPFVE1QbU1ilJ v0x7yW5RdbF8zhfe/REivB0Vu34ZDOEvUdIGZ418eVhYqceEie0wpos2zOpqS6WILx4Wyydu5sPA N7DpBr+s1xB7Fa65h0uGqwo3GWrL5eYjSL/LGTFJyEHvAnzy0fSGNpadMq4rbRC8bxvI7ZJKVQ3O dOP+qD8DbIOH+ocqtLnu2JaLK3OfAMQpZ3elnSN6TIBs4tMLZF78YXEPpAZzoiscSclqgEwOLaeX xP8UctxdfAGu9KfpIaim6I56Rzi9v1VvWc40GqiixVtdleZAwIsweL6UKqAMoLYMHlg+9qRlCfNT Q+evGJSBVuPWmzfPt3FqVj2Bp25V1rDUsxz7b/KbFFx5ArUsff1gJFHp5TFs8VyRyP8Sioz8kepp nGhies17IQzPYYojmxK8JrPI09aviq1NkoxrnqQQDGDHZs+TCuuZUGxeePGMFnvxMthkspEuZbCR gueyGi/M1ccjOzt65N1mmqwUiHycR7lbVqNV2W5j5OWIUjvv8/2+I3cIEmHZxheQL2KNpTLEwZWV b8WqsOF24QkS2v1DqHmoiSj8a923xn0KqIG6cPrtFSNkpJjr+s/SNphRxlXYjpjwl58Wba04pGNt uLJNncWaJZ+u5v7KIqQeA7W3t0OnkTewuzrzcydfW00qJGuPGopLSYU9/CTeAlUo8e76C1vG/B1L e18YThssREWLPr0S6zyPnv4taHEh5CsLl6zqrEh2e1UtlZEUfLFfTgxuqo+9smMwvEvsHMwI8gAW AK9DxA57wvpaDa6f3SoVPNRNdlgkeOpPLW5pCw7uUyeJ1JjxqVup/Vop5hHiKQ3Ne/X1WcPuDzlV JJe8gaRpE0ZOamd9CkGsDPgcr5zckv0jY51xP3Gr9vlJO/mIvOfUx5n222w4828Ez2WU7lTkUDgq qDlZdq/dWm39+xQm5T9KqvA27Nswg13SnO6WOMJO1l7W00ZpyN5ecvbrOprdnNPhUGSqlOkYyFzl /0WrDHJMAD/cLckMFo5lEO/tUCt31iWRj8pxgXXQrTwo0KembVJ336ug6tZPtFFGCC4noEkefkku Oswa7bsBA9cwpizr7R44Q330cdyjxfhmICdZRPkR6y8YioadeCH6tcHNkDxA4pt5Xp3jeT9PEYJd RuqtNPDl3QdxuZJHOZZoVV9I2zKV7TGnpcSwFyunF5Sd1A/Ub6myIPclnQPoC9p2MAe5tgdUT0vW w3tIi7nLT8rFMUM6qdkOoG+WPk1KxZX7QSprZhZSRLHEvqUsY+xkTOALE84yybn6+oLBlbURos/F F/RW2bpTXI0CeYHwP54FpL9J8NbuqhlkTa0a666ZUVr+2ITLWAixX+auJhx2lwTbNPAuQXRAWxl9 5HXDqSYtHvqQLeXtPrZW0Upwq7IHvSKKEvy1WJtnRQNcgL+5IClobWuGawaf1UtaHLG7RP/qswE3 +x3WbmaMNDcU03fd7iO9al2AtSXEvwyxAvlzF96LU7lbPvSbcqRg1sYtdvfhgpTjp1836W8I1ipt 2e5UFzuP5XlRiZHV1FQkwEDkI9Ru+hn8SvvZ2B4021NKAogO6vQOdyBU3aVxbrPKAIwIpOlgWfkd snCIymFS9ucmPDrhUybwcYvNBa7lnyc88iYXiePeagYX2+zllPcNCuYkEDNpaPatopkn/VluWIGt Vm9UjTPllCGTuU1DreToaKOY9ZgLcwkC3R611sKEdWw7qNDKP6AdkuDW8vHoJbaZnOF0CAoiXBuL BYYfUmDWoHKsj4SdKs02qjjB4tMXhvQ/0VQATEnArTVS7TV0sHbOPa/WSyz1wHubDgIxizJv19/F Cezuu4YwvMoQ+DSH1/cQO3LsNP2wl8CIhnNdc/K79p19gjA3f4ODUIyN+xCk5Zerkktd3+GYD01I lWRt3O9j8fEZabPYDlj8FCu9TVo5BsKqUngO44L6HSp7RV9Q+l5hYu6uK+JuCU/GbfaLEHvdWHXv ApTs7/jJJuvCmlmy/okPOloQPBxEi1viisB2uqfFJhzrQQgEfvsRSlkdcb4to2hnjrDkH3boSkNj TXy0TLeDZRAq3CWIcQEVxnXzMV3JisU2gMa8nAZqUjB8m2N4ob1O42jccBE0lpqVfaJXdUS4aFcL tcIJwp5wBF1M8Tj5lM1lgdzc/IPvsXYiMsXpJcMnLyaBzgmCFVtHv1Gvsqd/QKJuT2aRfNWQ/MBV FVxUIsYHqki/urovdNTwYcO9Nw1MHBt4G0e4BfwXzmy0o7H3RBX9VIkXrpSYeBNXKeWIvnOx31KW wVnY31vk+SmKE7zS1rSxLr8MpU6X5fl4MO+KJdaF0HqO1gMaK0WQ7vX6f5zz3b0ICUlmaDMYgYCD 4HawkYm4kHZPx/krZCo9KWprmon/9CE35b5kOkvfHLBVWOulihAFUmbEsyXHdFjgpHI1vPjOOVbW sYWzlk35VKIi1JILIaVLMHs+rIlL2HOMLPuQCkTnevF/UcMnJyQSShUuI8m9rhn7ji35xXGOT6Ne Lk8IZUEi/5Zx/cuV9Q8cs6TrgNHnKm5d54cWGxzNivrR33cAlLYx5MM/Nyubh4ABmarCBHXlKLB0 keBZAFf9p8S/PdiAuAapSeNngWnIxKeg0GpzHm0XHz6cgttumqnwdBB/lboE2GTnvLxU/vX7DUTg Vp3mVK4FFPqDMmYNLPj1EkxQGxDHwEduUFnXAHs96OCzf/T0CnpIRIOGOQzwqHsKgUz2lZVVGa0m moJbb/6aSYDLaGaF2im0QoP8GJgFEJMNZydVsF6q+3DuuI5rzIr80Gc3c1BZcFud/OpEZ6y8vsqe WHtPHwzz+7UKxAb4H64R9YF2quA/x6uzrzZStimNtc6gOK0NXVZzrp0pm0Pk5cxCmGftWro3R/cP /FoiZjkWCp9GK7uuqbhpCOA1qYunihX7k/CifK6/J2uCRrTNFMBesByKBz42WlPzulyQRXwxqOVf tao5wptJXvZyBtV396tQaSa/k36ruqyOOQvnZTP0fdhb4RDNoDjf0Tt9LhSwSBMw6DF9YDi0McQO bFo4THBtecpMdJOsHPXSenCY7mVhG4sJgZuqrgBeYpnt43G48UY59kDP8Hr6TALEi5cOqBr1N0Y6 ftrtW7SpUkLC4WDdeKDmf+dIVnQ2Ed3sc2Clo9Bcz28XKiEvNwPWJtqcsktA3FFz4xjQJgZp8Jx5 DH2Czsrgevg4az/UdID60SE0KstVI4gveksMFOgkuTnmnAc+xWowe245CNbHdeZp23CzT9+vhKdb sd70vGdnWNfErx3lcucux+NGRDTeGt6M4t0poAgRhvcY5kd7cjf4LJzUl4tmaEzE/OEAqZC0pLAg qRt9TH4Ne598WCtt3P2Q3i2djZY1flIbtA6Y4wsAu5VZX7Abdwaz6NRNdG7qjlf1y4hysCkfBWHe jvz00rL/VYdycJwN0SdFRAT8Rdl1BbpZS+WyfKau/o8MxX8UbvEiTJB7JBWvq7gHXOamygkCT3zf 84tOpaKXK251mJ6pT35M6RvfKClUPHiwC6uOpv+F4fjxSrCK3qh9XVp9LXyPAVzph6mzBS15j7D7 Ga8uWwg+BCDTYMW/E63ZSq6xu3ewqObv15nsWCwPBzT0kVhciCUpK5PhX2RAR/pVhgsjD10wsgRa I4oS/8lAlLMPrBObk+yzL5vgn97YBHNUE5vJeEd0Yi0kP9kCFHCb85R7kOiYXuNglHYQwKqe24Ao 378i88+VG5rV1T+pIu/JU41SphBu0p4HwmNxJxnDILtsdjYCrH8MKc/tegEeNm0O57HGpbF+ahWW 86ROWnloyOqAYUTPu2mXhI4MPZomk+0DT793OrcUZOnujDOrMDyxCUghKBrRljpg3XGAw8m+J2mx HZsGIVG9Cohhwd4uMNJCHUdwp6VgnsJDdn8QZ5w1A9k7ROeNDNF9efmyK4Wit5UI2sq0Y+vuGItB VgzeYv5NkWsYUx4UugiZstRRp4yIfY14q3ybIB8iPbZkkZJJvATlcqseNHRXtZKgAKWdaqHMHQB2 AE8Cu+qaAvgyjy2+RbQXKSjHc5bC9xV1rbmXY9BEMcdpNE0ZNpeUIQagpR6ksKrObg6lnmHlZWlr Oeb1uKmT5k1GT56ezkZL1WsaCbHRFTnB3B09DBG8S6prmw8jHLM0M/uwNK1nUL3T9+86s9Ja9PSF h57D1eVmuu9yAz7eJQ16QTjhkaP80LfDBMK170TEJaBaK8iaBLyg9SSmtoSOxUD9KZmlfMiUWnph 5XlV/Z7tr74cUwiyf0dizwtWgf9NKFDSqjzOKql1g/O/XpQOT/CRbj4BijG/qwrdFybjb2rDxY2l /CLCnpIx42xd7phtrLmdenW6ETULH3wcLqNnt4GG6G5UAIHTw7EEYZ7u8lTNFz/IGUlyfyaQNNme xgKU+kXAQsezEKfiTXZyYpd7KfvX41ZSwC8b57LwW0L43Rg6DSG6X4iL8EpfrkEJ192XA0ovuo28 A/a8pyT7/QO5LqBzcK089ozz21i3HlcHq7ybABRmzq3uharcQjVht/bVN1X1AwY7eyg0yrDft+FE /r0OuQkOJx/eDp9mS+l2enPQSPlvJpmuQaSCZKGfOX0uPnext5nshS+BT1zRdu2M8EnseE7DturT TfygKcwyW+rNW5+/ISWkQmEQ5GA7uABlFFc7DGpUukru3d2EGc1RwjUi9PKr1VaXh7HWdMQ50MU5 7g3D53jWmecRr8iI57Gdmr9r6I0Hw0n/2i6Cm+xOxVCQnDSDheyF8pPN1s2dyS77pG0Cfecs1Ur2 f5bv3sVlDxkDc7qFfbr3hvDasU515hX4jtLG/ECxw4LbhhpNZhmALenMNgjkq/llgYuM4MKTvKRb 9tMPRVPM1dL5shlcEgDVBhk8P4dhJCOGdXWzgs1ZQTOBnn+SeTXGO3rotQ6zaTnJJU+oDzOatLTi HzYsMgnILVLokuL464gpppxxiINTpFiwJbWmzi1hw9QR9iIEhDMBSuHZPSnaCXmBFeNGDCbEwONE /w/06n74O/qhsQSba1iqW2yLe26hH0HxE6W4luQ5FdwtsVi68vVB2eFQ9xFErRtJWbX+PvuhlAZH iHFTMyTkez10Yq0RuVBJeIOj7LaBSEumR8irHv3eqIMlE6AqTvzLCPdTi6LtO8LXlJFZJ2TXqx07 beT/aDKBS8vGfIQ4rT3pa7V5N+m7g8IeAP53vOU3RHtF20RU6T5p6SYrf3XQctV3Q5vDgHHuYWDQ AdxjF7VBa4DEKPMerpVr5WTgN8Ibr1k1s+gYGikj2nhMCSrK7C7zf5tyyA8sovXyqfzjpNEiPmUN oapb9bg5YHC0xF6cmTETFCbi7VD60e52lf3jsk7Ach+Xuyz7J9yiEBmQIj6lSqDWUMF/jGUUpoQ9 DgHAVm4fTLT9SohqsrQ0AuHN/roWpBHIcstlxE/2doa7yPceSOI7LXa4oOLGzdSRuz1DEY6j7d4Q CWB6KEXe/40Xvhd3eMH+tQU6I0eJLtFLvVXNwt5eYzuBjd1BmOSC1CbpmP/rXHbizzczeLLB15G8 IpqXozl8iaBY+u98ljYcFvNnY4N5pgNE0zhqgzsGph4L1q4F6FyttyOnRETnJgdRb8UsGxneOR+E WCGwy0XUMyIHscDaoulbYZCG3qua1ho8YkxJ9Q+++phoVK7TR23NnKtYDgUYoKztcZDmN/YdRb5V 8dMKEPMxHFb0VFWiZsExVnBuyXpLTG5BttmDsBQXxRRdtX5iEDy+cddJpwjOE7JvM/AtgmxNSZLC t2c5DolYn8EkaOSFUPq4xGTvOHtcXGAQl/D2c0+onryRilW6fCh6eTMfKhhttnFePt1hMoB9eY/T TPX+RM0grkHguKB2AaUqv89y/LpTmfQ1A2ZFbEb5M/exluwyhCgeEuxOeISKd8UiIvnOzVFITef0 e0RtaHE1R/nMurGa7+dKT7G0PS2QLUfcWu+icLaMpYKhvQ7X9/dwlvoc7rBaNAO8Bc7s+cCMYLRL JsZh1Cnys4gXQdcKRj0F+rlFj/UOIpe/hizC2axB5Yz/23UiZNKeuNlgOLa3etHH62wHd5t/bHqY yXhvoyRKhQuPvAnf5odYxMZ+X+GA0pOpzccAYU0hJNHwu0oP2sVj4bC9E6hF11vdDycIRzg96UH0 3yLw4kk3D0grEa+oXNl9JFbFqw/eRCgi8lChZWd1f71OyeRfP6WLehdW4HwMkkz5mibO/jA8QRsl niMCibcbyy8xfMrjN5XBBURVVnt2QU257VyE6R+LawZ+rReNfYnfsCib/DYHI6cmOYjC3RDvJkzu B8scNG5g1yKWAm8Io8B3IQEUPUSTM4vnNF77/hBHOxxIhY3trDVlpCQVyFZPsGLijM0LYtDFoXdl lqfIhLmcpem3Xtbd4SbWcarF6IGyKGGp55SEKPCE9h7D9OxFGRS0EBPxSVsVZa8hQr61vN75sCeI t5ICThIlxlltIqxTiqRXHpBSNo1jiLsE5IUEAY59vSYSh4pxAFEt3CIz4PpB5pt3yRtzrIaLjvXW cVXLO7FaqMbsttjBMK4Q1cDztidcrmToEqyZ6j0u8IMPoria6r8yPuGwoN/yqPxlXt2q4rGd87c0 zImzgVxMIVjBYtM5V+SSp3vtE8h+KMM9L+f4AHZ3bgxYCBoA3E/hRQVfsjFrfmiuzksPXU24YQR0 sTFekXrxdv2o2wZk3tkFy0zHOFQK/EzYmG0ZDs9czng81xYgZdKKwNh0zeFHzANs6WXckxe1qmOT mH+glXgA4lWPU903FOOTTnOBVe15iV5dPUyOclqdGOOp4UV85eMY3VXeRdCGoOpwPP3yuz6REyk3 LsoEOs9a8CMlGUhY9wqvBk3XCsL2mMFBqxymxsBMq6ipmmtmDsVG0ENsrap8WwJjVpwL2vQztCv8 c59zc/iy1i3V6WX2cnLUZIOC7MfVIHldzvMBgNmd45xZWXmIbkl0zf0UkUQNT8jLghksEE1XF2U1 Fvulx/N+9AERJceF7SulrKvIdFDK8EYoIhCNiSUa0Pjz5+XH8P1/3zuVlwmFiYaQv0JU0GEV9viU JSVllcTUeL9xIjsZV54IV6Qt25t9eoHAW7jK+q7FQY3WRQg8NvBPUKzzdASv+9Y8Va4FwCfZedhH T5sAbjvJu1ZoS/nMRahbX3l56UcjssEbLlb2CjQRctJzAmWsNhSQUlUpNm/6i9RDaWMdYDaFWLaf QjcvDuXb1LWxanXIYwhLExhhsaUEqrMDh11U7lQFAavh7GatBi+5A+u9zLtoz2KPbBV5Pw8EwGZt NQQo9t6E7pb1UzxRPy+yjHTiehk+EeoqYSmNny8qo+4VES36t9RVTPB69cz3i1eDbBBA3lIvvjDT NqXCdbP+Vu0Dc027jCp0LBSIihB0w7KwcW5L+WvkzV7SynMKztK5NzdZHlHXXrbwo/ktByHmplmo fzGkHCGhUF7/VTRhx1wW9Z0CiiFvtW6A5eC52lhTivbhWEgD4G9P/6WJKkdKMywQsTdbaPri0Vom 9Ac2C1uy2LWlkaO9d0jTqC1wwbIpkWpzyOr8u5FFaljW3izI22dm6K8BtXrwzERks2lGl1XWAtMP eX8V8hIpXF6rRg0tdAko5GDkw00HxkC3XdwqT2tXQjJMFEWzeBouUs5wxnDqQG5mqh7Au9QefSfI icSLtHQp/MX9B/9XZP1vORtyVgJmo0T1DCar/v7ZzuKv8GJLfW22dactEFjRVqbg22KVRNZQmT3N ZenmvT0o2Lsru/0caj5akKx7lmuvddrcSG/JXcC6ANmpY6h0WFdV2stdM8lwOirWkjCETRxy1+3C Hl0leSny2zJ0RzHImcXafkkeRN6g3g3PW+S7HqNvH0Xfhasmwm9fD55K3XPITKTbudPJat8QfTwK KpOJ6Itoh5zjBkQ0HfEBEBVbeXI9yf48nMW7106jtpFAuw7N86WuuAA30kltQe02ZBBYGkpPvuU1 PEj3QptwQxl0aEjKZwHd1RtSqv1Yx3aCQdXM/IkRjWkN1rjgEwjUm4PHY3JWQH4iNmxs3mhes+NC imQBP45mRR938WDqvQCo1LkiXriGg525LZPrZxjjm/Zk7gm1+m8ftdy/8pF4Pd5arpdAPCVHv4pY KDIwWwJ4V0T21Qbr+t/iet9lW5Fwn7tspKw2CG63thusbfoG7TG918zMj+9XvNWdmVVoNLyKToNm 1ivyPoYepmSeUAFaL9XE5ZImCtwvudGBjAvGbcM055VqeqFMm3yNTG0bm8WqFuW1dqeTX9V/vvzk 7ACHDDnnurJ0i33DTiAGYJWaKt+83IX3imPkoQzuSW864NqIDer3iupDNS+dt5lxtMMRDC0UeIEE PAe/gq0MbcqNIZnL/rkSuuej3MVC4GOAAlnRgwo3myhOVfuEswFINgIyUt1xyxNODPq3bDXdgS5f nyu7uKSh/4PDArrBB1EN3d+wOW5lMuR6M7hSnwBNxev8DyJjMx3Q+L9CW4xJDimKtEODcdbwPN+t /Oap9qzMHRqPUenxUIlXSr7y4kMwPdKRUJznnIQJX6xzWbzs/A9zGZllnIAgM/lyHURNDkVtKEme OGL5UTUGV4niYGveYSGPLfZsSNfo7NGGEzdGBFZIOu6/TeMMxJHP9LH0HlMCaV9Fze1eH56abc6N IpzNL7O6t3KArn1icbdvX8VAYS7EpHJk3/57b29le8yqwMLez0qSHO7pBVdr9upmwFl6gXxGwIpL QnGAiHYUz+SYp2vEiwGKqCkeH6OEEZX13ysd/xGFyfkAhp8bDzdKKYYNCZS7nxsy4dKXjHiZHGZ3 6Q+4lNJkR70bbAx8k0tFYhOKQzS3Km8/Be34pbZsXjbmViFoZWFuwNpUdEizOKhOdrwuDbiVZ9rd lzy9ckusMEBH6wHioQL1p7LuUv3etIYTQ0Y1ABUDK8Z5AtQML4OuxLpXbt0QCb/hymoIz0DsHOgU KQbUdtkq6m2Q8jGdY+QAlsZjvulGoEeko2SytCp7+5kAA2UnMXbG10FCkns64Gd7xWAc3veer5RT fbJuHCS5KC9oivmP0fqoLTBqpfoL/ftayvR0nN18cgEe7x9225eCJ5mbB4XiGLLa/copEJronnVV vOjSVWJ6kzmzYYCaalr3PnNC4e8/nB92Ua8fXKwr3dj8QHxkAHExWQsouCpBpnC1LK8bxmyb5zBE XQFTnXrAQ21ZMf3uVwSqqTCBdGa7dZLnkGeDZKcKPFjIweOOs5t61ycbNJHJXytw0aZMthWtx4oo ACOnpStb/Y5niKg2YpdLq7mxXoYgt7PckgUF6QOW6r0y3LUweVl6fnxFbGv9GKYXAIqKG3BsCyDP m3PCDy+TzcWEXhVJzm7hIsc7MsO0QLcUgBoK9Y0bShnvck8UxE+3OwUU4Z8mNqcGooE9q96ySSN/ jRmv3tirv9Epgo/t+xmJ/ipfN8mwV/dhzVmY9ASprRg2lYsWMBUpGmtzQ2g/eK6KqppzA26ed+8l NvuVCuyEFynDjNM1dSN8r0R6Uet1bwqkkxoECIubZ+yzHCGySn3zwURHklsRvxbLTyfHeKT0h9Wl /qfTbIBcBuccRpexzYibl3hzuFdi/HUUCP7pfuXpq/irs8Tpm9HoTnyH+8NfdMzRANySrCmj014I ANJQ/EDRtQSxxYqQCL2zuZDoQsgnxsGixJAtVEch2d8MAUloX1S+RCcjbPJJRGTVKmnTO5VNns8n LcE1/lgxM9wMuV+Hl4xqo0agS7ObKWdXMscSklrWQIadbftiCIgkK859i0pWljRUZ1cXWiHuQk33 adTwpdGSTUk6+vAvM137/GRFtv+bLCGM5tSJYbLtNtpM9JsqUej3GrK4ywEGDgvSzqqmmn1QpyMn +iLRF03B+2Z8ydgqL8/9xILF5pUlAI23tPJCyKMbxJNvlm9ksHiE+e5sq50BTz2/nji0XAs5I3oW 9FeK2/oolRNyuF8UL+Zo5QzvUZeKAQuUjssyXs2vohlBUXhMGc7H19zwoEngMltLxyNVX5R6nof9 cZExHudCNFnreIsXQ5BqNqE4603ObmCo9+ifzRV9jGV5aMZ0DJGlbhmTOOVub7B8XF1F8Cq3yCiR uuUdtfSR6Ge+0pGYvFbS/kZTieEqQL9zciuXEzSGo5Iriy4nxC4Rn/MsFalEMt79pVyTW6tPonWg i5pXsZy5qcY74COqFmPpHeEwZkEVB+4fcFwio75A6JkpGKJ0e7Lf8bGbAwGlFip+3EKlsU8itJYQ 8IX/bY0FjbNPP7EQiFg9G3Z806LM/NZfLP4v6fGlAJu+QoQW9iKcsW89KdUpktcRmacfYW855zdl IMyTwCFsfK/M/7xpsZbsZxQ2DgEefrjDcjVHodwYrgmJIrc472FMICqdUFowZs00pZgY1A+W4mHm qsqYM91L6y801s5U3SijLqNdlDEEs8JJhwlp7TeYMBcq23dajPHHNfpQTlsbUsdeW1riwLEVbsqP vzIL/uqU+IJTQlbwIXUGkuX5U/96PoOGDpLVtY+haFvwp3sxj4epTU2YhXtuYBI71DWcb4h/9Pww n/p4hAbVM5JsZcDfWJgzGPLKXPLFeKwOOLm/ulkG2Shsjt36LmiSHRetfKZoxLjii2PDcHuy8Yr8 SRaDmu8mTJ4LsjZlHSXrujdKGSzKFXEX9zhXSc9AO7jmRUlVstASpY7sNGMMxqwPt6cgm2CByWB9 4gVy57YcQgrdRdOydHpCJkPgBMkwvbNheF47l4aveZFpHBvdzNLH3PgU3pO4ixKwJMIqyULdoe5n r0AnHvuqe90JGT9Yy9DbsreFX0dDdRna3bivs6Z6b5r+eVPJr3jiBCl3czdlu6bXkHqSS95mRjEo /eE5qSVqLqxSCWAajmW90IVg/5mBXgZbTRV77Izo1mEc4BTUTFxIKNiKnqRL8GvghlF5zSlXRFPi qNpQpIWt7+xjRNiJycjzi7aDhDzZf171SglliUJYAE9jcUoozJDg+gfUtMyYpEJBJChROHkWTrFf whknf2dEyXbpdI4z6L0kqRdUy96vbJeiDbvYHv1UfHT8vHm8peIbzDZgTFmb1HHUBrgJoCzcRRNS pPlyRHfZmRvngfByTJyt62b2Ap9pjFx9wle8t4UqDH9OADyc+S1TpEzwgyiZUXgfj2OnRevNlSma IaVcqBmDrA94EYO6yaEDgXx+QT76EfkacAtWac8m79VE9q4SOaE/yVwyEL89yprsxymVbGA4NSsZ SbeICJRIiwOi5cMijR/w3VeOt9Gd/mC87GyNBqxb+hN5w+HfJTEnR3JA6KPdK9+MDED32MHPMdgk 2UKGMSby4wjmOXsMZvEVfZkOckihE/+rn+VksudQM7cW/k8k8QdqxCiTDwH5lXoBgcn9dck35ey5 de52bt7b0yiug1gjXSce8DfCclRHgA8NQjUQjRN3DTnIQFqrK9DFPwl55uUyYRt7uuqmBm8n5eq0 Zdasu/OmsxeKoZx7tuObDVAY9m7RfqKt02mzrlSgJZ51igoTBs64ONxLBJ3w3X0kAt1PGIrLoYns O/zippb/rnnHm1xaEAdU6mF8QHRDeBg1KrDsFZdF6fgKDPNW7RntqO1Sj087JXLntCyWbJXGq5A+ QOYej02caUWdiK0eKwQQK0vg+MapDUhNs00UgsS0eoh5h4alYq32HbE6m+f61ERxXVl9rLW8lNFO b9n6LquWRpiL2WEnGAIyQyeurG5yqmjkgn41oP9Ld7RSbaomeTDmw80ITTxYbqXCv0uBdzIDbFda GCV4aJ3nGOActz53sUjf3zaNOxnouFc5FOPsAqk6qvypXaAkBaBsnRLFU9D5WeUiDufQKPdAj8Z7 Zp+tqrzJ1KLGUTJ7TrhC5rojsJJkZB2rlt/7UKtTYd309HwSLwLyUEesqysPitgF9mAR1UGtqvPo BR32GknNMhNDsZFbbfNlZ15Q1dpbbX8GX+tr8pdtmlV6jeoScflTlhyI1+Oc4FB8sGWXTo5A0JzX gWF/fRXE5eluENiZ65Ekyi0lrL655nIwRCefUZGyVzM6szYpCZRRGNNkK/kVPi9Bw+rklnhvRl/M H9f796i93JBCSSkZ186S21rRNRNrWlbWBdMtgf5ye+ToWbRzbu5ptl1kjiMk0u/wFvMKd1rfUSSH o6TU7uaiKyt7FlOu46NZ0Eh2q2aOxQ70RBttQu42uhf4I3XnIlb3hy1EKKNwRaMsyae7UjTqCdgM QS2QihxnSjNS9FFsXY7V88VbZ5zFxFKInbgR4ateKcw6tRg8+EMr5FkBcdxnnZUaAEqpsNChX1nX BebqsZ6XteObZqxRj/FKF0cOlTj+Ed+jZZWYGUGI8NsM1BtAlB8Q2yxxhhCtuPqLYO5ftrSOL2Je HJcZ6GCcHW3oerjt9OEcUVQv2aP6jI4DZDFCjgj88sVtv3a2iBqryF6EavdZG43P+f3rbIljFzEJ cPkDvXmEFQlMU6m8AS2txynF0HzVnyS1eE9GIBSQ3NYUrPSrABTfBqM6NYjS4XCxmNNdboKcWBnJ RwhI1S7fU8N3U6pIvo157P228cAeU1uD7TyQn5w0EMvulsb1hhAKACiZKqeG7ksvnwopFJJicdol 8S5Wivn5e+3URd4QTTFo3UedVUQ0a/BARNgnJG+VpIJZ2ApuOpgWYN5OhcsZieFw/0XMCYQNx67I 4SAWEKKXdrfvusDIlN/NOIGhSWCmbx3xpfkT5rZR3qpwrquwFgN+DFKkizGt1z9aoIlIjeI12oaO 9l/QDf6HDSUjBLG0BXXPSFdotVmf8S5ASLOk0/59y9SWWNO0IvYCNomFiQDXbf7SU3z9+XkfdL+n 5gva76C0A1OwlMmTqZyvw5dowpUk5bl9SPs8aS2cnHRRu3OGw5POatnz92M4PNqWA2ShMlCxveiA 6LqNrdApsEcjLnyhVIlbiExUhO6pUk5kzz3qq/LVIhqgpYROchxAkWiyq/Oly5mQtIpZmdymDea2 JIEPN8sExPZ80m89jf9k/9YT0oX4ULUfQZ4FZaz1iA4k28GSJZGb9P7iddTNzxpDEO6YF2b1xIDW vbIoiq0vQwQZmocWCm2aLqTqrSA/aTpdVS99efmXeuoxO4VJ75Hb33YnPq1+M4bIWBNfvaxa3NQt lbyvpqxlhXoVfkqNqhZSVPGM7i7LW0VEIhRFsjQ215SqOnEThw9Eosm5nz5F7Lev2U7JvBNmRiz0 fHvKMtefaneFuBBncpvUoPCn1/9Lr+Qvy7zJz1tLFGv/E0ztpllPZE8HozSq5UAmHUIy1pI0PNsH phD2Aa1DAsGxMRdvWDq3hzGJyzBwq0IA4Xw0oF2Wi3C6afUg9mDabDq8bSthOzDKbVUJu2rXuN7j UUtxTp7+BLKm6xFhVb/ty+IBYJsq4c1bTvfE7Wqh6H1NJY8JIVuGKr+sqlOF/PqJEanqO2Lmo1ng oH6BaCf//TeqkstTX01KJ5Fy6mHTMfQ5bXKre6Oqh8TP5WVlUtqI2ndByRX5vreQ1GfKv41KOi9/ ymk0uDGQnCdFCLNNwz2pkDgVYPDWPlsxfLZI26PA9kU4Eqd/qHssHzNxO/J14lCbzMRqWxoWD6iz lM35PeyzjcI16fpXOaydkXbN/iskRpNu76QAn8IsYBp/DFzD1xBVOFynIoPw0JIU6hWPGj+wyC1d L2GYlOa87ApvOLdJpK/EnmSbYvgAp71UR3O0UHZbYce7loSQIMgRSFNKseGNflnspQLa/yzXBUIV Hh3krIEmUx52B4up8pADiegL3fWsRpWQ8TV9HPt6k3DYUQJdAcoeCPAxlwMUvtUKgqeiezM3JxuY TIgVTNQCew4CLwbxSsmcXNf8+pl7HFlHNj7N0QbAw0wWhJ20ltN/MIJLV73BVPFbZa7184+qeUqV 3e9sY9JP9N0sz4dhhKDScgtg3lCsvnFgkdmchluSgvDVWbn9fzPBhj0NpfZl/zaMMzQ3vJqfqwHk Z3i0cf3TPBCyM2Wg7eQ+AD2YbS5n+tQCUZozb7n6D7x/rB4i9itASzUzeVrK3Nqz1kt9Vda8WYjI 77Y404f8duNveCLswXKEm+eW3ULvfS+q18qP3Doe9xeH3sPeP+6KXHMsQUuQUiOlWvLccdjN/gfg Zaqfd8Avjn/frp/7g5f2K1JMyZ14PP5Z/HfftkoLvWCB6HO3FqY7kkb372nhAx2LGrtwqGat4Nyo HZmh9otglAdjWPy2WpYS/3t53srfmvGSDh3ihbp5f43KM8osjyCXLyOyIQFtSibc2vsVxrH9m68E 61SW4i+tApbHHa7JXyHQB3xa3O7t+xwpYQnp9ILaPki2vaX13JjM1S1TkR326RR9dwkdvG9Xac2Y 5GuzMG8E1XXCJXsW8WWY1ybPQi1m8GTPbal/ilVDamjDWyPGcP+qX7FSqSqsl2r1jTAvN4IcgalN wGzf/0qTX+3Fnbd3ihaggycBTjiWTpaq9CI5dyy3esQF+u+amTBzY3/DucOaSLHgdKTMvEbhyyhB 0fkdqk7vMT5h4vCkP68/gFNFDhtU4JBu08Nhjdx06HDGyyUqdxQOdIOw6EDXWTrPCqlnhqFUTVz/ 6oduefrVQ9X8VR8Ujt5nHoAZJvtWo2PpsTtggZBf5rNzE8UfS99Ev79KXFIkG9e+i2ewkQw4IvMT Nlsz3p0IGEy8z0FeJiNx+nK8FnDGTFC+pdSpAtTmNnioH9uUET3+XNEAjwdIC6rv0gFVM0bMW0Sg def63D84vlmaBki7KhxEVnD+83zJyI4eiZ7ZNsz9NX2WwztF0I9V57lszDUzVlcJCzinWE7PRVn4 floUfjTChqXm+9bnskmRw4T0oMffVqd4OwJp0vDD3c8Z/DIUSaaLKbQ4FYxtuuRB9n7ZFXHhIZuQ q5/yXWk1EZuz3vdqm5icLnowmrzf7VrzGLwTzexdA5fK4U9Mi7Y7EFRPgCzBsFnU1R4ozJJVVsjv eKGn1ewukQcDD0DDFm9sYuQiZRVMnagisuCAUDa3CaEvnanWrYN7uL9ReVB3tZvip1ctlMeFOvzB c7oifQl4VTESi8eQtGNQKxh0Rec+pVuwxW+EHksuAw2hZZuZVIQYu9y1DfBTYS6xlBp4s0rYD7RM 0xAHqYu+qxcE+R4N2BnYufgPvABlIlrjiLWmTcI/j+z6D8X0lrMKgABQaqP2u+URDJkn/KZnxrsd T78bVZ2QVerHIWJjIssi961kaluq8MIYgyO8yoMHLN7r72w0t/em+Rt1pdDiJnQOcsjeve/0rk4c D0MwtvBn2h9WZLfmqnSkSve0T4jTeU7eI91sa3KJeSUTWraFzxvh9CnXP2RdWDp7Gxm1vO07DHul +nr7XVivPullPUfV8r5vpqVgy3HwzObkHjl0+RGxz4urkI0i2LxpImmR5FJD8Eg78ENQfXyjd98R wB9VQhOuUFSJ5isKSCGpvMilcqTvFQM/NhbKAoNcRXO+Y1jbV6hTNc0Bvt4nOxryNNmy0G//9z6O 6focSFj5aXYUe/U5geu1sQL/QvAGI9lt2hyRq6xs1Mnl5uIDu0M6ET1A1lFVkOYcvI5Uu2SoNSUr nBeKh6z5Ss7Plw2TgCfZqi5sPZkV06fQkCye9rYnK+JIvMO94VIPc/WL+8zd3cj5STZkQB0fKVzd OSnJcFwRlIiOqeWqZcCEKypyVT392n0aWeCAWlEKzJquvn+ZsBn2ZxBAz+oXG02O+p48PX8yFr4F Os+s5ykEPw6krOSYhMLH5grcaInk11xWzdowoIrZNEebTvhJiWwuww7K8+iioD5rwTNJ8PD/bVuc QQETZbuuCipYd8wsOkWHgsBAT8PoBX24bRazUTAsjqM3PFcv9HQoO+jUSsRl82zpfvZ7UXsO1avc z1+oAzu1iVmszKAkk8G1QLwfER4zwVXzae98Pzc1QTWupy6drR/LrQ5rH2CSPcn5xuAROH9q1z/Z NV2tFT3oU9bIUhaCqhdLYkShK4Ys2FjjoYwQau/QGz2Sy4++GbUbYeEAyVCBKwJFVLeNjTUEAW6N yoe1GVAVjbPXZKG/4ZTqlYDSU3abEhWdD5LhP7f6kUpsM1dQXS9P1cwVaGsWCWAEyLx0Rn+TkRK9 56zBdGQKZLqdsHxL4ErF73icpP3+L/1vLDlOGReODMsHgbunQTIKWdwX+X1d/zApgi4Ct6SamAX5 s9PK2PuGPlO9AxnA0+GNB7ixQqVZynavee0UtdqGd/VYCK4RAJPyUE9yVlV+YCXn1RElShFaI7Kk FZNYqsN0OKj8uAA9NAKNJeygZAjwT+oN2HSRl10z01xT+NXHsieGTZEwk1jmwQt4+/l29rdDKCVQ gvD9cr3TX5N7BhES5SSuGpE1pRMh9b44gJRqJz7/gM7E7KrDYjg7dR8fzsZv4l+21R+QYaHD1ur+ y9j7ax9h6bkyAKgi7SWRsCxj3JiFgaTxKRd75kwQYHw11FYa4aXh4sG7LpyuiPiuqlhU9UDz4O7/ VTZvWF1b4DqnTNy8zd6EBHKWzTs8MulTNQ+0GaIgvcfhR+ISZiim/H3nWbxgA0panJIV6+p1qf66 AyzU52fvNvcGSmv4weCi++9rUny4arKZiXGEA99daRIwoOl3Er7u1PGZjCPPn6cE03VWePgJA34Y 7huK79O9w8REETCwoZZDEEEipbVe0NXytEZMmCnCTaGN6I2qC6PwJCi9Lq/xJDOS7cLu6KoZq/V2 HGm9Ca/yXp8pbZmrVmtkBG4hWMDHDUN3iOMlhmRmY7LCc/SYAOc8xJE8GUHxVdDl9oDogO6qdZg0 DYEqykEAPmI2jjAmYMRi/o5+8Cl+PbgnAENowPDyM4MeZjeMBKJKxLXBNTtFOUzJsWNsiQ8M26Uo UgoQwTnpIWvRLxcFHWiBZ2Ho/3+AybWq7E3053mtzxjYa/Jytu87JYx4OWpN5BlzYe+uy9Sk4oJN iZh5S0x45V8hqYZDQz/W/6w+KqVh2gNVpQKkWreMzFCG4q0Wk1JQCParap09FqrZTPvIleTAllkX XtiFj/DOYaakOX2ZMYNNMi8+5bMkSfupqj4cVuB323wlx5yOCYSweTwScy4nRZ37hOq/PFUn181b YZIHjXW913Ug7/LrhORm+c3JHO/euSVAiiGJbdgR8TMIPN8cE9PrRATM2TIiNZhC4NywK/RxQaAt 8GLsic1yinIFqiBNCItNV09lbbieeGFVF5BgchHohic9K5l6Ao1OsS0zu4YhyA+k/wKFPa+HVDTv xEw58F7lJw2jvGYzrNmiAc0+ZRmzs8E8dfaWSm75JM6FeehJ5WoOwX5sBVpygSgB++aJu0i21GYD j0g7zSSUqo7yRWcfi5d5DMGSWcvwLDCUVNHtePknqkeP+2w4isA6/lndhEWrjjBkOe0UfgLcH9LU 0eBr47lonK60eLNnGYi8auiZH4uy/AflDs+YhoVHVbz/alYkIPTEQeOX5D1qLPP705C0TPJOOWOg QyoV4UBV8vkzMy75vc9HBmrslNM4c6ct35+6lxlaKE8F6y227BD5n9OUOLAGoTROiK5W0CBFiYZy l+qdEjYhhmlR3VY6p//o8BSy4URovzBn3uLG0SlFrwVsEUdr3L8r5/a3cN87wKRNaER6o+QvGP2a fIage6C8DZMPmD93QxM3UXIxTZWfaxZJeKlcL4MFtuhfLOW41nqjKB6ZeigOCOheBDhNFlhGGrjV 55owOQQ2DrC+zeuT+e9J+amMojBrRdBPH/v22PHFDyJceHYM+ypqDtozVJwuIvcDeZ1san8czHXw wtfBG7xgI01SqLGWUwYJQwpOSGOn++q0ApfIiBYwPkPdWGjStUT2Mq5nxUtK8JKQ7bw1NiKY+s7l hPFpbgXkitLm8T6E/z5Ki1gMcRhU9tLOzg9RmdqcdQd85grwvOhrxASpcIOCzU8JN+wlpLhIVPWa 7Ph8f/5wgAoKNeivmz3l4B8IyAdPrqsPTbOs0dfqbVjGmCmhKkbHaohkf9XjAmHUdjhHfhCtTgk+ nv7tYl8fB7G1lCScIwxp3gNCIsyHcjL5Aehl3H42ZSdmpB0VsoKo9M7ipizjqGgCIPZy9BffTMWg yRynKZza29WFqwBVOwK04CqHcCW1Qw8QN8KEYeqFsZ0ImtpqSVkVBTlPp2V1hEEpPrAFtx1pE1dT VIeAcmHIamNXeN3XfczSUqnnOI5WkziqWFD+0arCA+s0iQsXKdkrHrrysNh9wgeW9ax4K2/zLsjw 2ks419GLBS5/hMcCAdkU3KDoKkwsdPfnbb5f5af6DQOwu5KPJ126LLrwPG6v5vhVmd7IvXJml5WX biYtgr32/4UwNNWEAge5uNd+7tJ5J0t2OgLpJ+0dN3/gosTzLWClkz94IZQH29YmLfXdw9Nuv19x 2YoyuSCp4rOGe9Y1OE/vXiiA7P7+aBstupKNaOOWdadFoGORFWmJ8fU9cjUG5ilKqDkO4sG/xw9Y rOLGe3XXuIfAd6UPQr0iwc4MtlzkYYUlgyKsSV1jWvVWFYdznLGde9BU2+n3vzF8zXFwBYSdtehR zNa55P9677LFyTLKSvqXckWxxZ3L2FvjWt9mWSQpQhIEowHCfmhnLfyvGkfdS3LNEaoyplOb9zSq FlTB/mfulP1CJj1KpFiTHSmDKMrLMRkOAazkVxtOw65NkG+FUgkVW62Z6uv8jftoRTlmtpRJxdeU rF8z9vg/mgjZu36w8pIQxdQCBWH3bm2qCKAELp1Ljo75Pm6tiHmd9wvqY8YY07mV/sLB9KgTwrin PTXQEph0pKARCG6xp6D8GbXjeywoU7TuhSLjZutzukQvJ0M5ntwVj02gO1wupRupWTIAotJ+7a0a 0MRo5YYja+5/WUMoyxUWirSdjhUNwsQrmNtrgit0Iz222n+WMEShAtT0BGP17O4pGys+1Gi/GDQR dDWiJfNI1Ganfm79TXucQcwgB0ouxh4l1kIQHm5jlOiyGSUBxVQLWFjrIHJCBsHi+AvsZiFZf4c0 g4W5Fg8ti3URjdxxSbDjKmAqdqDFGnLCajjwO/gF7bJjS9R2U8QoXMpj6JtBPg5JVdXQUebtRJ9z TZF0R5EePhwhculqbluMwZuW2/prKXgAUG1eQSmGgMEBWD3z5MArcMq+nFQwwh8VE8D5HqBwHTgi ABdI4UX+1WGdhZN1QnbY8FoIx6qH1An75B4d2clJgQBFKHTc2LpIz9wD461A52Y9o/hxzh+658AH BsZggedB/+xIdtfiKb03XhYUSfQ9nHFzWf9UHzClqFJP3lAR4+KiW5Pe7F0egNG/LMrslGtEHJcR TyxA9wlxbwjSrZI8dbBcR17vLRdOjOKfRRkNYbYmR8uZjFrbvLNDxSSWWpQrqc7t1L/11jD8AcaZ XsqkM9Kp/miOkT8Z0+GkoHqk0jVsdl1gtjA5m7j49JqX0NfDm2MyPGjD8QrWMToN5fEhWRMuRLGk BBNN8zbFDvpANP85qjm+U3+61GjjXbbyyyEYuM0wFQKSJ+GxvtkL/fWHOwdepcWX2vylishrpI+Y dpBYtl+Vg/IMYRqcvvnn6yd7QwjV6CiDh8nudNdLvv2I46euNXrn4lHyngzc8SR5KePVRVeFCN97 6uTYbkdNvy/mwKkVul7bI63+B/W3YzPAUHfmudF2o340Wpoi67izH1UTSccVJLU1GOpJtEOuDkKQ SVDjY4+GJwY8UEOSpNHuqAjq8gQgIJLF79CS2a5AYcp3rJh66Xc9rsYSuPE7wt9T2LOwdEuBO8Ix mZZ31uNRjAQFm9SocqOBtr2popQ900OJrvGFY2/mJ4S/Xg6BNZroYBs6q5ohbbKpb862qP+/Karp 4enNViRTEEfhIWMct3non4Jgw0OSlmL0NI0ywMmZCZWyQ3bNxwgWyko7zDlXwcQ5sNsbKLEbgkTV XyilvojD5dEuiEFTRnnctpeIdf1ngWOqcduJPgUEn4BmYm2C1Da4P/1pFl7eeoeyLNGiPnXEAq0O 6Fx3ZGVMMBxtWhMn8Lq9PPN32MLxvO0SpAm0Lyhz7DM8ZV6V+crw8FBmW9v+CeDhsL/rQz2BviEx 5fKRVFujnU3gC+TP1Vr9n8OSUvYtZWqrItqTZGbxJ8wxhfFoTzGPQwvG9cikfo20vnVU+JR5pPX/ D3NPxpysLk1WThd5eXFSrKd6sA2l7GHgV106czw8flpo8CAvk/jzOF+1aw8CjM7mwAPG/JX+qofU Mv0pht9tK+hE2PgN/qNVcC/hcI+kZk7vmQmYL3LMK90j3NYHR51Go5OwKnQJkASUBY7Pc+Fsswof 1CV+dXURbg3HyGHrDe1cEX0ywF7XvWm57NA3Ad4xzF6Ft8aXGQMbN+BR/18McZJYULjuMlYEHC2N 7AI+CoEHGWJM7kAgHEqT7AGLweXQngTeRg8POKNe9lKm5F5XB3dKRuIUOpXxMLkIBrsD3RjrTfa1 oLun1ENWgWiHmT7c4KS4ChyWs5KXLODD2H7cQwtKUP6Ne7UuqgbIOCUrl0uv4hawa96PxVbXD+9E 8hpyKmdUTSAR2AuJBcTlUHfQFft+uO1wG6fXtyiNaAnm2mzJRqFbhnL3Al/lU6HJcn65Jg/5hJQE Tem06JS79zIipZTHS8qOLMfseAJdwMOw9juutkaYLxUqPrb2aAZ+gitV93Gh2YG5AL0RC1kGpCNq 7OdcbX4rbvgtdxKt4rJFAZO74UtjlMFmn2XM0ZQJpH+cO29ZiWRS8hBJB4gyHEOVCN9oSEQuydEm S+KLeKVPwSmGLLc4X1FIpwo5/agC226vguk1aRbyAHYUhYcIlnZ61CSA1+ehRTy4C3EJIcpDAv2C DVRlI3H+FzeWVAIKHPeCovKSvwB65HPuFflFUb2UNjF8mcKgsx850I4Vf1Hxn5ToAHg1L7nuVz6i tyK8nkG6K6MQjWOzoM4/KP+aDOKzObDmXbwSovDmkHbAi74wxrSY0HSlxUPFz80leHTXZCroFXtm zmnShkm1mPrXAIX45zyS2yNIs6s5KtkP7R8Ik1qSM7qWsP4eIRL4m4bc4MzOtm2xeLumnH6FH5ew FJinei/vc8yUPTNuFS9BHUkeOshE61ehV47hXL5WbeQcNRY/AKOkbBdSWaKKMEN4Ha5J4IAS/0zw 96uXEAUsg+AqV2g8C/5qhUaae+FVMG9ENr1OeaH0S00wiZ6bO1JvmvkD2U/gO5zAOJLxFt1VKXIp 3XOk17mlUee9pTqM6Deduxt75BSzABHn0ngdpoDuToDPyyErn6EPRnWDarqmOLg/xAd+UfJSM3qR Mc3hZckIDxhfVj6wn1UbFUtWshfaMDyjnaXw2lJyiIPZeTi1vgPhDQw5VNq7nhDQalybBdlFgwR2 Nn5lyhXy9NNzanVRiLrDCORZO3RMVq9AMkJxd8uzyC68guc6mbsDhr1tNgLnyTK4TXT/GsmcyOGj Fx9Zo2ZT+BBHgo3coO7bdZcaO2CwxwRx4c7yGoBR9ExsQIFGGbDXD6QSNMq+K/pWghSy6RW1vmtD ns1zaFrSyREDAsHviGeIwozAn5XYRnoDB6PdN58cRlNiTzdCxC6uWB9SwJ84DkJofcV/PvLGM1u1 ZtQ4IKXkmtZ/rRGrZ72J/62FmC3tID3WltePTtNSmqeNjugGMFVyqW7J4pehAUhTWtSNBIKSn8ht Ftzrh21qg6x5qY1GgyQlwtFYfKJQTr2KbzWRqNpM1y2S+umD8vzDWIsOdkd7Nt8FUT2jNf8cFV8K k136sligl7dqedCquqFvOnz5EdeXkcJsQvh9afBYtjzdWkBVlu7ENwKwenwSPSPqPxkQj2mVrFbm XVWv0gjNzfiVGU6tuJv6l0wbfjlnHuxKEn8avEqosONq8ywr/MLnvwfc+BSJL4doXfllLGByicoh q1O8KzqFjeYolDmQPiZeHj4hHShxrfzJS9zOhE9V06J7A6wT6uvo+XQCZX35cMFWjLTyKg7Xksxq yCJHfX/X9lceomx2iD8XXw0w5sPWVU+ooeNTwGEX37vQiWCT04Q9FQCgeW2xXdSbuaYba66auoww AMoYsh1TlQoIFxxNpkwzlVg7+jHHu0GOLMw8luAapKBHNkAfmVY86+tMKM0rMeynKXC0sQBNG6mV CmQCYGO5En8/ib8cBOCUi6Q4vhO8AqXoMBAx/u3z8bvaQGq2WI9ObLMChF5URpJDbHLhJ4DqN2gZ FW9vRh3oWxuSEe2ttJVXfcFQgRIi5hviB1w5cu+GdPl3nKcxnDnZ6lx4AU0M+mCKYqAmblcfjq9B JlwG+l+dqn25kNle5cDB24XYvWTpTEA6cHjFFnyMVsT4Az8j2zAWIGREqtfab4SX1bxyCTJ7gxgI OTBx7jRQxLmKhL+h6gVS8XF0Z7026gAThoG4p3MoKieXuPVSUxKJTXFzHd4JkpCZnwAUcBTA94lo By/zypDvLIb+Nzvk3aIQrHBxHwHytf6XeFer8JnKw752Ub40kiECryRzCnhLQ17FDQqJDXUod1Dp ZqtctRmGc6WziSqsauVrg8BHsQUyrMuEd20wlzY5NgeodDPc5IiUB934dQsDMkG9y1QVV41Vqua/ 8dcspbDpqKCx8XUo3jz1/5ycScPtM1lu6qZzHbO6SokskU5pKE8amvtAapl4RbE1TE1c2jHRjlOK CRF6+nNyqhlhRKuU9kzJcnQ63H7YmVO52zS/whIaiRfcLxHPeF8z3TtrFh53Wap8rccngMDhM9d9 9LXmUzqnv3/RHdjat/415tDKbLV8d/+oy9/eydf4Sl4VmEtuNYEziE0XOun10ZVEQT+NbmngDxNb 6steAQuvhDM0MmaLIZjdekh9DzwPDOwv35JOFW5UphsAvuFkfFCHa4ji89qB6n10CO/G5uzhvImA ZbiXwgCHntRKyp7GJcX1VVcdTHgQ6OkkKq92oGIVpYYwxMTfhQG/OqRAEhgb2Azy3m73UICVyikr wJRIBtRK5ddiOFsampdgptzAADBBkqhqUPZ+dH115d+BylJb3gzyymDZwW7ZYp+TiRakU13ShCcw xBUjTAdqWbOF7F817k46wrh599x0EKS8de0gbCY5GhBllHKo6YqDTBzJjJp/Y3xsfAd5urWfP6EO nDMFfizb4Q/9Xgt2Nqu9VKYlxn0rplb2se083zZVKERXQmSLaVLr5pUG2/z5MuRASFy3kcBmSq0o FzXYmpLxElVCPuJxZCftBwqwCzrACjdoHk2XnSHi6ACryD7/8i/E7/JDbXvsY9aY9PmByFs8Ly+j Ac3MRCLXFRPTLyMknfgapa2gTP8/rt5vnQmpCdl2rSSNn6nokY5l3CpkbWlM0VGTudYFfGESoux2 QHUmiRPd5oqBLdIQr6XqzfIkyQzji4VGc77I6ADsEme8mkf92yBBvr8lHrAndvclUHLnKfQr5+Vh qHoR9tyUzhonEXkzHTLpAQOMsGPoJTfR0qS8s3e7fZkKNU2Ita9EwBfBumi808r4Q80uOuH4bqQr 2I6x68LWc0foqDp5B0Mi1FdiCkcLtTD7wjVCcm26FCUmyr/pf8+yc4N+CvIU7fEaZpECj1BEdTOG JjVTMvIUq8c+3ME52hPbTnCZH/D4mX+u+cuJ1EFpdLq7s4xgCBUcZquQCJFIt0dEUgpjx1e67lvc H01l6CB8I0eVsHl8YnWB04V+TuGWzmeJCEpYcnKKhZS563SB6GaFmz1ZFo1SeAkV23IMxU/2k8Yh oEKUxLVE4MjM0ZhDDPXF1HgE3LlBhTkghw2RzT640eLV8m6b53QrjnbVRKYmvBfQCeMCx44e/CY0 PJ7+J7OPE3/RLS7QBjFU3SVDpKZAq4dOkWPUrYehQeNfSPt5m/LdfThAXKcRIJydc/LXijaXJM2T hC4Iu1nlcBoxiFblISm+/ORo382DkzUqud3dRG5t9wT6U4QHnptxRFmhkZDXvvwqxCYnkHTImqRA kvfeQ2Y7KOtAydVN1iynZmq0q4W7aWEQsNuNTiOEbXGzblIWxoe0G2LELejORzVS/KNFKCfJlwq7 yJ/07iGyvy0KxBCaM89929xI2uluza2FEexR5jQzM+z1v/m1g0sFuBaS3ijkZBvyl7tGQx9F6dpB ht0MJoosg3ZaWK/4KYaoxEQoagt7+lYtn6QKgEls1/Cb43dwPKw0HZm0HLfspBzjQGV/5c9vDyjr aQLlWGXBgSCLoZM7K2Z1SICeM775HveDeM35xO5YcymxSruudpckAwdYKQShlxyi3E/H72rjprHf Ihso6LgWA4q7TexFIm0ELkpmNKbuI6r6mKBPlK2eq1ZXvgJiLW2njaZYtqsDpmKtHPpveZhhHiQR Qdip77sw93OrZ+eY6cwW0K7FN9W7erEPYMvcImmUkaB7y5mStJMzsW99DXDWc4Fx8QwUtgZGvo9t hrVobxgPInmSAfuQ37AzmKSDR35pxZVQMedQMGc/iSb4SZDb8IojXAEHVyuJDfah/NxaPE0yTaYY FGsONU/VfmotntTliTMH7i9Zyd1TLcDS6oE88sqvEJnWSbZgdUSBgvLrgVhmHiKUTJJuEPuSe9vi uqofIA4FzWQyB6zVLc8Ikj0pNIbHZfFQh7vHdJbnCMyZn80u19zs85lH23u5HK5q3unBTcgJk1XA gKcpG9HcVGU+XKtHSX43t1+cn1aeFLhuCO42opLELPzRneBw2m6r73qCZ0BzTtkNfkpJxWUFPc5O Qm5VDkaQE28Qt4qiojSAVPLQ5dZDIPodRfZjpbyT+QjSKPKNNcStJa7vhc/+cxy+bexwNCHhbN0V iFiFJBLJhu2FwJw/aDp9sSoqtrue3VdP6MhZfBzat5DtybpbwXcTRaCskjHKkDO9bFJD90tQWA55 Z+aSZNXAVNXHfaAUSVATpcRpRbcapc2FJgPgVzOpsve7M6hmBcUVZv5n5BTtVhHYRULT6etYwACc CnB1LeKD0zK0XSMSLgunhpk7Bfpamt8p/GLk8HIAimk0SVxO58+XLAwZfAsU1dwBayrhnml/c5zQ rgQbE59fMmDXt1+46ryGrnSihsUzVH71BF304OH1S/axAFxpBHS3YhfKB1/0lnb9JAM4+nfgNDqR qHmrG1EwQxb4qQPLk0zdLth3/obqG5Cp3ssvgO/2qbL992DOp4ieNAFhKJQubDInhYiDsi9gc1zx lYoJUfNJsnKCoyrmHCRJHPHbwYWXz87P6DB1WbVN+Ej92Y9zFOFNHyNLMqAxGFhqd6Na5PpQIFCH d/NDCNZSU/DNauo17mkUp0T4l0PdbMwLb1p9YQ1cC7EwCg+14nqdu28MDUQnCT/+eFNU0v0YkJvt ndqtDUYh1axZMgBAwmgG6hQmNLhxoYfQy71oRYde3adSSrLI0oL1kl9nqbTrZz61RThikcXHPhQo lf1erRw8lw1SyRaBuwEYIWPLHI7v/lk0Fi9eqlKLsKKpujuePWEIJprV/MgMAEdJ5Ys1/BMU6AV5 o3SfBYQgD+vSqHbV4Klb78m1T2Em5lp38jT3FU+efYaxOJWYF8N/86YFxedoxQr6OR/6AlGCs5kl oqaXElnIhQbrEBNldxx07PdhBgKoPbruN0YXHAsl7Ly0VzB23f4WRJDVt9dP8Yx6a17cqzrygwvl gweZLHEoNkMqm3DHmVpTGhZP4yZOQ1h584An90bN9I5VKY6o2b3GxqdEky20SOneMIMgcVZIzy93 DM8BV8X9ieG24GPfcJFwDEr+PmPBIG5NQKq5gq+dpBEU9PJjF6b6f3zVdElrtuTiDmixiNqVkIv1 GF0G9NvstKXW957OPQ9zWCREB6AK9NxiNA84/4qRWUVCUY8GHo+hgHKqf7h8hTelKTu7WCHyAHxo YoNwKqFvLg9L+ud3NJej6eYOStrguMQmDFJvuXFgytgAlOOI5+QnsfdQ45a9p5uGOPhd3Az3YMag P7NF0lZo3YzD4SwJG5uKlnKArdqUX4Gfq3QgqrA555tRGw7ZubqnU7XUKf2SxNVd7+faX20TEtOq OTBI/WKH5n6P/bGWMbHowsBpL8TkEKLmfZrpUC/wkGn8LTofojP0mvi6yMk+Mrg/lQIsg/e1OHTz dO7sfqi8eKQ9aur9VmQygLw3G74UzHhckEMsYVy6wTIdurubKvRtLmHBtbsXMGQ5NgShR0En5Fij BhcU7/xuujLEiquw/hTcXcuhJUbim5eCllIhIqWvISBSzThvGLsUHw0phUu1cGOJPM+4bW81qH3E 7jdBrViiXenx67jqHPe6shaAf38LRyV6TQflBYinhPwZJ7e8sFsHIF51lUkQC4cZmtO6gBY51gtw dUNIrL03hST0pM+x5C+xniR4k4pPBMEoEwK2ZkeLCCysCU1hoTF2+4QOr/Vb8n8Aq6EtvTwlIvUi 3D/L1ZRu/dthTg8v8/QywVLCPdveIOBNSEHnmbFf/dqcL5sRf6ZaRAgTnzxEov1mpWfAaN5SPstN v04vIT4ddPgGJ+O4Plg0+dy1ZKCBTF7K4IYCQCRIHyVPhlQTA0MWHGZQ8pJpjDjHkeS3X3n88Pwb gybQ7aD17IwyY5mTx+VKRXMLpE6P2epq2s10hzYYDSnDO/FEmVnnOnbNp/3DZdlHCrZ0WbUfvgnh P5RkqHf8cX9+SPdeiDAsAPnnTFqAvN9WvtKLgn1oJvUjPVJVluwo8rdNJJ6KoQKMtoAio+bH9GLJ vn/LOPwS6fKUOBTlkaChMOouzO4VaR9wfWD+X/JOmcTbRwYZOGJR1zwdaZCFYD0ECI0TXgHwt0Er X0PgK3Auao2JNzkVdXofBpsaXaqjmDLp4K8JGz03J91dNfZZcofUv7jOAt+2S7X7qpr8MeOPUnCq +S9qXbnwYY+fzck71lnFExxGo6AqeYyqTjo2mV6LUs5V6n9VSkQO0oKIkxzhZkUcY13qiGG8hNZx ToX4u7R7X0foQyo64nvP4dNeYVphchbmG4RJ2FN1Gb2U/Hg6wOnodqYaz9/iJ9VXK5pnHb+JvdY6 CqKC6mhlG5y7kId8zDFIMbwutJREGxS5YZxXPsL2lABb9bWPDkWRqfb7qDmXEVT5rFA810dvrccF nXyg1f+4gVahyBXPMmwnp9vzbf9FPBzz6Vly15xUPJRcq+Eqh5RrM+owo+lxMGO/3XgwKFezNpxx MzrwtcGBmTQWSOAb42gJauL3bpHN+sMCukCAdwehHL6YMOgRyZMzLkjAyErYueosWyBG6fQcMvwB ThxHuiWfEEOauZAq4z0y8yGHGEUtxH/secmwru+FRcmTkyY4I7aWQtfooDEnzIwfZjqwtVo1GAXT xGL0AT0Tw0XwAtOuLeiDLDL4oZFUo5BCSIba+AdIiC0TVW6D79wXoyBAFlMTqf+BxNaSaDXruLKR Th4JSCCFvG9X5S22rdd8nvAKmePnquzxPPC72gN/Pcj46YyeaZe8752vGNqES8KV9A/+b6i9rw2A iESR7+g1C93iTHpJlv3BfDLS7UCwN1Nd7KM1OWL0o9bDVZu2gqWRqii7mF/+bLNYIb6vuRCW1pM2 e85JNfcqd9AJMTP0Vojy2mP3PIi4vsc2nDAOYs2Z2FtyCwKXZ+8mwkVTYktLCu/1Mh77wFSsVSNK hjHsBfdYw6/QZBfS82kGe/yZWLjiE1+W8HdQdVkwsgVIBOByZRhgZco80qSWb9Uc33iT8mX1dNuY NIHf0fx6bVr4hyk94i3+Hh4AKbsWbbVbdW+jF44tIyGXBigDMRU3+KYduRHwUSsCgqKqSEmxaPoC pIH0+lYwD8Uiz2rbJd1g7CvQEmbWdMBqzTwLmEOipxqJIRsSzSXP+/a1LHj29z3Jujeg9+PL8mNs WOWLCSQHvVCSzpfoGyv1wq+o0S7xD2U3ye8kYLbRJKifh/OSzqm18tyWqEP5WXKGG08tzDnNrljy 4Bf9njvnonEGtrnTMLfhShxv/tr8ltQdfg7A4YrxK3bHDGAlYB8FKzXlRTm/KjQT9SGasvQDe18p IobZXTDhVVXR5rmUY07WBm6ScSn75zghyDdMJ4rYq6pgstDdigrhEFqcUcFGKhsrYvgljbpvAKoU UNVw+/fEtbzrdRVcKxBm2ZEwjJYHeisn/sgxd0/6z5t59zYTNpsFtyV5zuSgcRRQvkG5oWbdewT1 X4ljJy8QTh0GD/JIHhgMLzUc8oCU0ZUvgfNoh1NqKbbAToXLFS2HtR0MI2Y7hMfcMBTl/mm4jCLh hvB2Wj6Pl9Pr+BJJETkW0H0Wqcvy4Pu/2RXf9gVoiiM10K2ddnR0yTshV1lx6ykLGPb4VLtAuN9N ER/5XUMHKoLQajU2GX4juB1L1zIFs7AjWS/wRkrBlPiCghsN/Vqdc7cIIITbVVRqBWmbCtXkul3j ykjepwqB9Ds9tR2pGHvOKHU1oAdfs7rQnS9IwN9fkzUqrGt9dL+d0qWuRG4sPSh9rmkoJBdq4aCQ yXBjvgplf1+YlBaqud1mi46zK1M83ZuLkiiUpNaTethSgrFXy6INlcsD5X+jru982UoL821RUk9H pFgcz9TaQRF7D+Lk74T9soulxUXqYpOeVi2cch96PBx4kZFNwGm2pZaPAqOdxDDxPO6hgWqugeK+ yc/6yxqmtBWk8z+jciCvJAgvO4KbTQXDNdv9Z6NDo7TDWN++6AWzdzqFTnF2OImUzQQDcyW9FKGJ PL41DR02ViHgaf4ASkN2UcO1d4spQXK/zZ7u8KnDdMJt4fv6TH7QAsl6or1z7OfNW3smmN1PF6Dc tdik6U4+qwFMLeW8IIgBXm76oEgE8U+MTCun+iwaSfWphfTvXoeUVNZP93sUU4SFqtGwGmPSGCVQ vxqYan1wFj7y+YxTdAV/QjF8B4Nl/2svVSF3IOXd09VQcOUPK22UT58kHnIj/woKIHP9R+VU+3r6 iL+hN567t4HnWk+84hnc4OtlKBd9DPEJg9G7guEMdstJUfkfdyDoA6uHKofhhwHUanOaOS/ET6Qh g99Gs2KaHxmr7haOf3ZbVHrqP5Ju+lCmNRgDfC4PBZDJj2RAqofAMBUqQEqeaoWSlMzZhj98t3xz bG1Sx5/0jF5lUMSuK+Bauf8ARkPJO4H7E5Bl+JzOrqgbZ2F1tYDyD+6zEhqP/taKk51cL2bcLRv2 VjWXmWS7cZLZsgUzpYnam2+2/NK9e6itKcYuLD/tb2ucxF/jK2tqmHajCbFqXtZls6hdTsVichCG IW/zSemP5xoG0EWbh+YeuchwqUFm6aTA5g3qXCMA1FAZFiPq0JN+R+TZsFCXFw9qYLnJoW8FvYOE Y7BGMWBDvKG1cV6erB4WQ1nCxHQE30FoN1i85PBTrj2lVi1AIgDZ4HmjQ7UF5MzGzr/3zUVvpmUQ JO88qSXBSBOeUPFggvPneAPBay1uXc4j4n4BS4kaVGWkvOIAAmTB0pfXb+4LqsTm7HKqXEX2x9+y MrruAjSa4VGwXrtQyBnw7LdLX5AaiHD4qD7xspp60JR18IQnfRGULXsb5GmAYTPKmHO4OrdfM4/k 09D9nFyDrKty4UKtviFR4uk2YM9zgI5lAUzNiba4CURzZe+zHEEJdw6ZdFfts8zQGjDe6codlzFO gyUqwXq5HjCgleh4IQYwDo02H6ELKDTX2It95w91o7V8McDVbBpGPHUQcCboqQutlKCOyg9TagzJ 5rVnoaeDWsuqGy2sIyvVocdP9nPfl0X0/HLnAID8WI+qU3jDVKKjFfvjTI+Uzx2SM10LecJIeBf2 6Tl9SBtaV3rzP+ahcUlJR3yd4cJaJLuXblijspYBOmxhWwKIqlx1WtJYR2DtDcB8C6slkpE5Uz/V ANp63zrGdKwMERhOjINcB7QkdqrfMGY/hXv85NUqLoEFt79I4JUoFhRaKlw2qoVwpwD1KwjV9dC0 8wB3Hz/uoI2hkdROD2+j7c9trPXBQw8nVnIfMgv2XdbnTHz3gdFhzLxKbIGFAee69ZBM8QfHhZKh GNaqRwev2LHl9imhRdtdT6cBiAtWCRsEkpKorndnivyCJt5xKQ/36z60M3l6Vf8TicjcF8vlEkXE Y9cqql6o/XdHDUHmpF1YCFmbj7WrB0d5/UhJrXfZRmMtdctRUzl3eGzsU2Dh5oJZ+x2MKBLP3MEq D7xVT7zx1rQqb/0/3puQ/hwCBkB/AroIK5BFYPNpj9Dhr/IoMYrRiN/0m04dcnR52N2xQMAdpDLK BdnCfl+R0MRT/LSHncO0Q9mSW+ve79ug5Gtwyll0JZG0QrniuvD0XJbn1agui3noqEqEfCEspGpO ZdVKWr7FCifDIbr4hJSBBNWTrxyRrpo41cQIy5Yz5CDuOS7ltQss66CzXvhVPE1nz/j8TdOppOF3 jH2ptwoiu3RFOFsN5+eD/wRMU1Ia4J6bXVTy6OAFdQhh9lT8qYBDzWt0zb2FVxBvfhqqwQGKIP5D wnA4nnpahxZC7skUkki0CNQEZq9lVoFG5K6qnL8QH6n+KHNBvZygYkGblyAtqEijYetqqkS9lwtZ uPtqz0l9EpE90YMxSJ+IbQbYgNl9WIWD3H7kBRSgyw4P1RrNdBV0RMik2BrU5qPhk4AJ+Fp0OoBJ mJ85DY5hwoogNAC4cpxiFalmoA0R+LsdxUcQ0RAOnIS4I/wBMls5VrpX/A/rVsLZVQRj5uORuguN Xx/xHwZ5oaS7iHauOOlqi7VDzXYVjWI6xnJtlBrNZpJc+YUg4OlpYnVQkebpUIEIIcVnTQTEPWjF 5W60LYXcG6afsOTRoDhH5Nv31K//NQ1wM4ex20GA5yVoJG1YumBmmWM8N0aCupI/uBzNZePEqK8N uTonCK2YgsHVEasO6htG01DZ2ESDVYVxTdYhnc5QL9zs5oXgR8Bz5yr9gilhelhJkLH8CuB7aGmZ JS855LSzAj7vB1WKs5Sgu5PIIlGNJc9/JzgiDY1FSrSJwwItrYrLlNCpy5yQJFEfxM8z3zF0BwSg rKWbPrUGo6UCls4wspTL244jf5VzPFZamrSI0S+gwXzSE+5UZ0WOpPmSHqcFcBmMJ7XxzeiMV949 8NBu9zP8DxcM+OW+Hkk8VLuIoaYHqPAnIJ7Am8HyJLTsblTowuVjenf+teg8rs0sdq7pZjdyvfDD OnFQ5iaRVZ7Z728Hm+MiB5tihjyosyHhxgL8kIrs+JgDLXQQom4ftwIF4ZP+hbkKMrdgvaQHUmgF FHivXmJwMmKg94t4EO6KLz2VI2q3paylNvR7beRLMRNIZxn3Fp9p8prGP/XY8LeNt/CDstcVfR2w 7oG/g7UYMr/P8baND/ltjlYY6O3fvoB7kuz9IHOYTsAWoPPZnLrjT/KPkx1mSlKhI6O8kI7RRJcO IhI6sr7oq1bRxMQF7sKhlXJSryET6tQlcMBRXVWkGMBgYA69IpPnDfxczIF8XJlKjsrw2igYmDKj zTxmvifkPbzBmEit7d7Id16I3rKC54OMNS1ThYiObF1uH8LbzCQj4/kTLjB90fGQ1U3Bmo9imeUw PeE31dzdOkxbet0UxuHEpnitztZsGzpYQaWN77mJ1EfKYA3KdlBF5B4ezBwKpe4gL7WFjFW4pTkC D2VTQ4CNfOgDL0BGVruRyVzr8r9RToD9tKHCyhGlADGZvDm5JqAACYbb9ti0sWUO5Isy5eCAf83y TXDw8/n7TMywu0ErRPxlY5ztxsVM4sjV4USLrj6d6zRnpG0LoaTuWaPjiaKVnv3Hcx6XkOLB6jEo 2bsa4UbI8qRQ635YF6IGwln4KXkq4Od+P0uClsFQVut8ubGhowzUT9zoR8WS+KFKfXt/yzVEOU24 p0cuKQNX5C+02dXEm3mZDNd9H/8gSmayxV98NrOMAkfjeIS13mddn+FZmT7dLDR6G7OtkrayefKU 1q+J1k+C7Tf8VC5fmloWh+XxZW+KTl3Iu9H1z/+nsIx2lEHEkYg1G5TVTKJ5i0tHTlYKINeBHBTD H4U10NYY84mxfWlZjviJcl06HytGQoUJrRoI/6u7lOXUIh7oxZccfWnKLlwXZC0GnjpS6qRcka5T 88DP7SNbo4Ox6aI7E2K08CV3eJDaoUdlOqUugbamiaE718hQLpeyiTtMRKb2i8HcxewDFB6fDxwO O/PJDZHpAMCCpNp035j9jnvjV9F5um62gxGNUf/x5gFS0K5wbKjx4KvEBLm4lvYu5PeGkY6rAjfQ 78aa8GOsjp0NNU4DLKnr38YHyzNS0C0+MwVqOq5h8+tGmt/6Bkvllh29a6Zm9VKriDGie80qZczu 4W0Tha36hLLJTg0OELusQMiwr8oUeFs2kuiR0XQ26k1uspH4b8xm79j3qntR/Mz5RwLb2qmyDfZI Uz2T8mWlZtJF9Ez2krxnA4RtKhOFCwNJjdsOysIqMg/NFlGnHEzqBiGJLplYN2GR6LNPavBv/qEy vqcDKcMiB4wz70uV0Vj58Ssk4GamKxwHMS/zdrLphrKKUTb4sumTdiZcn2xM48oIrNUWmU0EjSB2 KCxiXh8Myck9fSrAwcYPhI3ckhed4Qy1Xn4cFHG/VqmwR2Klf9ROaa6V0MOmxtLZq0loXCHw4dsj vGZzt7lT4G6e0XlxbRPLiwOInAs3DoBx9ITT44xwHGEaXpjaA7rjpzXefbLwSZ9YKqADVrCqHIYe 1m7+o4fs1XSoG78WpSPcfU9KDXH5jl+X9DrxMxp3IS0GJ+BdmconTKrLkV1IxNlQv7Y2eobeGf3j qayxQKaCtAnCdZs5BfK8KmmjgguUXcRRZyc+VdySfH6LRJUI8q3Lmf9eLXFxPxZlLyGjuYhjCxan MJqzvJWscf7mEUHyF8b8SyKBDOTjjb4mK3zi9Tx1hz8xA7RcHOhNp0nc/acas8kPPp1jyyGiZnk8 2TR7LzUQo7DMsg9H4KIYvPE4B8FdovP7EDf83A8FPAzuneDvzGAS3LdFujTnQ3H3lhGnxZCL4Xva V8UIrdKjLFsLiL315f9JNZACUA8TlnHRaN00hE+f2cAVcAIS0ZJZ73+L2hGMQQQ/01JcEqdYgJcH LChRJnC2fJ2qaI70Z+718rD9uaBX0s74aU4/yADhhcFwgZ4y3D5vdqpqNLtQo7vGVSRp11SZrGLG QVlI2Libr1FvLnYBwq1geupWNV2HPlV3k/9mthh90ZRCPoqJeaM50fD7dnwEaslB8T5mFecgAxL1 JGyYdF8bDrQL+K1q7IT31i95E5Czv4k7sFpn4YhHbC+dZHhaKFgWnS9a9iQisJGGtvWUxrPXVgH5 dT+mVSjpOXoQNQdC8FrM32NK/D2WdWVpZjMOZ6GsNolh2dThklQE30H4j1ZK890jC++hat2HtqTx 6MYWQh7sIjlMJVPdzLb+3pYWvHtldTPMiFJv5992HOd+9mq9P+4R2vZ2zBkl09YOWkZyOYy/4JN6 a0KpxDUo+smptlK5gDRxMdHpy3k7GupADLtOsOEOLxQFPC3IkQ2Ekp9HZt3WPPgnpk1tuNCQOins M88H78gKB8ehn8xdNDS7uF8bFrSnLZJYJb5xztt+zZt3DpJ03JNy0aeZN+w/4MJfaESgOaXF/b/9 qITyPyNA5Vb1Pgq/QUQ7uR4k/2W89mQ3zGqd4RlbxtD8imFAqwgo1DMRyZfiF9ANpPiDrhFFALAC F8FQbYS+/JMi15EJniz7W0EEpdq0cZvFcbpatd+ze/qsk6vfC4oivPKn/pa5CGX4cxfp79sfs7bC eOMSt9y0T0od+l6sBnxL/3Wqs7OKjldW7I4W9scYzAW5l7YBnYdE5IY3n6VVKOD5Qtv8TA+lq2QR Tt9wfxTl/etWufP6197WhNYfsDJCGecDYZOhUPnN6ZDk3pSmpt69hDei/Q7VB+eo+xob1I3WWg9a r/y+TOhaly0Grvsbx4vREydgdZpuLKnmX+KA2PiYDuyuxZN05PHmgEFn3WJaLs50d6/pq5Ds4GAW 08TodrZfR1Q724N04JsXNd27MF0vAic6c2Yo59krY7DPt5eg0Zml0vI6FBjvEXrGc8+6nibL8d0r +1kLXLLDV5MRXu2keFCusqrxorhus3tGV2WKBxKKCiYvlYbjd7PYROCj2EHilt6gXHnXCNT/sTGK Kl5nbZEvsDpeJ8HuhTDgyelsqB/9yKlNPrkeSvnjux5fJEt1DDYv1REiCvK9hG5bawGN8wQVnBuG o8g1cOW11T/b3mv1n9ls0HIxSbdXTlUWCqlcD9PP5V05MaP0SgzBrqGn2UZCyXZLudwXkD0ohDcD yhrEIqxc6IEo3GYXfy1TzlolgNcYL5G+xRARkdqzwG7QEOq6vrD/WWoqrze6ERpzMhpLPYvzqkHK iwKPsanLFtoVBXKPfVyQPlAj9Gd1G+bGs4QtZ2EuRuUzLC3znAeLxCqK+KoNJ5BhWePcHnVucflr /f/RtcthDa2Fhunq18nQNO4U7oI7YkC0WAY4NWD9DCM4usgFEaJseE59c8xpRIm3tAGZ5Wvfrkt7 vKwmOMrAzeEfYnVkN514g0D3gmZYFyxzH2dtOng4Hb39U8gLRDdhbrK1/Ln1sPeP4XD3YvBvM6wY AZ0oSJpZgo50clXmEVYuDfd4J3QNUNzfNptYURahiPt8vuLwd8poP2PsBnCdLroEt17G9BRdx9Uw 51xBtLE3HLebnmELR+55zU6ziXDjdBkaqBuanGkJXGJF3Qn0TJHzbC4gMxFJOw1Z/MIguTvpRUbB cKOB0CIH+nKgGg3kse/0FyJZci4ol5IwZp+BzTCF1YFlibW0oyuphzb+78UK/EcVrzfqg5lPAceh 5oBSM/ccj2N3e53PiXICuRr82p9tD+pg8OBETpspsIBIkqfml0tC4yOTwQPUVNz0Zo1L6etTd0vb Wa1iO2sz6LC4zFEZE6anw5+6ajGNgXaLud74x1lA7Eibi9F/4m8GwQfpk1VMwulOonWRe5RG/dVO nhsG78+uwtkRkMncxIOR53PGxYF4FtQQ3q8pNFTqCSKgdCwsGLRNqtmFruUCHYu+3cX/gsXOe/70 tSCja4I44UtYCSqtyHNnQtpI1wjzgh0DFRJ36OcynGXbPN5xa9JeHziMaKITvEyRey3ZRk6GnTiH z8sHw6Uusn271blv66tUjDLAK+mRxu43B4Nu3bZHl3zSZXxvWE+lpfmyaH2QB7dLBkvVLxY3AGZZ flyRV1yFQaHug4JXIsz5ySzxbn0VEt7UCKDDgUq9bX9GUj8gRDWD62JrzXKsrjmTg85zm7mZezgi KFB8Ko/EYBvCnvr4qKZPkAujIngV8nOfXMZQxIJlWGD3PwkQJYHaEN7oFr8QPncfQBw+Uhel6VCu 5kuEV3juI3s8pCp5W6IHZGzLNusZlUaopfPwj98uGBSU5GHKDdweRUd4OE8RjCGrLZiX3RE2PvZw SbH3aLrh3H9L9umnPnZ4qvrHoJGe5ZBa1Wi+CJA5VgIR5ey5NMXPab7KPpXP7OEvQG98BYLnjV6k 8YFUKg3EciFE6bwl1J05S7SisNtgoxUcCo5QmgfKZtefaIOYxNTsOoh0vyhq69/TLt6oQR4Q/Ylq ZDhzD9smtPt/CulvvrCX3BNZbXmpZwY8LjuG82IhfeF9zTG3jDWAy4nsCR3irFvnM4ka6u8LNx6F QXRs5WFK5Ow7lqn+KHzMsPO3R1RLWNKijyKiNrfex/AYj3xDaBhKiGZ07WNAuBxCoQIEydpinixQ ph+cfL3jV9s6kUeZLdAGHJePSI4G2Z5Wm9LkU5sBBRsMwedpnTwX8t1KL/57Q0VaCYX92pLehQFz mC76AVl03c6LMQGXlnZkb+GsIXzE13dC3iCzq3tnWcXP75YTz9kEYRDphHeYv2BADNQ83C3LY1Pw M207qfC7lGSEcXg2DoxLxjOHtnZM+yCbWVmc114gFD+UNtkgO3Lozamdyinz791Y21vb+6Dan67x ebZAdK6kLE3gypMI1X7n1FjmGIACcahWAu4ZnFxDhub9I7cU3nFpGIvTO1m6s1SJPtP7RTHpXls/ VUFoZX3qbmWLQTCnwEiY/48MPSW9Gpl+rOapVeHElQVh4/xwLH4KHOpcUlq5cbBOHFYOebcv346x EEP7JRolpeypOBw4hbtMJmwLWo8NX678xSLPHbDhHO/C+wM1XlVcC5nj74W06IKDSAjw1c1O0mfp /uzh82Lqm7Saca75tBU53fU+PWYLlgOLNkdd9jpHv89UwB4tbTDuQmj8pGsWZYj9oYGw2xZ4ONvH TC2ORWRbrsuyg31bDTz0uHQlKVWDHxGXYko5ZnqfZLMnKkEG2zteWjxKHzVjiXbymvIbu8fupee2 OpAy1zRiVggOSy1DRe22Csct3Gk5lm3KC0tK8xbm2nJqs/6fDmmf/or4tFk1vcq+c85ke1wjnDyy LzAqgENPlUeJWfNB6MbtOkVpV3pJbqXHsCuktq+HKToVmouLy1Uu28X/MXePGcude8XfhzY/Aqp8 If293nQGd4fzld+fb1e3+f22Flqazv7bBC5vXBM5kuwSHVYYsIFFrMcbfIRxxT6qS52B9+gGYCBt zY8FGBL2AxeXVANiuXt9qVzWXpct5TmOrP86058sngAi+CTaq+yAK5lIZTu+7d1gF/G6CI3jYgtL AZaYEzhZBiF90P8MiZOkmCtTw2svPXoOivT+FJglJnoG9PqukjfU/7hvFflgnp5048l1O/UA+aXa KBiqsouWn3L9rWo4RK+hZeL2E1RvmuGYoGG7nOVeUbnAD/CkG8U16jyh90gP6cSIDKDPu275pfwJ CNfvJDLXx0Nnm1Ph4XoBQvJR6s/ksLX7lYYS398cFE2t1K5CD6GdvRICENkqERUSevSOhVQLAkgg kiMEpdzYYwxY4JRNwXaXNhmhpnE8BSQ5LAxRq5V4CG8eN5Xf1LhkwIX23gFEPpKoQaDK1PKHSD27 Jo0PO75YPVPt3SzLyw92ujR5X1yc3XeFM2MXajQIUQ37HpWmhbXADe0jomWh2JkFvjnFhtXcNb4E w6jLODcbpDG4/wCJVlVBN+y297Vz5HvySe4iq6VeSiWYQr+0MyMq8ZN+qX8K5eKLyXvVcjMDRKmP fFbnWKxlBBBR70cTMvgezOf4QhCkNaVifpoidrCtFymprRqxWQnmwIRxNnff1U0HaiKNx9l8TjCY eR+a+JW+WjLwtPg2Uypv74171HtN8CZH8Gyjl8f84nUR2la0T68363xSmJq8l8Su0bnuytQHX+A5 IQlqpr8AmDEY3HT1+hPqjPdC6COH0kCr1j9c3cLhRryL/T5VywEdyTZHIwfdHIKWVjHkRMfIAh+6 QgHztpklWYabRqL7OpE7Xb3mTtRpFitebcN0nnfYwvH7x6RXBOMuhs5dJs9gdrs2sBe9GRzJUrx+ +iaNvHHzI7VAzusTS/uk4G/ZyiBgqibwQ7FyV5Rz2AtmGAJtuxTU+/VVIvZG1LRKL1SgVz37GCAw +3zorh3aF25CGu0puEzw9bRaIcClM0tAcZQoQyvGNLsFxfAMFpADZawvLmpXdFR72D0Y9aotDfw2 h9Ca/m8W0pDrsVFGkgXZxR72F+AvxUb9rYSbsWTydZhhMEmJdZ6ak4x+gOWvT+fOUuL6Ebn5TTe3 gWOgialgIKMhXtFGKL7ETIZne1ME+6Sw3GkADokBxOcC6mLOnoHZRYQqIMwRsC2+mgJcDOaOnGrd Bp+laUtYfl7lRJH6vy/yLvYSp7XVDuRCKXgPSio9dMAgAAsDrvcyIL8u2r1sLhACUCGsvXbJawq+ oF11hDpnm9QZRoXMxpHnlHpcZcLYq7Hv88TD3VcFar1d2whUgg2Si+9VF3edGZe40SWiIYw9hCO+ 2XlKCJFK7XEUJ+ODEmbUOvpGVcMupfpejp5p/01nB4KaStPMQjfg9DPmYmly/1v7yefOI0rzeKC+ MlKZ+RLEwomPooNjF3TZJi+i8atPX2eoN1YK6nqNMjx9rFooaehvytGh7OVUcB4GHjLj3soOB3w/ iEFk1HOOLwVK9pnTcCtkO0wJVoGZ8CwbWZPUmYks2oJz7rCZyh7eWPh42P7+hlnPIEgJbS1lN5f2 RQGU6XIIbTg79KwZdh1XfrniYgji7IC3+iimxB7lRUxPQtUe2jiblFA5j0hlhM024M6aOpjv3sYC ddTMYCyHpDyZM9Ra1WXRoFxAneFjHZ3t5oMws3l/v7yAXoc2tNubzny8hdw7C193KX4DDQ0tPNZh eUaCv86Tt0CTUJgpFs9x3Q9tUQ0ZeGWtNSZOPgRdOtrKYp9JBaYcns1NUTTJQfjvr2IQ/Sh0ojTJ IyRvjdIRFq9HZP/aJ4PSCM4YbSsOVrIhAc8+NLfvp+2tUSa+FlVK4ahoD2pOOpIwqjoOb5PY1R4r dYifTS5pIP4FTllrS2N1Va65G8AwI+YXDSVw53IxJTwKhNXh0VKHAgI63TXlZ6X0Yk3/jpijkOlU ptXsK+HaN0WF62p3auet6xDi00zjh44GqPUalSIqOrk9e+ljOTsflCOLAZDUO1H2lSKTVGA6I+Ip JzBp9demhRVUMgqhglirlgseyIeWGIbuCArl2OEWTQnDHgxx6hF7yhXdZzwudBr0wYifRrNWspdY vAXblKsCC23J+KXvHT22YIxjD1AAPa0sI+E8zc8K6wUDeIIM1jEL2qvhUSnvE3JHlFsL6idHW5Nh 3WDhz+XlKyT8fjN/ozPLuoCuQ15HIhe7gYRysWVHGtJdnlg7ranXGyHvQ8yFF6EDGj7gkN4PuDZa FhUeZyP7GZZBWAxiBFG3jiQoxhdo77W1/2vmquJ2G+yyKNN5ZJlyC138xZ5rCV4zLBpbPSoVR1j+ +LcprO6w7tx4H/kvAqI6gGlyZOF2vpqcL7CaGUj4LDm0MU/YTinbZvdILSDET8A7kfT6+oWmeZA5 IIgkSg50dw3islVVUYEHczUY415d6rhU9U94bkaNeLQiG3MUG2na1Bluw5MvGpdftwAM3eSyGiAB jl5jtyTFHYccS30iWdimVyXs54Y0KUSqwb+d5dyofdtlUa4csQ9QJ5kWi1puBkxeMmeiH4wRryFQ NBUP9fhaUTCvZQ33Mk4EyzJX2LEVR9BDuIJzUGXVBgE+J+sRu8M1ONvTXYgjNN7+FGV80xKu16MM uAULk4rPJOPznCKMqhmJfK+5CIMh8O866T1YIuGpksAMhtJkOa+2wydTnZFS208rR/qSxSEzJVB8 Z2SkPhuBF8xudFr5XFgHUPRX7zkhvy9nWPBLjPhiPY1UyNZhi5nWmM8memW2og3Pr/uis28Zapbd GlsHFEoIDbqb8SfRCPom7Mqj33U6PuW/G30NLvBdzi1IMeTaKeTUrTfWiuaXKXXdDLeaWEGo43OS a9Nn5NnvDFsdpyharya0uLN3n/nZMokK+/ndNl7Xkb8Lti+qBl3sZGctmwwJwK/vxp2jtsZWdPQM MMp0nxX26u/DEZbPrV2mAFO44k6jsy/IIKMpOJ4fa4xknbfvSzgzNe6JmqCMeK2dbpCveleFLDud VT64S1f7CcFugsR0cpwf7+s5pvZ+gfZ/o6794uoh7br+e/aObrm3Er/RVT33BMxAVVNa4cZRQGwR vbxh37pKFCAU7Q1h+1d792uciUsQuwp6j//cnGK1zRVK0dwVPLDt6Ajy4dPU9fLjJ83bRM87JMTU S4d+brqOM8dfiUxnKxkDLLoTsovbAdH7z66yZsI1dIf/WYWB68Hixz2lLWNRn5kND/Dy1R90MvQy OAq9xtUdaru2SH0Adm6yc4NTHhyi1WbsfFpBVwAtyAQ8oJxlKkDnlp0SpJqtDpy3uNiKOT7cfxYf rXs+NJ3XVOM/ziS3x1oqjDpVKy1b6GNc5KaP4tyD0JuGSjGRI8YWCRDWjH2j0zj9dT2XE0rYVwLf fFSoXtR2Obechcx8wOQyRI+OVkxSLBzSY1mCxr5E2jCWugzNc3y6hNlkz4r9v/WYkgzV65CBVcF0 ZAqNlbZXYOO6ypgLNWEofz3q1Gw1i/iLJ8A/wLhbetbFQnypyJI4odfk3JkSgl6958J5zhMP9bUO CZR1e32yQL0oQ9eY4lVaCdolkFsjzyYig/vBcYu+m8/0Tz14zGgJlE0MItr7wo6hqMGwZOwSxNWX 5SFEEz2QQpqV9qt0+fp84dZeWQQYISbMTG+gjGbxhCj4ezmKSJoPoU2Racbxiq+DFUtVCqUxs6/v 75aaSlDXefWaVcRKFhpAh7n2mup8DUVVVTuG/DHBjTgXJFXXvyMchyfinaLV/j8Y0RA3aEypKk6Z BiHsrcyBHk4fuYr6v1YPlcMFzs2Z6xlWyz7WtjJj0Ar2fONBWUDBaHkjmCb4la0J2PmfbWC/Zlnv oVkKcK+m1mwpj5tVxc13FOenE6Ntd8Sd/yc+13kuB8e3iypMa8ln1yUr4L/PFNRH4R2pq4fdtrbQ uvnZv2ihnlDznCHH3uRX78PNoFuLQ0hpNZYR8zpYiy76PnZU5/27db6gAaHC1sqnpppVA4WAHZol qA1mblQuZbye+VnUNeujC/Q5oXGKRGCCT5Cv8Ztj4Jjky+LUoVZ5EfaLvSmLtR2T+Ul9KXCI74v4 uQ2Y4fPvUL1XsFzff7paOvYrsIxr1o0wqls8Dac0fB3mxO0zEPfMWwuNbzIG66m923MD+cHP2aKE yhmQkQM4Uu1aBsekt39ilsqJLf573+teT7B7es4aXflhVW8HI/3VQXrefHxxPYNfX1CQxod10+Gj SAzj3qvfTAK+OKevAJHoGxGfIKcODh8AVmeyWOQ9EtLgzmwXv+RqGgEqZpzjyBJ2hpxMCV6mJUIA KDLt/8KEiZXckdtXSR2cDlvmEPD/E/Z1kqjmhscBi0Blhm0JjqHi2ji/2mYA1ZqTOpa3JntUr2gI vncjBMNSM+wIW8J0EOL5/Sp/YM6LDqfgm9UNHlkWfMfsBcjVf4tyNasGxcn9w33k9Tgz8ma98jdf //wmfezel5neA1jpAWX/sy2N7EG5uNAlObfwq9rI1AHx25L8/iRCECOFbZssyT5xuR8l9Qg7F4Ea cTcfmgm5OYuV+ss1vUfGhTweixOYk1lE1RxSbCstDUdreyegiO/P4fjn3xGZkh0XDSXrGb+vl8Qw YfXz6d1g2j2/sbVM5g+RhwW+hAutNKqAfaZTeMqvhToJaG4j4Q7QHSW9iJh4Z+mdDqx/tr6yfLaL 86tNok8Lu9GYcEC2IZE0IB3ymHHsHsTAv3d7dkljK/Wqk7wpIEar3pvBmsTlYUFkvNXh6uk2fD4w u0c7Q86/UrCHxSjSKbg9MZBxuK+DjDQdDLXyxtjpCMJrKawY0qv0hsE4CmWvgu2r48AyO/o0LH9h jcUffHYsbyK21L0WKuaIWHwArtQILrCVmb4MKYgIVtsq0kyl9FHNaP1jVJ3yB8jc3wJ8ZdJvZfej sMeSVjsP+pZKd+cCAxBDrSk0qvSrzQQt0ltjQ8QbAf9e6D0Od/nSRFaQSG3CbFU9EJBYvi2+pZ+3 FPM4KP4LIwYduKBCkni6OFuGI8n3GB0/7WHH0SY+nfN/ISoqJbMlkf5IXl0NvBa7U+3yBRGj9gPX RRIR3R/uMrWVm+5nRIog+7Zh/pf7OIBTzviisqUlSff/LE5lUs65IN5z+9FdYJZipXYFqh4W3+Oa vx0xsjFdAMAWN6rmeUWLTVuduyU9I16a8R68pl7tF/13YzXMoc6cwfFrzcXdPWJGvgJcvF/4plYs aoE7jN4NNcmksqlaTj8/1T9KOFq5bh7aerDbs9Y6YrmbvlZQX9B64JqDrlh2fgo8WoM2/9lvGzhN Eb4W+1qm2OPJpFj6mZPnN2iOLXKZQLO+EAnYKshAHWU74RpxlPLWDCBM/XdJW3B1b5oWZFUKf/JB M33YVOkSTnqsl/uYdWHf2ct5roLRjBmUOtU9ekYSEHur3nKVKXMC8CifDN/SolI0yv7aA9meqyuK pLPRKwsHFguQSugPjbnoYwrkXaohVBLYRxaKWQjnFKyDbG416OisXBoydDJrGLKqe3+Ub37PmsaE G6RvGk9WocQCHfIM34laJ7Ns0y7ubmOxrWU4ULQVv3Cj/BrKExh4tcgy9bhJKdX1Od4jyNObLarv birtQGaPrF/4XysdZO2EqvYx0RjNkf4/qTCTKh3SnG3j2hGRaNYlQ/PmrTlPdgNIj7+3j/Um0Eki GQYUs+ihydAm6RF2RXuKjG0RrmRhavKkY3g9Vgco78HJJ8U1wiVJgDWuYnpVQM7B7BYhZgWsKeft ezWA1jlmPIjgpdcb1v7Mi9r0xRnIjevRnc1SN+KKxllcnDOuiGm5x/lP/qIu8pfJtALTJwSwvUCy C+bidLGP0vdYRdiqNX4r+qpkS5EjgsHpoVNRgu5ar5emVH3hLP2vZ+OMEoO3pb01LTX4dxlv+6yV 1SeiKyIBNv0RNzeRn7TC5+OskPgxhPXTvlMrZXZ6r3y1LGUqpnRe+Ni1/vzGdp/ad0IY1A1ucXg3 1jpG9wog/UFijpbHpgSrVrEIjD4joyCUlfypbf9sWSkY0TIs1SXQbibmqruJRcyW+CHzqiHi/g/Z LLsNRkW9gXxo79ROlD3s+az0c5Jb2u75oDjKbI13DXPjCeFLW7agiUG1nNamUXppsKSnxPIJV3Do 7FuXq8SPIVxcvJZHh6e5qdwtiI+fOAbAbTa8XtxiRouHGPOsSFWsk+GbiPB8G2pf9OW0p+MIo8TC 5oKWA+kFc4NAK+WK5hA0uwMliIP16gZ8gDTGK6xsHzhG+AbJbGzqLRfGRTcZbGWQSlUSUh0eWLLG Yks8XvJhMNUKrV7Xv1Dc6bVAel4V6g0VKbDIk3E956HcsnZwfmyyjsLS1+Jq15cteTKAioKetrdF 5hQnxuoEB0DYkarNc7MqYRvIrtUb3MhW8FyhzaVBvd/zVCdPJyvcSjYga5ahy3tgxa8lQhpuLR3g mkHbuMWz1GOQSSOYInFD4aULTh5YdnFKp9Miq3qRqpKp5ILbx20vpYlo2f0gANb9yDlaSRUDoK2h qMTlrVobpM7tGXPtiloHedbLvdWGn2kPjw3QNRsg5nIFBM8neOCFMmBkEy0opphp8BqBCuvGQJuF c20qW3QafXy1BqJjK51q1umX2/SHauK/l6dPk72HIT4U7MY6APS9fuj0AUqTK1ct+ffDEeJIeDEH FtOvlTzo0HJuYbii7tETYvjjW0+QaX9KetcslROrGBvKsQUEcV2gDynhEk4GTDswyuLul8AQQ223 j8NJJ13JT2/5zlTIG0bbDeXG+E4YGgL0pyrvO3urKNFKeAzeeOtLJifo5jdEDqf/7j8t99ZNWzAt pj38B8p8pIv0w6tGZ0mvLPRkTUxvVtFRVZoWTSVgVp8GUZ9DzfcMRdhWl4l8wSIQIWKqNw3RkqFe ELZ/naHQXSDtRtF/gkj0SQjEH8BtEuAGuoouuIsYPSOCje+bcPqcxIwCKu+yXjfFb89feObLaBH+ qeAKu6r9hjEh8C6uUPVvMhsvk3CC7HRohH7itpeydc/ROzlHHOrZ9R9p6WQEhcMAkZoCWg1wXv4n Tu9TmTdlm5rqdboUP/6Y3DFBg7mSpVh1H9GMSs5G46DBwMIUDzmmX6ZQrMiJiD2ADeq9bPSX60i6 GWCrUdKk/4gmv2Bp2ncX6/BnQwxlK7VXYwJ48kJHXmwocpH6IrH+sDspDOWFbhHKOhtksNbsqjiv IjPW8dMpLIk2RNW8tNk5o7O6/i6M3KWLt05JK+80gDUH6y0gox8T6MaY4ZMsv7u5QwQY56xlt71v LxGTDEj+vi8PdiAuAPvgcStyzCVrM+sYFpRuYmjRyM9r8yUV5WB0vKrKqb9OHA8OfC+ePZEDIRjC 67PhvOn6m7X1Zyf00P/fTe1RhPwzecK/5w13loHKHUF9N0y7vubGcRH5/pDpqNZc6EMN1BjRvVcj fz0QHFMkf6DQ+qDeIXEqje8ATWWcYiX+1G18ZwXvmMzkbPSXmFTFQHjFFaCrGIZlHCjpus8nbdYm UZO2Ion5kHJgPHn19c0oRJ33GXYTSYemeLSK24yYT2ooVQREXMeMUp9Dv+XAoUB8TRUXf3y0uTZ1 nDVuqFxUPzPFVacvfthDrenhfVim4rIS8JvTBZuGwybw5dXNMswxlSwK6EFX8s1UPzTTYHDr+r2M i3/7KoOvK08sUTYG6DuXVdFEZn8MEch9qEXkqWlJT0zy4VwzoXNO5WiiOKDpBEKnxW6LM+fqkxXV OcYsDulep164CHLDlaE4PN1FmrVKD09xsr0Joe1rw3tuGj0JnAU0Omlibq0nIg2vRd2iCuJUDUdP d3dYj+DvHJxGU2riaJn31/kTYrU/hAS2+lj+0svFudcGAxi+/K4IGqQB+vOGwcWQSJJVl4hP+y13 wVnRKhYz38rgKT52yXCYjsO/1sS/gWGku4bULMXldZeSIhqlGByHWfjxTLkPo6ZqcojFrIGwfSDy ftf9DvZMSpocrQETTkLfiVCjl7OZdIEyXEWcFFAckjZNKcEe8CozNKDKxrisMh8/kl0nOCERipBU /tuX7D60kDSn6lKc6881ynfwdO8FkWKBorXIvBFZBKuIJXlnErgF+8F4+dBeFUsgGjImKZtQ6ggq mejMeKssAycoDxtoDos6Xb0l2zHCrTTJysHK9HR33E+qGBMHQ3aQkGjwUhMZ9nDcP499346P9nnV 2+Z03RQ/uSQ7qLi5MSM5oPbzfns4Ua5xqli/Vlh0wXRlxzgIgs/mdILrUlFM83vR1JGG3vwuQAeh v6eftlbGQD791uhlGSgTrdCP2dVBckgdu83yHkh71Me52xQh0LP31hKlQa/t6nt62/1Z1gK7mpzo GAInG8d6O+Aie7GWBq9ynkVpLKyjmS8sdAUOEZuiaE2Ngm3XC7QCZK8ZkwPqynGYJiPz+m+XGgtf 37rX1TJPuBRVmki/d+eN9D5p+Sr3NnbYA84ZOZZTi1MRnS+Sc5Vlq3cJGXhhCd4i1c+Grnp8ppYx JWinyfPYrHgJhQaFvgxkrSRXT67ZpIQe2f/nJeErq1PjqFN7eM1c5opb4U6rOUTpzGVBFbd134Ro PKU5o0EEbN7b6VBKnoDOpp2GSS4afcWaWK2/HzbOJI+qyQVPuSR8fLcvcK/4ab3ErL4kkwCW6iH6 ZsdrKEnJ33lBQVIeYgO+rdJ1vVxEVY6xM/J8MZHSbOYYraHMhuHNqoIX5yD3taTcZR57oSvPueAC HBoS84VJKnxd+wdf63uCJPqYClY8P0AYIH+9TrlSE4ZLbCd5j/iMA32HHTokshrqo9KpaoIlMuJK B0PhOUJK6o6UgzZak3aVSbZL5Ii08yvTvGdzeHSgFuV91TlMS6n1eN/XcQqQHb/kL+GvTGmomrZa EkcmouUDTwIWSFcDvqIkPxfWcDB4Gm1muYPams8Bws6ABlrPuoW+qEMtNQJXTlkHdv1c8eRmKGTF N9q90eBpzMmkwKBPBqsCwsqNbX0iL/4HOUP33TzEGvfUCfaxskXnxArIi8e5c/YkFGit8MBtQd+Y PgXVTH/ckPfbva1NK5tiStKEd5H6WKHSrJqXADazfWY4wuIdCMXYY06IEi+mL3aChTVBAtfzGOX/ P9ej8QrPfjHIk8nVb+WGGp+LqCPEee3taEWSY1YED2CNN3W1SQgLQ0iPFLvsq76JeLPh9mSvsABA 9BchMCD2noT9axJHlyij/KV95ss5ySTZVuiPcV3nXhkd4GtO4ALSfYVYyAXYxR4R0Xfh9X1hnNEb U9PTk8cNarsI4cpndzFmM+0wtXKUP9OLObUnDHSzKAY6J5gAxhSnlZZ8R4MIHf8S6962RBB2lD80 nHL/Ajq8gD2Sawt02zk+igMvecvn9ZfHXCMAsTLAC2jlXWIgbcLtzYYjS8fVbirXq9zYHhz1i5L1 D0mnCh1b2KUSK4TdZH9M7/Oqwvx7nhMF6Jj37bmHRci73jXys8tYFb4351xzCZZJVe9QPaOmiA6t HVqqNcY7UoRRbwClwihFnCV+M9mAp2AyRVju0sMhzJQGtdqtncHynBARcT88C15GJbZb8UUXHUQ2 T+EHnYwGBi+gAq+lnxm3uDjLp9s86VyV94cnLD1i2jDHSiAC+SlFZMl9Yrtat1Cj/nztV/GPGfdD X30NhEq77I8Bcu0aLQFPmb4qUS5lKSW6doJtmZdBGD9wRU+m+zU03glAy01mKUambD5oH2JbBw62 kD/ZVboL7DEW8E+hxEyx6thNDz4sS/1XainAAo+KgqddPyNFwWAaguFnzI2vSLXtvaooe/ploVC3 BYOSaV4Bv8KLJfmIM6JLBFUslcBxfuoexM1Pc+y9/+K8XQxEykSl7vi8dFFctfYClGHGMPjxEvYC 4f4P2wrjlTsW2++JPVOkwWrIuMYnjv2MTXrNhFJNbFoaUacNphDEuswBiUncTynMxURZDDMiIyse dTdq+YHQ4hla3HUYP1XYt1Ra50TOi1zVrr0tKZXF4xo/AJ2jJSbmMe2UK8ZCBODrKJX8XmBK5r/X BWfJcHuHjCk5BlioAt2Vc1M9sF2XQsAbwP3UpiVRa+wvmiV+u0pgvlNWEjojAVRjXeRTMb3IABkL pV8LxQmr90GD1zyLsNthtS5B9caOFFIJNMWpnICMr9lsAVZDW0PhxeNAyUkDiekEo0lnRpLp5k7D UjkO0VfABswuPcqKN2zj07T0g1bEExfng2Yp66BsdoOcaB12JwI7hweDDbCMX575bLyg18fxw0Ba ByNT4+UFIxspfaNNhuvB2L8MgrjH6brfWTbv7cLFAakjZ/DRDZQ+NQBRIKogzICk4FpMFn3ks30p KvH0yDaq2ouPjJ1lYhq9wgYi4lKYAraYfl0KfBqH7Ehx3tjCHYxNndXRlL2VrVqB8R64Cv51bud4 ugdCXorlJv7wLyNBGXNtzzOJM5XhJPVN9K8OsgyTNIjjPbYsju9nmjUV6uclbpPRWpeocberT+cn TUBXQUyYi4v1omMnZyu89oi1jF4DyUgTNHxSaGDT9pxi02dOy48CfIyQJYUVaNXSnXBtFV6GzlMx cViix/+lJD1D/9Hl96Adxwa8aM82GuJ1/sDAeo1V1y7PKVwUtZJtEHgCxFZNTOq+LkDW7OS5Wa2a j0eRtDoKA7mmZio2agcXjd33xX+VGGrrLhDvSo+ny8ujmxKFYT7GyHv1jeySnOQl1oCUq/bQn7dN Qclptta2DhlQYet7oRQE7drdBF7hjwD5MvL5zm4dRKez81OFrdNhRjSD0Tr3WTOJh1BqDK7HMFsI QM8GIXbwCwkqTopgxy16iwvcGv/GP8//mZeWDsewdx9kdQLpVeN0kBMyXRhi8z5rzuxiXdY3AoiU 1axKpELAXqeDeakH+edep5oopOyhlmikVtjOzmbUYxOCatvj8f2V9uJaeuoSBA/vYfs8NnEGIbTV 9U+9h1aebb7S7bB4ba1YFjuEOBJHt/Q+hYCOf7hVLn4dbs4JLXEurJgSNWxHnIA0HMDgufhriJ8o tSgaksTxia8d1B1QFszS1c1Jglqmgh2TXKz5ncW/NCIvWis7t8e29aPvlnEyoOKMP6MI/DMtP7A9 BgVlPfvIfe2X+3MiWiQUAVy17LqM4QDd1zXRtLaQ0NPKkRAwtCXTT0YJF2CHTjXbofcgaDqIDP3G wHVZtUsQbtuzBLZP6I6gUToukanuH1jLxTvmhDpPDiF8SnBagOj73jP5c4bymo/kKBrbM/qc73NY GBWOgrY4d+Tz2Hmi3lRqaiUoyfpDmFjeV08m9Oe2/WE7h8/bAa/kdScOxktYXyc5TvoK92YYNP4Z h6uXGIBcKc8XuQFG0qfLu8Yr8QSKA49gvI3cju9OU9zmCLLiVxkhfRrbb853qPIb79lyR9owYcn3 QDPB0erkKVO1xmxmK+p+no/Sr18MXee6cVX1O67DOUX+4a0eRcT9dHHvKUuVTEKzUl6f/bydRjZw 386VGRmLEistuqK3EgWkMDCMwK9trucaJXnNNjZWvOG676xxgcLklBTWukD/IMEi1cef5rVix0pC 5PLb69303770JYEsclArxYe+ZE0r8caQFpgrIA11uuLsoNzxvaQaErliIU3vvZldP3Hzl5gJvkYa V9dU9w7sc85TVMMIosyTwNaQ+4Zsc8O9m8+UDnQmtH8Z/IAwJwPpHE5bPAdnBgBJ7XdbN61ceO7r nl1NcPRdinYu451Ydlrfyo3Vj8KuU9sIYgrFJdosLOaZMG9LwlOwWMu1syn9SZCXxMtcy9yQz73p KbirUJ5hJYkmQyUWTzcOAbvAa7BHGBpycFFXQ+wT82luf8hfORZVMkuXntawSvHSgfUQEqVY72b+ Uc/z6kYwB4JXY+XSr+zxH4f/+L9oM3Q8KjZvuiy+tTnq6YClMyATofXabDAIl4/opljTwhkh+NDt Pu4iadfelIyNIUCjf1/AANO8UcBzm2ODsiAV+3uoPZ/ssWb7tDQx2cpsdI5r8ts/8k2MTaA8LiD9 ekhpgYm1nFRydIXPS3nnOPfTK+YoleJUVEoAjYqtmiah9D+/KiYHIMN4nJw7RDer4wm707YC2Tay QhyVDQxbY8bZ4FROb6oDGskeloRTenpZhFOUfPDLdL89/NzCcY/C/bIc0bH7ncY29p0CpjSvwFbX 4GM0WwSg9hic5sNJ34uBmNhUbdbp5V0fTVt78Htg6yCqWCe74LQBIeBoOaqf4sRDYWiZqfMzYozN WE1XzW8fLXKnlEsWrf+3qYfaiwSg9oI7elzznkKeRLn0cAcomS4u0V4QDChlxsI9Zixe5hp3nESE fylgVKWIxMUsCfAEZnTjZT3vYEIFgb8B3kJhpDzAEhC9YI82oBjo8Lni4UxnM7nXdL8BYH6/iodW G4DrHF3BCH9NbexS3qWucrVRA7mVdkEz49SnV7UnoR4xhpGTiU2ROpQfNQ/jmrQ5XvhU+qWNHM4f PxOuvHGFPcPUPLxuTz2UNXIz/mNG6XZUk47T8RMe42u4lRYLKJIGaLZN+f7YjtuOwh1j3xxFknmb DUupNl1dkzb7TUWDi7jh9S+jfQE75rxejeegPz1lu2mj0SBg0n7+PtGnKvPXFKIuFy2dPKdem9Sd z663egsBtSRiAly8poxoAj70+DTRgDO9S+uDF/dAK5IV0nY+iKUsmfXIK2svn4rzGwp2BrlPPFXF v+orAM8MCkFGxW4oOufkBHjGmZ2I4hW1HpTlmC282ZAobuvXhrtBkkFVdcw82zIE0C0FRVVJ3TOl Mhc2lh+LD3dMEpOirRko2Ey9I6LDJIzIRH6apmzzqcM2LKbK/BGHT9g4BAoEWY5EAjOW4ZzjhUTv G4lOqLfFvqdu3Y9KqFkTwr9Qq75YfjZ1V0nYVtmEJOgHEWpfDyEFO5wrgByIYqlWQdCPO6/OAZoL VLyF+NxvkdNV0Vm0/VeZv3tq1ROCvu/BeYamtiouRQHcLsB4WM1PI8mDjtjtFoS+PlDuCSSN/DCZ fKwQfhAElf7prJObweAz78k4a/81eNpfOzd4qxWxpx0rspBYjU0Tgz9kQ5Tz712PjDp3Wnv04EL8 dmFwMtV5SPcQVaESik4PinsQfkhGFvHTaZOde+IRDyDPz12guNWe0uQiarVAiedOzjteMec36oMn MMnlfSwNCNlrTB8jJXTGVLs87CEhpGgAz34St4dFhdLZS4LKvlLDu2umoHc/PG7NmTUbnPAEmOsD yjoYTcbnBDbxip27ClkvbtbQEO3kX3Ekkbv/Gy+g4P8pMykR41mFseY/M8k+IqqzzCdOpVEXTCoX JCDOxQbv3OFy6UkxctL9RqI3Xyv/651MFNBzkTXDSjrEH1R26DfhCthKPjFfO7RuwPj8jEDprFxP Jsbyh7K9ZqVtps6FR80p6oS1/zLspHUkmRCLd9IOTbtLVSljQn2pdsRcQ2HR9GwzEfwKmElVL2FT 70+7cqWtM8wEDVFnOMyDuSfa2zIHjQIToa1QLr1NPCz5WBrb3QmLcc0/A7ae52F9eoIVE93YP7N/ t6wctDwXmjreVfBzsfA+5kvaYF1Ffa9uKlbwf5flnqRKVIiLe3hoH90RGAyQXbd698n+fCSyHbU3 jZvc1W13O9pAZY6M25423LhM5+CY4CSqNilJIXIS1MDcux3YyJW5iSsR5qxv8xskK+uhWdiSmUUf Hgckmmm4QkVniGIg8g1dW/ZwadsiSLZ3lLPP4QRI0ai0Tf9oDOgx870LjiAxR30zJxex0Sw3KAZv EJaDDQAS6NqYiUJZ6uKWYI8/3vXPS///ZRs/3yWfEVBxNQDlAEHfq3KkNoHobhPXqDFqNsjix+PW uHKLiPmlUdI8eYrIdIFxY2abmaqBhoeP9Q0S6YP8Wh9QwYANeH8wl94bGsaTmApxynmbRzGMIcTI mFdzgfX7zbyhnbBNXePSloKokvgPWopqgoa6EwGBnJ9CunSBBArzRmP3KaGZMVzA1vqON2iD+Jm6 X9X4QqqFx4VX+ns6IfFRSUFdjMPtNbT84gH1LD7xPDHLJ1AKGp1oPbLE4c+t1/P9xG6hsFOzeswC TwAatXHBTUtvoX18blBuf+df5IH6eiD8ivruXS6xff+EsuZaKZ2zlTjKzq+qW5wTCe+x69s01Bri 0SKMVIdOIHIfvMcexhxxcZLTRqznxzmOIUcfV6eacLo+G5wdM1aszBs43T6OIYlXkcoMTrPYKb+L HRDQgCis8YCTfPhfAmtYsZEZGZ2tlZz6lzk8yVVXuATc4dBsxmXmTPTRfKt4ZUdG4fQMiEsZ6ecj eCkU/975CiSYV19dnA0r3WReUNMENVFS/xNSmY2G0ZEt5Bo20bQeDScZYRr1d5Ryd+XMasWEeaah Ii2IVCdCoWg2NtSqLH4I5AC3sjSyxRIjyAX7UQDXIgTW1iW6DIx4i4c0ZO172LgZHq+WVojgtVe9 649fSoG8OW6BjuTq+y5jFh9Bu01r9kPbOmwJdJF6BI0zGTdLafPxsJYkN1+AlzSb79amAaKPx+cz tBJ3S/g58b6XJTwuQGowoMoowIJLmdMC6SYWDyD8zToscORbP3XUcd+TuCsp168t1/ksdGKWdw4/ 2ybih1xvmHWHoexuduI/IjqB6XobCsalMbCVt1M+spvjukKatZmtxepi8Hq+t4ptHkr+/J1PDaJ4 M4Yn5LxBqcPkzioGohyicdKPFjxzzUFhW8Bu6d9KViWeZr/bGSiWi9jCKp1SOaLLaHaroGWVDezI 85vxS26fwbZPkS5H/iIuQY88Ut5y24+fSpPLnk/GmVDreCKfvr2GjZJI9Tgj0IZdnCOYeAjETP/I qThR5smURa3X25J7IVuJ5rCNc3iuWbJsxuOAYWt2JEOB/VAKivpYLT17MkrSZnkxZs7Hvet1Smmh 9194p3+FWHHVtFhj2ZANevo9zKPw5/MtWJv/Z/pll0hjFlDLF2iVRn0mxET51t4EI8vsyg51FVpu kQUps9WzN0XQMLDclj8Rmo/wKu/4+gxTANPWALDxU8/DVQiSSY8fzwTcYfrLfGf3vYHkumb7h4Ov MWCXPmaJwMYECW3ck8EWQ0MGZm0HZTRXZXAsMTu3ZrEHNbGedHTAFHKf7tQzGCqqFHtlYcU32YX4 XP806V+k4MtwakNYu7QGvVpKPysTWwtyJgO7JRKkdd71qZ6vkeS9NbClAUK/HezrmQBTVa4cUZtT e8neQ8Neixf8jgEs+XMwTCgkwGrUO3VLMcP9VtpG0LUa96BehP3Regtj459yLqk9GH/Bagv9o2nO srFHmGPqnPagxyv30yRDJ5hx6uQrN/nl+Haw+DX5lB0fajwUEH5jn+rCBI4Jp2bzbbUD0G7YJXmw +0yhsN2D00EqzIYPy21ABrB8o8YzOc4c2oYxp4NcKJQNtNsyFUTp/6ZkVtAtGFIgsGKZEAjSF3Fv g2JbvCNBmLL3apfkMP2p3FSVk8dUnGf+WJit3kpyU5EmVLJ1caGhwk5yTpJCZihDGR4Ba+5bQDnO DrhaO068laugeowuXKMIWkptg29yrSVr3MrU6Lsz99hCuUWwBQlxhICQ+eZH7b1QxxFH8NVDcomt wzRRsYbxqsUNypZc5JTvDnH/TorXRp4wGC0LbRs8kq+zlZIZZONwhMliPBeKT4jGzAywSH5Tbk9l wPWe8JRbU1dLeJJHEjHA8qNUBaCJfrgzyyjU2UM6KG+ytY9d2tLXoRbH/fknmriOQgSS2Ys38oVw SPkF62wKRxkbL8q/j7T/VKNtSA3pKGtn/usFOTJFQubvvw6+EgYMEMdAftTEAzGxNEWpRa80m6mD 6oAzmcL0jmgrnt/51VOEK0JhBWceCfZn7gkFcX45Q/pq9sHuLXBq+wfRSqjOxFhqhr/TyDYdJbiw L0uJCWgyLfojcMJd/k8wnyTGf3/I2MOb9vsX4DZvygWDGcu6joGU86uTn2GbhxeUFhnzROavpRwY +Sk+we76fAeO0rBVQg0olx/NPA7rCrcslnCGHXw+/UEmlRvxEBOWvO4s6b09tdggvrA/oXTDaowE vvUSK57tx1HlKH8mnjccExzsVMLwLh+bOh9ypMnJkgEO5PBR7tr05OAOhMxixP4HNbdmcrTGYVKt w5EQRn55cQHJoUkyM6SggM47a6vaeQJrRzjBMa9n+vkXBWuB/dCpIXQdht73eVPdQOPGduKduPHf POSY45N/8Rv58rB/v45NoOrysNm3dPxg6aSUNzWpN8/PMkUiv22zhIY+HHr1K3vFi8wt+cpoSWob OYfSeN+pFh2ZimJE8yKJF1RmrYreEgJ/fRxblRrj8xdXVfx0mBtHTcX1SJiKlOJvyjMqD4TZ+Ioe 9z+Sp1DPs/S7tPd+uCYtr/Ra3n+/zySwXLQPZ7dHBArGU33ftjguQVhte6HzhqfwWNreZH88m9Ky +EDnT2/P3a63PcJ4IpfMkqumBjCTLLbqrAWcXt8ZrI1M7l71Hioy5YHZwnxklJzDVwalGgXj+3+F SRhZN+TWKWZf5/u2wEPGJ66evLbOoAkYZCGXsbI/sfiYBoLyNiTGWiOLuql7eald1iMv3fmCdVZM fNpOMOs2yXMy0Jai36RMC4TWzIVNFVNeLrvfcoxdi2OY2frUyCQ2eV2PVA2uC3kLu/Pe7je0hvm0 D2RcYjiqD1712QjxwH01RS91mOz5WO5jjknmmdLbV42hBOmZNf1vCp9A6Cf8xlYUZnzZpOiUDEDr jgmc3rtSax5hRM5M8M3LtNgACdVlo5BReUoue68IugQIPVlnZXbHRKAjADRbEC2sLaZpTg9MCUtS RI1JxuD8OmBewMUCkH2b0/eLNr9OPCTB4Ti1kggskJPGeVcyB5i8Ne64LlCAVWqirY/iYLcTU53F HkVRBQdLfp+dy9ANEN65AX3A5md3sIYff3xU6yqwn3Sfh1jKDi2R1ECibl7F/OlONaPCbg37AdF/ LpuAdN+Iz7VnBNqIZDrO7CO1OiQPFdU6T4+1Rl33yE/Bwyh8UGzMrr+H9clk+paES50IniMjcbGn qX+9Djg98sopchdiY7rRRoAkmE6OofHOOj6QbCMdv/5LcHCtj6m3AV99gI5ePz9ListV/4SbPTZs xJOMVy/BRQx8hPrhElgTbBO75Dg9cvDLFWlYBV3ifclwfpxkasf1qDnob08kPfLlZHXgarLnIzYi Wkfmxc655ggKoKvJAc87OuVIu5LfgA+dAty3OtSbsS+H4VvEKI3L408BVbWja8vRM8QLic0RKrXV jYcipfD8CMJnDhJFSBPNswCDYpc0qcsI0dmkeOt8kMzIEX3+5rqspqRjlfarRv7PjdzYo0POKuTI lHIfE5mZCeVypzutcAjUAZkxTUQdYwdSRWGZWLnHUXFsgBOXm0EnlF3DlwwTWg4N9BLgxmwlXcww 85iH9+z01Cc9SKyhjRUMTL0NjiDD51UH6gNzhTNEOFQ81zYOFRzZ0QsttNW7dHbiTC3Zw0ee8R31 3+jFcAFra1rJDMdiWzMmRBaNIEzOaO4iNe7dz++h+0frfMUyBcRQURMGgn0hLOJdAYfmcWu5JmHm lRR5pi8KZ6q8ELRpP+oqAkcA+BMjZKHqA60aQKCsPaFMfkjd00lcWLyuhXk7+wBlR0RHqL041G7q 8n34c4q16asblI14ed+OtP5JiIoV/NHUkV3C/IkpvLP5Y8T1buEEycT5Vk/AqcygbDwYS/rQf0k8 IW/hbO8rCq5oyFAw336SbTxV9ELkgNzEwD824rtvYSYGZJdO0kvVw2mYBFq7/Uyu2iMNqvuMDIlj HRh2kQBjFUG/KLd0fx19d9AY0k1L4yskdoOpgusCjyMTpkMIZHQlvkZRF5QtPigwVRHs4ZgxkWtm AqN2+CbGhSsTBoJrHqFs4pd/9lSTsamCm4NfX6BSyhjPIQMlWgaICMK57PHeuXBQrBnU6LqRpn31 WBgbhWuIiAlBPqWx9CqtW08nclwUwSg5Kcbw/Mot61ziN4z6Ya75AKAo/GhXSLijGaNrCK8PvRCU TBd3gI67EopLcfAOBCz8EqhwYf9iI/dN6cKQfjLfmVbKiC1P8iIK46Vhwjz/SIXxHLOVneakv3Fl BxgfKU6rMVSPjlLhqfSHqCgHdDCv+CU6yx4bQbqFe2fkWoJ1923oAcU4IJ+NSQWtojH0niIRtZqi SDz1gnH9b8ATF7Zm0vT2MB+RLTYg9gXEgqHS/slXDwNupr8vTfkQ1yBpnbCAkxpe5MDgv9laafFF l5A8yIO3Cu5ajIur0NLFVnKvcRRoxdAikj6ij7spASwvgF/BBHuNWKkAuU7WOtloTjnNrm8ut625 IEyx0krRcMJZcrUqXczGMY/4Sgv+Jvmhvu513zwSrUn1KhQVzb4Hki2RqVSpo3RXAGTN2GwFtgHj ToczQsMzC98VVCNxqfT8dAzMk1DW0IwWCaZZCmXabbcVN7h+T3pPkwcxo2D482Rw/ZnvRU7jX1MX DgA19IQKikQBiJOy1pgFl3H+WedpIgsWwAwtykJbKw/rrqf7nWFFfQ6goPeLNAVXuicM4Cxim5vI kw6eLmDciSmHISu9/8ZhPbtUgr49aOzQFyJIXkLuwUDwgONYTrouXMmMcVZJeArl9KHSziW4Pl/g X9Oij3+Urid8/I3FIvfWPNCYP40qKEGFQ9bpKYJWb73pfJkYJHvqgw+5Jv3/OG5NM7PCbTjtMwoW EUzlUli8TrzYK5nWKC7KUxWhAz1jYicvf92ctX93pLyEyK53waefZ36ATMiI1tHgDdRhFpMZLPvF cKVJUYbeyomHDCZz1ccru3gLFy0GIWyiQYdMJJVZb36XZOHqQYQkqw+3c4H37xBR45ZpS3X3ck5F FkIOcouME6Ss1Wkdp6vskvTQFAk9KMIwFRHHGA+R8MC00npT0L8QGMy141zYfrjcJyDODZxPDcm3 0R0nmVjAyOgQv3s/35oyi5AzWPon843SfRD0wlLcBPxK8tRxnI1vjRG76cSprMQqkKkWaRjz2/wB rfxC6Ow5uvvBY9mcz1Trtmb8sKGdNhnljBGCq4eUNVHormP8YF4QDyMZQZu+li/UPI2NHzazeVrf jGiD3c1ObKMIEEeAMfQsZgSs5QHZ1DjgxFBnSzv2J9hOZf9Y8ZXEAVvT3iZde+cJp7kWXv1Cd/yb XbQs86vELdAdQ88A9skE/px6oPOM5Pq1jMU3NMs3I3ZDOzvhWk7fwy4pf1/iOAe+edjNa8ormlmw wu+bLwwnWz/9wFCgJgSEwaDbJlrtWYmXDC+QLDAOETO30xqvp1ZBlc+g1eG+Us1KBCdbYJkctqKj f8MQ14L1Kq0SYQlpIPQ1W2A9L51ktgFKmA3pm7HA/CAOz7Z17EZ9Lgl55OGXT1ea2+N3eNl8HNzV NswN/54pt6ZJ6bIhXNP13GpMJiBmCf4zi6nSIqemJxDaHr7malm1iFYZse1V1PzefBan+2QAiwBT f5FelQHaRgh/aLqH4MLSfc0ysYW26+/KiiLCyiYUVSWXWeFpuOJIzXhnj2QsVcg0lr/XN0dq8WRD /tQt8PpTxE1+6UvmqZIDmZjuESYoZe7z/ErQX61IJ4y3A7K0w0jAUs6mSG97WGkOyVWJPPJbQ2wl uis1Mj51rxB3KDzYPNRc2dFDiVb2NWN+pLjJn+eRmOeAZzXM+JzLkn+4mFIYpbxsvCg5XjoYakFr bCeajP9BCQzl6fiY/u0/G+tC9Ov2wCXt5QlYRW07QUVwQ31yfVJ2ahLROvFSZRH3u4HIloG7eiwR WaOaPanuKJF6LvunNS0y6kzZ6hne5zqVIhoWrMXdVIfy/YveQS9Go5+AJw3caGiSaS0EtkH3hqHG em22HFbgx4OX2CkTCxyXaJDh6VE8R9wVdmDoRmSn2CO8fFyOPF7k9hcVaDP+A2BmxZIdgYV2lnFm 8WQVSxfoT4UiO6ijRV70RE9SJI32ACA9py61JUe5pytH/foQ2Pii6T/WlasvaZIAadLj2k0y3eHi lhbJI2AfSLTHwZZ+tnFliz28pPqwhk0nZwsfjfSYPxLCWOhsCTNFrqVw9wkrR/PyaL6X5XC8Aw0E JmnDu5SpRvnIjMkdXjdnwIxHzyYcFYdHbPS59e6x7GfVwV81vDIoYTa3pGHVmqi7TQm+qVz4/HVI fqJvf5cD65Af4BbollAIpnaN8eLHd2z+n5+CUSdamcu2bHAoU0hhUsmI8rz4W7WqX0g6BZUNrS1h Za8Ak7OrReOaE5Rr6j2DtemSIVlzOYpYq7OSpNxTgF728+estCbG5f5PEvuNlQVoABBIzhlYAXmS 45sxUOEWsauw1WFuz399BDYfdvP6abDIcUuVd/A390OfFLKDbn9xYqjn/xbFVuXEmaM0BRqyNHQV zD5w4IMO3w8GGJYSmTQxx3uHYFvewukgOWx6EGVFV4MiDwYK5ImNP/U+6WmqbLXxt5BFEAw7aZmO xNJ9kVaQfIEHPTcd8PQY9WIPnsM4vvJU2SkgbcMjBVAq7pqbYPmIqWy8kX4i2UaHqYXNsMDAAuBs 0InH3Dpk4272ijK+9sA0hRd+YZ2sZyo6qdKoqRcsBycKE0W4oathPgQKlqGHEcpAmRWP8efgTKz/ QvQ4okuq6vekF3bBmiMJuTuUT0tg0Cb1mrriZJ0Yrp/1qhlTIyd9kyZP2ak6+LpotU7zmeNy1b0n GdzARKInHgZmgjWxpLwhGdJJERgI08xw1AqfaanBK2Fa6zyX3n2l+2tl3tYyRHCG0cezvi8yJBFc pbk+EPw6KqQbnhofMimRN31KknSlqAke8dH6FANy80QKKmpnTbCujCsgltQcwxOSpDfeL51W6nEr l1jCbs+pt5dY1NF/PRnTcDU9saSZuXwM81HqTuaCnpfhXs98XWjuv7Lfz28ZVCdAkAbRTMrLRF/E RLIoYyvshqt4SAFDIRSA2kjOHkDl/ukt/nlpbt+TVX9zK+JysPUFTSkDQiC4aDkw6vV8rooZjPbI rLFoaGFYPMb6mQRSPzab0EiYpBcne6v6pBPSXAPQ/UknCeB4iT+oNpIZU6R5sYPoV5flDePQyq/B yybg9AyVYHak9p181EcUIpi6r33oL23UiMfymP444VgedMGcFNlSxKdK9+OaLuRp1RAxTWaOv1bX k+j6Mkha/RHXqmkKBvL6xUA8hjxl/Dog/Dodgmmp48gb6prxqNHvLFFs1er8qXQyK1dlZLXKGRhD WwcJku8nIM4MQRBqEEwKpJkCQ7JS1fo70qm62kyhbGgZXARwPZK8nS1tD5u1RdJ7XW8xb+PlhW3K EwWFUyLYyZS9CzQsdWpnnSsAcBSCND8+iUWOFdBToBY9QzfF/dd+qUXkgxPoTnAVIEoSNmrC+t0J hi5r4GdqKWfh/haVBviDfB/GNShSPZqlRptQKjtnKH2nOiWDcTdmUA6ir2+oW+ZqsBlBtyksSxSN NK2c7ujX61rukxQPVWIilWQx1zWPBx3BZlHYTIYL+JZ9uuWk1yTPyIvrq7jBt7h+xmTjHZbm2Gbo 0Ht1vCT+zq+HiHUxIfqESgZoSIv2EZgQjwxuo1wehnB6VYgTXH3Mh43KKyVe6v1pf8kcuzmQitiv as9rzh6pv/pCEd/y7yQFPsqkoIR3OLAoMl6VhklYAq6sWMv2yYnqXPZwdz7+UTXNnh/hQQ/y5SU1 5o5tXqluoJunB9oB3DR/4GlykLJVHezEjozgl0LzJdBRq9bNGFl7f5Go5N0jpehmCyZmAgBmAUFj gcDCCBriNXsVpupfmaKSidSEta6srrmIKCFpy8saYh5rPne2O6ots47Yio++uCp4MbClr2JTtZSU /cz6FbTAReNiKh8gcsfsQyB9nOcWpe73KtAp5+4v06FoF5cC54aBP8xX3k2IYWmERwyIJjmkttKE N1xlegcTXLfQ69PPOgK0lSswBqGazMFFpJjL/VtA+SYsHabN8cj4c32FIrjpUxstffdH0G/udbys JSwG+yXEoKEOJTEzRoA6CBYCaHbppItLgopXJN84lEd3mucoPJssMrxt0y5gJgz7oWabOUA7oFMF xTc7FY89b7Qv2qdWqmIgysktvA2sbkp0y97gxNrHkSsGovYrEOC0SKSw0CTN7zUPuKWmOCS8EYvP nzlRqcS177+u2EDA5Eprk3XssAORVGIbDcZYLdeCFfbBlyJZ0zz/zEGT4NkL4ua+Vr6M98v/sBq8 j/nQeGxKk13/DdjyK3owGtR6k4F9zfSwiGZVLKtJTAS+vTw5kW15LqR7GBx9H43zvGJiDN/cB69w ubv1NB38hmrVuWMcxtmJWbA6x94EdEWyAZP8aL3+S6AlgOHyVrcPXmJXLJvN7rOdYgc+6zuLRne3 H+Wt/EXULUpDQ9DvqoNlv2eD4bCXls/H6ElZNVvyEcKXxX3qkGcp0lJzM1MbXMuroSgPDtQvFFg/ Ur7+u7Q/x+q8YfP8oEIYlkSaWZXVoZJkRKkk+h6CQE6Zz4WE4hWdXv9+w/mXEEURhfuJI/3QQj9w WkoSIadvoCYyQou9ib1Pp06oQuxMp0XosFvu18hji3j3nTzFGa48vek/xadLhX3uL9mHKPiFmUyF 2n1lLKcH5RS+FCTc+jQPV0Dv4XrA7SNRcmk9Q63uge/xrJuXtPjDmmend1IcwoMLYQGVqKa89A7Y 7hfTQf62wZTmzoXVlps4AyiVuLvXgjq2miu5knSpKUdAe2ibwOscVcaBYU7pL65JRbQO64eKDHTY nuSxm9m1iPI0onOvG+ZUOQoTOBhSq3SKcylPjKOiz9i1J6m9KvjuC2AiA0QyBRFaF5yyb8uBn6fs 8o4SXxtVxKeh91qrlYy4Kbn29AsGov4tu52NnCUIDP3pOkwh4oRtv0kYQl0/9R25DWlsTZyfP19x cx0eK6n3+Rb4V2SRxfvH/5lQCKym/YjKCoVZEFqVLWqkt5I7nMA29y1Dn4VJwR46ESLztsCfcsC/ +NsVOgF2xKSh8zTS5VqU/mtuAkus/OLs7fmivWRii08Xzm9oOKhKmjE87BZMxGRgnvJ4xtUDojZI CjNsdusRO62x+FEqrUtM2FMZkA/rPYkATnoufT6pmx2t+xhQqMQWZNEYGBS9iwmAwXdyaSV8V2rW rSEu4T+tL0BVYq4UY/fqy/EktKs3DTP7FpM/igqPlPwNchWoatXcMlLPnHnD1BiIeo+rCzGVBGr+ 6tye/j+0tZCEOoOZah45T4i4U9bGW3YJbDcMEo1NJyZav57aYH5uu2OWuL9O40DH7wEIA/m3ap/K cdoBObz+I39/gvD6I/EzrsNGsV9d8UZf75RdmGizsd+O5pqTk1XoHTpPLtUK/rLU1c+4FK3ay/Oi cMEDGj2CfAjrIyrdWie/xVeSI3Cz4dzIb5IYjMPmhddQ4zYznlqsVvygNCpdkenvt7o/7ehDXEPV AuEi96+W6H7AffTNrc/t1Jr2tpmHJYQ/rSLJbxF5NVnLYTWUbIurxZxPY8KjNs/2E9MgFTq8ZGgn FPEMLMwwE58B81s3uhQ0c0CWaznBrfuMYkYpHhyIU1J6kepieZKOFfLh66K5WgJTFCwHLMpbZ9qS 6XnkiqkjAcKDycSA4YReGYH2bx2I+xXJEiktZO4Qt3MnIY65HMNUYnK+weQ0Pds9rvfzKUjWGtHs wzho+BrrH+9l3h8iCAmhQ7irXGjDDFqajf2ZnkCH8SHREJ9gK83eM6ipNWz7unROpLXf7d1kCytP fzVBi5VJBk9rNn2dHD8r6HoWlBAYhr08RrWj2Twy1Np1CefX1QZ74fDThD4baqP2FGJoTb5sd71a +pD55a+5lLFm45Lbig8d8E+Qyu7LF2XMGhnZO6ZZFFHsS7NhOzVP6TEdTARMvJvo7tIDcIiWDD/6 r1n5ZKiOzdmQt1L5y1g897iVNZCGgqHw015FuAOs15rAhGoEnFpx34ACod9UmczN5uHd0y2eLYEc i2QeJZtxJ2bC1RyilBK+q5dM8ziuTwZeQY1jwfgszt4UxLmm0yBfL/bRiltkr5Zi7roXEk8oGGhl koHNHuo0n5WKeesR981s5yLRMvu/CcEfvBsW+Frx8LFN2raf1sQQA5VJE4jIFy7Kjz959OgwVtD4 USNByfTZVPRmNXilbtqTW8IIuBE3BHPexmxoV+T8vgp+4Tc8wLmsx4tnXyKMVXisq0iTEf8Lnn2F QLWXwmi8CLy+tES7w6ctfxhFHNmIkoIKrMURd/HS1NOdEIeiVO5nSj/YuANNyAS8gPp3x+kKpnmF PDwv/4K0Hb0hhhTENLme8dsVCWJz4sV9zsr3qHlQRYFEIXjsUvagkTyD1peFsrJy65RzCq8D+SPP NWftEb8r69Szton2csRHANR9dk1MyUbSfRSDZ736xhxHWb3yazaZ5HFN5Hx+AhkGGPaengoW5LY/ nz4ZtlaADaLVw2vWWwQwCl49j9956nVcSF61tE1sEd6KweSgcwZyVZfYyyXp73M6FXQfQKAxDjs+ ekSvZNvt/S2XaspY2Leqafg5StuKCk9ScPJ7y36VMSBTrY539UiILlKCfs4FR96+Dwzr4ALyp/TE DxViT+SHQ5HgctcwdufkrH0wDFMsZHwX1iGl3m2w9j59T5XdhoHhyoW3r2NUEqUJayeqoF1B8kom q83Al2iC2L/jIkqOIV+Xa2NiY3CNrQlDl8l581Ek1Epff7KaRMpMEuKltbU1gODkK+RI8tZYa/C5 03WPCv+xqUpuN4ntXRuX09F0DTiWOl7Vz+HJpDR2c1gqJrebFn9+VOF4Q5k8gki4p4SlX0xnbcbO lSJ2XPksPhjm58enBXKDBe98DFtCvzY4c9bNJOEM94OL7N1BOHWJhMrtIgEJRNqOu3L9ZureP6+Y 4wc0u+nJmWqbxuFppXWKAHpxDJD45w+NnBqc+c6sAdG3S17QKUpZxYSNu/dr4UJtmomwxt4N4rM/ 6PX8f3E6RrAcBzMgxQd0SbsHq0xusBXqGHgRqAbtH8ery0mqbG2w22ZGaz04kZUIOtP33tUEtO3f 8ItFYafvbx3IFSsStNGOmZh8gHuXwdLuOo/p6AaD52h1sJzKaDgrBZZH2FKkKYcr1g1PXxXrgh2S FeiU6gnYBucgfHMRGkv24MfLtsE90w/fr2DYUuPDDnspdtwLU3lRoijYPuD/Qmo87CXTjzdqe5JK 99eomNP0kWEenSROJFEmg69kYL/VdJteB/UMlzorc3/BbbsjjWxRGNi7dcIQ4nTskQbeTkYycjT5 MgwRztX/ZFYAHGMhkNMiNY3+03/JvsX/ghB5PqVENxgIpAfsvBk7HNHm7+xGNygtxApM7SuAcxkx C0+fuIE+ksvhnrgRgf2LTRGFPKH69ixFhRxXAPGkWgwSAwMxdzqlLgl9WSc5UU02PFx+Oxow6Skf gVCbA+wECFmzz/R6c3hbdz7WwnddsJweUfQW8GH8VZC0U7ZLmeULJSBb75ic1UWImgP9vlyxmj6e WvDAiCDkI4NK6U+mqVnIM+f3v1SC5Ox1hSZxn7ot+5mu12qzci5ppBKCgMt/YX6fMaziWmjRZ1b5 vD8eQ4zkxmR4P1kv+H/h73Pqa7Pmaw1awWmSevD1UDnThxRmacGJ2i+1z/lrFXMPl2IAovA02n6f cLerQZ1w6m0Ox3mFrw/eeATp9/LR662LVyKhgVNV44HTWcUDFL24tvm1QNd21CsX5Sr9M1FXt/Np ni+23zdrrH70IG93bLGqJUp4bSGBJZP/7iJhrbXGN91bFHYLVmchw3o8KR1fgn3PNVOZBpvRlrNF dELsZtNyEFkjSV8qOmNJ6Wj2o09UUJC1T16B/HbyO0yiWfVtWnJo1Sdy2owU+Zfhi1GHsOkLvqhk xyiQuezQTj/EahfEmXSnK5gLg62zPn/bJ/QO8mKROA/bo4IRxb3ixTQDhtOVaR1jgjp0p4DhulFP +8K1IUMzWUQp8V3smqliOd/7t4pW8w5iDpwKytIHQJEdO9n9cN0rQBrhGaC22bXOUyMlHWAv6hW5 EOK9Trz2lhgV5v3AfuwrpScmP3nBNn7dbJY+AOCp4JNio1PIYa0l02kGYCpqp4esT2zIvBR92ssF 8HAXHqLQImGgxTP6BbupH9EKTJlrseTrkYQvGE5r7Ipzqe2Ri0h4SpcWffXC5hW45w793IO/JMsI UhPo0NNobvW9zrRjDONWDY5+WLFhzZ67mhZpMn4jKL10Zt+9Wd5Aenx6jyuE3GG9ZZx2DQC7BiJw i0SUtacUAUdjJJs6lo+5xNQAsjGloZDPZxZPoJ2oCpOKpR/kHHUhxdbwSvKNXOWhG7+vZ/QOLHPh 8XAkd00jfhl/oGrdosbrsFg2mv0TMOWAWjLRDtwrB/SjLPr6K57P657tOuMS/cxHcrmXQJvrh6RT qq+FZn/WuYQS0HxyJ79uP486yeIopKxg+xKMbXSex+R/p82zmMVZUfcY9pODBbPG1hZI1FLvbsQ7 L2X+Wj0YEFmxHL9wfbvufiE4TXMJVOR8nw88rNgu172NcQP19slFZyieqxYWi3fxMS6ULQcDcvps mbAz+XiSfUru6jUcYc5+y1VKdTNaLRU9SEUePLVy5p7HWkG/+8FL0bppVxdXBdccLW05jGK5JJt0 8WcjyW3zEX49/hxFPF7oBTzhc/EYLLxfO7LcrUGPvuDwItaJdzxvaiaP4KY+m4T4NwyWYzUToZk2 y42YcNLINBWyqVjzfO29Ot7Q8/UjgZc+1gB93TO1XxtLDTZ5zMwt7FeJ9VaZEy1kvqGPhimDkL9r SszLo5Q+yBlQC4PAibRXqfIilzi1IEf2FAwB7bOJr3O6LJsNp5qXFELt/qIJX2kWwvyL4aSNFiUq VgcZazQvLsseldvrQIgETZnKf2JTB3q1k/swC0gaE+IfH5EDAa6e9hpTm0R1/X6zJkDrOwlMNv/w rSaIXdhpRr5MhGRGmZ9Z9oZWWz/YGJNwjRPzN+qdFfZ+XOtpsfUaiXEAYmW4VHVHUv+26DrZme9h skg+uAn2OM3qoQHiKVk15nofQQeV93vG9qN267wmmv/sl9SvJsk2XSY+AlUL1gTWLHU9+odt/k6L Uvobwu9d1s7JHXVFTFfAoyOFFWfQH6stTm6n0YZ3iFgMVDBMlvt7mpj9Kg/8OtUdbVHXBtcKwpbI hEyYkZBUiZxKGLpKw9Yc9rvTMLIb+lSTonX1HrcJBU97TEjUZD/R3km6I4eLGbA4tBXVBWR1rcFT 1AYgQZEvXKoL4eu0mcuuRsCqWiJoKtOWcF0A9wZFpTS7YN+xqQ3ezhHVZ5v7MK0PWJSwlQYjQO9R 57w5u8/oPijkQa+mvOQf8RpK3vt+i/XLoWiQj5NPB6KwHGa0AIDu4bVlkZMsfHOCQI/2EEEbbAiU kGs7IJ0XfNkBWyV4u/vnLQtD7OdL1vCh6fXJlR5eRLD6dBX6wmD6PoFi2wEpJRNDWYtI2YycHisl NQH0wT6GEZ5FZpYrJhIHyqe9S0I4Dcyy6EQUs8cqgs2FI1i5lUGBE+IUIHjt2wiSpmwD8JZ20gX1 4jcazCqili1XDIVUHWB3DNO4F9NC8E2bQWz0RFOrMMKSlCoWk/ZTsbGQExFTStHtC5QU+T/K3x0N BxH2K5Ot2hcGIoeyQdmuCHDOOPie/Bfe+vcYDKpUE2i8qLWW1DZDuQZAkrh0DnV6VPHNs211dr9O X4UFxt+4WrdXDJGuPRSXdcKTk5pi+7hzEWwM46qCs8Ylb5DBgOs8so+3AsZvMpXArQ1v5rrN9u+8 3MqHubij0VlEQR0T2zXihppnfRuHIMgV6GyoIivwe/W5Fp17CcXkQvxO9704WrvUwlO39xnjTMtf X8htZed6Whh2A/X5fQzwEjIZcC9z/M/A5mwXCUrHkq4POwnXh+8oAIhwdaMsPNkKRJCrfbXpiH6U bnbRUg+dDxzwoKQeSu+7g46TdDvQp7vI2JqTSVwxmTOJeC8ibygoSqIQYPJAYV0uXTHwe6Zaf85x aeSLxtc702jmGwGOXZgv3kdUc0miTRBX7MFsBA9+9rl3oLfIMjKlgZOvrSxmHwPnwmSmlr99b0IE /PWanEzJnTIOvGlHmOB6kD0K3f21iD8EM+czj8aRNABAVATJuExLiYn4OsPjIYx24suHpGLH6TML ccYmwgPnASWVouk12KkdkawdQOQ/XeD93wsGuWqERjnt/KiDlAMeqKSqHQhnXD6awkC2VNFJifP/ tdUEvykJGWRq2bRKyinV6k8kSGYtUCBbcFBJEBPBIcBaVPDQP/4NR4O7GPvT08h7omnJGWL3YRq2 ALoSJ3OEkZ4WhYVxyzb8rbGk069QoEZGaG2UXSOA0JkAqlYmWjZZQu5bmICh1l7eXaBpfYi8M/mx d7ySfuinC/0vPnnCO9RY3K+LjO2iIZSumKEsA67Es/m+R3jYByJEzTSOd2mH2fKKixeSJDLU8InL Pa6quVxJvSSwqQucAgS+brVI9sJbbFnebH1LvPZDL1j2SCEN8rgyD76aJtGhpL6gaEgBm/iQE8Ro 3MfJg9GraGfWMo38fpfZ/E3mrRwkfb4n+JUURgORzRVrfPlwmd5LE+1H8zYtfv4uW0eUS4W+bp7e CBl2qidAq1XKym+Tr1kpVtJCMh+uTYrdR3wB/xnzVcA9Xu11NyQkWGJtjzdA/yIxY3keHCqCftHO 6wELb8BA6xmeLDSMZrYH7raTfNtdV8P6izFuVriIDnGaJjo5duccZmrsV0hBirjx5QiVb85q7fe7 cTeapoMQ6hSkfk6FJaujoaCzbm0Z7Mf0OJTkJgE4YK/a8XUIco43zZ6ZyYcXgudNQkQipawWpkp3 ikYyXjxAeU8mCKvmB2nc1YBM2S3m2PC3RAftlcNn9crWLLeJmHcVDLfpZoUGgcZUtEEEcvKlrR6O TcYZyw5k9moCyd0ABCIIRQE4/5YYgiCbhwdI23ITkZ6E/5q2OVpC4oRr/kWSz3zHe0EzOjO7LJ9g oQhSDtTNht4wyrvWpyAUqUfRiYZS5ROcK4CfQJplFAsINfENz7Rli/3pUvSpcNJV150HjYCU76BR sogSb9qsG1hanxkwLaT2G7Idqp0CUa4iYsoMU3gGcJ4T/qUJ7kwYR/z6wWHCq76sLuxNkaH5JqrL UA+1+7IIf0A04cB9jqld0Rypy0qO08ifUiOTYhWXUe8vLjNJ/mNVO92ZjwnCRs8nQpYY1yMeMCNe UD7Q9mDom8s54OHC7aiRKiWrQ8ETTSOG+0UArsqyE88eYY8Nw30hIuntHK/ngxj3UHocZ1fM5W5z tFnboNXHIAg8ok/EGKA+anrolN3oXWm4rBEgSNM03lUadRoPql/zGlXtcVuUhDS2Z5lv78ptaaNU hTcAAMPWOvld/w/T7Q1ukFFtEmOY2rWJi1dqG0NI2tHiycWFWstc7PLGwX6WVZIFGHtVuF/RYX+G 47iecXQJaYBMBr1JCGapedQhN7tEHfO6jguMZkqTU1Sh7gLmTnPM/bViLeyhLxQq4J25F3HXJkDF ai1xx2G0N/YpYeuSfeOHj3fKf4NKPEw0gcePjLojM6hITjeWjOGj6TVCKV0+5vsZnduxgCNE2EjW U9RIhp7sFKmNMBAxVsEYfEmUKp+hs4/nCqrYL0U1ZWe+n/QDNR9a4hEUycGef2Z1U2kbW1qD6pok 3HBeVLIb8YjxrXt0pIwCI/2Xc9IEv41UmtF9QrCtBzgCPhSwOJzc30fFOShHae1zhcfPSVEnKgIy vILDoezSNT005rXMyFN1q9woAXh36uLWtc0jMtXyTQlryvZwRzo6X2meFlOuaOXjHzvHelKilIIZ hFfh+lIPpZtYzU+KTIe0Iziaj/ms+kquMU6aXL9g1fQxfi/nqD7/4D51Jr1kxmCZbMnzJsjhH2XL ktuBTwasKeviOagUKJcCWDR1ovbVV3mld8OElhBsVb9OIJNbGGKZsuQGE7ODLPc/LzooHXHQAaQo mhlL9j3Keo60YP+sSUpwWoaotqBZejYL3zsmqDwzqv/YyjhqHdjgIrlPDrmPfLueqPVXV65A2udy rPH8H9sKjlhs7U/6Bu1V9Cm/6UI7twuJXdK+bdFPm2+nI4I+dhSpoFFTN1Z5Hc01bgKvtTN1CKSR KPW0F84+n5gkLXjFK7aU4CK2AzcnJN8QycYeBJWUN2SZi7ghp4sPSh51dcBjQOqiCRPNGlK1pp31 sUlfy2V2thgA2Q5TNBzZCEZny6wBhm5RAdco6rlFvwRDXEt0vtRbA+JSi8HRnnPfzjxpGOO22OCI vYRrXttecC3Oojldf3xdcNCbs2WTVG2DqdkH5Rukd0I4VlLMvOsfqMuWyYz3A5BX/x5P1cuzM7i/ MLLzzvYDjLN/VshKmXC6KYnfuOb+gLHlc31PvSksXqGwjN6LoSmHqusBMnJTJy3izWAHz1syfqwk 8pIqIK/PhSu60bxmkBxLC0N3mLv6eQUZ79IZ8PiMk0IHJ5z341OB3FOkSuViT7nbF7pzr/h/0zJ4 7kdEiglPCbNBAU3vpifgbCdz2mEzjrL1FnkaJuQv4E3KZKvXHra3a1ESMQ8xN56D/skcdUu40XXX b8Dg9GKSmPT8h2lbjV6Q8ECMdn5LSK1VxxB30/kEbVVFlPdzhcqT+dQAzX1iVyifv1ZCdRcxzP6g wAZfFhn/21v9o5cyWdbU7Nza2oo/FYW9RidtL753xT8H3ilPP23frTthFtCygoN3VgbonghF+uO/ eziXMY4jzOBefe5k/ssKxzuYTcoD5mFoK9VxVjYR2LKxhXD4Ld6fTJJWswLsWHlzzDLwQdbqh3JI CSgwCkr7TSMxyY9d+2M57bDlMYMsy+0Gxccnjy9vnEJgF2tw5YdkM0k8KjZHdCIXdSmyYrjJ4UTM S88k3ilkdck94XBiIEps7UED8WJqh0uf1jPkLf/1ZH0r+lhMFA6FNA571CN8m2mfPCZzyfw6MrWw 7BJc/f55jPWpP3/YGJmeDh3CghDMK+iEG9Rht/xX+YZGu2b51PVbQdDyz62jWL9ByiCR0NkJGe4H jZq7/HkV0jHxk7AB6tjHbQkv/+MM8LUDabHDxLkJum28HwCxrLiS+boPRVMGVSaPJfigz1fNpYWM PyJV8yz5oz75KQ6+VMkoufzoPtcLR4FJ6HP7EFnB35muAWfrK4nJFz4VoUzI+xqW9TYoR81UJKeb njtMtrFMECGmGJ9VYWbBi/Oky79/fEgKD83nDzdFkhJXy5Gz3cxUtCCZJfyp+Nkrx5Ld8H1bhzwp wcndO6nPLRFmqHLMkWNib5fFoB6Kk1o3fo2p80MHH2JJnIL5sROq6HhdbE0WtmJhespGXcP9ZBWz O4hUCNb6PJiAf2+whP/ROZ0/OeuXfFoJ5iwVoOuJuywIEk3BUd/Z29/HyL7h2NV0qA6gKZrNBM/Q 0K8jShMJjbCi3MIGMC3K86Z956mwg6f1MxLa9/YtQWthbjkLhq3OpFFhxKDJK2qlu/KXkYSkQRO2 MlNNgT1dlqHAKwIAKOYKtn8twzICYGIIrl36ITwjOCPgfs19DYkgsuoa1MW/SbfZsiEPbdVvHJSp 10RdbGNqAZ/Q/+Ramq/AnfA4IwsdjyfjHfFV586ed+5KHgaXsrnzLFBISU7opWDCQfRCNHB3+4IY S9iYC2bqEt0zg/BY4DEarApKtGQnkoGYQM2312V53u7AQEl/y8nBpDl4OjHSuUyy+TBkvFofArV3 3VmnGPtQCymUX5utPeZ388a8cLxW1DqfeKvQG130MP1PHDj7b03T8dB3RnfMVknjN9emqweAdh0+ 8rBlTvoDH6gr1l379A/7aVpyUVaTEZVxnd9MKtccDcc1Zi/RtUn6pnuM4PPZxzGVrL5mcg0KvdM+ 4VfIur4LKRc2Xz/xGoHoEMy5oU0oXjoLiKC/rSlF0NBUI4vPn8LpaO2LG8ZGREF49lpKrSO/hEUh BJeSOM/KWTptIlFh8WaGVPE6+7sf5kkoD3dXR3JRV4+ap1I41PwQ9H2CEr5/eL0EmhmL44Vgn/nB UJ7fe4JnwlGaWftYKOaBIeYzMIchdhVPFYlNS4scZoB9qKQIb6fbEiQzDmp4l6+WPm1VzwtP6gj3 2ZaPp1ZrtlJ4+b5RA59JEVrcv68/eu+llnrrffZ4zBBjfSm0IL6RgfuzNZ9eeJ9jwwHLTIZRWRJD QGt3QGueMdKLwOLPX+LIARD+MtW2yU6bOPaozpUcWBeXL6Z7a9hohFzuG0al/zLcgZbY4dki5EQ7 6QLG/qJ9GRqOkpJxusoFFaWZq2/VCpBFO2/zHVOF0C09ya76aGD0ZOK2kxs/tPgdnoog6g1bUutN W4XljiRL4lGrrTnq7+Fp5DlD/NTW29JIa2SC+D5VSvMu0Ye/zy1rHl94KlVhrF1Zdz/34yY3zU87 llZQXFwKtiSJhwy0lNT7E/NAdgo5+AQ3ufsvV2ZgcuNLtW2VvqyxM6Sg3jq/Xv01wQRtHtQDyBuz 06aZuBM89jHC5+AYNcnxuqc12APMLr9QE7gOpT527BZrk5sxRNscavB9qEsq08hXcjI1iChvM2GX OJltViQcWl9udbr7SRB2jYk6RdO5ZFgXWfn4jSqumlvmR+ofwn/A7JsxX6iCtkD1ouDDAMu78S0Y T9F7X/uxZSVXwQTPmZuNfI56ZvCWkBwBoM/gl++c5NFMXBKr5ZLsEQtqbSGxvUAbJ9K7pngvkce8 wDCkgDQMOU2uHTYZ3+/JlZtIWrX2ikZa1l0BpiZ9RJGtInrHLOdkd/WCkAZR1iGoi3V4O3qL//k1 CJdYlmj3o7xy8JFJQkekzCw6fafeVMlqHv8vOGOpmbI0GwiBZvrB/v7vkINpc9fVyEZEmKtFEwIx tn0po1pdFHZIRdPzwQ5yXeKI+hslfy546aiDPaaXOgxPUsO9vx4SeBq+MGRE5UYdjSkdnEXUVYwy mOh8ZQAaXB7qbyZCoR5REfzhKY6moAKnJLWyOREB20j7CUuekqxTXaAYf9DNL3AaUqXwTJXHo6W+ nuV1Eq7SNV849EAQ20iSAOb0CL9MTJujHvEQYGyQdO4nwKUsV60lhaAEJi0ZnCjlzj7OaIDjzTHj XEAnFW4AZ1YAMnasax86M+YCduxOXz2Y3cQl+WZdeLOplg+/JBIT2UaGSCr1sKeKXipD+sZ7xik8 smMM3sbOPgSJ9RX4LZbuNqgdD4wamGzvQWfL2aIncWhgzmeWy140Wpd69Gc/ndEkQGdlPnU/cvl1 fMitHQP7BtWguvlfW7ovcxvvvlw8u+ZwzaN7blYIT6CUsOLGqhRzx6XzMO2dhTJuNZFuWCJwZcrR SrVx8SZy3/ri+ONutJyg9JYw4mngorS9IeQIG9nQtpzfiIvpkZRFF4tNPywHegCVrueItRm9yKYx 7HSdStAf1bkHpkGhAWriQrXMxpPV/4sfS81yiBzD7yyeyMDgZtbmQuLtgGP6RXVCvWteF9h4Hmo7 hkikFaRjv7Fntnz+SnGj4Z4jM6yd1EZOzMo8GeGwnVkaHTk2ATz14ek/OsXclhjRMz22vv3mtuGE Esxef1CjEgByInPp8E8ydGvHEq8bKwRhpzwt+PQLJEktFIchtEuayjkwVYJMlpKqM9AKynXBHp+H 4c+CFvwI8fl36f6BPoN4PVEHjTN0bzF1VlIiV/rheu/VuNL4dk9X27Cine6CDmFWAZlJMIRFg0Qu dKRDzz5jI64N+8j5TWhVubjcPb8S9xdnEAAhRTnZzVO1Y1XK2Btiqtd+o675bpp3g1FOHh1GrVa6 opjDbgt8QTrXk5MDNWPWsbWQzLSE9gYn1IEKceG64tLYQ7INdJA5iesfdmWYZ66ilBsWi8/z/9oL MRM2/9FWiWR50ruw/Hz8ulTPmOZLVrYZWt9OLQcLHgOwJejc6we7If4OTpW2oZbw+m73qsUsz2Hi 5kBELlLr0XAmgrfAD6zytvdDGIQUaCuvnhDH7TDnBE72UFMoH0M5lVyG/V5NE79O43Aub7nDLAf5 mq/VWZMr6LVM6DTNSVmKOst4ZMz/Hx796ffJFZ/WnzqUVgljWOGr91EG9R7cTEOYis4f74M1zR7C HHsUAuhF+X0MDnP42/aAtGlutz6PZ85wFZfHyEJhgphSkm6jwWYYrsYBfUFX/AZ5CjjDN5RSc2yu UbjR0aTAo6vGXqlTo1lRgLZ6alknh58xcxD5tswa7ZOKSye5sSmc6PqyI8SLspahcBPJtjuS5PCg 4FgXzHX9jmpkkDC7r6tRO4e+i3eV+EH0JwMgbI162KJGWI84sWJBc7g5aFCCgvi3eTQmlDR1fVM7 HAY+crMg7l+yVKB6kdIPl7GiFdZvyBsk8S2cFdbam+GuJxzQCLKGpJkQVfS8DjhrVsEqdz2/ublR EPPOwPvsPXwtM1EJJsATS8VSu+Aj3zla9FNBKSqlJi9tqj0RYRj/gagvvZ/ucUzIneDUGZqyBFUp VIju+w0ii87udW8iDrouTnJfmQacz9D0t7gps87XApi3847xeRI7+Rh19QC2ltCum9lIlx7fhriK jT15d7azRBpcrvCsopzVDdTWoxg/5QZbz8+8eSNuxLs/WkFtti9eFma/ajLMsBNlzmckHAlbTISN l/W+m4VRZyhxoseo/mdSHQIfKBEtCGrxjNhHxupxyiFpBxv9t2osX2CTl8qpN5eUOkUttyM8fI7d yBRRkOBwc6CqMpfVdBOiQWaTOulVd2B8NmMDFumhHTi56SCeGUGqjln/GCVX4tN+vj2EbC18rNII Fh5KlWq1Ekbx4dJGZ0vE7R51MURW3TLJcMyv4RTj/bGpkYntXiW/VKiiZnJxgWqBMrtLNcG2//O7 FE+dSsiVIwQRerbAoTHe+Ft/whHWGaPUukufO7KYkYPieFr6y7LI+itJRMvtakNWQpVzM79d2ZUi bU0OvNO3LGkXNtGORpkYnj5nv+5ZqIyzRo8ZukmfAC2h3CWDEFUpjCJKbJaFlA9UnaqDsIk6azLz 2VuKM9mwciSug/RFGI+3j+SJMudZEPFDUlPKcILIOnYPT8aweA8/8XhMvtOtkgOwhPR9qNOc57zx fB0PucTJh9vpMDEMJwgrPN7hCmfRxQ2HEL7ymXAihc7JtO6DyYBXIwIMeZRkrKHhOO41y6NB2KY8 YkUFWLeuYdnc5FJdmNpgtqVoMyI6jkSSznXVDmkQTzbzggZAdpxqQMw2dxQOfMdctzuc2YEeOv3H 1vTGl1ena4FHdMsc4vWEjohwNLLB8ZGrxPXbU1jt+EUKoQf3CyXyqL9GfoflmKI3MGkbYVsSiOF3 koUw9r3KNCn8zbj+V0bpbAAtjkKFOu7DQfZ8YrY4lXqNsMzAv9CLA3Wlg3wAqYhFod1enH6pEuk1 3wtBiiVLIQ/IKMHur0TlxE8a5asQyhaoZgKifeIbuMcBqr0rPyK90seZxFJjr1zveV30LW9l1zJF ukKW2fgJ/FHpgJ72tpDIRbKY5+Yhuxp46/8w21cK8eIFUACooAAYq/h9QhBES+lW35kfbtncDzKv Wl3wj0fp92VmpHROdg48K9426q2KLHfLAzMjTiyHsNtBnhiBxxR1sItbSAQpaOxqqEScuDZHR7bD dJVRhN8ds5mCf9vDnGrc2vC3da8keCLp0rcsKke/nGA5Lz1Bs+0R8ujL9yqTdnv/GpSrcuhGQCK1 7BgnVnjOlNnd+Wzr7r+anPGhKS8BxIBWh9WoqGXLiJx5P9wwCwe/7juEyIM+vE8ji2L/t4D8VAPQ DPqt7LkDfB6l6N+zkodys6uqCHc9sFFR11i1XcSFFKq8pYNtplwHRbIlwoY4mGiLP9mV0FjB4lvl qhxe7qCfpvsMXTNquZSzSxDw513GqAkbnjl8K+nacJd5kyMB1V1+rdxoxkXXXDKsv7rEJUd2+a7J wSE06RZkKq87zOg5e8vsuU/exm2/HeihPsbgjzUYqPDkd9KRNvjac+SiYEtghv3NPp/4USfZu1V+ rmu2OLBSxHe8NsOeCyelJHBGRZoE06vmQjmk8QVyX0yNn2HyLes3T203EWGoLb8P2dVDsm6bmvuD 3K0JkxGEdDYw0HC1tnERIW+Ee9v0WABytlZPjkwJ4MEfXQIoeMLgROLrTZAoFIfFPKpnipasyUlP VwgEYVYGYmK113n3ABItfYmAm7cNO+RTee+/fn2J2NNcB4GXs5iU3MuaPzMlY5T6afyabeLTThMv BSARrUDpCOUi4vByAMGRvrMjR2aTGlFd3P6FQladsi2T3cvfDFNLv50vLms6CC97aHydDwrQkcGk S1tXD4DCM0OPoWwyLwWpCEMTsNaySoNCF0xCXHK3NIaq4usaFK5Sw9TX7kleMpxswjC1eYXATTDf zsanco/VSMdlb5j5FSLnCCUtXaio1XmwypzmZVR+2z6tdu/8JjphjzjN9NpElk28CLu4QTiWXg1L +jKobcJLjV07FzPa1nuviGhuRHuRLMgH70q1jd0tz7E2ZvQYzhoftfELsLeIITB5phpzOIy0iqmZ pXBI2bHYDgTz+XJ0HV5Qq9zCmZSoHo3imxhjlsqD+a5SnXRmezRA32TgZh9iOwITLKTAuDrPyMS4 7k+Cbyq38Wyv3LxOpNquTO7FBrqE4RGlLT4owxF/5TQqrJ6f1pWbYFzNLDTgRj9HGZrv9ojnB/sp qsnjnmztzk8dMfL3MhE1vqZ29nzy/bhPRTzX3LljMdavEPBcWOuaSPJCmdaTPCE4yp6Q4lE+Va29 4mLoGjX0KHBYly0TSFiwuyxq28Eq0nr8O0Z4s8YPTO5SsBf00Z3gsrZLV63fttSMvSuISLaWtRlN rcdNN8HklYJsxs+Rvi5JGj+RrvVEwHzA0TlxHOfAmWMZGq1U+FPDD+/N37BVObu5C8SJk370knOW akNR1wruDw4mf//tPJIVwoqc6CN8d8tLWDCkQ9zepJOXA04F8m6jQSvVyWLvTmFweNkoJZeZ5Tqf Qbm+Xr5+1yYE4JtRnUJhyww1jvhJYubiRniIKDBlLwK5vBNsmUZw6vwWAmjd1k1rkY82W7ngCdbx VGNSRTQ6Uf2ZLZv+c3E1I2wy+3JyI/p/tOM7M94Al58db3knqNDFpbz/icmon2n3GQpU89jm3QLj vIDyHHLzkOMbY+02o6UEyK6/tFZ+VTCS4Fff1ugXkq5a0NGJ3KkIXpa8pklIB7TyXx0q5NGiYRwk herxUzQLy7S5kYPHcLsPNEphblTfP0IFUG1fXm4LHgmAVM8Veexm20hAaOFuibQezR8c6hjC/dM1 JDhde5nIXbvAD4xmNHuwj78O47HMp8hmyOMcEewr/Lc3QhaCSso2qroeMrhiqhJCqQybZzOF/aOE Gn24ftOtoS2APyPxfB/FqyFNON72B3bLR1+dBBCBDOPXY6VhDaCaQSA5NeTywHMCyVT35wKaBAA/ c5uDkk5cwktxtow2/7SVYn3ZeGTnkojZmFoHjJyDNPtTDsnd3VYicHyrIG/4j57TkxuXr6N1hNdK pf1cIujWf+Az51y6Ybesmf+4rWDMdaT7OEmSq3/zknv8VAfrC7LFnp+rkFg+vFFrPFZ9PRXJjQvk gDWpvl5nvJGB4LXv/MfrquGeVOTnCChFN+d97YYntMHNe9Tg0DUiLDcwSUE5PMd0OxwtRWNaFARQ 5GHxSAAQywKteHcNcLh5bGQwCf7jr/2+sUSj2lPuogYjtqSJi1umDVX1TfX/sEOujvNv8wxMC9o5 BDDDHjElZOvptcKWYLDndHFiSkKrQabJbZQxOCCct08TE+sRBZ6H9OofqESc1YB+rKi20YDx2Xb8 V5yp08JEVHofhfhqudVn2riGecsz828Uta6KlkbUPWhcIndZfGeJ9MJnM/p93MW9jRflkmHejix8 9rULh0uxs5ftedWytcQn4MWGCDlMLTUqKlYrWcsfRqB+O4K6cKYopS7KUKsyzf4eDi4d/t/YSnW1 Asl02h8Hc6UJfpdXyWtdJaldmUg0Dv6N+iUEkXU3FIPYXrO5kuKmiTOk+hPzpNy2273gR2W67X47 OdK7gN8YVJesj/pPnN6eI5ng1IMlsBaxnftl1skzaAnPl0rgBApYKqdcTPdgtbGNhSWhNtvoSJWq BhdkKf/wQP1ewJobopHKZNMdWhEHNwq6DUBiTFssGrhdIhqAGQMJa7xOd2H5eXdy5x/Pooudd/J1 5ZEygw3Sj39knLhR3IbPcvYxBKU0IPLz7ZJRWrXvNvPHdmdsZohf4rO1rHmrvfKqlXPULYHBzwEA 3viZfuJe+YdUkGWrspgkxnXyAgGgsIZX6Htde4wEjGtgTvKS4OmURualg6VxPOKcY+K5aFx7HIev /iLsAOADPsJV/pjXhIWfkC2NYz1lgo3x5CSxmbZdTUwvkjbEW2TTKzTHAwe8TFV6i58sa4MDdHjO RgYQxJhpzADm436w7t64UYiqoSbMeGI5Ud1DHksNKIDl6HIWpkWgfNzBcSdygdzkO8XA77p4pvF4 c9lzwbABosRDD+cULH9y0q6xGBsbKK38SIc8GBw51ypKYXM1Rjy51bzva683dh4qXO+odm9Y3lMm gXxq25QkE42aXftD7gEuJl2HYDsLcBu0D+PK4xymSyMVWVuj+2h7sze759JFDKq32xAl2K7vmVx4 1ijn2aqjKNjb91oXrwspMxYIVub/8P7MUT+eZo320XQ68YTRrk58PK8z86szyiBcScT0WRWvFj7L 3k3hZboAdo812vLsv3DSTpaqV1ut3EgmlCz2OhJRy8QsugPRiCPGA37fd64LCLFq0sy0XUuvEbYp E747kH8RModmqLb16HCHVSwJug2peRqijyiLdrmAGBixoCCTUT3WYhbyGZJVZ7m7RHFdgiC/iwnq mDGiDyPv2mjbvcHGSuiWZQcYDln8EONvP6BqCcvamS19R6rQjmWS50ngTL/tlB4U7cnZFSdJRVu4 AFZOjmQjj6G6jMC6zKgXU3d/CSzrNmo027ifE/cNnI9moUV5OcumNBTlr1qdSKdzmnUd3ilbev9k quzlmaOoGHECT1pJT0ijGZFoZi2tCi+a/3ir1ejjFdfS3gObIkT4FZDnwJoy5gPcx+ZVJrI8sgE5 cb6E03qWS4DFHN819ot/0nKKV52TZTGiGoH0M+HKRoeb9ZHKFnlFdmuME8eQJ7ddPfWilRY32sUA qDPjDezsstgyWj+240kB4Lr/5FZDKDY93hnj9Z9qNk3JC6wlDc4KdjtJ2GizNdEdLxkIPTpxSFFe GuNnqw1Wn2CJchIxS6cB+Lsqd8ms/dTLNfvgI8RhajHeb/wzpiVwOoZ5k2HohiNyRe9L7wshuGR1 O57rUt+lyuxEOUT77F8JlfnYXoLxPdeO5z7WAhgsCnU6tXRQnXgE3+ojLBoeSO+WjNB/CG+fTPXC cAaoqKtTSPeCe4Zw7NEK48VyDoT0z8IJsOuV1o7GIDxRCIz6LTyJTwLCk/di77k1ULhSt4FaREC/ wmvZl4wFxmE/1EIxy4NoI+A56NOWVcNnHgTNfluwLNd47Ua9y5JibcZetjTKXT9+klyFh9FCCSgx JhqFGl1m4eC4W+hOCFW7b+NHPyAzor+Zwl330L4eBGrceB8OAUMgRVFyMpIDPwuKumR/no1qdUUy 5UVczLQBVXoDcjdtHqeV8sIBkdDsdA7+mk6oz3HF0pcq1/7NHSBfUIG1ek4d6HXJI+Z6asUc4vOK yAh3IHQTIzeHNsCGq8CFjbSoPcp6pscoOgrPZcwpokBHOWUDpyven2K9LCCHXoYygvidYXHRDr0O 4uIk6dp4jp3pGauM7AxMGwXKIlP8LGUB+592CtcFNIPVO9serPXMg/paZA9GpRCtembu7W140ZxE oC/uxF691Cc5EGPxR7WBNUOhf+UUIkzvIOMtqC04Czuy9/CMtO193JO0imFWQLBCNQa8mxqag/0z I31FV0SZdFVB1bFdXeKKaeQ7vsTkb2k9ySc5zY77ZtY1O8e81RhlzjW2bhjUdAqelqSWFbu6blQX gF5tOvXF3oeBy/MQkNTUoavotPk+QMm5/xi3dU4BNB6E6bSz1fYujrNbB+MxCkxOslYgwAsK2Otd KbrroEm9SSVT1J4ESo5rCxHA111IfI1wlzlp0FZ+HvY+gdVNk02aTrT8E/FKbZ3gap58dF+TdaUh px+nEDHWd3TFfRocA0uH0vhBeRp93kM0cAYvXUgl9PQOnm0ZAoEt1R6zCwFFtlx6kz4G7vrvQhEE cf7OD9GRexIEvjhOYPH9wsohqSwJpDB/GOQV6IWjkYuaKE4T5l/QPAZb07GLseXD40mx0zq2iOr1 5uMOxOT3wm8MOh82jRrA0SH7u/Krpkr1XnRueFqoY/dGVChSB9IC+oipwrHcifUcej8eg7FGBiAm 3VLpJ1xZOnykE6BgAklQ5t4VFFJDquVxSqEDJix2chwwEmQtt+x5jAsoi8wDaZyZpHPr3qNbIrdJ hEqJO9K4JHxxge/mbL6GmSmYUXtHI9Y9uajRdhGd2/kDOkb7EtlgAvtwM8XHSWTQfrHECuzcqvXy hzGpNgZuFD2keNyFX8x+TdORFLhYHQq2VJ8WhCth3eXnmPU0zT6EBXkkM4I/4pBwqGNZ978dHkEj 8CjYEeq69blIwirCLhY5IDYoLCmN2EUUdxzyW3Jfvv0iuz5PfZsI293WEEFmFLA4M/xazJVoL4/E dUgWwBfxevpgguQRJ79mU8sSWcOcNvPjalmyDcCsklAilQhl+l9T0Dy2Hvm0UyFrxfZdEFu/KJ9S zh1Ls9lkYesxdVmOYthdI2qBqbvcOweeBombzGWTfBf7OJRq36AZe62NfUqFYg3wmy7QCxo1X8bK 7SfbR/xRj04jKjJ0BrNHC2axj1KFspFesjedBxyBgPKhvz/JXgPNwRsWB/7mm/TqehvxNsxAjTUv iRvHwDHiX/w3N9Ttx+p4ggtQQfsmezeIYdF+Whu4oo6gSANBsYJPwYdIY8jGbOUb7tcV8TiIEntw 1xtFSV4VTEb3Hf8OdNC4PH1OMrH+xH2uop793tDTyOG+OiAv/lRcSFxYCtuTLMi8NGeuIhUaHeBy WV+CxHBSMAZbkLYzZIs+E5jihlRuJ9naA2z3ZUTg6mN9HL1b2lgziSeP/sO6l5dtE5RxKwfugFCf 778W/Hflt/wKJoQ6ooh4ZWoDTXLqSsfccJFtU6e8eNWxzstL/qjFBjgWODtHeXqpcaNcaHsMmbM9 T87/TxSjPjQeHE7kCzqYWvp1vLQzimmEu3Ktki2xD/8qoPbtybjeRXHRW2nfvmfNHrfuknjogk0X O9OS1SmmeBhegA2WrSmEjunFXPU6plRIedeDSYUSmCKoR7QL34K+ZDAFHUjy33quSUgR2cCwQwOK VsZgQ7B89GKtADUf2YPKdkHHLdvc+Jszb1637J6Gh0EAUMzgMzWsTYGpkhmEZWRHhBEql1RYeIlg AQk/BKuVKOuWvdGttXGFzXyqSn+ht1jG2Biqi01Ynoi+Vxx5eVPU0FZseyGtrdxOITrelKmR9Z51 VIyjEItpL+qEDF+ZSNuGekVCRyJRrVLu1x+J+xjhm21xOAevgxL7Wryz7GlbeE1igaggsjNoS49b P/ofGZllypsyn6C6TYCUbnXVSXqH3bwo8VVYRaSfm5Pbnv6NLViLc91Xmdn9OYCaMpK1SjJYHjze sLI3OeqQJ4jqzIhsDobe7+B3bzyHKENpPIOEAFmfmH2sdZCkNOfbqvk44foq4ffMnOH0bQ1fDyyx wwaObqcPrlELm48GHC56GjOO3YF+v8hTXRyVQMazdYl7Eo9LZq+yUWt+lCF0CdHWk3kDxjXOknuk wlaKDO6RWdaXQ50WCnCJxNOLqW7zPEZzuYR6SELKnZKSIfN3SqL3KrHDr8MGfjVVb+RnMABm+NJU lmAokn0kowHaJcyjBpgnnGJ9Dl8h4rYpL6DjwNwG6FF8DafqS9vHYJqNfHHHVUDHSoTxG9fd0VXQ IbfA29lKOcSHyBa8NZcrfnaoaUgNBv6tMFewNxvpKOuLXSehN5DnUCWvKWvd6UCG0aEDdZrAV9ag ByavWLVA0ujt+qwqKqY2FT3hcLvvBOhnaltouycEfaM0Cj9vqurWswimOZib4GenEqQhBRL8u2UI Yk8AilXLw1g0BilkJnPxbsV5TB7XoLa2vA2vCh0WjdIXYkH12oMsmOZyIsO/YeWd6ohNJIvnOhpe EYr/ieXY7LemjPI3Pwe9SIICAQ2xrmBg9tAmfx8MNH/KbtrxWVkqrp/6ipCLIjweF3rm/Qc/Xt1w JTXRw3TeXIK06XopO8o0kWzuy2qcMK40/8gSz2APwmAoLqqmtX9NWbSfXcerrNv+yP7G52WjaKZu HX63hOPX++VveJP073VWqg0RNc0qQ5dwV0iRV6F4/5OAytc1xlloAXqXxo12qGoxTLjcG/1trOJM zSrpxSMtwgVP1l9Gmi0h2IZFD5xE4BSAl1mHyplCL/H8a9PgQVs3E9/MO+TikIWNVgjW0tac1K+q w7osyQqRoY5tF3q4hFzvpgWv0HUN4Il9LSMYLIzMqtWQcKh9RGjwWsecUd6IRUiBBsfHQroFOHFy 0hqtWiSs3sIqxfg7WIzuQpsnzVJAaAKFA4ruGNVcAJBzp67H0NGgF2Bb4PT9/Q9NxX2CueW9YKOj MH4SwcrnCY18QSNhUmIzPZiblzPoW7XdPNUtvDmi+2nr2RCz7RXV69osYSgJBFPAgJt9TWWddtT6 5rAnrY9bPEDJ/v7ewsdpfcUJ5PViWx17mWotAUmmMdnNddcsBTHEDkSMMa6ApRE4Z4W+tHZH6GuM lXAvH+UtSPojIq7msnt0DlIgfAkiQkxKBj+w9yYW/msTlr9mc9GRsCMcnLkmy8t1TiPHm9NxOuzo 8sejl/f7D9Fjw6sCjOozaESoH12IcVkdLcOkvalRqGlCU+gkJoexcg6FpPDVJYRrwmlXwLi0lNEg r4sLfmeP9B1SyLeDctC6srJSZ7SbD8ADq6jIjzIsCpN2Rg1Qk5hLPxO35OgwwXAM9j1QqHUZ8Cil vZzgUtYBJ0b7nlspm5n5Rbo4yIRHxdnP0UDH7OTYj6WRoFrbMoBH5vkvUMNUzUbAy+9P4nUYr0Ga D3qb+AB5BEzryJuZWzSw0RSmK3gCZTGQtXmv/ON1p2XkrOmd+37oFXIPfd57BxlXeB4jn4z/Ghh7 hyBTxxK2C1NnDKiYUfeqL+CTtrKzsU5mELUSHYf1CQTkd0KpdX7ub9lalIGaIx4vUBLBJZut8T/5 voPH+Hbt8uZclZjxn3nW/1xA+YHH/Bn9lKbvut2kOgm6fokBHriiYWp733I7gl+qYbybaJ0+M8SP 0mFINKQKG8vLizKPjPAr7JxJJ2d4Y0kZcA53JLpLEUNHS+4/LAYFGDCeqwgMNpYVIzJj60TYASL2 z9e8teTRreaGqpuwGFpnHdJgKnXqNQ/kwGGgzpPZrSE+p1HJ6VwZApol0iRTBjvMSxRVqJbGEETE OGHvRCI1FX4hoeAMpwtBKLarmAGo3jXFHmczZky2mKEnu/oZuCaEUQc6BlETmb9E9z26oaJpdmIU uEB1sifezUwq32jL0LAkBguJfjKd2iLOHslL29SnR0c1hLDQpPsXcMFFFGlwSDsZtH3tJ5bTYAEn qEVISEy1h6d3YwPnT+8BeppLiPH+E/eWyppTWX+f5DfV2Ig3DrKrkln1IgE5g8XQge6ZDnLpxf14 3Xzbwgrwrkfz/Z4i+9xjRq+VCVtJGqmqlluOIUjtt+3gUPeO/i8xkZOD4vcN8xTSxzC9BzumCwOS UPxa/2zBXqA63DrbCDswwb5Q8p2KhB5B+06i9C1IHKfkAIldY9IRDnJ0Q6avwAP2B/R8pyeIM/85 NfQPxo3h/dPdaWsskx4zxrF3hADI5f0HPaL8OExUWe+uMM06K1pItRFLokKXS3TGtQDIEdumiYGH CrKywfPxpfcIH04UwozV1gP0EJc94i5E68pjwU3YlWe7XHhRvEIpplU4Jq8emd0mSa7Q4oSv/flW qZyH1FMsyweYbW+lpf+zNeVehdIDddNFdGdmTUJTof4U3G7r4XSSw3oWcoPTN8aESB1+9JOYiCKE 7zarjME4d0/prU2AXYWQ+5BrGWAuyounQIY3T0IfDFEJGC8/sLTzTXSr3MLC8jLYcjv9ntRrpiOE fAY71DLyAqqKe7+MBLmXbTfF9WhG/Fu/JChbfxd5fJNAWVy359yXU8idrPOy89OuOiD+mZkykdln dW+gpN7lhTKsg7yDPab613GIeoU1WFi6baFKMf8/3Jy9uFPunqrYB0x00jyciesKcp28T9vVuteA cdwD1JtEitpfCDhpKVQEhknYgUdJKlLme+o2pqwGiVtWF4aDQXHN3aXrdPcUscNSku/xOWEAmvAe POKJTM0neHHhkfugm05W5yJ61nGGB5CDiH60fF1xy0+s+xObahkPb3IE+4KR2qVAKY0SBEHUdjO6 2MluccRlPvuZRYWdqDR+e5vLtaqP3tPmmxvgVJVRG12iAJyDOYuiKKhUvCJkqSrCg6RHXke+RyDD Gy0MlRcf4sgcIbOs+AKMPFag5x67H2xkvD7dEgbZlgHnLjO7MMxj1a8Mx6Eb3qTynUpfNc4DSlRY jSBkf6h/04x5LMfCe/SgGwmW4Iufgq+lDnFr4oM1bpqid7ULWWx17MwLf19iyg3MQ6hoYkKfjKqs VaBI1l1OLhwnM6kFpLyqmJtUMORFv4Jw3LQPdMqNDqbhdmz9IUDvuLyjqCDTWMfqIFp5nEAhI4kr UnsRbj1rlKtO8LF7H8kp5hktcrhfcUtwYV8Zi+h3j1jh5lrJa0hwDyNz5btF64MnZeBPPOTei4Lz 8DRx8F+08Ey2BKEMlTK/YlVgClYDsKM19NOKS/Uvf63WslD4nhMSRQawRk/Hk7DTZVzWg7or3F4A d2T9Z/ubhCRX8JceUWwzKTdeGfKwT77AqpY9+6LDuwdLV5ZFMBYiaz6oxaFWyzDrctQcAbKnQlkI pQ8FRlQ5F+pj6qG79BgQ51fSnK3XK9Nyc8ZD1uu8vueHEn7KQK5ohUxobHeeedZOmvDZANzGbVM3 ye3FKe70VaoAIUgmWBDelOut79a2lXi//3z6GaeqeCCidY2paeaLV/QeWeosDfdY07Zsg5TbQF6r Hi+Gjbb2QvfeQ0scSx2Sl7Gm/b9NU4wNxsOyFni8J/Oxwg2AONQ/0yEJ8662IigOP9c8St6ZI6bf qyliJD3zz3JZhVEZXkgUD7GzDKu+BP03NCVIc1N8DPSofG1R6Z6Hst41+hSRpmgJLBP/l1VK4bdc 4LyJGoPxF+JPEOpD8jn+J0kIAb7RKgrxIp4VXajT2j32by6d/tcxxxm4PMItV/Oiog9OuSWOuEQn z/FCVEsFUlhbJXknZDqDdcP6WF7x3E+GqP9sYCkoLBSFzRLWtSVscUwtznIsjoezrtO4j5hpmDBv DvrUZ2v07qXSKWfeoQYrY9/bE8LaFSe2U/bk28qZOr1WKd1Wr18YBzRPxIK6p5PzGc0dratuyLiz 2fyTOzquVUDkOKS5OGDkM8yFbai7SLg97DYYOjO+t0avx8dZAOS9P5A59EOR8h92WGxlCaafJ1J2 Cv0Ll6/JmpmN53QArG/qTe0dsyXNnw1JJ3+aQggEYSeWEq5UeA86j9lBzoCaRon/1W+n90mje3ve y25Vb53PSKNS8/qb2ysoYlOiOTxQxfnQLa/XuAyHVdW3rBGu2F7wjQC1olV3Y805gDfEHXvfAIRQ CYgvZL/Exo/Mh9i3JS6BMDt1rFkGtL+tSN7EIurnD30ON3Nle+stlYo+3/vaTBVE6PSAxvSr7g2u CRO3pgYkTnDU+YlMW9y9FGiBPL77S01TI8LO0uVVS/HQAhkVCeveG24jKuZ7gfFk9tRrBGbFFYVi pDiMJmtvGiBwJeBj5thUoKUsRl3eGwpi69PoCgPly7CAXQpQA7MVXlxLhACZwRXfQPRk9+lVHEnX JEpKg7bM0NIHUtrusSdXmiGUSERTRlqDSzK4JQ+6e8e0xA0dZyCmUDe9DHUVWjYwd1T2HzF9Uj98 RNuJB83JxCog7RiN+G1znSM5H7vnqAioDeBBEioq5uCjT+N7S0WMcmzUeZp8HXlxOonkYr2qANj9 NpJxUHsGBF2aqh4qdsNFmD6zvNxOM6yUzmf9HukAQ1ZCBNbqs9o+JOZlsS40pe69jx5Gn1g0dpwa tNc/yKS/POykSUG9GdIc1VcF7T9yWg+mdH5G4o3gtmsYxfFQeruGbHLsFeYAx9Dx11FyBl3geTZ1 2zqol5ggdB+Im167cdd4QjusxYNqyDHN20FZBQZC8lpNHCyHc2M0r9xWRIAhrXfr2PNosJISFH0t Pzef6fscWiDAUhDHnJrmCvkRX7KpXfCB9NDFEAFcSo3k1rtV4FIn7i32teqLZuaYR7zA8k7XG7PN ZEt5Np5reOmWW1toi2w5ill0yIICyd7NT4zdhr8HbR97LC7t4aywRlk/A6nsp2S9PLKWEkOC2T25 3sIJItbys6BsdKdvSpt1m1fGZSOjLSwFQlSto6/4I0JcpQtt+0Y+eZ1xPVeuNjTrhVS0SgphVcnB Mwhb/QYsHl6WMAL/pJ/6Uv9y8AiRpWm7yVrHaMmjQFjbPF3UvaViGsy+u7AYjRd91tPX/bIu/t0r 69BgSG0VsccjPkjX1Q3HEYrwlUoVvj5gXuTlVSIjWODaGA+Zy648uwxBB11LQLvup63uHKP0jfzZ /tVf/rLsG1SQEM71yvDS4iS0wxFstgMexBNoGeJac7Pp3+uJOZ05jwmsmdt2dzQLEPIiEiFeh1Pq mRHzZuIvllu2iW01eiAjPqResLPnuNt2l6456Q3epdKqpFLsNh55I6d2b4DmLdcyGyQkzevYaD/v 7Z1iwxS7GJxSx5eNwkcHgGYVTPyYVIWdwXGkUVeHOwLrrYjrfPd9IizLQsAcJivsHmJabaZxDLfn NEf06cwsPJdstiGv3s2bhP8ibfL6yuOAV/GHeO0LhB67Sg8JmYmtvepNqN8v8sKF/yK1+8JtTDy8 eoSbGpyqO2O9HZOzl4+oNoxAlktjQVAxdJdAUQ/8ZVXSA2UxXapXKDljyxtlbXi9Wfn155KDczym KkYhyt//xN3gzuaZ7iGA43SmwVNyvg5nZ55IqiljMYhgWSkEyOTgVlg9bgOL9bX4w7OUw5coQxRo CWAsOsQNf14rRHisYbBjZNKh+dVZhp4hrbsqHXZqNLoo3VUwJulcGdK29/zUiZxoxLTfWfQJX17J VVIbf72cNgjnM/MDcjgUTda0JLQDBIfvTsFkdGM3wbus5MlybLyZEIJf4JZCMlQth1hEczLawiHF aM3kYQ2XaOknPSb9rLkwJ5Iq1kSfGlLr6eo9UyLcnvqUjTjby3tWKyr3j7NatTkJwf0qQoqpFCW2 bcJaU8antC7RrQFYDGBPzAcC1IL2Bimyzl4A4USgF88dmTURTs53EIb8iVyAySbvgXHwemU4E4az KgCrGVDkxG79CIhh6N8yiRnRkbi9S1SWMIFMY+XXyr8+byORkg3YGARZc0Pc+aewbvKkw/ffdJb1 eqWOz+GYjduxhNsWpbEj7AOwO87lAA2XMUPV6hubqOSN+mwYZffJv1ObVeQxjn0kQIgID5nrald4 faErpWzCGYZGMs99dpyPhbsjaZ508+gnFQFDUX3AQixYMfPl/XEzZIK9rm7D4gyawaclv3VEJ/uJ Ruvxa19MwA+qI9vJ3hHQaZrEYNzMib89zDC6b6rY4seSppwoJnAtDHYJ1Tzn08DGyOJrxW6OL0gc 8/Pj0jEv67X1oRZnBOgOCPpl39hclkakhc5PEZheT+UIHm8Oh5lLpzmsTG2V77qFKroB9wCxVdN/ QFL64CdHcpLM/ACs1TGT3zIvr9nQkRnlvIdhFzlvJ9j36ZBmROg+tEsHvdvv1OaRenbNVcicNsDu X1K5R/ow+s9Y3idBYiBDlNDmJpd7LwGwdiC5O5MUxLIBUArv3KJCGePgcGkDLnjFGi9nVIfXiFxi XOjHfEPlI4djeT/naDduTO7mgrukzKfI+PKeJOj1Dqb8qsaNxqo62MzZGt+RLKKkVzVvb8DN3F9e ZOK0xwJuI78dqH9Yi2kw4liRQuWn1CX1SsuLmMULWzNCgDsFXfKUgswbvuz6//PB149kbMgM96ad mEtrsqF9UWtR29m1zjtrJE5P5z1gfyQegUiKSQom+Y5h18P9NpYomK+K+jrO3fsIDYKePBKP5ocY 1yxZosk2CWapZJ+ZymzF+L7JsYMq3MgXR7NbxobmNYYt+zA9iprviSFo+9WiBSrXrutjUJzrfY4t W/hWLWo/ELpiWOkATyYStR+g8TDYwfMF4/HL7Zsy697mhVXgtid86bwtuEqNnP6IVpNVf27gUMn+ H4RnSP0mq9hZULR4Q22+8mFD+7fDPdhp/E0CrL5ygweaipYAWCKBF4CPyC0jmdiKlH3/RQ3qB+Eq RJsexH0QREHEWjm8XNvNoGWF4JQ7BSlWUmOw7qZtuH/foH/Rsp5sXTB013PArMXVmkMInTupl/ng KAoQ+hYPzHJTIKBvBshVO3h1La8dxlDeryuJEfALnjWsZou4fiItbD4z9oKtJps57DQEO3IodKdk wzjpm1YgKWIMuGt+qW9KUJ0LRloCuA0L/BIpQe9ZmDj8HOCSH3aiwBIWF6beycpCiiL0nGYIeuwX 9/K4qzHWFWed4ORRoCIyH0D/4Ox1p1a1dc6o+YDoJLsHpvv+8+Ehkshv5Ft9vzc5+NjlxjyCFK5w Wbg48LlpYzEU+QWsesMiGRYRBnZAZGtDEdi5y6QJPCT3UftbRa8ZDEiRhqzy3S798/SXgt0QG/9h w+cTReOIyjvKQWslUMYWRmvemA0sWIgePeIYamJpE0inxM8ZVzTIr4GREO/aSarBgEnWDcRv1fod Vud1yO3dQIm5AdMl+L2hDu+JfmAsmhHfVRigLN3Q6tcrEh3V15+321MXHN25RNzIsdDFQ3F9atS8 dgWdA4d+fxAA2GqnWBoRG8IdrDu5tUVdF8x6G++0Irm/PWIUbt/uMoKihcFJ/EgspcFzE7htIuoy yfUxyg/E9cK6OH99uxY6+1W+7krySdpf5YgHkxv6QFrpv6mllLS7n5IGEnC4stkcbHZDq4UZ9gdV 5AbuwUht16YWXpn+HsIqPskmd6FytPt2zLdetQ1B5rkCaNbOwsmpRPRzMvYgAtxekWGM+zUB40Zu sO1hbg/4v5lCx0cD0s/lWe67M/U3ybvBaWiHyWmBx9CfBIZvW51jO4F6uvt5+yrd4NuHJh60BS9f PCBVxA6w+C4nyLJhEEWEevxv51//sFfaUb13+RPHfr2JaqNYurMkrrMgE5dtzcgF3JJQSAX8eSnp mSkJSVVwIZEYxslEU4CzZEsJkBUzz0w5teoILDmDl+6rBcqqZi3JJXgEsQxdboygkc+nS/LVrUSx 06aHL7mrrvZYJuLxYZco1f8x05JkbR+VGRiadYBVGoQgaUPaHJ7fjK0gqsQx8gZ+m8KEDRrswAKa vtGvFOH2zrFSu8cxUYe4Ubqb6+Eyg4FXz0SGmNryjriOUQ9O/JodKQBnxvniTep1lNffEmxuO1or tLDUJ2G8Afec4G3G5HXpwbJm49R5PaTHdGarPljNZ7/cv3MG/8bYQ1ARt8N5TAWRPPEb4njg5rmh w8Zs23uSK5YC/sb6vc17KYVLwNPha2DZyKxNdog5YWNT5yC1Rygpwb9tA1IvUDFMx0Y4zVT6H1B2 y+Byi5k37cPA6ZlRBoyElDRC3sWCOfukkFFEpkFGhPAj4bcxeSyothqbhYQ+B5+3Zx+p8K/hB7Uz 5ysKxeRsYnFLVtvClDPTfKzJkEEOXYRJAQttAQeK2rBb322JMG7LGa7lg0C6UPJ4pWbVCXqx/HXA UCcj17zf8uZ5ym4NNFmyluCYOyqEi9gsow+dl16EXDy8vPI5EnBkMbUx2RO32lSbCUSJu5DTSNNV wYk5t75X1hbhY16LjE9t+QlosldtWgCoDe4YrJUZicjDF6n0Ud2hQ6/UZ5XHYu9+1V1I9vkyYUsV a3l72lJwgFKvA6QHEdCIzTMK26QnQao5lSfe/I+XPnEN/oC8qpOX792/UT8Tt8g27EAjMtk1Qy2p TJGdKZ7CVka3qMSr/MITJki2G9ibsxnxunjvngWmYVFQOzAOTwjz2UeW3MNhTF6t+7fGAAYOELTW idITymFkaCTQ5Jn82pshyYz6/1bX58mnrD4pcIhu4thW5S7dli9DK/V0lDd70JWAL24zliLdoZ/f +4d0w9nFo86BH6W1XbWN6aB+Fx7i3GGa9GxIkLQ6bGzqbQp5L/sUO6czW4QfkOQ2qDLivTdyuuH7 Z5U1hJme0FryWgd2AI+XMPrKA3hU022Wa/76kDW5dT6eRJ2OeIEX0ebVuZD07nFiWBE+BheSa7RR Ck1YeEVPj1Il4y+OHCM7zPe+qn1fy1mZb43saTQUy9OABbED46RBwDp5GLlaqIisTX1AJYkkumeI 8BoKa/tp9Mkl+nVzw1pIh6H0p9luAqp85zt9FH+3+m3hNof8wrlX3cD4cCQjA2johp1EToFppCIP 74lysZRi65D21B0R75QR2TxjGyhzAD5awMTygjrtrhWrdjLidbePYlnxY01k9JUVnQ2UB2i4TUsM 0IDjTwn/AeQBOP7c6F/5omMxKFG27rMZjUWOVQ3sfq9wUxr7V/Qso3LLFhahiCDyCMNbNd9f6MaO hEKHDomaZiqZ4eCT6JC2pnCwbhZlDLlJaz4L8G5SFOo0N6Vb7/zqRwAYMo9/1aqThI8a/QoJ/IpZ MeTUKKG8SQ4DxH7NUXb8mBsqK/MKsGvGz9FGJwLI+LL8uRrWr2ytD5p0vA97whxTsL53WPHWr07f pj6VCLnLiDh/SJEFI/TCOQQjEQyTd0F7ZSZk2NyMyUUFob98P5nrupfP0wMEKvBL/+18usinTLN0 vXKKxEwAPMxWHl4CdjsNSHOHJIbs6DJE1lV2iFJx6RN6DYgsLP8XNCINMKAsyi6mfLoDoNeMg1OB JoX3G63+CdYfTEf8TJ8oBF/IwGRMtJQXbTJL7nwhNr9Bk+/Qi/xN/cY2vg9SW+zQG1qoju1+7cfd e1VzGlgAW8euPNS8sKO3gi6I5OTAuF09b5mgPfS6O5TkwXuLJ2i7p6/BttditzWlZtHJPqAp/NBD T5wDv7700qD6ZGwrhyIghoTv5a2hKmXaVhMehbvAXZ+ScgrgijktrDsUPAaXaxbgATS7DdsfUgdU nnKBQk9eHfCyRn5O55gABA8HQIK9dJzAuwZxdgiy3mCZquHhgWYd7qtnwUyI2jXNmOVxH2becgYr +bCmCqwcw1cup72dz8jttE+x+LX5LzD2Uv/N2xA6nysycqXSH8OyWOvtQZFLf8uHR5Ge4DoiBtDw VUIMcCENTYGNx7U+ib7GvoNWVc6UbB1L+VfjYhZ6wksR+3QcQGOpit9UrjRoWuhSECEg3EfooByw W+ev8kjNm6UaTQjxENJP6nU72x6m5dm9OD3tWP0k6h4bU109QPpiXUIi/YIPPNwGMbJQ4404kXHB JuUTg4pBnwt50uIYxllq4ucBkAKZqJwnA6RlNubv3uyHHcAzo7AgovoxwINNWjs9glJhwXF7RIz/ 9UAcU5qxLF2WklgXZAZGx9ZG0gFI1LkPq0scxeR+BW1ULL4VkRHBGFcHpntL+0fwzSe585ag4GZu awmeRPzsQghBgKpP22/HIO4yDVNDe+NQ3t8cX2B6Cc9uOt8ttAAntcJu6cTe3UF6FOIH5VH6BJzd a6HNeP08W8YFKh8SNas2XE+npiRVO5kHaICLMPEuBHPUGe/QZn+hXMKpXQTq/1BMK5Z+Ei52Mlck e+YehiarD7aZkEtSoajkf7nX1ud6LPTWXRs5MNDIxft31cru9aD2qHuwt5u6ZcwAl302IlPsxn2B rVzFq5iAIdwavcEYH8/P/bwHHOxBHwTq/bPkt+rBE1RneJjV1xAstALVK3VN+AhICkca0EvJ6Jry +mCW+ITVTj6pe+v6wvnZ1GC7fh8TB2e6P/TjrH0vjROHzDJJPp95vCX7qsDcsmItgXw0jCunX7vE H8plbPbzPSG+s1W9m6fXL+bacMaVBjrG9hwzIpuWuV1TLpaxhjLFJKoye8cup9ZDa55VlOc5A3yB 0XhnEK13BmEskOk1wl8ln/13gSnXAHgcWTPljLGOF5lHPD5MvioaMqW+WxYqXolkq2D8tZqYP969 ZU71LmrYm7lnZ5UVBbSdzfzGhkipk2BfMkgPHkXqCCjIHH29KV5NvCHRBfe7gmFcyUpsh/ZQuTKd NuBNtsFW8Y5KmTQMhGFCDAEXN2lRRWVoB0JFhxqNEkBRVaeIUoRD0HAcfYXk06h7rnD2aCs8CAGB vj9uyOkqWvFCrTGrkxp8bcZjl8UO8MVjq7jUugiwgbvIpr2gxPC8zR2NCEXntpsfsXH6NqgReeVY rJM8ensUO8o3HT6Ung0Eg6lTZJTDzUU/Qumntv1SEyqFpxil9ft0PFlTxt/wbx3KjhsT/6lNFa2b trQq2TOWBk97U3DuLYHQU6fFzokUq5JZ1wOETlPYYHFZqJeU5B5nXhbM2PbKUe7IwVjYop37MuHj I70RHSzizrFz4RZy/nnqWz/s+zIpk/F8L2grpRNKzTs+NIxhzvzw1VLgbxLER9T1uS9argQN3v0k d5knI1JKjhctRhaFVWpmXW9raAhXlBSaItDpuGxJu73fMLe91iTiXAbvzvIChB+gB4vIwG4+nBhg R872itA3XpWiVakIgztq57+etw1hMIRw0bI/6zCIaRI6kUu4vr4elc1C8A/t7WjZUubCFx8qxtLo 4HVdNMNxu9pErq9NKVXktHR9uHNM6k9OpG92x/va16kWxhFGD6IVHc8UDBvtq8EvGnk1j/h6rTz3 AtEIrZkClujaeUKNfbaF+HMWzscr2YHRj0ayEwxqehAkwolZY6QXUWoRbPcxYpdtZqkBF0UPsABH +Oy7C8kVzsAa44tYfG9fBFzsk1NK1gaeXbVa79vx0p0Nt3nYRWg38FhFUdLRqXcCG0CTUzJOZXlX D8NqDA7dVyUASQHbJJuSOQTSiPAzqoPevfTizawInywS8D9gkyhO3zCc0CeztQ68m570WMrO807F 4V0QaAsEHmaKjFQbzoX2sxBZHTSQkrj+dZD0XEdebwO3SRMPJ2Bsw3CluNLlVpO8YMe6vj4kWvcd 47b+EQw1qSRSWQkYom8fgL1/p4DR9XujPR5ulPlqVPd4bI2rzIQrhdNVqMmwyZR6E5qv+/YOWYwv rLeRDAYBhqHtDk+xn+9CbY332rqxAzQlgw2OyGptHj77wsOJB67kGdinz9MOiuCpCb7rqlYOjZeA JGnC7+hRDMY40UOBAzkE05Hwlf/AI4QgHdJlAMc+Sy0IhGG1cpOvAo8Dtlzw5+iQzlmflIzyBztJ dLfTt+r9BRdfTgyEplq+cu2mr9yll5GXbBvyocTShTnova3E1ClPLAmjXEeAfUM9cksIO8MN+tTD INPLNu0kFMTrZwA3jOdnw/NsHwUNgL1SsD8qXXccVU1eEE8WCoEIF2nQOiyI0P7HR3XZFBJN8UiJ 8+w4RSdHG/ZKk9RxJuSfYvldy41ixuHrT5DonLbdpcc7Nj5KBsshjuqCSIxdLwtFdvlq/o1A/XHv S9VblkCZLhTCJ38COS6RwLAL5gYlTm6SRQZd2y5rDfD6My4vo/4HceX8gzLXwSbS0W8qVb/ogdkx g2ITja/yVFTyVOSHJ6U/tD86p2wJjwtBz5oiDOVe6eXE/pYckkenkEPpf8L44TR62iID/qPT8umS zrqHrCb3RQRhfoWaQDn1oOli+oxKTVK/yS9lFTWv4bqCqmpQhxWijgF1SJDaSGaOSMsZwQkfDT6J 78+hm6dmuYTAAPgLKdSkbzGuJ6CcvNFjRbRekXuHjzPhtsMk9XDg2WreYNDC+cadDkWaBncW6PJk UV6cx2vfL6SZaqgL2WSYkPaaFKVV7lQRGa/UygWtoO2xRnk+OJK1miqK2a1q1piPQfXZjIZ0B0NH Q41xKFpIie8Qx7guTQOnG689PYZ+YtoU0L3ERbC1EK8egLvApXnreDRmSLsfJgI0cpo2nCLTvH5Q bNRQfxp/QXlovEttSlzLvhrTGe+LbK0bHqeqoX8qY2qRU3HyUEF5Y7nSSsegnBfTLvDMhXUZZ80c pTy669ex8tB0LNfAkYyp8OcwQdgVjrLPt1/Tz69HIOwvfQt2knf5SBA86KCj+20Zklpj0B4MgTcp x6QxyYOF8IMy4XVGYbfYNqXyA/Kn6J1WL/5q/pi6B22mo8gH4ZC7UdhcUa0HdnmmhW1us53daRjz p2VowOF3K/OBpyHblTSQmgvhWWH5tnmShmkECWU4ly996YFlDJBG/jx6rOPzFxbkaUAImFRjiIP4 QETArY+aN8r8QqcAp4l4IrnE1DeCcg+ZLc5HX+4WZjD557qtEpFJwK+jXQy7Cd4wAbeRsE9Nj4IH vN1tpLtkc8/WVPD3dfPa8tz2kuAet6pvsXNo9l59Ydr7DRUOx1h+AgZhiBS1nSe6H3zJC6C/Dgqq IIaoKbjd+gPwaxItFJl+m1SWxUsTLqIb2TGAs0Oii3snu6vNvKQY1DNafyp81H4g9wPUe+USYAGn 4J9/M0Ply7FQmvkf7z/kM3GmH5dOPTjCOmDoToGwHACdp+yQw8JNZTrtadRQtK8NxxXNhO2qhUx5 AY0RH+Lw0ZH2+N9ZlqUuVkYr9pvQ9pqrblOmShP79GKoL3Qk5//c+r7z4ZS8dXyETfkEotlxCVt1 +fkxgzP/z1smU596rEEzk/GljggWYfsze8/9pd6rD9LAUc2DZ5Ze2A5DjNJw1VPhTBSJ+5sRbBmo fCrCr+01o7gZa9rMZeEgItdHnjl73VUKZf3OWBJQZN6uxsvlYbbqDAHaOdt2r9JtzfkIH7jwo2c5 mfL00yC4Nb2/ba+gYge42FlIgDyFXoY98o4ske96RIlr+suvKhVEGR5NGpWtHHgWmn+/AGGsw1Ds KGlhEOpG4CzZH+5b++jldmjTnNvD3qKHbgBVoilsPj9vk/0MFd6vEfjJq/myaJn1Baw1uDvImVZD CMArbsaeJMP6mH7Fl3oTQsGBguxTdv4GPBxxurykJ5fpJlw6On1A3ZEfN9F5kmfNhYh4P/ho8eBc wdk/Um1il+Yjs7n12UPhtNq5qxM8VXOUVUHyJxUsl5IIFmhWqN1VixGcdlxfWpQkQ8iOLOCvWXmK dNPv3ZZDSiwUkssHYRyCwMcs5NNXSf6oMOvddER3PPOaGfcI+aa23RtG7m+Hi0oYlozMM/HcdJLg Hl9bnpzs63lVp/CeeMxeBWHNUIVupuqriTLL3rHCPCLcNWKCXRaQO++NTGhyirgnc4uAH5pgGlfT v3esC2rusm1NT1IskfVXZGgRa5UIuHdCFbLmagHd7D3I1JbFP4DgdFJ5Zq2YWwqqfm6MQk/0Aam7 m0QhD5rB6H18y08HVgJlImzVH1d2t5MciBtP+/nerWB0NCQVt042as3pZQmBa99Dmnf4LCMX/zVh iMJShOpR41eTR1rcl9Gdq5msxttzZaSTnd88gB3qodKHLM2F+GFs2oY98UV/LqOeYRnBvUggpbRV jdgo1oe+t7qIZ0wdHFuSshNeSw7BtUYAIDjKidzVIZGUhiJ+BTjOp4jP9rM3a6XdmNv72+X5LdUi ubKkpedvEie8+IyYfYLjLjzIud2kCKduYNd8eOizC+xR2r5uiQXPD8wWNK25+BMEShMfq5PPAUEo wLxup6l1ugznYstF8gDfcLGBe8qbWtVApmqL3JC9SEoVb2sMgWqbKBq0YQrydlH07+diUclQ4Ttm AkC6sGCotodKg1UuqNHLhpWWMDxu1Y1XnN3VMQEPS1uWtjFkLnDGRxtyZZPm2cevCvzzDeFDYdhG iG/lv8mU6H41pveogtJTVdA54MX+9y3Ssh6GwZhqm9BOwx8hwAz/LjU8KMGPCgVgjhpnV0r8D4kR JzkpRugpoCBuGBR7tVSqnSfEkFQ+8bNkXFqEDEdeMVX8C0Blxc/BsxsDfGuPMW2Mt6rzPWw9QAX5 meRO19hLVsPLxqCkZwTvJjD2/aoyH31HAx3rsNn6vU2Qki38Pi8kXzbS96R2ikflQTOIWwxGH00K 5keBU+imwUbTH3dAn4mvFSeIfj0aHKL3ZeSA9FB6vrkrAGjtLew3ZU52VBeAccNVAIj+9uvZAz5x qNRzbMzLbHM0H3C+yKa6cpbt9D4K56hjvcRFUF0IPUc0iuKMR3BK9DuMsLrMj2Kfa9eECKbDJngi BoAiJpM8ppqh4aCExVr7phWoFzBXm9BNBQrBzKGH9FKrxVyVVszou3s5TT81JHZe+YIT8ZFS6H2I yziTv1WFpt8uQaKnCsHbW2gqf8sl81uPT/YtG0Wfodvdb9DzmMATJzI+ySZVDxhrfcVcQLq+dDNQ T9M+45g6+qWThPEnmcPozFPVPeUZ4Qm0Jy4md17P6D5XAhKnyLWZv/I0ML1zT5po0RCanLLdGqSH kVJgygkVhjMixjRrtwe0lzTgyanP9bLdevFvxYdjvaf95ORSBTxOjWtHoEe8NTe0jRoh72tKDKWs VmBb58XSh62fUtsDPe2Dj1mgA0aBddm8v9/BCMlUBaKGZL6Sv8CHlfXA+dekJJHOiiLOMUFHWdNu 41mxaf6PiQAyaIaNIjLen6kb6Ynbx/zVXRm333zYjqbitEBSdOFYKxl71gu4R94hcXFh5QCIuCxV BE+LKB3IsZUj2NFJyL6IRRXQG+M8sRUYTcAf9242wbBGM7IWl1h9fP7Ipu8H0OLnKZYDsQ4tZWiY 1m3FFFuDm98tfmFK+DgTMZU8TdfozqklfSz+sAGK0/YScpOSq/wB1hHbYjTrcWs4uYmwXdlm6zmP fWvPkg3kL9FSEdheDQzkPDhLHO2/MPt/xecWS1XoD7AMrQn9ym243yNOHZX/Ut+AWD73wewN/lfs SKMkj8YcVhqv5WwdFTzctan2cH+kZoA9GL+wpZnSppO2PJpPkQR2Jltd9zkgFnP06drO5vwkjg/K bDVNCjU1hdV8gIt4eW+TBwpenHGSK5VgJlSGnhgOKSChTMHZh2WBQgzbDXRb73i5pxmWjiXni05S 9eqSy3mdeu14hCspmi7s3MlYH8suQd+m3HWY5dlkC5EZwKzzOMgNXZW2qLB3cRL5wKlo6K6Rx86D yWKPRctziYXod7kbenH0B+84RShi8lvlGDsm1EAo6fEcU52n9D1RMEeAAhBAuxAMMVk544fV2yn7 p5e4I8X6OI1fIdaU5r0L8g/RfKjO/pqGdcqDoSFhFZosxhrOgXormRqTdxi6A+cbX+rGkVfR+PBz YFv6++vwCbvCOnHKT7Cvk+Nv9kBN6rqsk+Qxe1V9XNGtRsIHkHRcH7iqShDHauYihSIdKsiL2N/9 3VOs4q1vVGAaB9JPrlGlk9gDsR2XORy4KMP3r1NOdwLceiho+NaVuHw3G4Y+4oFQpJyRvKOEY9Ke Trs/KfrcE2Ox1h9fO+aqVJj5tatMYgzxxQ6yZ/VZMXkTtyfrReTPcl0jEgejI8f/a86undRWBxSX uTo/ZY/nOgV7Nylq6k16/egUfq/xCj2mTg7hCGU9vafZdX5rlB1u2bLon7V4kqIEo74e6ZvJx7Ot aHTTIWtySiu/wnfhjvGxA84K5BNEzVik3O9vBy4CnmV13ApdptaJtGhwLSR7cKv0Z1rOlYllJy0n VikUBQgjH8Qbzxi9HGQrjQXq5i00KlVm9xU9bQVSbdMWReH0EeC6dPFVa4z1Od8tQjAIj9jEc4w6 JdE20AuFyKXeDt/IBMIJpnmEyCKaIBwwTZhbWn5X4UcJnqXkaphjUbu/v/JCFhFo5LZCAWZ7aSuV PhqUhclde2E5bZ3SsNenHP6H2zlRAUcJHL284SfFbCo/JGG+/tpIoTJn55dD9ySTGRNGSxdMMkmD TYdyepfP0Qjnoy+sywLoQmFqvO+TEZEFW1xXGLte+ybSmMNcX+/yPs4h4z/WgNwULhnStjMmazyR E+/xG5F1esFB1FNzbKI2tTZ0erFS9eq9E53kxm2D5lGv6Q5dPPCQssaUxsv6PnYfTTpY640RMBzF U1Srp8gbp9CLEciuo5JA92AtVNO5RcxOLY45PHFq2li7GAUflsgMGguv7tcsR/ymAY6xLicpzWlP hnSypDFEPasRSmciZaWw7/eafHKvRjkAhlPmfwpfCdLkrJ/z+lWAOSQNTKOp0OHYrNVu8RKHt8XN ysupmoELHQFXVKhY7hbvEpxBRe/O8HqtFqbwq0h3v52D5OCelaIa+YjJaYK9Hd7b8uykSO5gLelR laWH8LJbKYte0zwWenbt1KHs5l7YrbqIGLeAO9xaqMYVhxHkrl8rB7BoeNsxL1/M3U+RfUfDD7J1 r1F0kTy8qy+XX5xlUEA3G7PExHWO/wGGs5LLK4pvyE3fh3NUfwd7PGaCs3qNYbzSZL7+y8A4ze9U MxZVJTfR7IA7OQkPZYrsIU26ITbM1d9BdGgdMSfqsE1GTlKNOcJ+AhmL5kr8tjMgrOSmXbkGLaBz PgrLZ7qMoNXTkmqJJUKn9CdtUeBpQGM6Cdnmd75UzSpfBXvqF24M/Zvd+DzCWbgO094RFZGtF5Vk TWc1BiDtqrwy8adhqGIKyO62ySjO6bEUgGer0ZODrEU07QFNJ/MarvdYL8uwQiQFwdgTJlr6/Ouy 6ZWPAkbStzF9/0UGvj8xutSm5VBqFz9Kol+m7/eORxRmdiJ6Yjg3n8Lrwm25/nhQaloXlvVBK4/i 5QJAC9Tteu3qkIZlfSzjPC6/VDJfDGa3jXWNAE5lRJzeISKfosa0h4/FUo4CWuo/9l0TjUye38Mu lSikcdmHpfIkzsMdOeV6hZGNMvXB8T/5pe24IoWOlZ/cnBALiF1HiRjU5VzsoUd7BOeowfqbQfYQ Nm2nJ2qUs1911sw/Im1oULxQStZt94Rae3aZFnGj4dTBzQLqsd3zU2lBio60RfiUl0Kfu9+ayWm+ +DQ51+a4kCxHlqD2l/Q6DHZu8GT0AfyrWV9b3hoMjlZHtmeD7J+2EN0j5b1/2534F+n57jHVLG5Z y7hIJ4RZpkZ7MUjf33q39sY9kyobHrsnnW/rFqwdsrlcQ6xsrj1pNA6OoYfNuqE12Ml1qtIyxPx3 zSeGeX7xoIasdsJ3SzQXBXDJsEC0LzDMmck9yqSJeZNs7LWEHtBR2wU19nSQExXMxE8yWa4Ex60X LIctkUDTzS2L+JTBXLE6Wdias0LD9r+hIEuOIbvfxuKzLIveohzwcyFevsYYKO7IqQr88hv0i0i8 QQt78cFLRgK8d2e61D64PY8E7o3aFnYTodjKP7TkLeITnGrmhAkCuBVRgfi29ErbVq2JbGBkF8hk yLk9HMjmh08PhRhVKcApVVUpWJ8ZO7p8+JqK4pqcDlzflyataV9ajPw5ymXSesqd/siqYVHhiUpU k05a0acfkHJBia5nSwPO3QdbMPY8ap5y64Xm7B1QwwJGbIZsh0S3iB8doceiV1DM1CMSIiQdIyQU HWRXgus51zLFa7kxrKu/4r/V3k2/RHbRvGtbzhKUygn7WZRMbrfiLNUAxXGxAkFSUdYQP/AJZbm8 PS57Q6Ojpu9BfVqny5AoL7Y7BV32OrgiSFLXZLxroqFiMNzbkQIylRAfGRbt3D8oTRZEN7/5/kN1 ZPH7mDTpO0xEcazcXwfvMuqW94wKN6VGUw/wo35xhQGXo7UtD/7h8HUDRovGpk7YpanKIkQNEQqT WVzv1LnnRKtOdEU7eDbsMt7xIze7YNOuAksm4/uPYHR40wx9pq9LTFUv8bhdLNQetfpWJqzt1VZd cyX9kapvO8tc8VuD4JcZ34V+BjQRfzEr3c8eNSZ2reTUmtsnYDf8qZjgHYcrbQh+gq5AmkRRAN5h wZHLbe5V401D7WVBapYz32oYpN9x9Z+3mVEdDULNncft1+9KUu52nyfPrqDSzv52Dljmg6S4gAHn nm+nppLIUvdL+qTbmiSUtY/wxj29056e6ItFoAEcT1yYQJzDl/LLtXYpQ5m2HMMfpsXgwA78hBGN Tr1Hga3UfTz8QIoPwAN9ig/ItdX2wnfffSxhuWFogCwzwlOOQdefSC6HxKy4QXMsOoq57tMqa8s1 TbJhPrtFGPNEPpYswVvQkcK2/wgVQkXw40hUdDSjMGZrVNUHoj64X5uGNjMeKyoOpwWahws7HLCx CFaUTJJksa90f/PK7E6JW853vFnXmC2ig+sqgb3VRuAUYq/lsAmoSKl9YSWwKllHkiXh32WDEGYZ 3dUS9KpWi1vcUv1hElb0d7pwk75IkF18cGnOG26wU3YT3daASW6FrvthJry5KyFHhluItP91X6i4 SHFXeYyeNPbzEYSRNiLsOaeQWWqI8WamRSFMLCA3fphAvueuVVajmAjFw9gnlKHuGXqXBuXelKYY jIwJP6XYfLXd6/hwBY/S8my3y37p1xgupd6bmzf1V6dbJ6vOjc3peJ5s9ggIVJjCijZL9Lzyq5Oj FrpkgbHV8tB2J3LN0VOzH+9Tccm35c2Mn9bDE89JosdhIui2CdzQDCRUghc3iOkAxSFaMPw+5khV GiQbnlkuLkaJsZw87Go3FPD/s131Fw1gOfsCyDblCswEhX9jvN+ZGLPChM4OQhBBMG8fc2gqYiQn yP89PfqmecRTRbSNob4m6JxwcZBGhaIeKPVJEEDLJX2XcCraHQAVQQHEqkr8AKxS6ajq2CefFEBB cUnMpwthQ/IMBMPNsZUe7sf+sO7P3gozbfK+KLlB6aDwrjq2/hx9imIjbt4hHLQ3gHfGdq0iDUcZ fj1HkYhTXvo3arrtLdeDBCoInU4wLyN+bOZ+FwkAKFjmV6OiNfP7dpYfVMxYFhpi884MNW1ddDVh foRHfBfrx8uvCOwPVyeePlXnuuR9t9mDN2Y9oPQUACTBWNnuX3d3IpXaNDJURTCWC4paCy1GSIub eOl4+4WUttjg4WrJlUU8BMj2QoANh3/D62s859OtAcszhPh1Rq3uB7ll86buBZ9L0Bp1f3WrLCqW gsM9L7BWtyGIwnrsaQIC+cZYoFuQMOTc1tIOFnZBuyiKVPY15oNFQpNyo1jzEf6XrzBUAE5d3UrE BBJd0EVpPLjx/1vtI4FimY8IzxUlWzhpWOqN09vuPL5Zt6C4ba53I/qD5Foua11PChArTT7Btndc xlKrriqX/xQZggjD++WAwse53VMLwyUSjIrUiGW/0eCQvtc7/mTGbg2xFjDpa1Zc4B74yuPXyE3g yJX6hHgIMsM8zdgpvjROEGQGGlZh4ZV1h6YUtvMrkGS5ZnSnv2AwghlN2h7f7Q94I6U+0MyoZ44S eibpkEg3VuBnK2VisN5vGIyZfZJnE7clK3/zgc1yAU7xbZsgIdso/PDE/OAtP4jHx9/qAQS1zjEW adwSdc1uNRhBNRhyhN02/DkWnWPe51udGpGnIBDUsPWK12tigOCOZvVE5QnqaT3YnZiYmUiws+7w tN3x8YTCLEJircHFe5/0324/4QJPJlSo4eKHjLBfF4gzFhP1+1yw9SmKujiuyFzVl0kA2eHrGi3G vY5mB8+MjCK23th3cq7rx/7hL7/nIlsf+vqj1r3P0X1PjxIPhwsEZIO56yZ//n8jtikDGYcFkKN1 63FVMJNBso3AxeUMg1EW/C0neSB9Tm7VuhT723BVNOt1l7gtZ7UfXTSxCKB+dyGCcy/PHfvStHrv TMpuZnrt8hWY0Ae+8a2HTIhg5BH8MZ+ic95/LC7CEk86hWJIr0z6YRZd3bwzKIB+Zx+P+JwaVgWA saXE040U8htS1VAhNMVUlGYKpbsVvA9uXxpD3Sl1IKYrKteBgpAsrENIy5/VSICtOY+8wnwK2YUV 4xk5l4wp7bRBviyCe0yatLvSFE93Pva2+Wei1YtrUTK5ojjzpdEFxrvU1EoGeeVEnt2SEVNpRWbk lcU524Ad8IJNwTh0fDrtxRUsEaazi1i5euoZRYA0RlxT0MgHPmMENCr5obBBPdK+PXq7GewFgD/5 4a15Q/U6C5nleOl8wGv5UnDABgYuHnGCsSM+J5Yil4Dwj3zHtLp3DTIZOcnm4veUeep37AHopt8w yykNjKkhdz/I9kWtP2Shg+CV15j2nhC/6AFxJLEf871NMH8mKLamwX4nmcvgHe/zCX3saK2JrNHC fdgSgJJUtTiYwiIIkAU5SBIlYcfXOrdCtGfyP90zKGJyoucydlCgADj7m9kYDjaIWzB3o/P2wGLt yUdeR2s8ddS2npxIy/GoliiUX8a253KQwq39RG2TTOxqWfovoQp/XBUU3UGryzBuFrBfrQHulQ8C bbRhPQ6vvf4Sl4w5d1rka79xAayrRISqW4vcxoqv0qdmnBThxAgPQi2ia4rHov7HIaD6pWVm/OFO uidciG0LHusOk/gyaC3zZfuPIcqc+0M+RjkyEPpK8gaPiSCiRELKJYlaNSCY7F6FY5PFOdx6IZts c9Zj9VW0I0BkdBsDJWcVz7xAy2vbK05RzRVrFEPqLykIxRnceijSpy2GyjTbi6/RAjybBqm/LLc4 mbw+a3AD7BJdmmUonmjXStdPBTxGdzdMl/RF+4TopFhZ5R0Ck41DfACuIEHhzKvIKW34ZgebHmow m+ptOJkzWgDCrmi/1bzb5AY+QXd4rRxrUGN1m8Hsb8c4jc35/9nDi9tiIXAWleDl5tT33SEu9Sul ODcuR/sumfLq2+VM/zeL+pVXVxogYfGUTzKc+fig9a/lGnuuH0fv2Ckkm9vJNuV74HK1ACCsNcyL BXh5FXuJgzmHtfb3EPhPiMEKO7nt63PHT+LQJn0wW8GnKlkgJ57EqHdFoBG+2LQGUOIhcguZrYu9 0QPwV7BdoHvfq48dcB7uN2/M68BC3kRqOgrPd7gcdSqozbn9INeI5tj2vyZuN8hubds+3/Ir8PbK jLFc6Tm2MTiNQo+D11htrv46ePSAX07xWRRbCNBrT4rXj8YchDciCVagNzrLQxUe4omlX9UHJN91 W8UB765ZdQFNW3eSuCy3fl9CwT2DRYmVPgKAj1aP0PW8frnu5w7+8UPIjg1/SEHV8W6N68yi1Dbi UN1tJLb4V7Zawks6dLM5pd3o4uX3F03JZr4sE78D7x6Uy4fZQo3471Z7hkeqoHxJbgf9eQ1niisH YeMVD3txm61lwwRDcNn8rwx6y/BF29MFaRe2Wgg4naPFl7kB8aWWls9u25BuyNQJVVdrZF19k94M trAiE9XFEJ0uZK2NPhGV+RaoD4lwTGOHx1OVgMUNPweDgk59dDMyVdfX/rRPrFsWPwOAOq/Fgjgi Un9MbsyiTiX6wMIdPcL1qFuJfBdUiND9BKQzLdK38zn+RfxnVDn7eMz33d6hS1X/EmFiJyh8mxXV 4aef2Lqn9VBBd0G0TM2nHWdbNUjxCGM5JKy0RKecanmsnWQta8TimKrtcJ7wR0RO1QTmHg2sMKzX /x1mYysuMQrH1+50kaRxU/oYH6tU07HgkNr9OeZ2yAA7mikupWobBOLhG8EhGGvtCDKYbpCeLq0S pJJPPzp1JorLMNpxpJodEzh/kwtYUNeSvvqrAUrb20KGW+UlIlYDKHTGj+mGyo9GWv9GPrABtiEJ dV/goCBducOeUpxEIMYFjiqFhScwNwiecL8XEISbWFu3TeNGREttgemGZ46zAzgmJHLfais2FAoX rAPI5A4vPuiRq2hkikGjyZ+GxSPVXsbTYd1HkGVYfj1yvo3lkq+VcB5cr/V3ZxpFpE2Zyyfoqvey ykHV9WPVkLUzaZDYXADCH+/r639MvVy3T9bjHwk/e4QYuJf9x6xCF94nZLKYKMl8Hljg8ZLBNrBm /9HtHg4TC8N3voAiBWg33n/ltDFCRDs4uXYRVaM= `protect end_protected
gpl-2.0
3224d51ebdc98b6b539d665877101640
0.954735
1.820126
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_log/flt_log_addsub_taylor_fabric.vhd
3
14,582
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block bam2u6Piq/2fZa9P0ySovymp8gwEHmr1DUE+yECUo2Ll++w0gm6mP5FCdF5Zj6OUuae/5WmAb2v6 aXi+gGoh4Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block iRHPBpI6PoYenusxzDb8Q/8RdHh44hNpkhuLv+jdDSOgQ/8RoxVEmQZGg1nejHNS/P3C66aieKRF bANQe4PewB0WibccGJNSDEeVXtwwZofBR4UWjMZO3gTuACKdefRVLSO3OmX+cYYW1Z3ey3r7nJGf yUVxZLar9yDcj8IP1Bw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block sKn87Q0hRY3QcQ0xdy+x5/ovu8Qsdjdqth3Tq7wbGg+A1TsQ7NCUsUTVYQdR38PN4YeTTY+P5dnS IyMnjp3wQLhKLB9LZla9DpWu/+YjlzkZVVU4kvRZonuEYI/uQxnqHuGwTyOJBKa/koW0zdjEDl3x pQokMXp1Y7w2r+3nnfxPum9pg6yvKt2DmeI8DJ4nhNvqbBswOJYzNUL6G8jEY5TwZPRHlUmHscTf UrAz71Puz6wc5QnE7cF9W2VP3mQq7N+7xJT+NHIvU1/KxIJS+iZrstGBM6wvulCuxtK/kbCCPhfZ 46T6+hHn1ZSPsp3LuRkf+shBY5ALv1vOgkpNRA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block atQgp1kj4NErfI1GL4JzWMJzsyBE5zpwxzh/H99l+mX2+QR95rsu3UrOZVKH+3Fi1B3sPM0CwRgK sD3dbVeSN+WxbM6x7S2fmV6lsTJfnOzNoQW1YTxZYZxFM7E6V6DWZ1cvNA46ATYwj/BGWdUr/5YQ UblhD+yh1hGslWb0/EI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block f/YhcYGZ4RUdvJLp27OqaNqRxzRzs+0TLeDqJv57hEloQDjorjiFtpiXLYuUFsUkFRTCggf1xTXR Vt3UUlfCZ7gt1BOJV3thV84d+2mSA85+hReY/0lEjqHUY/yZTeo4r8z4rgt4fDFIHXnT7j6MZ5ys jhgA+EymvJeADhx1T4pT5F+JZuc3TIfs2ykKy7LEi3cvLD7OhV6RGmx4UGlnAySTX2MT+XwbAt79 oT+sSCVX32+OKuxnBzwHxavC6PQiOfVu575RRzOGar6U42qQFiNYUuoV55aNjnsz0DfhybrVPLJJ o5GlMhxxQ72gbQm/hkFrl8DnHszLDpyKU59OuQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9056) `protect data_block /L2gThrSVq96dOIYQxZ/xs55ULkVNhw9NLwEmHWgh/+8OLbHDfeeOQoUw5mXJ/XDPUbCPNJPLNhX OoGWOjcVs6+GWhoKh83OeDmxT1wG6x1Aaw4pfvaEHURhT3c7bQh8Fm/HwdjJvZ4MsBLQtz+O6Y+i k8Dqd1qnP8TZsZsLzbA6SCuRdAJQ0y4XO0AKya8pWEM4Ir/YBM7lBIhGzfLctLtBT0yGssFbK6jg W65Yqz1RrfMcOm5tlzZXGgs6g22ZqIU7yv70vktcyE7763aOvTnA3jOxhyokEcegwLJKA9UT9PEu 8e2pN9Fm06wXIGvhkaOvrDaXJbWuxtO/U7CgNMkgUguys4SL/MmRz7omwraqk/BrBdSMxhwEAnsc WS7UAItp5KeIPDWr52udwtkjgyLF6FHQdVlBB72HCJQNkw87K6Xy5K+Xn03Ot/p1EEjxm5VisMfD jS7xhJ+XTXAmnoYgQsmUd2Dc6uEK2pWRfg6dE4u3Nn0kigeO3Y/wd7LtCfp5XckadHHx6TZrxh6j EmqvFnj28FJLTpl6YWm4tViS0V2nFx1rTmHlVQ/lKfdVYtBP1slFHHRjhjvXKiD7X8fsz7In05/G vMOcNuXIUpoLoIiahhGggSqbVqjg01hIQQRche0Ae3Uu6AAiRJ5D5E1fdwemIGfLQ2gB4Hy1fCUG ODi0InkNxDsL1+7z3m6vfRfJr95AQeK03j516Y81jX8H58HpSt5gpK++VNn4JCuE7DFkzzQRG7WZ OnZl0jIB0y0rSC4eXX7rlJSEFfBDUtCcb44q2WvL7bk9t7UAgw5XsC8/pjJudNW85BqoMhCxfkJN a5kPZ8LvkgSC6ALb6BhI49EFaWSxCBaxJcezoEKzWyo7h8FfDidUPsYGpZD7NmgUk0ckW2EGCkBO iRg6nkiimI9ildaDvasHeKFbwobTrtgptUBp4m5r5K9Un5pp7+IA8JaEZQK+N5W0qKdxOd0Y5ZJa rFIfmNbCeAXXbDF7kfaFxammrpWppJo7xpgZWY6lOagbJezfUkO1l+GYiKRfm4QTJxMk743SIwp6 W9RKMfe3W5hwCiCvbhC0EN2jo4FZpIdCNVRYw82xhkhxGToPZpO5/5i8W5zYtDHkIfZexcLYSj3N VHXL/aNK4gP1596zBQnCAAngbS5jPCtV68xXJ//Oen2g3B0DekhL/AIG2RahxfaJAHTAiQIoS9j1 d+dGtJSJFNKR/phWVLfUcd/Ko4Hp/0NGlJBOe56JutmY5sUh1FF5+Lg24Snkec3v1ionyXc7Foe6 wSzEIa/VZWdhk6sHnmbss8ERfFE47vDjelDzFYOk7p3ayVDvkYbRD9gty0D9RCDgBQXCLTN5Oc/6 k1mFbneuwtq1wgiXFnS458ehV82+kf6fQhea8mfUNoY7aSZvZdZ43azj1t57CLg1hT49Y4aTfx1Z Bn0iyLoaZhozgyeTLvBKvKJVel3X6iaZ2tT/sdCpsywPLyCi/UcuK6yCmQ/JDVbOAaDw/tIrur9G LEN6TL32RUy2ga4g4KDhW2zR/pntXMY0tM8ZnG9/U5rxfNQk3ZqmuNlQNZGacZIiziCnW35AH9NR KXRxuIvyIoyydQ4YGLy2Wxw6wELr+yZ3Ua3gYYO5HAynD8V7zeQTKAzLD2lHYOujkh4z/OlThM2i tJOMqsQv2jyZb6kuji2L5JnLDep5RH3Zn+LpPSYTDVKn3oytfCN/6c/GF6A7MU8Twtub6RlKPZpY /QVeA9A5F9yPBrzV4T/td5NlA428bASbhhgHDGe0f5uo/SpCU8KlRrga2R8a0Ho+K0UUj70bbexA UVaYKF4W4vAXPs7J3XqCAovTjTF3wAwJWyGepoqXsuumo9HhnOcfrSSBqhHZVefwNLo0UEo8ZGMD zx6Lo+cWKc5jGBFO5lXnstSshiIvGqeQcejRo8S7MCcRiBSFlHhnBPkk2ZOOr2Wh+1u6pRsCWm7h zVD99iXC5iqZTqGA7Ih+VZXvTCEGOw5h7gKi2ilelgh1Ho2u8qXnuP4uej6IUkOfuza4kvXomqWl vjBdavGSjPEBXhtFvZFd+/qvno1l1pDpjaVAaZIxSWkZp8bbf9rMjzh29zTk8sEiXSH3aHWk+aaY KRQ4dj2aCfMCNUolgwLv003lJCjn+Zmrdk50nBV/Toy8edf4z+O4uoMKBloSZUaLe39K/jWQOfK8 DgoY2sNO/qfHgcGwgEQAiev/ivk2+iaAcxDurvU3stw4FnaEmhSnVxQjmKUU6o8Nbeanfzo2n9ql p4wDt17wl8pm2ne1nK7FA6ITIUbbWBK6r1jTNnIKsTcoRG1/Q7OTuoR0fLqt0eR8tmQVjOfwNOGQ R8msPIvH4srxlWMmHtoWYjQH/c45Bs/asjhSuINFRLo20m63UD3FxUSzFR13k94w+BxCnO8LIhMN mR/KOB/qFFb6UPWsQcJNUwjLEGDBWW1iJNDdmJXFHieW0DkERep9TJkd9KD1uYAguXtAunq53BRu 4NoKccuYIhi65x8qwCVxtWjFqAaTt6qZZ9Wyo+sC/fEj7Dlfwi4v2AUeyKKcjOO6t4+PfFHP08mK IEz7vryNupMjKDkBisd6dB6S7+cAKcQLGeHfjhNe4RjZ+sEyCtwxEQD2I4qtslenH+W7q/dWjI2y qJJ1pOoi/vgvDUpoE7DyCqVp9c4Z/EGOD/RcxUM8b/19+qnS+F1B6saf8Vfm5j470BDFFPXPflD9 maPd7WdXXCwYBLElZTKJA3rRQOvseEHWO6Pp7ZKg+06a9N7huwbDUP/S+OMYH8rm7zqwvS09cEkv lX+FUGAl08CxBfxagm+AARcOF0IkWYLK5kU8weeeJGcLmbYdjpTryTARbA0Qs1KuA1r2/EuuX7Wk Mr9K7JLU5LWTNOyxp6tznvrtTIy++IzAWLdAB/d4k8biD1rME3of38UntU9RVFZwxgqUTU+XT23l 55DmBPMe8CC1nNSRZGI8/uc3jOPo5Z/xTnK9ncmcmf4owGNHIRklg48sOFP8ApqN755OUZkKvwYu zkD1FQHf9ATyY2vpgHZpeH4tB9r9hlMhOfCi3tq3O8ClKKC0shOO8H/pDap5jeqVaM++1rlz47c3 fwM6KNHjoXUcFs83cz2Ldgd35o9vN/uVkshRBdiIUNkacyh656Vuu5zEm6ew8IYgEEA5bSRKd+uT EbABc7dzqDGMfSAIhbWD8kS4vd4bBc9HfbN4Xh8NZkYgiEr3YXS5P+bf67see3pi3k7h6/Bt/S+5 xzyudxrIKXoTma49pOrUcvp5gyXnnByXVXoq1HjTmlymrzaY1GPOdkCVwLOXZB9oBpfyKcy+c2Mx ARF8mPUKQbUWkuGA0jZcmo6DhnHboje0khhw2/rf/HLaOhlffyCCHvyhjL170afun/z+9SA0nKH6 zrYBdbC5aj6omrZtP8EIgefABpFhrbsAiL2FJ9BGnYP9jAxQv2EVRqvC4msu5u7ElW3YF3FTEGBl SUP7G6hUrwBkok95F9BeQxnghk9Bl30quk4Yz9t0BQ+IgeTrXdOI+dC4aVd1LQU3V2RXx7lIgRVG nTlrxEsxs6xN9K6fZU9O6cXy7qHkULtpax8nxhDGjKd/fYBPeCGqo0HZhgYS+llEHdcMp0hk29tT FIAklX5n7seE8cCbSlr0wubyQlx2b6dDwXOOpJLjKULsjYM+iqVemk6jxrjlSxiSjQsXqUVsolSw sTo5jKEvsfa5eLX6H76SSm/wFmpltGpfqLXIfy3NV+IRYWj0v3jr5Eh7I8kbGlIt9ilh3nh2Q5sj AXJJ1BqVs1tJb0S/awJ/3w110SdFBEEqb9G9H5smbE8T3MlHzr+fPcHinNzGcXTsvgRwKjzpjnQw Lxp4TsTvfUmxP2cNWJxwb76dkQwKsYI6njq1XAj0RxH4nKH6+zYzaTIhtpiymFMP13ap4VMH6kvA XA0F5+vLrlxCWj8dbe/AgJ/wCsxNamqcfemziA7PxItqjEKuGdmGimXRw6VwCgfs/zZR04VR4CqZ H0yE9+X+WBomFuakBTR1V1tw1RUi09Hi3T4rDw79uN9KWMDJ5l4bsmdtSta6f0W+AUMAboqEul9l +3SIVAzjV5f/JWpBGMuD8QzO64zCQTDbAsZGZ3GpeE7csS9oJ9LyXj9hhv/ge1X7abAvIHzs1mHo BdDD901Uy/zOyO7TlbX23l/tIKFazSv0e7i3SyDMYNN8fBCTNx4k7t/WcO+UhVqr3aB9bj2dw6Xs XSfmkrQE0gMwdUwd+LUhEbiRNaaJ/UmJ2KWaWys1QSPER2KmLFvrHDFV2H5ns/MPvC5+gaHKaoIk G7APUhyMG74o2r/aQCSfXSm+ExKRLumNDItEnO8Khvi7ZXXrsyzMqDwCL81PvbnSigHjPPEnqLca CIQjn7h1ZeHhVbExJJYOYaxdrUUHCyqgMAnfwXjgD4lLS0o5JItTKjI97/FpFPn694akUmBo/Gdo MPZhi7LAelFHN62Z0SQCylzDvqgqBye+GAZf6PSUvCS2OxUdjAbPBHQR9uAj0TaRZay/e/IX+VXa paKoFANTw4ay7KF9YzkxziLN8XutaYovs7e7/oueDdRZxfHOx8o99lBZSjV4WrOhs7TFr2qgm7v/ lJxfhQ+VvFf3fDsw8tfURdL96jzFnw/GSsT8B/6gSOuJRbgHd+rN0KIqgv1Xk/w5Z50L1Z70a0Ax 3XWGhW6e8sZ/iQ9oWHsRAJ17xhPYdiUW08ZCwIjUer4DegkHL7sepCFTbPib39kIAt5KQGUk6uwX FGVnkMqCxdcxNVky2fGmQ6nn4Th8JYmtEl9WTRmrvyrrp8YarWWV9KrPhO+60M/EvS7CzBpXo8A/ 5xOoiBf7PZyxEAiLTLhmuS9j+PiARg4QDZlAxUgRG0P06YHmhKNGniJrEPNq3Gwlyum56seBkbWP KtniJPf2bw9a7H7NykCq8QvTN9lPm6x7r5YQaplKHQ/1wzqvANp2WghU9yjAI2cv7joe4JyH76+l j4epRJN9V3mxgooIBHfRCTQ5Ia3XUoanlZ5AgQtUMfAP78CpyY9QhGJd7INYw6XlXx8monfsmlKP k9t3cUDs1iBOSZALMpFMJLEunNLgNK5ksPczqgCkxzN9yRmnyUhVdo5nE5+nfYTzmt4BHUxwujDu 3YL9NIK1pfOdgHL1bUdq/cVlGYE5GsfGnt4ZzZZ8dFX336QwBce/Jr0et0XydG36MhbCsT2ir1Vu qBLE9E1cVNDq1Gai6KJMEn+pV2GW8xahNzATBo2fDV7LFSVF+U8wHj8gg/LvIhV/XJ2hRmpOwP2F WZVgbbKc7nV89pHj8L319L6MIGxxdYa/B7Mxl47rZQCAIBzckI3DQ85LTxkR4CZDlWh9gc1kqUFC d5kDbYo6iN+kuD1voBdbOjsL4E343wezJuiz/kVZ8VoM050rj16sVrbuK19VcMkClrI4NZ3VD5vl smN/MYgehKCsAnL8rpdzhE3+FSxr5nA3c/eX85uX+UmG0fPPQDPXv598j9L2KZPoof45L/6ShAbQ MbFg6tFUNQV9DrWDteghXS01Y5wB0s3nq7K5Da3xf7OhiCHmQHKYAcBTC2HHbEquyLgeiFgdx+Xz FXi0zGI+FHvH8EuGYQ5azaResFNj2aTB1d4Nbtq3IgsrvLWLQQ/v+1qVZsjVV/h3CN7C7FCMB+AS Fplrt5ecgS5b9qrCH5YMEGd91mCfNFD7NChHvbj88TBeAQ55WceOtVUbFqJ+bf5kmZPD39mG6rtL Bt9R/93ZfTrQEEGxTMSsMFOkDM5sLJTXvnA8uUGUl+IUlqaqCm3+2dro7eto9SJPWOzltq9cjbTd AdxmCfKDpZOsgj62GBq/ZiBTBtaMewRPsnTnGzBqLA/VKynfI5sFgaGiQb7KnQUnYA3nqZZhnH+5 qIkc08pOU3P3EUqnC2dP70m0t9INeYxAzrsB8O+yFz7OQfnHO+uACaXux3LOKYvYk41TgXIDEeku 5AW/9jtR6W8bZdbWz6BzQ8fz0EfFa7FmaGUNF6bzE1MSikPYPIkZRbO7SBejifwdYxanuuZJFI5d bi9xpIlaAjWBdZDrnIKLUueH3ezdFLxwyM06q2VhjxbU2/qGe/wrDKIxDqxov0mEruDs/Xb18iTw IeanbUxvezgOCyC0b0/ES7ODTe6TPYYMfYUYuXRseZYpxpd/e3Zu6gifayO50i8ElquZ0EPbu/rA KkiO2Qqf/upbZ4oKkRR2CEK8s8j1qx1PIHhIB9OAZvT17dbxnAjroUKrp8gVKIvgzN2/2W4H5uoV avcS/I76fMXTX57fzea2ydfCmjpMaDdZBwtXfImqi23afFoT8nrT7AU2kt+P35I9a+sabcv4N23C OKqvNo6HDU2TNG1/+ad7trXG8IRAV7Ar47GUXBQZmwAKbhbJ9f1BfjuLcCOm0lj348wCZV5nbd90 3797wlirrl92qNGHNcnN65pMp0qM6EFhS7WqGH2eyNGdZ518kv+mmKDMyH6ZLiQMYRcH7hAeX6PX rw6MfqWlvnrCGzOeFuJE3/gqO3GpT7i33KKt4v6qBm1BmhyaPUekXszEmA1AY8c2OqSdf1TJU6aI q4EvXLwEqKb2+0IngNlVgjuBydPhUaWCBWW1yId6GSjm2c4ZhZRxFC8uWKWreMPLSmkQ92ZJ6PgU 4GeDzs4/Wagm8FedKEYeeRuD4zc+DKaZOClq+TfmAuXOyWh00wS2B+VPwvW7dj+mJSHUrkGGZ/3X W9VIddyTW0s5mLJboXHWz9MgspjQZQt9O558Ct+HUi2Q1I7GygMhnVoY1PAf+H9jXSQh0iMjZJD8 uU00ZJAUig4rqg9KAPpWc6pHqfcoN4O1DvBCwWaIlv/OL1tynwOhpUvK66EvhX0Jz2v9S8RgtbJb 5C/dKcbekVOQi/4KxItB1fVlja5mPhNQINjUwXoRAW50GJFyYue/svJQZLiILMI+unxJJLsgy8Yf ADEEM8C3Vq+1zxN4Ig+/eoWVYM/ULRclm1f3/FVLvFIoRBieSJ6kt/DHPKRYCKeN5Hy71Ocb3KQQ 108ccVvZn8xYpO4KpsQahbtCr+KkkGYfVsF0LVNOMDHo7gYrEJdtAg2F6BZpHEBcIVH9Iy1wZn1o vXm3GtBxD7DNQvhaLdDIw7tsU0d6i9bLqAIfjjna4X9Y11cLJwkWzV8yKxDnZnJnBYB2eoj9l6Z0 zC5v2E93gevH8jT+4vU8Z6ubLCXljed7i2O+cL1OorNtDavQqj23JRqgtiXcyc6TYKtjMmTx1Pvc oqV5tuP8TBKMlXOerxWQ6SzPTR7hd1nlE3hSUHQWlT94hvxcRUAZoCdL0hKLeV9VrMMiDl+TCfxA ikdf3/k1soDbDFS0azhOx2SiiFRs8zblHGuz78zSfDNIrO5E7/0lUkRIQGv1taYaSBlobE9HG6Wv Udjg1lmUvMJ88sLPm23SckHV01TTN5lMLQjCdXq47cTYsxhIS44kOBn0Ox3KZr1a7l6I9AVOt3RM Sij1/IOuqWi27S3XhrzDuUG2DorY3HOyLDQfdIGWNdyafv8IKUbXjWBiObV8hoRmlLGpxrGKWrC7 trXXqxfethTRnEyuAodG/wYtCsbUlsDt5pUSpm0kOY2jmF7nL/AgQYaXxFGjUbR7L39teNL0Wvxk nVuMJaQygYpVXYJPLQB5cVu3mLoPvjAkLBv1VdN/UgzLTKbPUKTNLw2B0oOjrHOCyx3B72TQdGtP wS22qd1RiMTbRIsCAmwFK1KnEj6d5KxdRibKwwZHSkcN2mv0BkJMEz0rZ5BT4Mrgkds7pZWtw6+X 74CruhRnQno/OhGONg+PdJopvy+UUuFxMMvucnSDF5dUg64EuCs/5EgPFdDw1OE2KkOzhMyLO4sg wqx2dKqnR1HMJG1rlWL31IGgRM0dXDKEqta3rSmkAUynyqNkIyOSuUTPrpv4uyyMeea6SlNNiYLo 5uJnsbQf9Djgo8ZqrwSQ0xS6JafPYz3HCNR2nLzlbQ9mobRyRlDVbFN7Sx2EBUT9cN2YXlAUUano KIIBPM0DMR5iFezApEsDkatI/GUD0f7ZPHZH+EnZczR5N2srA7L9CeZsm8/A8GrjjUfopLAeBrDk l/BBn4Y1cOo75K6Exmb0zAWCe3hdeK7xA0UKUjPMi2DgC0Y77On9czPcUfH503aIzlX10b9Nty8z 9HatJWQ5tYSojkXzvjPwd9HhbD+x7Y5BvsmBUXfb6ZkRO+QRfbIxlZfeFvb5ZrFyO5vYyrAZzlSC /t4k2gQJs4mmIvZbosqb9tNWX7O6WWyAKwheNKXh39mnqTBT1OtvfUr9HzZnniPC3TnKblK/K6Lb FLMO/5/rilrqAfLfKZzD25k4DcbZbMeLg54Ugj/d1EuvRKOyxzJi6VAJKTVpJB1vbIcFeLze6IZd VndKP85aIOVLCYatRChxgKna5gwaEOfPcalbJPhWqqSzZr/8XEPW3QyFuabR048KtdM8rCFWaIeJ D64/81QuPY5EUCVajo+Wzsm8gNEMA52Yt7D46KqvfVbC1yZpdGUoKav9tyXUclZmeVB/ErGd3z07 8DYAEsCuHY2Siu7753beNVShd/gEltrGVbntMsRqw4mZ0Ok6RsT6H8NZqC/JdC+P9JJwkyIGx4ft t8WO28vnh/aTaRDQte5S33ED72g6RDuutCKN3ysdNdZQOLmqUYSoUswgQ0UxmFKd2/wfrUv0K3LZ Afg+8aENU9TYENBq66hyA4CEJjVfTy8a7lT50JxXrHLTSY3FLH/9gJbDqeqm2kThpbYlL0a39dVd nPZbUZcYmgKM2mQMcL/9VkeUHteiC1DCfALnYdzbN5PAcSjcvVGRm4tyurhaGxSwQ3Hnbp7G9SGo +3hMuqXjmTA2FPcZTkxAueLnnbedafq2U+s6A1RydJrjEYbjjYUMxgJT6881bLo8/kTwLbMCTahK nF+SK+jZweKS5Cu20RPEofmDyq2u66PWzRwOcVQlVJ8CuC2h/O23jYTn3manY1X6LEeWxZSJs18L sPxIASv62XdMdYEijFsSDyB0jAaA9+JaobmsWZWo7O+Aq+FCfU0G1ionw19UY4nNVcxEcKAszsMb ZGmZytw4MlzLMC/xOw4aJgfKwYHUgCJh/dADNbXRa1zMiWrh2rhSJ++CgyEGRputUEqdhic9KTf4 DZdRLDoR+XA2/dRLDdAMG7APIuAViJzTC3u4+vO+0JRWlQkvLbMLm1/Uxgqm1jymi0enArKaSCHB uyjSFJxgVuovRe4pzjAWf+AobZ4qXRE5apgdlf4rz8arijK2gXifXGdpQjtcDAXPNdELA5YwL8yU w/9HyPv1jqhuyWdabnXPFP9TteNV/Hc2IX5e44hbwaPzvzkFhznrgK682mvSBHGkuVEpZtbHtDOr JZxddra+4CMQm+Lf0XTObWH455Rssp3rrroTVOL5GCmMQMl5s+A4uwRFFIyk+z1kU3pdMJ3GRfQE 6jPbTnxHk5GqoCtGXjjC0lqgWn18KU5PJO6VFoUictLYyx5sqLVtQ22yUkAFQJN5s1yfG8/uEYkW jfphpyvF+PxW0yRsO4xr7ur93bG3si2VOJ8KMWEoNccNzOGN4ndAlTFsKhgTGVNrvKdcjiVKHBB+ NGZgHK6oGghK+EpcRECxJpVLclTDozJRnrRfenuV1Dj629nM+x3zN24ZVcOpXK41zjxv2mewcCxW pjjTNRewSZmScLfckYNf/TwFYeBhoBIg+E3xg+cPiHctqWzc6EsudIgzp+UajhxYW24dNgaHv1/Y kJ2hgJEMO+3GLTgPCzXvL5bxOwIgzyMRt2JX2gujnGrJKcuLJOwIA9cOF3nDsZS3riRtA6xzMDt8 2GbTOiZxDXoeK+wHtnNjKQzf/idi1yZT12Q2S6rAnmh5IrQKz1vuDe89ig40nMCDHutqaVlW/ATT om8kv9kyVo9Znme7KEq22Bt4ITyYNLadB9iQMtRMYFwwYV88iSGrf3KlmhHHVyBZ6J94qcVOeheR bc7x6k7IfF22ZmMQv6wFhrcWZmAu9W9caPu1CWWeWFe5knsGdn0U1Mdi6B4GRcPSXcMTL3Lr6I1X h61tHoGwrAO9Ktqh34rIddCb9ovrGt6BXqAvHmRrqI72FnCe4YyAXMtfVocTXVQ+mb6WNaHqYaNy z89Ux0hhNbqSvvffarc/kDoK8bTdddKqH5CuqN4WuRUv+IedhfxbN9UNufRB+SfWrjkxqdHg/Ytd 9h5KRnUZzCoVrPD9n2TKNV511g1xGErmdmkKlASPSzSR5DyaYp2bvqINGr3AS8fusDUhogaOfNlS ULAESSLTP9qmWWC0sJEUr0GeqD+v/jduHRxTc1sYP8/3e/MVsNsXg9DAEcfLB0BMDsysbwaSVdFP JOcxTOMoTZdUUGnggN6shan8Ggz6Zl6Yqu34rfqAYQs2VCkQ9tylpoySeE4m61dQA9hLeBa9u9Kn Cf6MzI+Iz9mskkzm4GO5lxdbY9nRAZPd8HftzpstmzwrdetscSORBfzUthro5xi7w1xv3skzvhZ7 xOBLMrNgfc+4bq/J1r/qtPvLvV9CaJo9I1pub7HZnhX3E7wDuDctBPVvZ7radpVPpyXIm5R+avar r2TXXQoeVoQsDxCAZatJGOZksN6GMXDEC0eaYjeEmwYK6WwELEmtRxGC67qkDBQujBIRmg15I8jK OvwJzvtNniHyTp/KR69rGYX0zO3znk/jHyx2O5SFN3p2fnuqZ4/CJq16IqW+SsrbiZa7L0TlZUM/ Fkh9HD14LG9+5wPYpOOVInWRbH0JtQZRIvbBNnO55P0XkVZXP2dEMXkqm8AL7dL1KKMO82X9g0Db 2xvqDwLRkzAy+cBgaz/qZESufzhj9D7Kyv8bI/HOgTPQJzgj8Qu3EO581gVekLOJLBQic3dxdbeO VBZsTMLgRZIPXxVJsxAz0h3yMAp5Z4wOkvCrGOMnS/TgJSicgW9mrklknj1vwjphCV7n750sWOLt NH2y6eUtCl+E34A0XlSEG6BuURKuBdeKdA7OyhPt5MD1JZKxMzmwjNm+DI2ZAuRHVpVtL6cSmJHq o8BAVj5JHS4ukU4DwSkaqK1ZypiRomKAGqNVL/evHsog1zNdxmk8RMDvXRUIuZvhW8eQMHTH6uV0 2j91XwQxR3zHxNMz3Vfea40bObOrhW+CciRBJ4tLIggRmNgh7lfd1/UOpLeXKMivjVvtCwGGwIVS o8jfD3BqBF5Tz+39wtutUJr8hAuv/jSzWFrwOxhDkGTcnkE2l3Q9zH8FnNOtp5GTPc4CTqtGEH0Y lBbv7I3J/8zKSKtPfB89sX1Fr+SBh9d1gnPG+hoFk9F3mYzezC/RCc9vuoK688Spo5w5N8qxdMu+ IX3qZhFAiOlOGATq8sER+nx3o8x9vLkjwvXOiHvSWGt2Xmq/gCCa2wr00UqUwXF4IvdgIYG791DG 5GLDU1K0ylo9K71hKAuZHkH7FsJ+bpV6zxgcXBIF9i0ZGNGF9sH+i0t/V9kSRtEmh84i+3hNQMO6 /AsUghtWGvUqwUxivxAIWCp1cyRTFatMXgxfmXg7vYUTF2AOFYSOA+ESqJXtans2EKwCb718iI2v 0LvoW+25u3MQcXLPxHWjpWPKTOLuFUqyg4M1yExGlR4sgDGLJa5RDLyHaTfgAkVX5BdAurI0ySJV OQqXzx64eErHNU5dguGZBtHXFtWf7eBoJ8gYvRE2tYyoX1WIoptyG9vrn6Z0GOuPSRkYx6cNsmvg QotJCuTNYXKqPVSp5L8iG8Sd1klTjKf7ffqusp6sAe4teAvlWM/2NHEImUoNiMaT/CoyJij0J93a rA5tCMiTJPDhjFhzF7zVDyMFVfnnkBWn0bUovtJxRn4yTRiFXIJn2bsOXzP4MQiyFyLXlRvDS1Cv o7ESQLKWVRDpn6FQVR2WsFrMRGCCTaf/KBJXkVKg6XQzK2uto1LzU7nrbFKYqt6ryy10f6i4FQMC AOjxFeADDAJwwmLwa6cfDYPSw6J19Qx/P0+Dl1uP0/+Fk2SL4kCvmqsZb4mUe77+NpI= `protect end_protected
gpl-2.0
26cdd6b4ad2408065574bdcf4cf3c286
0.93492
1.864944
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/bram/blk_mem_gen_v8_2/hdl/blk_mem_gen_getinit_pkg.vhd
11
62,911
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block HMtBu4FUyLzOdvXt8XhD8UkDVJGw2ywmMdYA9VEIa7qfttBQA4LzKjOcnIQxB2XlOCp6Sgmn4eUf ZvWGjdLzNg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block oBWCdzLMDkwxP24pHggH/MdGM6OaZ2X+xNiyzZDYcuy1xhIuUsROmz7lguwjKKIoJZ2SKCtlOBky sPiaX439nkETncTP0ztk2qIYugAYsiXErWAWg2KGb5pk2uxrGSbSSG6wwjVHDKGTS7GO0Qkq/VeF M19woCOORe7vSS2bBu8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Ykx5Zi9LWy14SITVFA1GVou21vCBp6Z4mp+JC7ZcURn4TC3dU0npNxKIujU9KLmWBmK+HMbxVzKg RE7YM3bI+E1m2hLE/upWQWFovjnkAP0DtUF80qPA82NaPyEMEbXJMt2MvVTUWZoywvnVkCcMHVTc SZ6FQyY3nh0xaC6V6inTc1akQ5pub8hoyryMuyt8F6JJtfU1JJVAijJGcPgYs8XvWnQV+pwcy3O6 DJK58pBg0KgPhB6COOiwbtTgs33CgJNK7uOLfduTFuw6pV2QnKK5lEG0rkzD6Ra0cUtAa0PbpBtL 4UkY+auKpxOCCQwa6CaSiXvLR0NBVa7WJkkUIQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jpJ9aLSNo+AY6AO+kzhV24u/NDhxjvQWp0l7CjPnGOqtuRqWMrvZJnKzDI/ektPMRCDMcNLVcOZh OmDFqNES7PCyxJayIovb1sHL+5Fo2JbanmfppI5/HAHl2DbHn6Ta9egJ+dZ3DgxYvalh+M7Pw52t d1+7UnNGeC9fshabcPk= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MLiUrcsKmBFvNxNKSKHy/LFQt+IXSuCwj/MBJgcVntzVU+FTrasCY6ppQHQkDViDaWB78UWYvPD0 aHNdIxoHpK2PcWPjbHJ5l63CIkERjRhm4/Scf4M2mIeOkvFiA53nah5pzxpYyBZNuYvRY+sQkN8d FnERQsuPhk9vfBQSx/ZlzI6g8yuM/VbbAeQM2kBSF+Ehbt+EaJvMyOgrSVLVIwuBfNNA+uKh5xtj X0QrspcXIq/6V8t8N+rHQIlLLHGmUkh0ZdheJLGpyctVZ5c/HGBA4EyPrLokqw8ndDWj06ACfLWy 4CdGa/iqiOwDfHSGckQMNAeBrEQOvAWmQXTUpg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 44832) `protect data_block Vt0uEhUouCXoXvSKjd5LQt91x/HiJdCyTe2CarBGieoj6bozCZasQqWiByceTPyIrKg2xJBw62LM Q8Whiu5JyCUQEUKW11lopZIJQ9uQ2HRGAu+5J1PAt/2wuBHgKtBREjrbF2+B5WHrSHynE5roYUrX sKkqsMTof8ucIKnQivkmDSWkF4bNaDa6uPubsUgPN7DKUSeqG37V5+dDS5lHaYDes/mbCWDQY5ip fSIWclq8OV1hy0KhynETpCuROsnL9CC+G7rRknFTMA8r1qzXi+4xpvb4DJwmhXtzu4878zkMmJ9I COZ6LmdGrK508R8kHFdClALwBvXdU/AcA1XgQWqS2p9G6+esVlwiHi/AsQwnzBvw15TW/imgl9/N gfrKAWZ3SDxC+JU+Gg1NUJQ5L1457jNEJPSwzChNHyiq5m0FZZVXNzlRUPGTMbqQiFdkzlj/nIi3 P3YymQ4VwYP3Jl1dITkajNR2294OA8Tiw3UWedzziN70VkibxBmRxpR22UxoUQcW1mxJVmyrQ1Tq kZEgO0nNprjSp0q0j8lx3Txwinf2e+xAsYM6n0/VlrZBCHZGJ3Pb45GWmvjZE1Mm/cCrwj+iQG/7 /X+mCr2iuidZnpy/IqZdERCu4FoPjM3G3YyHjfV2lnj+YGd8iSgqcBkRdeDh4tN2+SUUjxsZz7qI zB/MjGJRLT+cN4HQMkLxm4CCgBcN/yNyejaw7Maaf0U8X2K4W50PGoLkuxmGA5A7XrQ8Dzx5mCaI 8iODrMeR9tPKajVUEFwE6UCpAA2HVXhdwqWZvsS4KpxnjvNHY4Y4HJz61utla7WDQ8AHiGx0CA4J TYpZkbYuHfL5hJSLvhp2o/jJNKc0Dfd1JwZK1XaDQWFwAlWFAKKZK4dZpeN1tM0JKVHpBmFDdt/3 Eoy6vZZNQXzDIDrIN0mGJBCzWGRZCGsKkCCd3Gw7qfdGXGWzq2ytaQFzh2sNoYl8bJW72U04Ja6K UnKz0cuYBmbvmr+lr8PF02xrNZ/OvfMeNyS+sdU8sbqpQb+jOjzuP+J/4/v565F9Q5cZSWYGsVQ4 ODjwzi2a5YBc9pCexNmIg1n2JAyyHpli6BSKiF32TRqoyIVCoYx2zlPgiB1iCZP0Icc62tsmiNUH cyZ7wu3rYILH+dYYewGx1Toe+zW2gdQ40m6s+Byqg+zEgG002cbsrktACzoBA66zz12+PfZBoEqS NwN9XPnyyWLokyN/cpxnSILJIYW/B5UeqkolkDVyqj76Usk84o7p0EqKqtxSKZNRok/jh0c4TVAJ DvhzzsuahPJewEuuLwAw7LBAeBstV2jsE/qrMmeEAB26wRvg4uQkiVvzcj8eN9moDNZMDw0picxa 7C3bgicpoXMJmr4OBeDQA3JUst0XOda8pcdFWvWSv0dWms37TeSKusNCDnLEJJbYVVkiFIbfDOL9 P+xkKseYee7Dj24Ue+8iQARqkO8RHgcuB0fZA6hQLuSDIsLEK4DoxJ1yhUtP9zd/xGmSxVEIF8Xo Z5+wg81USjD+bNXYZne1CbK4D+ya2IPzHj4O85+qHH70omRk2/SeLvdv9rnkU5cx9CBsvT3SykJ4 roS1r2wrcTzKnQ8TBwMAloA9/cjA+A1pAXYRYw1OquM7FDIRMvpcBgwfss2ZptAMY+g19W8Gc+oM q0K3QMJC63qYMeKg4nZ5HQ36qdrlGKacu7zpyGCT6s5zuZs3QAENeITiYfy2L6ZRQ4D+09XZnzWK K5i2X7iGjFfKfTQQGBFJsMYG2Jd9av6KLwz9dm+oBCQxL1nY3zpPOy8PWNcxpnqkCZZj5HftzsJE Uh/lCpo/T/It/7gH5DUiyJtodNwHQ/qSVn3nmNW8i3DSkDLpht1Zsek/DX/Lsh07aw3Lb0kXafm/ GjmAQIVcCN5UctwDcaTcHUyGL1crZI7NGYFjz6MDHagASTndCObZv5/dbI4VI304LILbu3UUqb0f bjbld6EaGz3xAwuVAhr29lw0gwnBhi2Mjph1iwG7da1m7Er0s1ZT33+jTiVCFnrjSYyODyyZ72VV GvW7Fp3i8cdu+uh0B5qYuhEYA4Ai35sMT1XDgCjSTQ67aK4G9xZdt/0AHkwFYScxIiE6qExYT10A xGLoAYxwE3yBK8SFYHGLangDz82U0Q8VNmQ8Jc4+v2rhPtn9Cf7tvB1+CZBC6jOLkPmeVaQzDtT6 OMJJVolbmJuEZl+xZwA5uzOyG84tMjmt3NRLHUR2djRT4H5DL4J17FZHWbhTFOrsu09QR0xKa5B+ p1o4fwO6RZYn8PEPgLSrvG21LYlyXsevcCL8FZTEhH2fesQ8ZFOdBN9lqqXtBSkj47wbrXGJmgfy ut0P6GQsMMWTUSy/UCvFrLYxb6eIMBFftyTtXDt+cIGgGi7N7MWIxtWXQ8q787Zxr1rwpxmsh7MQ EoE7KAErrt4SSvvKO8Q1Vb6BMSow1HtyFc0brfI9GdvsQxfaLvwlEC0crUf4PzGo2SQroK1nfVsr SdtgBh8rH4yP5Uh266KbFly0gfW3GI+g2V6ltAOTqeMMqccD+FkfilehdVBn2D+WVPgqD87Hn6gO xmmh7plfkHTN+kwlO+cUkieB2nkszo2Wq5Vrg8RiIX3wK66C8d0SZm1yfCu/B6WDy/4xgymaUMZj zsW/I/ZkwZ9Bda279a/C2FeK7zuJai9XaNMRMrgcFIB2kAq8XUjBLGqJsBThVEGveaVDkBDwW7vv uNPz8oFJ4JHTU/CylHBsR5xa/tWVGeMteNJ6zWmZQAAy/dJA4OFC6/2ZlrxOYX8WK5dF08sS3IsX 9BwbQA+LainYGNPz21cGWF/OEQD/oaB4w7GWoTN+PY+AFXATlBfIXuF9laT10VSnJM17w3kqgxfH GqjjLG2A0eLCH9mBIbjOP3pp7mGuZJjwC/tVfLC3CZAGgx/a5RPCegzpy3yCZLUvGTkpgRK2jdkU iGLrk2zcUBpa57ivEycAg48wrOomHoyil5Mc3XlR+9or3njtn1lxL2eleZiq6bLTAhNB6gVCfVhr 9zJe7cgOjG6cZvREAGFZ/r3Rrwpxp8laeG3HwJqXmobBOk64c02KdO4/FEvd4D/2mpNsTN/Y+87i 5SimF3gQKVF5hJVG87ScgzWUfVAuQBDN2MuLTitY3APQ4F0FVemInP3jKIAQ6cbjKCAmUuASDNqP O+IuRwKamNWxBrunOcgyuB8iZKaakAByCzHgHIY2pr9SA/2Mhg1XlhBU6wZLZK4zlXch6gMXboE8 yzcdn1reFJG1anSfFyAidNGDa9dUVsUg6zQUBeJh5F+UZ66IWqv/fTjnunQ67rsne74z0Vu0198u 3dkzg9oPxnkeoNPgZlFYTYJnUQlgty80Gc5jx1Sn1sO/5ykRH88akim7TsYT9nMP1nl6jhaubacd aN/aAzTkCdkSWIjDVX25aqAKo5+eVJ5n+AI2waTnok3k03pdo6C4/8fiYTg//sE2V3Y783I5bv5Q 4ltTs9Ul10fqFCgLJpVmZ7All1j8CQ4ff+xILB1yq7ZlGjLMZg8u00/YX2Pgn4Q7wYyFAGMNdw+K KyG3DZzQmoRN6rR4YlPri56FWwksc58zwv024Kg6VEB0t9pZdjzrcmWpq20X4jduSYcECaoPzcML ArUJ8crvMzTVR1HEN2q4uh59T3v+dGGBTOwRx7JIubdJhTh9IxT8tTtbRjTg4yl+dmZjaXoQWDFu YO2UADusEtfNSrtwmdC5K13tHLIt6Mx+rDghreAjfxkPuk/SFoNJu3Pk88ikP6mJm3tzpoNTGLCA WzA7O7XgVqVP9EpRsWrNFxiPCTiQAnKjOsJjRx4cefoFK4k1Hsf5/RZGDcHUj/Z/TvsIRGrzZFxU gkqA8FpxsNwlU+FDNY4Hr4joxHNiPTXPVGcZJbd0zZvnxkNfJkMLrk+jo1idVxXnvSTvoalnpUCz dyDTfk1z7eRy08C/F9ixFnteibrqdKufreWZit0EIHARBkLJz7hOEZTyztacRysu9v4cRaSucHHN xZ26QC/J7zinvlTgh+MYRiV/u1RW16Pzk4/igZs4KrFR+BbP0nnFA/X5mueLce6oktRfMSa34rni m7NYFhfuab34XyEyIV+WVAVbrzIAgNvwTGRzAfKg9WDcvGBRmsRsdD1SBfS0T9JVXJh5mRMhNSlL Y2T1SvoZ71u5Kk5zqNwW6b1JDWXv9bWHRnvOnDq8Hv3FaKKfVCASFgqvwhp8zwIkj5q3lbnxrttd 3RuPz8WCd1KooxCgBZzAxNuCm7GXTmItyzW48lDwYN/kDdaaUYE3Fltop0KivIfX6hBKpWZKz71z O1e0Q++A2r/84+1cKMOYgmt2zrh1JPKJ3nycrIoy1zrCFfDmImjq2XOJU8tuJLG5hAN6UVJKE3FX cnV8WIZ3OLIh1Yq+y+h7hx98fV9RlI4oNjpQcewmrAgjD78ph9BzlqWAdOWjXDOhsRA0nzGB7I7b O6ShIqlidQdOi6/LcGD0kvtZscL3rYYdJWeyTTdPnkeTxnvXiTcfRojxnQd0WZbzEG+PSLtKG9T1 9VTpAtwamkXyAAC3ZMbMtVNenD4l1ULXK+vbHrAkAF8uIoqTWh625hFPgQu2aG2PeJ6D8efM5aLl F56vYHXfXDdPiq2Ohj8I8ghb7ODMF6yAdu1WxDCnQ1ipcXqhCZf1uT8QZ2EvRhIxoiLSuUcutZRb nCK1iU9J+YAZlsans8BA6ilGtkSBdUk+9wHNdXY00RExdkK5SwL1VYA+QL073EDobHs9D0ohe4Kn SW7SH++tevSvSBzvUpUBnv7UlmKIccKL0ctbc32cJen73lscfJm4gkN49RxZ15Ozl+KyxURBSrtI KYhviaa2wIWjvBEKCFrPDfOCqkr0Ag29X+Q8Z17NJdiqELYPFxV1fpX6bvYJ1NAU2x1aqHMViqFa ewyBUeLaPY7nhR9tUNNV226mIPN6h/9GMhK1AqPaLdObTLCc6/vvcV9glN41HjGjpPbjv6CYO3Qu aVy6dcwFb22EJ756eJ7/fcbxpKZ/jITHtfKg0Y1Ra+gn/zdPAVcf2LFQ7UmGsHhATiFAwXXVyJOg 3We2lAjiBpQWxDH3Bj7QPfgPp4Fcl5Qmu3EO2gGKVMxv3rDtALXv6CeOfdRw7NeGdETDG0Fb8ScW p1zarC0iyfFiSBnjr5FzO+wjJyqbajtKqZ5In5qqacpdp7vIMsLiF/xW39DK37bO2xf+3Ls048/d 8h2e5BiVOfa7vjkOOiioajRbNPYVqoNpdkEhWcxgEBMtlPM3RGD7swnGXDdE9o/FPICuY42GnUNS QOFgtil5LvJ+19UEZqEm3qEf89ieI/WA7qTPJ2CZgovO7dlJzq46ijFZAfDcrkFdtbFSF2eCzTff FWF5VKKp+TjWt3SIvjrTFbOdpVIqgjBmwaPHp0XiFiITgjn1poEqCggvC0O3NwLm4v3sOa8zSmmN U8J0BcH8UEhI8TUMduZXiyvK2fzpL6mOnILfU+Qdp7AIzDkg6VscTq3ZgHoBK68qqraE8jqrufuU tFrevKPghMbi/Cd/ijj4Ip0/LeHaZJkryEyKaEc6b/tDPTzCEdK6Qn+TuVgEog31RPSoy/ZbctkH XkQwT/QahDIbAdyuxEgEDu/28oEOOMpqcmgrpx17uPKGeUT3UoipVu+jXzpRohJUg4T5XJ+U+b2u 1u8T7sA9ZsMAwd4FCXR2MZWAVWE4Ku5jaBl/OxrEkVjkepBA31JpAacrj01bKzKY2wfArrsOjL3e 8spS4c6gCeqpVbJGwosUSJcFWMKJp6dkrfmFVVS5ZLXwbhIAhKcbwVmBP0UgzWe/WfpyZSi/077q RQS8CnHGPp140v9adlJy+q1DH0Uq40+BqpgZeohkFOIulccfIYNwRGVRQwv7TwIoqKVLGFX9NOVr HfUlC4qCi4pYgJf8D+RT4HNegIaJxt+OjWL1mHTCL1cIet792SbFSD6Gb1iAh3f6MBiT7qc9VZiD PoUVNYSyiUp8qZVPceVVJfoz/fQkVgm89vDOHRMeIDF0qj9TPiavo+trf5Q6OaPxbfhVRx5ZyjeZ atBABeNq4GkIDepghOrEk4izhBPJsIGZbBfKGLY2SczJWEl3Wco4tqJiM8t85NJ8A8fTZhQf0KuB TrGtNcIVlIzoPDMm3q4vmWU5UqUeBXxd2CFdv+HqmrA/MTwvJ5L6J5DjtPc7rHzGGnxmGW/OqCUp 4K1YiLDzhzXJNrAz0QZnfTkk8Bp1YNcxWUIdOksE9gJTmMuxuwWr320tLLj4dNzDP8Gh/CcAvjxK 1VNvCCkdbm/i838yRtSEMcnP3JdQx5XO3i9a1qecW2/8/gPWLjgO6Nb04a6ZkP8Czx8t3wu6xJRP isRXl92h+aWcS/yrezOvVtoHO4N5sL9W5ulOy81aYbgqbH4wmlK9hDMzbt4K4/VUEUVNiZ+Wr9xi 70aw51IvKZZGELXJE04rZKjpArVmvAJlzbnAgaO30iKiPR9J5tah1H/f7653jKSC+BcqrhsOFrs5 wMQ0Q3KBrnGCFh05NCsPirI8TKX2EHmwKeGG/nzSik0l5RgIH5+gBcVbKycwJS2HzNyv7t6B5e4Y ePLUcYBbr3UPi810y1L0eNUis6zZ/H5KtDgQIqx3HAZcHcaF1wE7QgIYrNh353FEwqXBRQw10jwv f5CxwLeNQXTj6waWkgKjIpA/D5kfQ7ThbPN3Y9yTlslEQ+K4tHjEUI5eHKd5ba9QekM+cX/iSt6X cB2bwOgzb+7GOfsm6f51+7UxMoWTJdr2YlqmDgeKzF4/S+MR0k/YVnCX9B187gLLTT4tXUcTMDbY e+Jvv7K9vC/L0uZeJNHMaz5JtTZ18Xx7idvDJcc/BfWTl8s1Ot6VcC6XYPVoVIMuKoYvp9L12YnS G5gEGHPqNZCHr+nLw8hsZWjLSB8Pk2oIZcpJpRfvPIkz1fzckwLYbK2nohFUVAq/YMLTfzdN36ED 5NO0hCDLUcD/8Q2MGETPPYSg2+mUIp1qaVJ9ZVbj/YdWcGQ5+WSd3TUz1cQH3owDUGUMmKKdwueB a8SrSbd1VSvfwPLSDIQI4Bv7zHYySRvoHXcYbmLNsmT8qhMoWvi49tuZAMdtjLPSs5SUeqRs8ZnG iHKe8DTy1mdy4/2rYwU30hbp4fGWeYAFLrrTzrl+01CCXYmuzUhpO0S22alXe9mfQmAp8bEvKe5H PBKmCvcgoIUDX3JOFE9s/B5ES7xvBgh+HHRiDniqANXfPsaJUT8LVO0Y2GG6F0zizYlDS9EG9Zwc 60nrslzplAShxzVWAbOlHM2Wh46lx3fs5fP90T6tZ08LEIebIevcv2wNLeL8Rl9tYrGHQ/atQ6VW 4a8bWFlxVTYnGeM6M9ym/bFT1MxufT/2NUUrmA/hrQ05emq+43iTPE5euKZXBai0G/ijIYp7u7Ks bhroZ00hJgbOh+gYw9Ciknod1c6td/0cdoP27C9ZSZk/ck7ZKf/8wVnxF/spfgwGJ113U84DaAhP 3Z0ko/FTw1WAmaw9oMxdV8LEDSHYUMuZD5Pa6DOtGKE9AXk8NPBEBUH/ta91jen/RORts0VtaeRa 7l5/sMqp7rPPjRBikfcNKulcwJ6ER6YerUFSDqwn/C5TrGNTarGqbWK6IaMFiYLIEnqFg+1nYqQf z2TIS48Gy+nV2kBK+horTurDo9hUwhLw5fKcv3KjcWuJja/EWEfw6J5MdGul4qqhT1JLPEY8E9LL sBG21wFrEWzqsK9ESQLUMWLx7IOQbsOvbhth7zmjvOuWU/zVB84i2KOVLf254dx8eI/JWSDqinEc 4L3on6Ig/x0AVX7FX/e6GNuH4UDa7tfK+qt+hUt3xx5jiAXwfWu0FJGikiXqew7vqfLeLxwq3A57 qg/FWMp+3nceGhfHd23eoVNK79EhiAQ0GMIrTDh5bsQUuol/Hnr7AREaPN3MzUZ3jNRbg2Ap7s+k wLYMHu90SZNfKyuM19lQLKwACv7vS8z3eVWU/Ns64Ohv5DwLkM4xKMOnEp3nR4pveqVtc0e5qW5q zcdvHy67HVjXfFe4HcY9WTkvS2R0EmNh1KjCH7hnRz82OoNfgsejQUIpa6ionyYjwsi+UKTXP9KQ ec4kS150UmspTm/lGutjer93C1pFt4ZriMBfqwnNqNXm/xojn48j0srD3iorcSkZTbdCj8BPJdqP Ek2Ps0Sn1oPsYQ6kEkNiw/Qe17Vymoi2THoAZez+MfpkZWzqomOFsezyg/YNBPwUFH0ozma6+rvS afGQLuqR74Jtry2WkLhabg4qWJ7W82T+jrGMKSI+hBi2YHtkYwO/ivlGLKRvtKvbHflULepgIINb 5Q/lb3HymoIHGRU9SLkZnqzMDoVfjlo3XYKAfQN0UmV/fN3JR0NgLif2tdYcdnNnbJLMn7flnj5W dumgpco3CchLHctHvF732+rONqhaGMMpN+X42kHv5AnxEnWG57RvvMBLnkz9W9ZVv0o7kTGwYB1p yIsz9JDuxvZEQGafaeyQCNm5AwJ0VIrTl8+YpmxOAqs0sXPCI1WY5t7K9DQi5WpmVhDIh0XZ2yK3 YtFm0JSSgUykBhj9pXnzcJFPmzLSDB/8sJ/hKEdfTG8Ez4ruX010PWHZMAyHenezzne9qN5ri3YE ZVemJgt0YqtoDTTbE+AYs65AtB2YRWUZ5li/LB543vLRkJc6DGJgGsfX8UaP9Ulbip82kISTlS83 LSme694KziWpAcCXn4ed1ynIS4NA7x441eiI17AfUvU4E9v9Sa68UCwhKSbVNtKxksdiILSKFPY6 kZbBJl0TDSAbIkPOiBP9hTQVDGUn/zXqDX9ZUvkUunYhZ24HRJHkqM/PocCpGjaHYHhKWGBW2UQd 5OQ6pHJjUDeUXFJ78BCn9ghM1RToyquwbEvCBw1iTzqbOOuZK8HCPJiMBOU6tvPMsG7qU/pFJ4Qf K0owOP+LLdMBC2OpWJXbLpgsqVYfBJy16euej+9LPaHvGYc4X1ffa9kr4fNVF6BDQ0WXwlpms9Da aCBiA0B3VqqbQpqrg8FEWeBqOplqRhZ3p45F5d0VwuaURKiFUCxk4ppH67mxlKJYpnbyTfFj7v1F p6R4+dg24891zPji1RyjCJDtTA5DciZZ+x7TRtIvCnSycXRYKrjpIMxlnB1JzrJTDm54bhfoDa9J 4KDlES4IAuFf1d6YcqjQYLNRiQFYnnygPrDInT6ZU/3xq6Q8LMmaG0Su9hTDuuM4m7Hp4R7D3E0G +j7of1LyJQCGzC/u+OdI2FyCYEMPVxs6C1cGWzLdaqiudLk2v3gcbE/cXuLKupJBI1xTzThzxSHy QZxY3W70pecvMF8j6XmD4otoBheEW8O7t18h3x2BiqhmOxG1nPFIk+v0xcMqMt5FMOx2eXOatt4+ cIjgzzLLa65otNHskVO6ePj8k8qKoLFOZtssmmpgewp3Ijvcj1JVZrY0EB47TGtbR5EeMQhuHfrj BmHTDfSSygIUrP0tq8pzBlzlUx8ACHLYco4rsqVf1uGEzYY0JWlCHyaDkddxBHEzPQWQkhjPvjKD AbZACMqua3/j9H1izfGLGE7CT1T5REAZqLyEKDU1nydyo7HqwhhFaiMj3EyoxJMW4vNpwiujbMtE sOocD/Qdt1g5lfueTYBWZiWi6cF/lvNCQHmX8JrYKvtC5dtHHsip8brjBoLQysWdIa8QZAI7JsTl ga4Zqsoirrm2SGbJiR4ETR0ml3BTqkeuqMhNs3F5c3cVpB6YuQ5Jk58VkREUIFN+SFccomMP1lKt /HMVwwpWhpEswkX/E+81zpRspfocoZDIIZJ+h1TNlpChFI9xXsN30lfSl0DSJ1ALOMPhRNGSZu79 9wlbjH/4xH4O+/0AQQU6+XhrCRWsF5DT+ocPTd/v5v/5MHzmveS+ZWln8b6XaY1jjDI9zTQbsGs+ ABWkZIe5k5i1VPkehiLXxB5x6x6ZciJBvKnHAnafFIzu4uR15H+HqPapzG9uH8ls3nFqsPcvSLHb OMelxDBzQufVWu11XRukW/z+HllOlWUMB7ZciVrSKszcJ1B6nu5pc7aLz5Y+/2q8oepYAGQ8Gwn8 UmzugrvCRY2ScvpGCO0derzynhbOLXC9bRvuqz/80w2QD2YYYTQfr7DS9qQ2E/1XR+qjst3TKtwb HePImhps3sZ6Nh2N/DLqSSP3zCRbnOG9waucxmsYe1CjDMn3vql+kg0PyNVEdZXD+r9ArhVRSwXV pb6gx6xlmJFvMMzMRQdS+u0g5Z/Kn0VUCtMP5HCGdv9TtKTBRllofDZ/wmAl0uRZif7qfhWL/uwe Rzir6ZzmP9uakr9kLYdNhJRtAZuXxZdCiQ/s5lzZY7DhkloXe9SAaKRubZFX3zu9HFWFc5bo5acI nzpxAj+Ovr3iRJqp8UIHAlHU9Y1v8e6zzb71/7z5n7jNlT4Va4GSKYd2xSdyZmhTi0V6SpLAtOqo ytHmaRqTDAn7L+Kcu+rzmT8LurqqYblEB0TWad8y/qHj13zdm3d98KWY2PSjpAHueBroOdyAJEBX NT2mqVQQiDMExfhBLadrfAeOQIuSbcZYpob3fNx6bjVwKvQEkFAQe/MExYpU5ZePFfwXoR0mh/LT SKwjuHCankrrTPm0KYSKQzDz0y5NBVkAk3o60XaSwJy1n6FXnYuJ7GHK0saIvjtdRT+DgS9Si84h N7Gx0wd7Qc9EiJBweUnfLfBJVzq5ryHxzqdeTKg95wylTCHALYx+NyrbBnl/NoTNefT+vqB9kzyk NuL8ftHQXv4GZ495igcyigPtvgotS7UirY5K8S60VZE0iYSTLco/TY83HTD0R8H92+jGT7mMmLCh BfVhXf+CloKm4IaUL6Dp/MVw7SOlyx08pTGHuqrOvEUnLXtx5++YwzhPx2smG9KLgaE06hNP0+KK rV7BpTYdkeho51iWYQHFnoStvrh1j30etyKsz5oURF+zNpwsI4A1T5aObGxFbRIe8aDbhe5t91Bb dh4yR63cl7Pw9gRe01y03k5KsmkPSlmLYrOQLAq5/PmCW/0tiD8R3aKjDbXHwSFZVF0eDps4aMLg JxMOOzsK9cA8s6jqGawlkhKsPY77x2CVwZrCWhOV+uozYkYadardyVJ2fRB2SJ+UP0eISmvEvOOr q0nmim/71kAf8oHvGBV5fcFh6CgSSc73UpIsCzHHON7ojjB/t2AqeT9uGmlIGOnzEFD+Rt45hTIE 7Ik4DoLsjQJ7pobd3Hf2f9cMZjuZGVUTC6wbgCJQ0HRnBLUXRMkD8bwQ9iEwndUy3VpZPKgQ6Iw2 tLd+aJDwA/oXTGwWneWIoQrOejzg7DfsEQ3ef95+516tW0NwI9FmznxkQe0i9pzaR457IIhiiRye XAtYV3qjy08UMbjUHBT6aJOjOXNeM2tzFQ7AaR/qKbLcE14/RWkAThP1nYJg8M+i5vKvaLz7C2FL fd92aqhv9sqihTMN68NaDCrBAHMUlfiKQu2IpT1JXxSMeFxCjnnqovpL+LfL1N3F2yWKlbbN3Lj9 aVeSjjwfZRk6JlIVHywaGzTDjWbJUe1eZRJfkrSsVapdiGOeh+L1h0CKNryXNykqz2SsJPtUaL9C kZgOXI5HfGC8xX4e3871guivG1ZFyZwWbxlzFYRzLAXDrwPr25S2JujS7WbAqZ/wXCOMT2bgM3it FqUPNJtoA/evTUjPAZFc4QY/ltcRixOhc7Tbjj8h7wT08fQxNzZrgfU0GYBKfLL/jFbrl2tP+QIr CAfJ+BN1Y12e7vjgwPd9CYzt+p8EPC6TXOabg5Xg4osjphudnC8PEATTZ3PZj/EZihpRHesmFRmK YYFO5q7DORaHIIxgp7fsihux/w3+tIrc+zSecUSQHaEFeg6t4ZkFiQ4ckZ7Xz7DWLWijTzdUlAKV mfEQmTR9EPs7vuTngd7EiqHIsCzFAm2gPHF0uVx0bC5vfz/FfBBRnlEixnYBVha3/cH++P7awP8+ 0hfwcAKVPYllDGz6VbJIHXgCy3AOBI4rp/AhmfoS3mS3sUNs6Lbq+3TiAlNGKCHx7smqj9zEOS4n S/mE6QuHVX5TV72pUUxM1VNC0LgK6o/s4oF4LZeKw4q62XEZykpPlnXSOCjid5sUk8NRlopqS6+5 Y/+w9LRblWB2WJPnK2YPjn402ZPeM4U4mGOJIoaQQ0Bc7SWP9hov0G1tpWHrzbP2ehs9NtC16h5Z E8+a63sKT+997E6cn341MI0yj9zm+MEgHMLfHwpQwYc0pwcHFy4mq/6q5EqJ7La4035aVhZHKiiX QPajv8zfX0Wwm3a0RwKqG0/cqycgDchIWxFh3PkiCfi2Ou44EaYxOSOU53yJfcPPztX3qjRjEcIq 9K2XHb2K6Udj7I2zT0GmMNkGNHXYt/jSx56PtJ6TeSSdHuuc8v+jW92T7d9S8vYSAAv6wJhHal+N DQcTV97ZSr7fduwWUr7rjwd8C5rnw/Qqd89Yxr6/fdOjYTRuobwRu+QTjzt6cWxZPYTJBZI/bqe8 yqlLtj/QM8Mj5Ww8BGSv8IHlNi1RKssVn9d4nGxlB0YU0NZ9ybH1ZFGq/563zLrq6V0M2ZOAGqBP Aa2bxZpaaOWVIq+2EI7JcncEz/nWgWkXfZTJSOMq76RbwO2c6yPDTPrEyEaU9ONOqwqLfN4xySYz BR0I+Hv60u5ORrMtr4BeBED79xOL6OX0AOmQDzxkLjzQoniwdacsXxwFwkzBWDF9I/Nzal2AvP9b yN+dFewue8bS81PdY2GFFE0nomz2sJBsBXpOzvx9fjmfiydfRf5bqw2yrWhUqC4AWQT4PX/K+tOX KiPpJjCSywDJs+MDvfZDz8c9kjhwLI59E5121iV0wRh4vSv5s82Fh2EJ0AWh9+ylTSdsMDBY4r9V 4NncJ2VPcO9xLjbyzEzR8BIZdQ5CbjgIFUt8DjAVtay9KrHIclXqADSIIcP74zPo/Yn4x7yr8x4q SEdqn9S40/ofwDhbfBhdE5bf14+jtm81kM/98aS0fA+SWc9qkYHsgQDjN2ayvVFArTtRvgwlJeNL +fyXeJpBIBzCWJuV75MX58ZjFuNVkK14YkSnPYmvT8jTJo7XNfh6chldd3baKSg4Kf3gu6I8sWiO mJBGQTIvxaws8ao0V8bBbATxk3S+6sXzuDluAIB+IaXVvO5c+YkuUsbPBCMdRAYi9YOai/JXroi7 Tdv1IIw2vg22mXxBlRt2LdvqoEpAD6IpqXCd/Tk0/2qs38+l7oRBy3zGXt0N27EGHJAszk38FpvT QTyXyYcjbAZHYcXelxOD+MB79/L18vIYKgdlEmCeoDYSo/hwDnSmV6tjZNuqtIXxDlXawGgJ9q7b 4CJuSjBs+i25C0P/DBLngZR2HeBFK+/QeqfQ3FQ5WxeXduFeHX8Cb209eQF7iLHoH404YRxpvg1c 8fwGogRFPqHqlpuqtlRvYM45eMYE3MPkVfJQpg2nn4j7c5yw51+HQfE73giGUyFml9+vOD6OzaVV jbwywv3afXPBNebaBgFuGizAV/R2aprAJDGFQ+wpA2OxRDNmVEnmFd2uZvvf/J4LpP63BwS6eco6 uEXM4YSoB0AiJfmya9a7PERNqnQqohtsqR7g5hilw4lnqLdH+thZa9oR8J6q49Uu/6GUgwB0P7Nf vu79PV95qlrvgaoSryX2eWvMeWKnG/OJtc+p/F1KnKKMkXWEKkgY8wVWr154VHlDBDVFeFtUrwHg wkh231elKKXLCv/mLFJFjK4M8oTjRwvQEBSypziPNDkmtSX5z7+OVVQj/uzbw1evKsYS6Tzr7ooR PpIVtqx00blDqFjRBOVfxikSXI+HJa2LxYBp2S3KkgsxcFfoncoi0IvkCqS32Nyo6UE4ItNsKAwE r5BoNmYIvkqNEUSn/dfJfgQbwsHphUEhFSkXlbZeu9Xx6Wrs/8HQOJyDs/Hh584XuWgQVNEfxYAy MtmhqKq+/BZtHgG4UAPRMxfSqUiUlcaXcf+oLH9kA7zbWVw/O43/RApIjomWs+bgFCRCfS0oN19s IOZWofpsVavRRknipmYtzb8kYWmB6JQrIsPA+csNUwrYPJgRnRFaCJb9+jitNNfggV8CJQBDYquB xUwroFWFT+FbsStUtiMN6Wmlf6jmU5rRqI4lvt49yZpelfiHBHBkRLeJtblIW+uxowzL16Am8sq8 st493VtOYYUyOoy/LcRYJEqLNzK3wU86wgZRAIk3zsuyzIoKMdLaZHic89kmXCxYqwaoBMYSkuOV 0p+c3YbYpTC/wRzK3Qx4sw8oSnh56B5fAvAihd4jOVWnQlvLl+oWuLTLp8GDGdPM5zJwS6MK3flP LhyFmee9Nz7lWebrkEP5ax1m939zlJbDOWUqxSXZDwVf2tGpJPoc9z8nYSgBiZ/GrSiPdvlYI8+V XviQNrCcRAa2laeor1XxBCbGvs0tLnzzWLhJpeHU6jO/E8aI1a9rD7xuO4MtBpUibZbUEemWiRtI a44oZkuO/sqx0MlInQb3044MhPs6eziBJtr0pFnL3sEmB7Ky/hEbKqvCrPmOWDMV6JpP61DYNDp4 j4Gd20EPbrOzeuq1KD103JJczjWUuNi276ZWfO2rbaoVnEYRQogeqSmCBYaYgJRAGO2kjrSKxaNL KPlasPGuvLNqVpSgEac07RaaVqtSRxOIhgig4JVxHLlmjw9m+qW9/NbW8ZHC1NJ3a73bCzKoxQMu +MfrJYya4QKD6qOdayR6Tihlvt2vwr9FmGSLuQ/K0+AhT1yXTHNYtL0t21v/URoW/e016VmMorbB 1RGLcIu5ETCGfOuvux4TRfX/9GqxW5wrzaUmo2+w+sR+GykP8IlkrE0pdeT3qJl4EA/Wh8SrSvdK vk/wO0X5b1vmAs/VgoR+7Ogk3MnYCstv1ubc6Jv1dSUo2u2rE4ZESAcJDOL2oVan9TBfEC0JtzSy qky/vg3jGFCwYm2Di7QVMHDTHE31os8d725CmuDftnI9P01/BizkSTL2xoa0l1koDq3xSXwDfqu2 yRuG94umZiGW+w8lTJguKSykEsmfUMtBYUigjXkvU4J1s06uA03R0KKPMwekxkBqsO9p1OT654dN M31rD3QxrNjKoTLiUZTsT36uK+ko+cjO4Sffid7xXdbpJgjqr3NXv2RcOBgt8p30p6D6KAqxRZH5 +rgklLDkajvHaxq5GLqinHHdjTxcKBTDc+B6rrqjwol6D/BZo+OzbRPsbVkdt4GK63vlOv0KfQmk bf3n9McNrRlHrHAN7vkkgv7baAGOCCc7EdyOdaQKdW+5K9XeYqQuKmcGSW5FmGT32bK5yhTnJADj yeePazObSXGzWsEsJgtBkRoo+YH+KG9uFB7v7tRZA1a18wq3oM1fji3BilIkIsPxlLD0xK3Sg2tF DXKjD/2S9t9y6p9augWVoghWZTxEvEpbZW3Ik0g/MYCcgfVijiVlTDhW8xrGCJyF8oWK9el/+Amg r4FR/O+3qVyC6y40YSIFC4k9dn4rCkaf4tFwXffzX21Rx3HCkJaJ9yiP8OrysRNkSB2+KB2OKTdW 1yu+cYLxNHST8lnFyZETfBiHFdxQekubsK5J2Y4ewn1CTU2AO1HsBev4/0AYWnKhb4uwv0ns6EnB 9E6vMd4aUNx52z2MTg7s0UOiOPb5fcCSdNUiQQLq2OhyuGgLuZobKSUQNJBYRu3DIZxlYC3nRmvL 1rOG+FlX3vSQwWxvN50jd7q7jzP7dkBUcrgCOTjNlZvG2XCspN6bs+qEbnFIxDAzz1WP/7rDidgQ JGiE9w0SY+g4fgpPmhuSVxaITtpWmTshT6n4gDCaLbqCE2WDi1SEikToUSI01SCo67dRvhnOwvp0 0qbhhVzkYWrpq7jiUiqvv042CITzeJ4OjXq8iMlyV7RypmYdpARH7AWIyiR6Ul+OH1symGPYwCYo JgO3BsYdkuApzpWnvgwP97JUGpHrdH4+3UOD3Q19Vo+bMDNM/Gedj6kGjL5lufgFyu4wxZvq0dty ul4bF6MQciw11gd8H/fdg5Sr5NCWNs8ClirbPoDseUV/Dv1vxtJjZED4DY8duY0EZTaVghZbxJHD Jv6J7oghOWfn0w+dUcPK1LD5+DWwKwUA+gxWmfqkjmRJJ5rcGHRwSL87QwG8vElSlKCq0kxA3sL8 ZZ7ItQs0v2W61f6rQVQN9MUki9pyFyI9VMr0vk1RWJ79HnwgzTjSGOtr94voG7K/fnJ/WGKhtS2b tKDpemhyanaXLlRbOucDzw75HLTVpuxp5ctGgrxIDc0KXLc+jJTs7PXhiY6hvXHl9vSMjEiJ3W74 JrZiOq7RsC5Z9P05lci2flPjHb0FdvdAR4c0gRo410KZfjRO915fu591QULbcSYXTR+q8AsDrt2Z LG5wqDJsN45VRGiDN09ceWg8O6F5RXrMiSa5PM+R81rxmZtnhGcR2itCfkhSl5vK0QYEH5kNKdf3 o22lNphDBelobvikOAEGRay1Sak62c2j3Hp8f4wss7wtdLX1oFJg+MKcEBqFkdkKIwy/Qd0BRuWF FBcpmb7DCDXE4BvlVJwAjse8lAVT7+0sf4/vuQGuORyNVqfpXFtQkxAw5sitl81xvML23mrSwcUB tAG6AE4kBSCQmi+9tZu1dtjlSo3zFJQRcq/2MvKHu6ZxOynj0Eo4kxJ3r1D056C//rj0aqpz89GU QtgwC/5uV6ERx8VrREvuZ1wimvs0tU9Z71VJdFoIGsb9Q11JpWeyCRDqx0shKtqoPoh5T+30Kydj yN77ZOD+ZzTdyg5SP5LMHi5oLuYeUw3yW02Ug0iJ3yfnHrcjWqpMiz+qnybKVMNHH+MBtp9JXf07 W3a98UUMwTHR00t061xDoe6roWC+SWmHvgzpzL501MduwTweykTR4oCr1ZU46t1L64UiXEpmoANS kuLWw+VMr/N1fyCeSe1GGzwIF/uvji8ftIoiXqooV77nUBD0AA4lT1fGavBaGOnSDC6uTmll4FOg yaaP4V8r0MexGsuo6zlhTiw9PL8CVQYV6AjEciaPihyyc/CUbYDI0NgqJTdTkUbN5VUVGW+ZeBf7 s8CcOLrQzwSLiLApQtWkCQ4+gac/gD+2kXtqea40l0b18qdyxpd1B3nVINGh1KF3YaDb6LgX1XW7 +farNJeqvPekCP5Tf55qJ1OrOsAAkjO2N/EDUBQFsIMbBEf5msvAJ7ERsVHW1jCyhcXNRuVnUiWg KmB6hcnZZJRHvPJhdtV8SHx33IYM2ufWSkUFfWgv0A2EBUw7bc+7OnwnQhBUlJAKxlhf7PFYGivI mMArO+ziLycxHS+4W0NGZAhM085/16ioEAsu/dV+nYSfOvb5SsKRzQqUvvEbKt88qmRpAT0ixa7a 73jlH0Y92YAkKvrHiAvxG1j1rg3zDbkhCNpdRyO3bDUK2eSIGmcqzMs9Mx1BLoZiAF/4oMUYjrqe pbwkLMBL1wwLF4GsFIjUxqbFs2BvwRd1LlPIuScEQdWDJDo6mvAMMjVKSiGGoRNbzptyHa0QaM4r kbQ1iig6ck+z7Yal8loRhsMHYxROwJujUX1usYedSgztzT9tLSf5dRIoPAi9re4Nmhes955xsMln +u8HD8qY5H8KhdIGNHOBYC5IfLR/vGcpLqQ/ZrLEnOqb+V51nBmPs/Ysczw+0jUxD4d/xIhA6TDi Nx+w3jVmQQtqO64juDj0JWA+B2mYChrSMlWUE8NQzk0N/RqdcNPHZIpKxPdyEYcEje1aFsktk7aH 627kn6XdEbVzFkXkLOqKjMvqGINZbzf8COjcpxDXg46ujUi8E/WBLhZq/KeL0I3iSRP7gw+wtw8p Vj/RxTIseZU3R4rMMlT1ORmZC+vZwtOak3aCxqccLJQyLeXpLDga3pYfIb/RXJZCwlKJYu2zUVq4 FCUr5Fks+KkOyBwA5ykjNTgHkw4LoEUsrSQM6aZoipl6dybw7HLDpZGOJUU+ShMoPyhExWYDkAOc 4T2KeXDhDNtUhHgFObjhSv0h+ABfJQo0BmUM/WeYIK91YCndNSJ5zz9j5gyT1Zdi0Hmf+JsdGnhe T93jaOIoRJ3rtJfYMVwrzeDuZd2Pc5j+XpqhJ86EqEzGrH5cd27SQpb7K96kpPNdGgsunMnFwA7W zdFiRY085qQVxtu2XG+xoLNsMtvGjYA34v0r352amA6dHdjrrSdvojV2R5S6oGzXNe43nFG34mjD VoEUsTFAcTednuP4QAwihQAIaHfXsheHejqDgqsmXpFtqul9PdnSDhdzk5dsIjXACOKBv5mX2vc4 4ZJbMVvDU50Yz7fDDbPyOkKA7xN9YTeNLZgEGAdmZhM/3ZTgdWW6NeCa+V0hNwYz61IgVF6SxoEj Zo2XcYsDikQGRvtBx5XPbH/Phy2fxCv69Qh1txDcpIlJoqYdkiW+IZ2O3kzubiTebwKpYUgMD82z uhW9d7ymmtDpo7VcPpAEkOw5jNH5rf7ootzoZyrqM5U0MQHEv3o9mM0VQYM8wlA1H823TLxYAT5v FgnLgbzi9EOJXEvfvWYzlif7jIaKXPfP1UyLG9EfMvz6IiHI177x0rV9umGoT7YAz7+N7vbAV2AF 48q/T3icCsUVOJkuRiqUWaetmvYhPvLPdnSAeE7wMYcXJZxhHa7EEKfJrV8UOT8o0I0SE4JUFtLM iTFRdiMJ2MDlGmle83GAaf/3B504FLfyrDQc1sjMY1Aj2Y1GaRU3fEt3WLGAMAx9Ayx3XLOrjNbh auGsHagVPiwcxhgdbm3Fy5f3j0VmMI7JWWDh381nx+bWepXlL+a9C0Mpm+0Yqnk3S1FirvH8mjOb x8hTqqFUG3g8OPzOqGBFNzvyhlB4FVouL+3biyTTf0KBkGFLRd99R0YrVXR83WpYNk+KshuzyXq9 nWjL5ftBfTTOwWsPxoUuCmZaEZZTcxK8mITIOxD73YuEQTdjlx19tEXtdlVNOnWaLl9VTpb8o9vT 4eMcVxfW4ravj22NKmLRx0Mnd9Y4Ki3cBRGjMTY9r3hbVAWTvUAZo9cbNgKGt0Wrn47Rs/pdYJAZ zA9HIdfIyHZUEhUmuKoDcn5cHkSOEti4XHkQsM8mB3OeAQAG1CohgYQ/BFINKGCald2GtQVY2pq6 VfX89mkjEkRCU86inWIJ5PI9/1ArB4AcM6vndp02cVrIcRICR6B7RCPNNs1xTHqgoWEfS+vA/1j9 ju3PAuMFJrUtMR/87nJJ6ihIjKX2Xj/kmfp/Uga40XgLuxr/9lYJG9u0gPyG0G2FW2KtG/yP8ryZ bLV4AfVh1Ph359krFR9sXwmTlrSjrEBfBaub2/lIr4g+LcOEli/mNX+vMCn68Ua2BjZJTQsuhPVH jmY+uAdfeU8O8UbPtOjobu/fkuugMZRKH4s97hLMgMrQxra7zkIogjPe0oQYxGhsPlgW7K7PVG7k zAcJk47TaQeA+2zz6E/3thA9r9sOTLDITTA06tfcN9u7CqyG65P4sj8NJW1MEgM/5AktgMKdrsJB HNRCgZFJsFl6MViNtoRnjFV++VRK+PYYWP3P/UPgQDHH2oCgO5+RXh7GKFE+QVR/Pa7ZKOeqeUhq Dk3DdYR/pdKkbJlIkvEAdku3wuoqgRIR1jpSXcXroXWDHRUvTI/xYfFhbJCxf8WTUaysg2k98zRF hSejjryFYwMZ5L+xf5giAxpHenBn+1qq8Uo54ZwXNni6PqkGEfljK+w5jnWbVPwPuigXWGyPn8m0 s1OrpGcp0Ojkdx+XXLxhjK/hmYYPB2eZDhsBDVXiG6cmlm+oDLGjtdLUTaXccP6UMUQ/lxD6PqyZ Z7AwM7T0zol4iNSthLl/nffd23CGz/53Sqvx0xdRd9vKIUe5QRHR8tVi6lTrugfZvr5/3qARdRlZ 4ItyEjOT4bO1NoiV9Q+EgE4e446MVuA6NItJgr1rCNrn7VMFRe2GK9hwit5M+S6Zvho6vSsUDLQ3 HoSjuohnQQBufAvmaTCtUr6ABfQifsbSMMRYwa4Mqqw+x+G2kmALTKUFsxprtQ0EuRLTR2v+xJkt kfWQtUhsVmTbL8skWw/WZNSyZZHKf3T0ADP+jWRcvA+FCZskEEzFXfSNQ3UlTvxC5K9aXA44aoeg JXcToqkjrxM4BPB+fK5lrcS4VzNkMv8zNY7G1iZntX629m25/umQ6bllnjpwIgMp55/wRWLlQzxr R9IFWCAHjZ4xhtYiHmCa4/EwsrSaCwQm/7bu9zELetfValiplIay8DmHU+YHaGz9/jY9GkDwKyb8 QA49YKBqGW8wyWy7v5spmfLCKuSXKRHFYKCuYcfMN3Jk8V7xNbUFKx5VYQQ0/J+3xU1Ik0IYabja afZlfoq0qDf1Vg7d2hZDKR46AuHZ2OT/hcIK3Z8WQj59fN++CvwlzUI3vUuGGXrwz0EE+snmw/jN bASwW4eZlBi/M6jknrJNHFZvhmC4CcP63bHCDIoPBdS782WdxVQd4M07X7Y+yt/lmg4vtIrcvfhf npOrCYlmMN3WlLsyPq+IsBpzuuJGzOu0lqq+dnpUQd2zm791Ew03rRZJLIYuJLvwKC3Oi0CqwMKy 4Yuy5SaDRi6nZ3O241YYDUysb+yz30bS6Hdy4PtKc6KHU+F0cSdZkAbNqWhyUHSar9au5+qGI29U +Hs5JVqPSxnoTyqYDjrFAvumVvBwrCAG6X2l1o7F4fDIcm5e4yiG6Qai7uqxKh7JDmBWkO2awXif tA2E/IWxej+MJDMNGx+FGoQk3f7RpGEE8sMtMHL4XyJ32ao3k7IONkIYWgBeMOuYmqZz2PF8pJua jLWEyZtSlM7VNbx8jewOuYJjWUR8Y+O6oaqNO23yzj0Cl0rsnpA62AUvZvQLDrTYFvPC41wdei3I +oyPxwKAJztvSf7BPXJpoj7vvzz+t7166P/dso/O9CuLzOT3kRRcQzMkz2xiznmO3sz78y3CxaAa /2tO6W1GKRunZn57II8tMrrcDoiVM3F3ONUi3vBWzeuLvlKkEOfKK7jbsEs0NZWHd4+g/c2nnHlg jdyyEvBR0biB5jAAYj2N0VdlkOphzFNqD1AXRJacPKcBxS5WFCo17mGtXCaaqAvp9O/SFt+6Dd4k l+sv5fIggSePIZvoY3pEYZr/an25wi2bDWyroh/Nz2s9LLDrdBSIykGOJJfhBZGjKaqVEWcHPJa0 8g7lON1LU/m9l8A3bBuL6EzSqnfAMP0nVy1DkpOKT9IVCcg7E3RNLc4o1q85JMnarh5tQV1yP+rm uAD4NLLgL+uFUp6NflYM97CBKGdZFbjBoNFcsOudHaJnpoZkC0RfzZUqe30xWzu5lyXeataq7PJO H6pBbPXW+E2GFdhdBJxDKcRplnEyG3x5u/bTFndnf/QMWFRU6T/zGYWrxuiiT3S6ZOYh60BjsYJZ ykwknIHimvqoIVfEAC1YQbj7k+zewEEMPU0+DQACXQXSQdMn9f7jVP5ZjXrgLP13WI144Q894B3q W6uWKFALf1B+gnzR9yhO2BhQssO3RHV6nV+UQ7PZdj9YrWPUV0joxkm/SoxnIgVB9K+bVWqm7G3J UGhsiKlxBvzL+sHHx6Kj7m2MI65yg0LVjRywQwnh6+LZWpJrijVEoJyWN8KiDAcVrQ41Vx09aah5 ETZnjWFO9GZQ3iRLbFP9LSQ220OtWj+fJTessxWt8D9FAshAoMCOGsTga5w5a9kgYhWwWwZJFqyb dJTHf3Dc0o/kXYtuaT8KMVb1nM+y5RvHgkuGecR1pmtkPK0dGmXFw1NFrkCtYalI+CiHWXwdp0JK v1mmKTtrKRLggJrOauC2jEsQI+06CbEbaIvySuZ4A6GWZj7pMD6B78b4NZJlkSpVyptEyJWzxCLy CTOMPGjEiLKZkLqkfWr+kNf9CU/KMxes4oN4mZ2RMu1NqFoMnbFtI2ik4WmG552RtXtil9hiBGth gC2EIqzZt6RcWEtiQAVbHMTguxfBMWcV/MqVGSYF9EFzs5Ez2/FbsILzh2eONwXWNio7giKcf1ow IQYhB+ATkkm9CQjIOqJrDD8GRix24N1HU/ZKped3aWDk0sqp2ArWnNSJnCX+InUNUYtRqQyop2/n Lk94zbaorKqzxYWtIn/y+YkBzzG0P8dNfpN7DLEUC0JvkHPspZ91vXlpRwIFjk84UDsE9YvrmNRl Zcu6A4wky7L+wkHHmmE7irv1PrSm+VoEBQuaXba7360souUIUr3x0owx2LQVgk6WxLMq71MsaUSK 6XEiA59l1BLxwxYM8CwTNniTcEsigxmPSAD7uT7bDr+8ecNtoJXhTowhZSOixoFIaBIZCQA+XGnM AXxfCn+T8JjdxV2OqUu7WEGiiMIdyF9Ih+zZ/klQ8bbzOnIAUpoJEzw1JQuvVTgL3rvxEzGyIxut h2tcW33ffkrKP5ZP6EGr2VA3VqY1Z9qtSLN4TziDfQ4YjiTsljL+KhC04VsR9Zjq5r2ynUgdLQdn wxJftVdT8pFJXDCKCdYWcjlFgiZUOhcIAfz14trQKli21G2BhZHjOd7Yb2D7IQe5qaPoc4cDpDTd ZsZzgyjAAUWIGwhFOPcV8fzlccP0Rgrgusjb4LeWZIbkZSg4IQ54UnxCxfmbrVx+krJubN9vStoz 91kpDwR+yi2s3yhfpdOFH+oSzToHV2auRwTLtHLBr2aE6xhZ/gkbqxQEecpez4d+ksqu8NJTbvT0 iuQmnYdNEyA9SdtpvSOw9jurp9GoCcOFB2LIMGe78AUqt2KwrplBHPUW11/I7/EKGmIz9jJanFFn CjYC9gmKsPgwp8z7BSQ/OnPBlq4hT+zwBFJJ+gxLiKVFfCzPnUhlKbpOOXC8GEbmiC5bMpCFhJxc 3OhPk6+KrJUIphc2lfSySM/6gxpCzamHgkUkBBEvz42INpSvaslw0ucZkXrhk8wKujE9iaH4paQ1 Imm7Uo9Z8XZV1K8vcDI1AJuSedkFLlSx5e/m9pjHoNE68LPcC3lY8OMp7QNZ/QQKV4yzeeY24UuS wCTWeLvBzIlPHsa5tHyupkoJPI/aSYW3pGfHgDFuLSxcAil2wQRgs/BYMHCXjJVPcohL8AVhn1yT LJP2kG3C9w1TFzTwA5mD8l4KOtmX193wWpAu+JBL7tFPfFVcsmyGkIOTDFfdEpripXamtk2qqnKi LSoVgutiE7ZFp5GUJav3p0UJvSY0HEXtRY/mxZr1lQtzvqbv6h3lJ8Ava8S+zbu4vzAu6iiGvYqN kqNJ1GSSFRt3PRGiSmZrPOY8SAC+Sg7oOi3QCsykEiuiZcDw1i3Q+kFuBy+brex7Qn5Ogy8AQGyW W6g3NjSavne/rQV0QrTACcgeubidSuZmmzk/JWhiqiHk9rrnMLw2KtbK10E+jiA7bVUqtFIH0BId YgHFnEy81lu4aZSLa1TTclGq04NfYZ6ijXF2FgeGarRTA9gHWGEVbDBUqZJp11BqjJWhDEf7zivX WCX7/zByQEKOR5xOx4rsO3rGuvduNfFkFJ6ZtQ7vrhwa5NErEGJCFhI1rGebHjVl87iWFAdyAVn7 dlkiX6esDWSDFfbsMKzVh33J9INvb/69yy1RXERbRosbxhVzKCTnvdLgm8+pIQKD3TA2lnOX9/2m ZHKPwEdJtto5ebU8FILwl9fG60qGYI9dd+DL++j9upBOfS6ygGPD02JVNK6hZ+DH8PDkO593qLtg djw9So2/0+rbygAIOhCXweWE7ki9ymaEqSO4J59bO24JOUF8bP/IYDKNVyW1Ssus2Z42qnuwheA0 LoA6FynvW3h7h3GPiRs3bF/WtHJV8hBYIlj/L7qlHjl9uf0TkreIPsini8B5Kk3RmHqz3AYvnFtz zNy2s8X2R8R8G/FdcEuNSuOhzqPwqWBj73FW2y1g+hkBzqyRpnttOokX1GmBv+xqh75sl86lXHBM iAPL4X+5w3DilutHMFAl2FlGishCMtNxs8K4K+RkjiyJSC3cJPibFxHi5udw8Ks/iSQ7eg1iBqju fMZGhivCjwLezh5b7OHbBjsj/B1kI8ltLO4yTZYRpL1efZZ4a74S3eD0PdCqiyqh4DoP+i3E4AJz XurzUyKZyFJDU8Wmx8TbPnctrz1NLZ0aj3FhKPm6hX8yZe1FMvnQuPqWQBlFK0XFkN0FGvrDVKpn +0jPW3yrPJP/JnfRVgo0xXoLIpDpDj4Equ57NPWdrbV22V8dV01g0eGKK3Bkoj88vZJRgPVoP0aW 7dAjZvKaV+KXGKwqIGi4uhxuD9SMMIPfxa8hGjarmDTxqWYjyq0TcJJIwPfW73wBCsmshEgnJipX kAG00y8QbXOfegikMbss8ozbFEUITPCvB8wOcchwrthGk+boQ57tRI2IqT1GMqo3K+3R2bRWs2fQ Sz0rRufZHpUGfggKAms+bvBmz4xX6brG4jbTyi1uNOl9LcqhGfRLYmoSH5XRyQcDoLD8CcQZVPmV kIdjAqFoBTyWcSmtIbUKVZXVoVQBY8edqSl0sPBJtwA7B/juFX0TwKzg2vnS3rqsNAb+AgzekYzj WffTt7kptnV9tPwqEAckFJA/hQIES48BhG4hjceWq0vrem+LQvuXEXctN/ggbM+tS4p4XkTGMnQm IM3+lYZspPKRlWOZ2oIdpxxdzEt/jsa0SxCxe+i9k+hG4w193zAOq0bH3rBAZcbyX/0nJIj2T7KE prTUY8bLT6KBizXFajpE1XKsSDfz77csfvMPXKFYIH14QiXYHYBH36V09Je65ZF/cVydVLCX7lag TL4Zf9Med9FzqpzYir75yI0BYHD3itFTkvQvi3BUV4c2+zgdVGDg/MDx3RLsV4SI6YTKG+0dFkcj AGEd8qk6eq8CboqjdGt4HUJj+rKOZZuEUaYfw8i86U5jmCcvwPIqyFO03w8q9WdX2scx5lD/HdZh +sGCMoJfl98QLyguHbNlFe06UM9nBfA+ZmF4+jUXElAh29L1xoMmKmaC2Px7yb0xQDhYP6zjffOi EhQ+us5kPPd2/Lr7iwtps6IQDvO9OO/ADTrjHPtBPgnGrY4j6h22biuzx4yHB8CIIg0Gv3o+42vG Dq0BEJwE+yGF7zyBYjAyGYpBJJzH5VecKHkM3GV0b4XpeUMhvA9NyE4419j+fhoKb6tlQCv3gAFv PlnShMHCFeTyljmVAdV5oa4gXlymEdTaVUGGBe5dkKSB3Dr95Fe0/BBIL+gXohDxCyStanKsTk8O MhWdAhdW4NZyYsYyF6ykYCLj37s3d3uYuZfh4A8qc0FJPMjuSfzXdEeyDqId3AMSeIaiH0CSmD48 6vs9pI6oTV8c9U5UXrv+HIwYUx39XMEb24gyIsCE5/1F6Z7YBF+zWNtv7pzvFoE4We6rHzKFIp05 Upuqs2CMIeRpEFgiWuPo51kWXF+HcSmx0yHeqNvMWtbnnqG0dhbD8qym3qu9M6fiiupkAFyWOW+R BTGdBoxT4LwyQddhRAsAUjxhaNl253x7vHyOX93XyzEubN9R59HtGHFxHHY074h4vnprWTk3gk8Y 76Kj5PDAJjoDIejMnlHENjyhMHWbsdkXIfLq8e++7+/YoXymefaIkCBwS7qzXgF0c3LKIPyOscE9 +ueRZsdkYytyVHCahi4P3gTKNolAz5rbX8SuGbcNKBW2VIULTGcY6P61Bjz9HhQhA/UcDOHmvh11 w/2+q7ZNIPmjnAMyYTyM6m/TgKeOsGAYjrkBkiiyG/L+yurs+yQ2xYqzPodMGBEzJT9t6bW0lQ4E 6j/7W2N0t6gOfvruZmmucseya/SyXg+N5fVj9zD2PB1R7jyiZ+DH5QvS5Z1teVMdlJVAlar8l77w JVIzShI/hqeg0UuwamE/H4P2BzfB3+wbJomhN8Q+qFWsf79f6Ko6UCdarq1gQb3pxIrfD/jR9I38 c+PBJ1lCMMoX4kELnbdx1H/WAj12plE6WllIZbH/3Xa8F+Gp7CBLbYLJtHeFkL5OWnt4ID0dxMi+ E2SimjPB7DH/hKcrGD9IEQSrt4i4WVgFcGNhAzeyE1q1Vt4UpnRXNM9OpC0FHaYR0A/PqicGQ99z jRglyJ3x88h0k2JCA3zPhSnZT0FkN53J1MboexQc5Km2KRudUzAAFn9jA9OEHM2KRZF2YAXTWcWW 7XpVp4XWbmuHvpQZ7dlL4uxJFwslBG46iLLmIPk8CCFWYvsBw72SPd2uLQz/UAB0cnRPeT13ZyXt o8zJjccNTVvV+jPCxyuTUDDHalKXZpllKr6sPOr0njKcdLHcfZVBzl/PsCve0DurYLAm0jTq+RZq BR0bqlK+755dsMdP4zSQ9xAc9Nl2Tgfm5GoLd6hHPBRWnArnfowpOrF1aAg5Qxwz7z4lPxpcumrz MNxW3VmBWIuSagldSEaY6SvSgviWyg75OcDH67glRd+dviC0DhbnBjvjHy++bqm9olRJZozRRcGE MkvvDAYnClqijD5X8Vc7gp+DTxCvkUmFRA5UbpiIRi89KvslasjwO+BL+57oQu5u6QdIKRcKCK8s uz4vrxtn+5KJEoPSeMIy/o1euCY4ddo/kVnbs9ZP7u7Acd3pjoqAgCZCv0felQ7+zP1W/SDqXcRk 9Orbm+oR9gYhycdsxGUnGYxjP9WdhrZ3oEmoL3nVeAgz6B9+lGrFI1Lvy3Omm7ueX9VAR5W0adfy 7h1wvSgKtjiNcZnmZR59u554ad5fFLWRQau8RZvYp+DmPcBg2jR7LIT3E4826yYbAj4LGFvYk/Xm d7l4ZfYVZVfuLOJNiGzsdwcGLdgIH1Vcqm8paH6aq88Tm40ufhvefawzQ6CCZ//x4z16sAeW2FZF OZtTzsHhwXnC6CzDgn5j9w0gC1oGSAf/geI7Dy+77KCuRx/zjXLlv4HQ8ukZIe8F4fohzApUC3sl t+SXN1hVi37Jvmrf1q1ycT4cSuHc+mXgOraLKmLFgBvPEi45xeC/JtJsNg3o2HvY9hghV/iH49gk XSSMx5MSi3xgUWrR50LmDyhdQ+pA1FNUMhJZxaz4xfE1C94ejpGsuTPXOSOeCoOMIqlST66eZ8YJ Zu95Kv+VgNl0b5QocTP2+RUR/QfT/Asy2yNgVTUXkpY6zFRH0O4c+xUAcn0LBFVAiLZmo7g+LMus a5dR137ifjqi34QRgY1Z6O+uH/8/Z8SAuAXxpMA0URdD/ILvRmGzsiJCJoFqyd7kGjbJZsuHbrY5 IR85Pmi6D5skgcKltdhUmxx0y+4h1MX8X9rsvg+LRmaJ6U4dABCG78Uf0to/HOSwF7ZpZRiN3/F2 I7jjg3mNaRXn8mxA1blb5IWxCkbTXpxZsBtSCQFqOSOdSSQ6o8SPunJD/rGXlNJ3HXJuHB2DxqVO ftSb55OTB5DCMLHgzACizPNC4mpr+PNspMlaVBt61ChcTtXnyzREgkORLd3dbWPih+zGP4Y7yFDn I+G2hYRDVANz0tEpSFXEs4j5zjou85cENNoe74xjyKvpC4JuvQJBPU161XKrULCFLhbuGj8X1I3U O+0DmM+BkjBOo+eNaFQn5iNsLasOz0ddCK5FAkpEbvDF2X7ZTJRUonDoqshoYvX2hbqq24JM0LYD rsK8tIQ4opFh10olDkVbo6UUuegPrV+dYqO+tbdxyEX2Sxc7EFYnF3LUt2Qw0BnfBfUsfHJ4oTuV /Sx8tms45wi2ttWvmJT7GYqPKdZcwVdaqI97MkiXFLHPyRMJAUcv716aG6NbcCPG1PLVXeX2y0MS VZCY7PZS4Ii1HPMsbHqj4RWcQBki0VS6Fit9RZEsGSOTtWGOFJTcDcQnkr/F01ld8D5fPRHS7xXX 7Buil69WhKzixQMReCQShP4tD7TBJmHJxlMgBJHw5C3MQ8Qoll5kCwqGTWcEkg6hsfvQcf0kVvp7 D1wbSN3Wu4+4oL8C1ndqEHkvOs4EpDYFBUxTQrF588s+EOWwZCT1upQZmTpdj+tFZe308OUcWBLs fyjpZGNvHa4p/PSDcxvy0KMmz3tDmCYNO6TrXk25aYtA6Q1x2+GjLhvV038txCLg0y39RwN0TCvi yKkOOINRwiQ2oNIf0Iep0ul/YOzDJGUn1JUt6CaY1c5RiCp7YtRtZyyGGHthJBw3o7KX3Icg+//8 M9sDSdH2YLwZLc2NHghnQoiM9y6HgKjcJISZOQk8MDVI0JKjtAwptvNiWzXkG7CS0OmdUh1pGgAG wQ3mlSdtLQwkQeVtmWNUmpVxhIFXpJwu8JKaYm3fzUy8bRf5gMMhe7Drg8A5PHdDAExNeypuqbi0 XR2cIHo4nw6rBjVhOs9UVaAaBngSG3kzu89hxOiimuFbO/5rMs/VfHCKJXe4as35J0/EgSJayToE j3gFS5Utuh+SDJBt+5NPrIgv8tVpb99uDzm7gfLpZ0d4o5Az/N8/RArzB8MkjCOmo3FJIuFVnqGS HQW769XjFRCd+F44FJ1BfC2obUGJdvtqZMlaSC6mmQps8/tjc5kcMlAS91Ckx5mPeh/TJqv1ijVI n+Nw30+0CmptZ0gdywdK13HNIHhIg8I29BUaPUEaDFMEeH0ReWUZKLnrFw3dwq1RcbvltFAUayl/ wmNjMzsvqPMbgOg2vXOGtlEvHS5ptFAI/7rL50A9CNp7Vc1GxXOIcOhkTCGV/9b7CLLJ5zV/gfp4 TV9WLrPsemTO7K9ydod6DZmiIyqJS85ReAVT+HhFg/llAb+w4xe0T1a/p2NsUDbKSttPyZliuSZV 7MNroL7WGrdl0QZscjBpJOUkSJyfFWQ7YkN8SvMKpIKvvi8uAmYB8oIUpP7SMkudIHeQNLMuw0Pm r5y2fBojHeN5E7QAKWHgJfbplBFC/kE9xWSc9wIpms01JRHSBT0DNThA9646dynmG346UUNCk8E8 KTSW/f3/kWe4rV1b9W38+A/Mp1uYV5/YJmuNhwB16zIk1E/R7YyjB5fG4UXlTpHGbiKMNN1Sxfpd YPmxZK8GsqidGSCTE/2pRCgyUDyURdMPXhpMoUPbtvOcvoAJldCGD+a3EHlS1n0uJy3YlEN/Dglq rTu5XdRBomOTIyFR1mfSM/N8TFU+2GwZNiIAxOKYyVrivCfVpsrDREgHRIffErGPN+c1BZIjpBZH W0GF4FqyIo8eliTj5VVbfeIO2fBEdQV3VGriSKds76dljvOU9wwEL6pC38OSoqSF9LdJV8xy8VdB 3K75gyd8HHB3nUFGibnKV3bO81itVV0mRGPXIlDCRuFgZXFGqYlpX8xvZ2N6mo81TwOLbx24ZJul LVI794P4Jj5yAOMvRDvQ1sqweFLJxauIMx4QmZMQXRZlv6v6K8AE+n1IP4yc0b5m4CRF9kX0YWs6 q8CtXzGypAuYLQMcSq+h+nFYT0v91nWGDcCAEWCO11lnHLHnAwtAh32JxwOfvImoVRo8DeASVzZ2 oG091nX2edoT/Ftzhd0moNcpt3u9vyC21np0yZb4TL59Xg/FpcadDpQYHbiWOrEXzjNVPA+wsZiE JY2t501dnHBVy8FEWF6ey0i1E3F814zd8ihXxaFQopUsxqrQzEZx4XOJrOyBD/EvY+HbnT6f2c3P OJxQOFBMmnTVyXsmbN169demiSk4okPE3vN2bg6D8JdUusAm0hPJi67rXewLT4vRhRFoo273hTQT sc2z2HIdEpZk5jScY52UBQJEVauo6mUY4W6YboJh5YvNhuXWKlLwjIINMCwiMn3PiJwCLl65DFQl lgtiK4AUVE1MtotXnPH+TEuRSZBR3UcbvASaSMYcZJRsQ4V6Cve/mr2vLmsa+G4b4YPrihdSd9S2 +0I0KqSayLEIEEx3Xj1yICNSYL8NNyxm8ycSPR/mlWJi5at+DkfllSwT11LST9rcW1r0yNEB4+Cl T+D4nW4lprS+DJhi9A5DZj8Fvv7oXjwLXEgvnwO9qhJPmKxViBzkO038fzsIk/3M0k3BNwK69aAl zdd+2fYn2GZ5SD6r515hS9QcJZrC2MSjmM/XIOsVPq2o3aTFGRA3sC/zuV83Xga2mPLLt5eyAGEd ibl002mi4e1UxdcKjkgbInPLGOsOJx7VdhrTiekZGS2FaUEbT4QU7/aMO7MYyPk+CfJFbsNQNzSs I3aso9sHJo5ohLRm4dLxd2+suy8j6bUScPK3uFaIKmt9jL1CWW1ofXxHS49ea69mCjCwZBadr6rS sTs/lFxrnKjTyLQz82VhR9C8xFXq448DdbrcmO4IJmvbEx02UwN4XhQkCcffnPAlGDGaEJXaNIUm 4tvmh3PwCdK197iIAf9nbWxRYIdUQ3PRIVpBqF4TCDq/bYmfEmKXZHpn5Ce8Q+X6+TVFp8B16jbI 0GeFszxDmnoZyuqsNQjgQtncL1VW4A33Lq/t/DA4hctQLJmY2EpEptdoUlZN/PPXFhhM3S9q1vOX 1vf1mWDf0Eb1NdOqFp9ezFQ/whQrvDFIH4eg51x00jnaq5Fbb//2uP1nVb345dfkNv1YGAZAxZ9f i0HobLxsfcbUSx9AaXFwNDEBRCielyzULv8TjKUpIMXvUOYN7yU0rVB9jUhv0cA8lqKAPxr388EQ /F3U6nVYBGmmhFF7C14nIqK0TsytJX82lOGkLEpIOMcTsrnv079OKZBzY9LELdZf9IidNIzJyMfo E5QQ/9VRcbY+B+/bKE5Vy42GfbxQ8+f68h99Ev/r8uUQYytRuTHtU3LgYAAblzcyNTeL+9bnOHFS LOpZAs+3kNjsRUsTBkLilgt+PU4ItCm2GqacxOw8AGlpZwj5GBrZPpulpUO5pI9YPgdiivROsGxh bmq4csG7A4p60CkdOXeA5IacRgSb4c64SFl1st/O+ATuSSCOq5U36vi1fcJXtHf57YIwXrB3qr20 VWWbdBbfosCv7LvTwrIsgLL8aV8d/5UVIUky6rYnpI5fdT6LcRFUr0JvGBHaFqQVXQlDrR6RcnKZ bZxviVts02Hcp71oyq3WkjTmR/40Y05XnMInpWaPcOIXnZ2QJBltstTzpy5GIWhrPtbWkQ/ERdzH u8CmMl1mkz/pRHLZRnivf6f2aOneCwEprwzZT3yxEZ/llvIUTWuvfPc1U3S8nBvWOrmuymlvhMMu 7yQRfiy6izAA6wtuFiS919GEN2lwqLPRBEUvjBqJJvyYdMAxpZ3t/3FOPY3YeedgFMOoGIxMBFeR ck5MdSdPH4d8zYc6s3u8wzkHxZAbjb1iItkouDuTcdTrdCiGkLDq71ECngekz0BjslK3woMnDgTZ kyp2K1bKQCCOQuCnzIOE/4IdSRcDpzKtbsskVJOm2qfhSSstb51wTLed+1azq65r6n0i4Jy8LqpQ NbydaHYgrbwhU3lTQeMZpc5jKuobYO3kaIOEwLQEMNrCwunZIJEjVSNHrZDg8tRRNUkpkUDpsl9a vQM10nnNfAdKNuD5d35Dv2cmQLwfs3RjiaSJDiaRS/tEU4fFW4vpp0gsoJJORFKLj9phNKnmCo76 laCF6sD7lWQEkrj3CI34ziELWWkIkwxJXFSQ+VjW3p9aeJvG3i0ungJqQbtyKYNTkCOtH5+eTbDB 8wT/nfZoaY7JGiagj9Jqw/r1psrkpgLVkIaXzuImn/bcjhMt2kblpfA4ov/Vo9HG/s4sJ9j3SXmp PnVKVRfc+ek036GJ1bEBHUJysmupNv0meONMYzJnI5M/HVxrp3cbxCsCqf/A47CClA1TmwTWIOVf V7LMMz7YNGOlhCdZ2BYTQMko/wHioZB6Bow36Sx1DXvJkkp9myW+fPKif5654lFmnv5okyrkyzxL VzH9xiPGnp4iDAWwk2urIXeKFJZ1Ft2kSz7IpcANj8kUSIefxI7Z60a+QF1bbVPYrXaRwg7uebn0 Kn9xB53r8DDE+6yjhKE2ZsHiVUJfQEhlbjTv16P3Ei9EczBLAcwklFfCMOsTC9PBWJ6AmUY3V2EW kNvWtwsyslDmh9v1aBcip3SU3dNAVL+obnEgZGC8w8qbDH2mM6gdr3CuTyZElmhZ0VrkqBH3pg7W P4XZMpXCoyhBieDPScyFpbhDzo1Yvvsqjaouo6qpQ/JI58f4VRPfkC6H+6WXz2UlGd8adD7OPNKj ifjTUt1va8sNrXjWq5nuoUCuFlqt0XNRNy4uv60Zoz2P+q6Y9aan+Hm1YuJZtZv5JGGhDey5ENlW qLNj0dogUA7TFoPAHm+GMtEZCLkHgvJBouTi9zsVK2s701Ptn0BXDD8AiKah2BxcK39puxNm5MPt AfPQqtmxMikfkmcvT5aZYvkUYcwInSur6Olhr/ehhYn0FnoxW2l7Knk+8WLc7EjCDdPFLaRWVwrV ruyTIiZLGagSL958QsImQDvOHfzWXgPIqQJ2fjOlf9H7rlbKyE8D8P1CfxuJ9W2E8NsXQc4c10a/ dJN9jIMVbPDvNEOmxS+fGlP35wLh46rGbQ+aJZsx5YLiM1oj9KwTRrDkbVCD+Vw6JUNAh2D7bfZ4 ro4xZqKeNYQIT9ktIgEK+7ujsgseEsHImVz8xwxuv1Y9F7ZDWMjPzAvY1yDaoM8sWLzZS/mCIhpU u6s4MqOA2Ohyd6OUiZIIP/35tArZTiRyTBO3N7ZLxcPOTPGUonCj5b+3C7Ba1VJaepSpmJF4PK8u uIo+com/H4EqigMcOLowUbFEAtNmIOQTkar1YoyHPzZuhUkHd5u/IrM1N7W3HvZutQYC/Pctw2RD oqrrmIfSuB59Fzs/cGGd59G+oQY4dlTcp7bC/uJ/vEpLwUXBq3zkwDi+tSnLRJqfkFUqUHzXIUeo j5DttrTETx5GVNqrTVxRKGw9wMxgNGL9sy4nuo7QVwBhZkVgZe6qyEMOSGlCCSA41Pq6xgjtt8qE myTz10LVi5A2SnXyuy2X7rTM2HP5CyFaqKO7hRRc7vrElwRseg5hiKbu3DBUIkl6xAGLbECcy9Vt 0jdh3UTh9orreikTuxwR3rEJupQxKKQ/FQ19QVtDNua9rQZ5pSrDPf1Uwsx/+201YBcecX/SaAq/ WemyEJ6vTBiyxAl/Ox7UDDd+cLdkxQ2dLfdP2QWRCPySJ2NoOGhQXA98FDgDzwNx4q5kaFTHUW7q JEi9J2aSDGR/t0BnCe485QDTxpZtPB90M5GT96HYZAMSQIsaING85xBh5Z6/gnGrc5VLMEI6S8+s W61tbhByxdy4MBzkEw11STnWrgim3U94f4VC8HHekh0sBIl7nWoA7EEL4VQomD8fB5D1V3az6muc +3TRjgmtZb6f/3N41YZWljjlm7KH/54nT3hCkmr9Sm3qX573nPvryvRjWHWJCYaPU9S9g303Yw60 ESOan1YFIjHbCWFeP+LkDkjcy8pBSWjrLRZpch1f1ebNiDjAd4nFJKg+NqzoOYE/Jae/hhrX28l0 p3THDlkTAnXSQj500mHN9YdtiCrL53zWjb9SOT5oQYgV/k2AZVbIrsENf36t8FKna5paBwlmyQmh n5qTHx31f/63zHaLLmv/KYhcdJOGJV9Zu+3h2DTt+8a6IG5e/f7xdKWl/hhk1c24ZNNZi1duHCRK qCqywgfrbeUrFwvZyxeqZ1gK+pcYCXlJlVHS0jjOAFXTvTNKKUKY96VfC1ebla6JSTHyAKH6hHZm a0gaEJPU+y60iGWzh6+T19g5kjYxmUrxlU7I7xBU5cD1csiQuJIOChXjKg3o0NZYG1MDcxYARouH NA0TYY1OXM0iJKAMGteKMJUlf57yoX3dH2+b27Jg0rJaQ1IbIQnv4tbxOouQd4xlP2oyr5z4nTCJ fkHPzj2s3+wzsCs4wg9+IVfp6tbN38aN8QEmMm6mvRE25+pUvzSZrNnkljEKpIUyzIAjC6weW/Pi PLH889+hDJIwqeC9qTWY/LgS4V5J7fBVDJ7KWeHoemJsVaIuzmRyo/s+BHjfr0MBvVcvy8EwecdE kKbQK2qDR10kq8Lc61FeDGhIwSlyiZt35/B6XJz+vo9FZ3iV6svWj6qxToWiRLQv2Ec/3lr9hE4f YK+OYaItvM7OLjzUQlsXgouq25j67juCsDFeBbhjLKrdEIbLqMhA/WgzoDKMdCz/w/qNWNdX13mW JJl4PDqbNCLiATSJxWVW31WrJFmARBN9JnhV2lZbBnVOrqODBGg3cZ8tAhwX9lvaOsgT3iMjY601 KkNmqbV5F0sDLPuxwCG6PUgMJg2uN09Suk5+FDDC1nxWLNtscgJk5aPvXSfhvw0lmtw8YU73i3k5 1g0IiiDBN5eQEgYunwei7u3izFrQhbYb6J2xosvgoeYQbt59c5UuZo4B+Pbp6EU0S9YrqnWrcJJM 1qaCVcD5Gh4HBC2q+MFAIiewZybV9ZgkZfABM8jbXU7QgFVwv/3zZwxVxb5IBjYjYLMOBa637P2K euhpTi6zQeAGZOHJfQCPB8SBr5zxyONAZmjvqVGf+Oi/qlL/ULT+Vk557m/oMYAspqWEqsAvVQLS DZKqJjCG/Fr1T75w+1hau3TQnMQa4J9kkauDD1JoFw+8wO+XBDen6U1RwLsOGVVfvvYRdE5lOkxK 2xM5+KgYgaL3LcD73LorMnUurB4AdBRZwN5o7rt/Q2eBU6rViJoyg4l5NYbE8THzpob+mPbBUtlo G4Pjc5VZhHGJPGOARunZo+XI2ce1/mvJj94aAGeSNwxotdDIV2M6bpiJlhQOvflJtfDqmzNl+H42 6N3XpaRuYzWA8sTnUvgPIB4IsAsjLUptclv23c0/0iylOGclaRZjFBVXh7ox/0MhWPPWebr536FZ Kev3w/zc59WOcaNRkl6gtJN2MS7gqmTUpoUFTVE+F/RSXRZVJ1mwtKz2C+ohzeSRPTb01BgfpVX7 6qjwNIs6s/ln0MHCHUolm57jzP9c724ZE8cPj5DoPv8VU/obabYbpNMKu/cJHogVPE1hlATt+ze2 pqFdvmvxgTr6NoCr/aN0bE/+FGYiHRC4Mmk1tR2ARoIB+B3I+eCMGa40mKUs4VZhN2KaQSm134eE lYsNPpcUdgwFch3dzqsRnZU2gPq2Bq61SpySoMKFPvoy3lEtaxKx/f6eIkNyizXZs1xDPQbmW/Py +1V+14B0cuEV9TjFOQng6isFgiMYdyoZFZpiiQlhFf6Qq1Ck2Z25Qj/PwEZvYHo+O/g4BLV5XxPV kkfGutBHanSVE97TM5ZFiDYNEJ6Am94cIXX0fraNyPy4gA3bd/Uk4XOH2lVmvjDz9ZNdh1cPyMRq z8i0Hc+bcyj7ZuIgMaUfRG7lSOZR59dfx/zqS2B+r2lkeEVoJtmglRo/oGsyu7Xtlc0C3MbNLC/i JJomyJKsAqeTks9dsdddpF8Er3kZoT7ko4+UTUtmTUZjX6sFDlkFQNkuk8jHH/4sL4Jsi0XWUnQD D4pdpThEwRpiGtVti0uzeBGAZ7yC+YxPzhTOzE5zSLLzF9WgjFPrnNKWwg8SELWItJwmIHxvCuNM t9fSMpdhQ3IK+o9204QYj4NPTEaRvQQvKV4RVUDsx7IUKilQ+ZY7ywrl+69OzG/ooeVGsXn1ZEjQ uQVanFbJVGfG6YsSQ+hbxrjUvipOQMgpsgwPq0fimpYDrEiN6uaJ7ujXn6IBdht7+RYHGN9dLEZ0 T302SWlov+9ryZhcqSjx2hXBeKF83ddfdUvmjKN5v4AwgIc/Cl/54bTGAEm9mYX+w5daoUP1B20o PuKdewCRhtbqJtI6K+JtKZmOaUYo5WWhX5ARVT3PtDBFvDTcUoHAL4d7p59vVd7WVeFRMSuFdZ21 UN4T6eGjF9pXhAwUgarb3Epdu6wjyUnG6OiSqg7CL7fS7oixcyyYcxX3rlLjqbWXMHMjGGVt+H5Z pRnMmjIcmBBqSsiDsUBp+ilyScSDX0wYE6sFDbrghlzsmjqYOcxf319RrZCpuzjs7W6KE1wAJcuX ScqqNbNyNPrTERRunPnwkxeybfkccmXzMwIf449J4bZ1yFHvQjXo12XHps+jxzqmEHK8xsMqfcil i9pkv+1+lqkQvbwepXZ7+4NssCwTLp5zP3eBJHZohm5mCQhWYWHL6shJ+kEfGO/xk2QlQ0RAQVN9 y85YijTNhPx2NjvzndSH83a3gpjIUVMMONha/DwvkxQGoS7iEIdf7eSHkDLgsVuFCMTjsbMB7lTx cjXfYYeZlaIcVIAux26yQvwHZn5mJk8yYzDJiGph4/4L1GzSd2xZD0PV962CO+41mT5HvLfXeTUa GoKmMPpsuPrPxrRcc731/9xJtunVRqe42wtD/QbreTOpssFUrTZRzpEQQFMROxPX8cbUIBt+IgsP kJZF07aLohJ2HWBrDgYQfs9b24c4Fmv6eXEGFdfF1PKN3RgkAQS6d+skkbeewI5Pv0xc/X5SUNHV Bn/h3WgAC9/OnRkqSxvIG7SkXgygK/XyQLPEd6eY7Ew7879hI87Lk4PD7OemoiqJXCCdbZ8ojfnn UcwYPY0P5vrYnBi4MQM8fGeloVFss+b7ny93Jyne2KXdtS+Xs77XWWND5dJiEnITKKIcRcwXoqmc CANKcZdvZX2cyj+6YvxaOzQca+HIZ/92zkE7ZMG9TO1Az9IF6q8hMaqAQweY1viaFf6w0UC6y+OX xM8BC/TYb6nizoW+phb0Wd/JKiLxeCfidwdKNmdBN1e8PqT9hgn594HL8p2I0t1KJl9TwD1Rj4le Xwq8Q9wu54+IGzTK0opFS0xMaFrrMwO3AIM3RJj3auZkyUBY1zj7gUx7av7tJ2zU/w237h5GemHp Z3R0bkvGu4ieMVuxbQBmNqnwI5xJORC872gJt2cpeEx/JgrnOOscw6bEkLGh5ynncSRB8ftyl1HP O5qRuwgQ2cTSxyfnKm/EIgYDX785kuPpbdKhgguI0WECKwRD0+vBlaEWmKc7WMbEs68/0GtXkuR9 YZNWPldsF3pp+BmzDtA4ZbqhKKk7QILzX7ValQgqoTo4wulVfwSDSEGI9TpzYIrQjiEC/JSst+Sm qC8PR1OZoxWJmExAnFMPcZDjssryXiN0rtrgM588+6gMYHoPC2cl/lFXXHglBikxLjcrJyUUkpyW ysnPdsoyLKvstWGMxwJ2lzc7UYycZB8V5T7KXa9PS1Nox3yIfYLfaQ3hvpxZDSLABQdkB2tU7NKV M2Esfs7KP05xMAoStuvOB/QMv9SK0DfN7lniyjh3JUs1YPPYvUNNWwVx4g0UL0yyJH546NFJ5OTJ p/rqd2V7GtHvrBpKwl7KHt1w0a3hrSuZNfGjrp+HqxsquBFAeK7Ac0/LE8EOSLwjNZ0vPJYh2ZGN KjoQ/+urFE+B63yDrh4Es9/r2t3Bhv2nLZbAkO0ZTiex7sPnvbofA/EYw+jYoRzdxmQZjNtCis9K 6cEAr/ppF5bx+kFFifEASovv3vlGiHMPyhNA3IT/Ypcvve2j/G5cuhTrVGs45V92iFdL9EztFqhe IFTsoAzPMuqwC1ANmLVhVDxZQIGymroQFBcTM3CgO+34o1noJ6gsE3ZgjO3uPcTibQbpGnmjxm/j 9fZQdU6RObLq/Q2BreyvL7bp4uyrT/N8fQwdxHr327xd13+OAPQ0Jm4XwpC0S09DQ8cmmxUFAPUl zB2QW8rZtfkEDqvfoYWvmLdDp5ctpw4jo671Ow5U/OupLXHbhY+RUZfBJMQC6PhPb4oCCWFcK0tX GPN0OKEFAxmpNLaZwGWlxBSWgA423GquMxQidWSjmUa1wTqKVy6nLrCGE15ULwDGuOSVQ+uo74Ax 7+2daAoPpeeuMhhlTaomeVzo+eyAYg9cuVUIZJcmiaPQeeR9I0+ZCbEZKt4ZlQi1YIJsrkdhyYgd gH1TXzmk1yuh10bNAwuuoeYZPiwwgraejuMP4Um1Po6O3giYLpHakj/HWkjNIG50KdtfkaqaIdg5 1Z+aKqM1wH0eB41lRaV5V6j0+jG054HC6HD6Lw2j9FlN5uT69xuix/GLgDkKDLHU0WqNo4z9aPZf jkNlYm87lAfFWmfSeXZMWO+UX5a68xyWh4xY769zkPG7ftDRz1BZBxCgr3F2qC+JiYtJZ5/pwYkj oX/M0s+ICnsQnDnk3B/cmDsu2oOE4EwflE8ETj3XQEF/+aCzpLoQhWYp9/K76o/uoGnrvZ0mSdc7 TSc5wUOyuR0EULya0udgB4ALBi0ovyaX7hQQaKQhqgA3NyexnwbqzVsPjC1jwZGKg0+aPAHKMvEF MtDzkb9EIt+VwHBQ65opd1QeVro9xYobxEwjiofRhcKMCw6+CNtaPpiQ1AQjuTPLtgvVSd24mBD9 dCzmYL7s3dmDJtA6vYUw5dLrrWfIsyop1kbqz+htZJ551B9SDXF+ZKd09e2EjJvATauw/lUN+tdL O0uPTyVt+FlWtiMRM5EVWmsDQy4N5zhERPobzn6QYKVjSrh0UPzdeRN6zLVzlrK30zA+AL4DJTdl ipBeBd1xCweDs2A4rLJ0es6/Ot4/i4vRw/gFz7/E43dwAdYaMkKT+2mwcXjn4nWiRUVyzE/eI9+U XKrmott2NDh4ZWgsYQJ7y5nUfROUHXn8ACDLB8jMjJ50+YUl0Y1M1/QVS3G+0H7bZL+Udpv03mGC xtnMbJdp2J1O2ns8EuOEc+Q6mtQMZJiyVJCoF2gMWubawa2YRwMIhO3yTARwZBz9GJ82rklQIdcg V7hDDz5bBVwp9nB9QU72IvKPySRB+3i/2FYl8sA6kuGXxTt0vu/evpdiSKezcRRX/UHlD17nhSIG Q53A/cvavWh1lRV3fr4fFzjRlXC7fHeMSoO2Soi66mtObfAuekPm3EqpzWlReBnpJpp00IXnpucu thC3QmSymyGAaTRp4GepfXuING6EWshrZYoMoO+7g+g70ZA/oeadJf4cS96xJOpkWkdnFLtDaDCp PdoNQ6kGxDqR4DwPxBf7lhQoQ34aTDIX4vusE18K+H2NmJklQvXmglQZGo1TBWJKlMb7RghLV2p1 OHzOdmdPA/JiQgd2/09++8PSOh93zRDjb5k14nJV1bGqcKW48nz4Dxdwo9XNjjpSKcNWVg8zE3CV k5iABpZdToQjBiFa8Q+erfC9kb63alR+zJTySFLD3GB06KfY6RJtNUlRMo8y9qxnlX1uunnq5mio +oW+Noo/VNC2izp0uuRPUuG5OktwKij9ZX7PERD3sbusxxtquTJyTyFjw3bgYH7ZjE+XSmRbk7bi PQeOl958O4MqDwrFrbAZcM34SZI4yS7DT9SF49UKbxTwk2ydr7/p/DKJ+kfbTuUFR9oPjM0jl7Hv 5zRKPI32mMgc5Jz0DypVkJ1clzmDSSWp2/7p63Uh52wDVu8PORzDdDbFK595YDErKBsSVkmoY0Uf 8pQ/3qnY/Pqa724AZ0My5SysXgEkIL5+J4NIDXjrG9ADouO/1Tjp5HQjarK7nlDIiQOpGjlYgtSq krCvwLwHaPZ6ypP8epMGNxUFodjczPvTG/UalmO7+H9ZGKcYwgh58KJIj5uAXulpROjmRGyuL8ym pmYLNeX9XanMM0SyJEW4EW3PMjtQbg3OriqlcB523uXgllU1RycOekoTnGdBgkZ2h1jewAcjKEpI qpomol0/1QKgTwI2TyjGtTvHV8y0AtXlAs/ngHFbBrjPA141sYMoHPgOLVgK8utI3i30dvkyp0nB pEgM7T02WiqOZI/PsiYX8Z+4biqi843cSw21GFRd3xi95G3bzDv1LONkNeh0FJDw1bWJuAvgfp0S wrYbwUOvMfOe7LfR34IU/8Y8HYwjMCxB6jsarNXnv1tY6b4JlT0Af9867L8ySUcVVpbea+Y4+lDL pDY+eJe3+OJO/Kj8m/eWubIxCu0qQMjMyzdPDQNUbmQyZtS19fxwDhemGoCkdWuyl8ima5Ah+U5J 4ywCb7AL4faSLOy4N2awh7fDzMmsTatk1TAf4Bd2czvzXlYXShPGX4QpFmcvTLcNubxwOC4bQQeI 1quMt5vZv970zuEIPRqV9WzhgX3BOU4IC4R8znLJfTNnFPHJvGNqaA8fexD1XHFQjNmch6GS/1W5 8if2WE/+CtcfumK4AU1GI9zHzfn8RY83cusLis3aq1+28jyhwYEJcGuK0hfMtRkGR4BhnInIubFx APr7skR/JIJA0xPQpe8dWngeZIpaccxzmuMVhxfHZFoj/SaTqdh5A5Ywrj/MhhU/OEHUeq5Bs9Vs zYbTVCFGPI3IlUTD3KOX8HvBa8HnHNSLF5R8h1szb+XbA/XVlSbo156mO34hsOPl2JaJNk/FlisN HRbO6Z/KUNp0rB/2HrFoDbfVuDZF8X2wj+aVZWUDTtUG/+D3x9T6TGdVogKH+zDaWz9emrLzr+3N EHjgIGxTOX1awlUTEWrLOOPUEnybq93PbPJQmsL30bj+PoGvwPXSvhtoQXKUCxFe6KyPfbNqKwJm dyIRQbh8SK9PrWheAdMc7K0UFhK0dsumJYwN12GkkN26osaJo4SBSzEa0mKeIbreYszYo/XYmL91 G32M4ePSnwlo+Qclig5mojURwlNsGcxPSjO74niONDvGvTPPenIgA3EsFbjtU5qUtz6U8LwUo3Or ov79c9Qe6gVJ5klAlUzr5hrM6E8yGyu2Hr/YOMXB1L7CqLREOcRvAHhZeIn54SHOfKftN7zMpDJ2 ShzoUJRH/dEzf1Fsr/tui6Dcumx2S9HJVYKzCguCuVMPaMhECEjYbf/ew0a4j9/byxlHiybyfhbz gIeflUBwVyMZ+N5p/EbcH3EmGzjoq/xKsaMw6X0WCZNdnFVwzzU646dZNYcQvQp48Ps3qoyicGmH F/E61Z9snU3Yq0iEKmP196s867xwCtob9nW6LI9Cx7wH9xHEZRHnGbC5XMprqlYsyo6E+GAd9u39 vIcUFHSMS3kjQN95W1sfuBxPsVhrBOZEPi6t6U5PJEygymqLrxJI23CUu5oEyfy5mqjE89Ohl3OJ 1POiXZmJDmKM1J3/PwIJZelY0CrYUR51QgESE2W/u3CY9kZNK4R7wGhySn2ryA0VH/XzEJPWwiDX rGHjRdUAof6wmi32u3Fk+nSx5x52jN7Z9mSFHPyOuAWxAQvWQ+FcYTji6oir2zW+eICMrezovXyz IRLbp+c6RAXV+pgWDBDqVYJOdNHF5dB6Sahlb5Oh9N1G0Q01IPpHHLyVmD/AnoIzbZ/FGILyBBcm mKpmISQrmLeXBKbjR/USwPGuT1Zy3T0srVQk5MxBO3Do11NbwoPvyJbgmjvcZaJ9oZ0zPT34k7z1 UcTLVp7bxbeKo+JvAA1m3NAhjEzMz4gUEhBw080VgctwtL7F9WKBjAKKspnsMKWW9efnZ2N91jCi tcbYsh/kTKmMjTHCH3XTx3hiZUyJKrorToSv5uel2QuZptsuci004rUrCzUKwYnMN4Ng4Lmci6PC FBPKO8qr73RqCBl7jHoUzZkROnAGaFTTuUxdbIjzq1owoyFdU/PZ45FAbRM7Qw8cP1Km8s8aesNq Km9XLLDVZj4h2U6drvadaQfhWaxCvrpEkWO/6yh87RjzLyHcyQzzGAX6gze+Pa2FGxp6l25PU/JT kSeGe7cTrAagrZGvGAdb6SPUxNkrSD/Advfplk9lLsI/rbKGjmLi3jlvxPEjWYmF1igL5JtCd7t1 3N+5Z6DkVG01HemFEPvO2KkuCiRJIc41G+/KFy+nAjhWrWLGZJitCjE7WIb39TXj8g9nNt+t9nSY SGN2Y99z9ocfChbldnj8OuUcPDY09zu9THg7Yz+asgoRkEPJ1fCSyqgimPeCIEiHZ9Of0zrgsN7c W1+2q0+tzbP+eEpqvtJABsj3naswcBr0eVxV9RPAp5LOWPxA0Gvy/t2GuIqdz9HWEu217pOdrd1F ugiXgLxvKTRBADTqE2dwJYTzPjPIOhjoQEmWHIG95DV8YPXh9xf7iXoWKNaLWzAYAyliWQuymApT Vf3BeNMqlhevVCZlGBE4EWvdwb+xYlIkCbMGA0KUJs3gL+v/qQCtLYWTQao5d8/Uc1mUP0PGzyaP qB9hcuvVaNiZeJ1i9QEe49kGrYHe5h3mIiUMSmQZtIgX6nkYgq0502y8VL215xM1gDLcEqLMscI5 TXdZ/HWn3x9RA7eITA5sUCD0CJRRYDESRVyOBaqYcpJkqgiFnJ3Ax+wSCcAB0EM4UylsH5xEN5B0 Fd4ft7CbZ2rvx5kPus9thD8I9Qv+3qCzpUMfPovPxnolZZYfIRrtEKNpKLr2oLVQ5HkHjDsY15TO Tdv77jDih48m8+7aps6kI8sH6nPFIojaixjK9oUFTAWEtz3SC6qIl2+crn+VKG+hd7AbKZSw3Cc8 o8bwC7I0aUmfQBBT5G0oLkd0X1vPe3BZtwkH1krJBy39/WTUmFkBnomavkDlahqsz2c71ZrinW32 EswHldaQNGr5foUBeuXyn2ZpW+0CW20NaG//xHd7TegdcAjiC+uLn4mvzh8grErlFgd8gFM9MRll UdDbV750PZZr+kMO1zGk1DDkat1MMKLp3VrnovKK+c9BFuVjRsE7pN+eOtNrXGe1SD/nJOuhHutd nK1gWMYtKrbNkVeuDSHwGDidNZofH81yLCwL+t/1qJRV/gTQB4LF+Guph9xeuh4G8f0i8OCgVsWS 8B2LTgU9STQYGdIBqUg/+zexV6wOsMwslRul5GpLfvS3oAUSdCE+a8N0aSmHnEInObcRXORWG0b3 hH1nVXCA+zGADsY6ELueHBLm/2/ltAG1cloHEozOBrIkqtJKXpVWwk/DvsEMBTmpxiS4WymaINYH AgLxmdYB+vTUMLWsXtuEZR2lx5bjgasd6wlvgfvnoMtzLbA0A0a6FHIvLtgG1xMVdqMiw556l8xU s8HTWVkpUE3ieBTqqisXEUfkud1cWBW3wBF1i0SoDEXunhaEV+5/chOITYX5+8M+Ky7vvrVNPIpC lh8Y+H45/0MvtVLdereUhs60y01/brflNHtlOLYRmlgF6TtB3HGAVlo/aZQFXI/YXClYfsMBlqNC bq9g7MFMGAdqSqdB+PxUx140mfD+gD7374KZhtqlH/9VUX98QQmwW3XOmyCFnxRYSyqoS3srmNOA fnr9Xuf6OAQBwOOkH5FzAs/+Kzpi7qLgsYjZORw/UBL329XtuiQ96fnaEdGatFWrW/HYJIIMyLVU faISshv4GCe2wrSmzFa9xd/9tebp1B5rJhU5dyMSogAby8zovYB2pvtfgNWHFhExwUaWa064kW1X si+GVSeg2RteTvyv0cQgvwgrUf9iAa/hJcBhRjR/WXJpE/RBfIHDX1vJe4sQvWVFnQJzanlnQ3gF 9+FMgpDngMrpNvGSvnJfWmzRLGm+ZHbctmpcBhz0dxTlrpSIOf67TczyQh0WEKnw4dx5DVrTxxpB +sik8ukJlbb/SVxPPUe2fuVSRT34QxhUyjaN4+6TOpa3iz1oIeNHWceI04Vtuwd8ezFy8TT6eHJO BmUj2kY5iMVaRtEJRUU2knM/P17Z4BJohwPCeiGZv6TTfWpgwWQ8lZe5uf8PzJ3pH9TMVzeYKgHo K7aVuSAQda+LbREFq0kvrbnwmpNm/WfeCRrYbEYDZo/9l6TWfiZjh00HMhZabfVur/FFn2gzsPJM HG3mjfR+PlrAT2xFDkQq5REYvoD+mIX4YGl6NO8R7rn4+TLBY1VO1j4DBAsAI7g5972/tVtyXDmA g7Ehh2DAkLgNFLZL9dIooRiZrb0QU7kPlSis72WPbXuWEEnI9qBocDBhKVm+2/TeRo7aqBo3frg4 CJpxJgDQ/RrpZ7Q5YQSXEzjBpofZWfkofibj9C+HRHI3BCJti8L6B/VBTUdTBBqjmDgVQjNkyrzu sJg+633tj1oWir9rATkauqgrXRp4j6jdgQmh39DebeYRrZbq4VO1F2A9bMXwKIGL6kA91GF3x1Hv tVr4iGaeVxp3bF752yobUMf7TqNPbagdZu7AsM5RtQPq0kvtaP1XrhQoUQwFnaDL9d7dwieiXzqh xSbpbqfKZnRm3WrO5QMIfn3qrjCTAUIHm6Z0bWHyE9ng7mzw8ti5sWeX9du2uNDQB/Ts0TouPDs9 8BcOgGmY6b8EN9UbarhllZMHlekEiMKCdsg8d9zpJfS3ZDNRbC+bT0PCIvGk1l2/MdjCHcs1gGbW EWe5MYNeHOhaJ4bqi+8Wm4HeDJu43fSclcOQml0Gg8/f/O3YiEg6/6gs0GW1wE1d4+0Yh1w7lfNu E2ZJQkwV7VS9rT/CWGzmzGsOzmx0OGiU64gm6Sd3BGhboVTyc7f3XXmvBUtXBAYFAZvcP1h+bIrx xW/0Ty80C79R6yxs/bG9nYX7Yhdb6z/5+0o28aASdBNOcKUzoY3airVW4GOAhJOAPi2EQMp+oHZS GgSSfbRou8nHljyYcqY4+76a1uW+1kgTNKSyWPNUadH/l+nmgOdjFZB6IVH7Hxq0mN4sB3DNpoRi aiHFsL1bImnVfZc1yTIWvhDJn7zMmMCOtmBWx2ccjFRsGRGwW5MzSfyNSawXow3GgV9Hp3p4i1Pz MgGyxC2TXrb50PSPnLCDRnXHx0EWMvNU8z/Sp0x6KamdeEX4EI8/4p7OlOE4StXue6x1LtXFcApT bvc+c58PACTpQ5CPjqB9DJKMBRayRKhyYDRqrEPPZT6rbSUxesUJQvqgjDYtnFK1LS6kyO2OhPy/ 2v/5wGKrXRdkFJvt5CGOuH2EY5OLcZ+A2aU/1EfVKJKMbui9uaryp8NDUu/Awl6DtnE4THm+c+cV rdUNzzsSBPX0i7lw1mCcrgnXYTNw6q1nL4isyt8bFwIYenRdZmPnbiAXpzl90swgRMiJzINqeLiO tS8+fvUzj2ZwY8KzqvxN3ZT/DN/cAbsxi9g3WRHk7m5s/s3vHMkc1e+m++a9WEv/VvORBKkijA6C o4ZeyLfXtqKjMLvCBHsUS/IhSQfIE0++zew/A7ttzUyREhlnzP+a3UH6F9itjH/VJ7Bvtzmt4IIZ esgoc6XeSH9b67EqZqjr8ylOPn/f2rGZhmJEcdY5c4xg+g5jMo6kHTgkK9plfSP97xOFHTukYoes vs60bU7pyqgdLiR3n+XYBJNt59ebMkQYLbJ5HoW0eHQzaHAdZLVHsMDjLEjgqq+GoWw3TWN1rOWQ qy0mdbCmTAlVCZS3LWuPa+VncBkmz0vDZN1qN5ls5DSTs0LMJpWHlhxoKhZUlXjlCkfquEGDyPU4 rraoYQZRFVQWLSoPjRAxKailGhSj1Vh2bWdy3xXSQiNah7jZtYovXNePmkuK7nsdWfTO/blRD/Wm LEfaqNJhku9LbTS/mWLTxJOhxvbyxgJa4S+3bbD+QSYK40FfKGT3ar1/Cskmt02nHx7LtTpkrmy4 RvIUwe5jLWO8DcJSdlJ5+lv4Kd84ZJHBA3L8bjeq74jhnL19zqLcoigBZqnP9Wg1j2Ov2c7b6eDU pdJcwAUoFkg9ypJu1tWDVLu0wJUHlkkz8TK0tfUETZ/NjTb1YfiClMCkjVqs4qok82dbNBMWBOyR 8JW/GmGZdS2h+FqJcjdfVfXQrFqWTdt29FAumqhCQwX946No/R9D8B0nl4k12FwEWFPjs77M/HiO ssiqSdjOU/L5ZQdgDA+NMZBRLqs4KGvMd9B21BRe6cSnDaG/gBxoCgt06pWTvt4prFmyE16KHgev Eb1l0VAlNmJfZRysCyeLT9I+LvkCcum05QNNxrwEu0sg6D5y8cY+f8qCoRHIAoSC2EXKdW1I39NO qp3ZK/NWmIwQHadaCeOCJY+tR/sAB6h+WXJK0T47yEcpjEfPpRFCXGI1gAEK1yJ7cG36I+ecc1Vw R7D9txuiAq4BaAyDqz6qlsl18MV+xyGdMmpAxo/UFzSDzF5/To0+YgY+pFoQfI3loJ2QHyNYJrJG kHCEHTvacs9fJQ99kOOGCp/w+OF/V+Jsdjm1LjVTpZ3SjdhpcCQNd4mdku+hNDvlrBEesn+vi+yV 5u/Q6PHVoVX7NrERG1TKSCEuI93BB+ruOj96Gklk65Hlf0NYMSAFlIu+1CGmMQqkVg7LpAOpWHk/ i4VmTEhc1OICli6ovnKAEc6XnIPkm+8KvWzXKNqB4fTNYeVLKaoPlkAbekuQMU350Qm22CXuCBIV 3bf1C5ydguc2PHMgUR8QWFVLaUcUSARb8xqZG+nOcRyLgfzsQsoZcerdT/rlHMiYw5faEIK+c+95 w3FoSaHa1QT/445QvJNfD5hClo9seU5uTuoTViyNUqaEmH4f13SszUbuM+ZAtMSETnXPnbk8WaK+ gd+FXveAB+3ayVWR5eMF0KCuNVjkiRqPaERTJnwejYKHiyrxkpU/Inpt8M2b5bZ2IIWK/AvXhh84 t04OHmyUdC+lVibiQiehNs/fbiPjmbRSwoTcfTeO3mucBznfdB9ulFcI0T2LnD7N00Gx20IZ226K DNeJGhLtymwHWymG9wt1dTezEsW90iqR2nm8ixvK7e/Ca7/hBaTxxL2PBA6srJWA01apGw89NMf4 CwmH1omLBA99G6aTid8BNXhM5lulU64FxIvN4v5RT+OCV+w2YcaX08WoWNF9EzFnhmiKW1pyQ+ZC r4OuETucEJIIy/M27nIjEtxMGGnalH+1vSJ8CcWdpMhxFu2yVXeXryeMzO/Hr8rnrYNkXkl7/9T4 50Odx0dA/PxDVUcrO0VlhoZBDxK9d11Iu97L2dl/LJi9rxdpaNDQHMSqCjWlgJMFSYWaliIrUy4O Oo0+xGgmU+P9wyn0bRDWliRBT7ODaUE6mH5m4tryVv/6SpnorQNr+eELhPVJVcIXQQgmuxwEkgtU 0jIOW0bERSaspS8d2yb1awZ0hatBKP4qxwC2dnJ02PGSC1R/AOwtQE1KMySDqNx4DUG7are3eoZf UwlYlVtEB3DdfkbTBS+faYLivT74SLbDFJOb1AaD8At6bHYe7OH8/7UpohpUIL/q3OYyBdxfhxO5 /IqNDFaGqFenlofp2SoM6WooH9+2LnLwknVrdQ4Te8ymDJGFrqAkaZB1vp2wLmcn4K+b5LgfGaWf Sh9eZCRfugYg5FyijyHMEGC71TBGq3/kOns5wpHfsZ6CGUkHsq0Wjqu8VEK97Ip8ksV05VgtT1Ia mEl6/6gb+jVvs9Ifdh9eqvXqLZinlEBd+bz+72yOPP1n6Q6nD6LqrYCYx5RzITaOkIwc1ZHKshFM xzKf1vE/ZKDHS+XssASKN5/pwG5stiVFgBwQMGs1TP13Zni+3FIyEWtn4NMZIwjLWaohF9/Fw3Cd jniAg5vhHgcj4zvXBtBO+trZNWi6MN6bqN9DgrdrbNr/iA0yF7qqSRNiG3HQQm58oaJHp++OWy3y XuiE03cW79gaw8a78YClfh9KevMhCzAuNFCY2k6mf9TmK7kSIeCCgGTth8UTgyPjqWIPmg1bIXM8 38EGbIrdArId2DvVoIRBQTBfp6qjTenhi1IGiMIgYUfh39Eoy48K19F3gUDGmZNJ1VF1WZquBa3/ kC6kZhPjzZAsNzPOiziyl/el7BqQsFAKBuSEldqe5f/BsZmOjZGBM2kRSQo83Xt70Pt1wI9pNf51 yjmh60+mupqvfTpu8ts1hhutUG17UdlUKsT00Lb5cxBXhpfOcHm+2XolQaYuArdI9IB/Qi74WznC M4OY1LXpY8SZbdIJPym/tsUUEG4Mu0VOQvS/xM/Kq11AsiyhJHg8PwkptQdtJDvFIsP3c+wKAOyS a3Yid4SGREzrrgRtimJANGjPZtAK4d6eS8c79Axekx5ZGeyGSp73O51zMczcqtRtHdRE6t2XddGB bcZlUnGkhIiG5UWFa76ACF56UDmM6AmAsq6Dwj6J3egGo6PTsDadXJLBP1P38NOKiWjxtyjx+nxt MvwGsYz9Aha3Y9lpIHehz2OPCCb+yfDhN6L6RXVUfdrOxdhLdYD604HnPt+rn22PPdTEVMy+/Hnn 71JDbVkscJV50Nb74yq2sc3HbbyLVWjCvp7J7T3hI/7jmkKeRZ77GDHKEkZar4RVpiuy96RMsi+z gadTaPYz9V2lPPOTCYItdP5PZPqJHH/cN994KDiE1eqSOJygzhkw5xLKhPc14z6XbGIsLCyyTf7n SzacIbi/93oB41tk3rAEi5do6Hb5A5OR9qHLrCrObW16/Jp+eyiJYBaKw8yCWRqyyypJRusmoqPh p1cXGVisLZq3KTL++7Ysw9IUDu99gQGml/DbnPCgm9tlWUxGNNPNJE0O/78Hu9Hf7ORS1aeuTvEF m61UEyhp6x0AbfLFnkfbLAavdQw6XWV9LiRvVs32TfROsR1lPL5gEZYSkkbHraD0GmQPcFItgyvE n8Gl/1I553pYtD/tsU9Xki4Y434p+yM9sH7PTLQtAJMxd86PZFUQ2AsIiDHIZ2ckDoIzp7RzFilU jBcXraeKeDxJ3ngAygibjYXwWKzLvG0wQPc692nD/1v5JPm4jOU3IZ4NLKnWl5IVxjZto0jhrt0K fqVLEVIzXGPGPZpQbu99GjQer24B+ALBhGPHOSJXC3Ae3dzo1b9pD0pDtmnmROh3XUujHu7yHIRZ tcdGBR0Ew85y2MGVzDEu3PVIWO/GA0M6YjTENaUhzNW29jtyd2TRBUjyKZYpEFhGAV50YGOBsxGR x3qd1k5UMVdV8ml9bN0Mxlkevbq4DBZqaotfTk98hmQDQhQEV03KDyB/VS08I5EEOpee24SKylxF IJSYlbJqvJacu/gBCVfiKREkyaUVr6x/NvKTIYpXy3fMdyXTp+2IQKn1jgbK/pmgaDyrbIIgqaiv 0O/+Rd+kMCUX2UbVxcQyDOtsCYL6PEc1nIsqB8Flf7MpaG6lF07nrIhbcfgVAZuyEQbihYsGBrGw wYltYkqxQaW3OFdqAQ+cdiLAWYrioOl01vuhR182mkmvNlr0/LDxaLYt+iPctkXyoTXVF0IhRSw7 T3yYLerbIz/a3FtMgdbyTdtmq56dDYRIpoiq+uMzQOkadxck4XbdjmyV1/oQtIk09nZ+zYN507XN bhOLuNZ9fgyeytxtN4u+iwbr1tTwYBDxywP+XAlWzfdXGjjJLNO6YsD6QO0LCgFEpPsIl45zA9NY gLxD5GEqT3gPzFsysBRSBfT0DggXL11UKAV5um0BC440UZ5m4Bg1krlX8DhmMGKa5OtrFGRySwA7 saqLFHcZcUijdSJgcfaIPnR/9yH0OQ/kAQG4EanoQMxXkqZunXy3k/coBqiqdgLBwDjTtAu/5FFB iRyxPMMA0VvQ/m4AErDSrMZg3KUCvHZSSrCJIlvtoaxNnehw/HpF8rRqFhC8855KcolTdZinugov cnO1d8tAMfAkSbi3hrIrVMxj4KcQeQAjMK8kBjl7jBG8NfsEuAdlAP/hsTRHunKYGByroD8hr4dG 2qk/u03uaCuoyVN+OEELB+D8W/c8TsyEQVeSIgWMsDup1AxnYnvBZhsfhJiTOSIoj1M2ZrTGkDBo Sl+ItrqK9VUZNBr0/13f2yy3IdQibo9MDccOteYJwBAgw5eUd9vjBvs6SlvGs6zQyeXeEPjyT55C UPSM0brCyCi7UdaR2lP9KlPAP/7i7MncMUPoJW1smBLyNPcvaHhh06KVhuXh+jDJgAqrw80KK7+C mSgB+7DDBNc4Pp1i80zmuHOdcad5L7Oeho8NAe/hUiOKI4bs38BFR6zhbMAHBbU2FRTaqy0YPk4z 1UrZffLsuBb/YPksJbMHOeKZjxPcCSAr8EgDz9Nt4yXTWuMUrHpFSeRCeNtbCmY9I71pP9QCuuR8 pQtbsv9RmhcgSsrLBqhZ1dwOgQWiKLmUrZyDxC8Je2z9YoJt7YWIjByVFH2o1EV6Atqrfrv/zQfJ e3a0AtVxMnjK8PPYhWMsU5PZ/QTDva1/uNmeXXwYmkTOs5l7Ufehv0M8Teg/vT+APbW1Z//4604X 9sactaMJnBF5VguavqRxcZWbPj5cxHU/6N0o484HFs/Zp2LUeX2XExoSbVs5yMhnnF3ZUYjz1PWI xE61z5DLWXgtpATkEhy/O3tSwWxz76qVshM2FrxQTtcwz0nVlJdu9ZzZ83zIQXNIhqSHZvr03oAK pYVX/vhN2BRT2wW/K/EZY7gzobmY6bNPLpCTCdEDtP6I6Onju0wTeuoZqgyfJCetX+K7W9kSx0tW t98yr+edpGrxaHo27KHjvaQoY/7K1BnL8o/Rg8e4hWMO3MQj8BgzQkCWtNS984mGbURLQq83mWX/ WiyZBXitZoo1MPQO7gMC/q06Mwy2MAV/U7F6TMZcvwj2h3UoEX3Fjj9YAqLjDP0r2kw/HDIx0Sn1 2EVr6E5a0tWVjAaXzlHKkZriIlDo6JigD/Cg7o8qdyoFZrcCKBLgmypR1r10ARGUoWhdw0l9Agea ED2DOzYmq+GfxZ4Nhum/WHkaXSLNQyW0VZQX1pPjj9tHHUiyFVFXVJngkG1J7OFwPt3RVtzsPnEu tNRvxNAptD1vXDMk6/oigFT/pzUGodb5lHmmmc9TF+RTOTYwFKY3gI6LQqg7j7KGbr2V5RVgciwD ImuboEjgAtQ9BtoadSBtAo3pEFCHgg1CD66WE+dRfO3x8ZoRexhQXFCXA85UkkDCUJoqvtS4sTAB 52b6ot2e4tsveOtCuX8AxeQqnYpVaHVt78977CsKq30GDesPgq+YDNrdzCWJS7i9Vto5BodXdfPq hCIWMCjQzLqn1rBxfgAD5j1fLMT4Gu/x1XvXEvxLXDFfxaEg4Fam6jdPuUBtaMQ4+tDGrZA9xFo2 I6ZWDYANDuO2n6d8ECAuCFKmO2rSANvps2EnyMe6HIvRhKUZPDZFvS9Kijql5T50qX1WoSay8R3G pMhhn+48UpeK/kMe7WbEMZYL+poTQDGZ9bT4uwmF9CiBg8z7vaYwU6QX/3/8+9tUElhQmm2b6q8q GTInuZoneBKq2dR99tJKsFCXupo67Rmghmc2M6n4+EsofSDZtD4mXS2Q6vhdsyOo8GdkJyHSg1ZS 9J2KFcGrimRZsola7Juq2qdJbwKrwmWbtkJ4NmDWJ6eAP8ZkymHM2CZdGnkycBGjNgfgGztHkrfc w4E2u/lM3f2udwQXx5Yl2jnS4KJoGQGFGzzb3mO7KGr67ayaffmN1ywgacyXUMzzWw1ah82jHFyP aCPIUsDyTPxhCJQNwO3iG5JWNGqqtVdoeutNYa1+NxBl4exMwuYoQMGv/Pk2moFjdYkdNBuV8yzs 1UxZxIZCaFWP3ferZJ7CvV/t/b+SUlpTaNYCnRYnBymYwB8vWzQNucbmgatL/lkSZRUwv8sQbVPx tDrgzhlSudBsIt6HvvHeTAAquzs9IovW+L4LtLKpGWqEb7XlzTOjLzwqsrLhIIaTS6lCd0dcdhME eTeZGdrZhFNHfMbaUMq/X/SXkFwOuuogJ8CkToe0CWkicpUui71sVvvrNIb5fzMFb6a+vUXTfOy7 EvTA2RnYxqAVXu1jREBAX8PiIrHEjWJ3BBsyLAZIk4KndNnO66kEssXW2p3rFNmCJdNph1pBInAo DpiEgsb2Bx+uNmcP5TrQr+0T8IgJgv/fQ7tuokmQ+6nubTdZbpNjv/on9tRSn8tfohIHUJqqf5hp iLrsJFVg1MzVFs5UxG3gl2UwHtNWSaNvTeUMcXRcAtXREIyh2bNElvmZo/WBRlDXQ7d+5L4Y8Rjb JjquKVAq1qEK4C88H509hLHbAX226tqWAlKdxijPOr+nf6ujf5mZ7bbj48Y4rp/FI4F//if3/Bdy HzFBjnkIvr7yXFKFqrUJ10YUwIhvyCLmQMo61TOyA3+7ute9DUXonStZinhrIgA6GWm8CT2TsI4F QgLkmBNSM6Fba+63m//ZKtTVMighaCMze/PM9wSUM8ykdebbhOgvSZki72BfZtXFn4vlKMtarzlz 1RWILijHV8/8cCRikbBu85335AGZiOw7vkSi65IR6SmAlhaiKZdPtHbQVm9CJTR5qm3Ii8kbPAts 29JNl7NflcR/qIABjtmTm/jGh6GW+9DGVfdf/7gnW95Fq9gZ9Ym4g022O3MeRbDj3nDHY0hOP1nV r0mRH+A0WqAGhY+9nNcgZQR2D0GxpdnbDi2YfaSbtlZysXoKJEjXIqlN1H24cz39ymfNHlYDUCPO Y5He4qIwU9GwfgbdkQg7BixO6EVRUk7/+nDtXVljxVKmTnQwBrrEb914kiXG0b2SJJSJ6RFXDgzT 7A7hmEV15k7vtx4lueUvQym2NJxOCk9Whexw3bgzfA+RExYNGWpgS02V+JaYwnDTY6YUZ27e8TGR QiOkswSCHtS4pZwAzin7SZZ/B4Iv1zx5LCWJLHMlbTXpQNXXLnjNtOeZchH+ZTbwKPsr6U/U56H5 MSiTJ/fANFs7JuesDxRMQ3CXWBxoZgNLebcwUDPIDGIc7nEHDdtlzsh8PlFUs95vdzQF8OZJbPa+ Bhxcg8aco1fscKiMrCx6dD8iTEyOj1hh/KK1B3pN/wrPXyZirAIJ3xvrq6Aa/ZBG/UIBWjp2a9jl FJFSIyHN98DgV+ou2UgkLlFY3kCYCjG6ZoYtmvqUTtemN/mKPEpANUtSi6/ea46qzvmgGTVVZ4dy TfVIdw6MJSo9tmQY5r5C9q635Eo6oIKLDGnhnF2Eqv9GyUnL+vIEJ5laqOARZb7Q6JjkEGkuuuYl mbRefV9uCXm4YSk0iQ2yTOUrHOufmng8WjmGcIit9YtHJUgpro4TrbOQP34/P0G0dce+VqE+Ugb1 2kwG3d5I5LEdXCxcr5pe0YClDWmRBMhSXuIUjVSL7ecEMf4iT/HYy4q1izGemNZJRzFvQoTrWJ2O pB085ttMzrNSnUmnsAt5Jp5wrdQSVLpCfcA9yZuzzmA/LadMo8t7RrzBPUX1gjaKRsJ5HirhItcC Y3pMJrZ1bCO08FFjasaUhOV6Dq8ZSP8qYKFzpCvg77URpaUZF/kuRJYgt3MfG8Q7QYx5on10E7Cn E/VYxU/4jz1zojWpEG88NZcnXhNxlG9nqO1BsVhchLFFhYCBrAV8zVbEtGKhPByRyRKwKNZtZL8n 4BRqgk5mqxHDKeobOsbq8E1nuINDCuMVlP+CM5sh1IJTu5kgsmscOs+rHxdTaa6sP9KFMYNRmlci EubTp1dJZOqxyCABaY4O/7pZ7ZYmasSLSfb2hN6IQn3sYx73ZJ02qCH7XEeh95OmRdWTKeJHqRrG by9CFkHS1B50yF1Q8J2dH2TJsnjm/K3zNyPR5fwTOWCuKffnxBqCJPC/GmDIVKDZagHQJNZ5AM9+ ibNk1KjIxq+j6E8QqbrJ1cl+/Clf4vh7LDg+pxm5jmv63judFeOyDP0hXCghkHQHpfB7nWChxqcm TlpaOvHRdYH/4vodsShcf+gAFIFUnWXifGcC2MnIrcoINqP29Ssph4y5IVSJ4WZLZAWX32aej0no qmo21UxYh5fU4sI74UPps5qa8bCAWhwPzbfGS6gflzDEwoCkDUW/BzIMJ4KuSjZ1VE0vzQT6LAv8 calV7vXpNEH4FdOhS/7mhPJoN4mGoaQ+OMSvexm6W2vbfmPXqrV3R6tTlOkg5O991gTQ9+cDKo13 Fj683rsxB6dIWL53tL9R0Uqs3tAsaPKLpUzQk8rVx6tFLMY0YuRXOx8ndbSZ12qY+J/prlRbY9N2 4GodxA6HoZ7YiAi9krWpceKYHQG3H/UuQgDm4nRBEthwl2VdvPsXuZSGSzqfRxLgZPf6fcM1fOsQ Z2y/dPebH48INvCnbhOwtbIQlQ3HJ7/8dphYLb2B/1wHxywGr0ZUp2JAQPnWlIGdOVLMNZxy8XAq B/efejYH9a+Gw3O/30Mk+ZcMViFbiyTwcPDqlR/NvHTbdREWfsmeRtqI/ZaofsEdaEmTqIEsWh07 07lAMUeDABsmmzYfguce0/d4l+xjFDCqvnh2taaUEzABHeQHsoDxKyU3r18RQ4IWpMP8wKA8Tnhm cgyjZghmDwKtxdEczzXBAosKGr3n80CB8ULy0ysuMVWNRip/KV/Uqew4m4HwsKdbghyzB2ajIrC1 1IUvXryI6+Pi9ewms9D8bkbh42AV5B+8gFilr2spxSjVk+5eZyOkL5fj5vKolHkVD7j/P5HD+8KC yaURCL3HMa6WqMJ8nKE1leKLbAa/6NZraXABFjKNNRJITGNI8j+XL70vSQrbfKx6e9kEJ25PrImR QUEQZjrHDMbW6XnTrhCoeU7C59u2GFJg0fFnojr5hfJI2ogEbtghz4D+hWFEQf35xXyNuXEKYJ95 5JYX+JQxN8/vhVuKrHer6x/WH3HYBbWeFW6wnbnPCg5DpXDq/6drjihiw4jqtyEH3L5gFGPdjnAb mZl7gs9TGJxM0XLT13zfQsvwEjQ1q3KyoI0WRu/+RAxxgaU7pl8Af5xPUqD+iTnzCU2/QvVNcaEv gE15CtO1mJJg0J3WEl6FKe1ra7MnviG25Byhpu6UQ8Dx1TwyjjB0OZaS9+Po19pF1ExHyzQN06Ow Lmmy9meg+A6/SnAzYPdgJJdOCDQyd9J469WWEXOZpsOzlH2fHTSOEoq3PHfbkxhaWLHuT/y0dhxG gqCLd+ZOG3kuPCgv2bnTuXx3q2/vz6NtVJpLLLFCgNCR0Q0skdYXERKaNmyCyDk6A2FA6tHuEUPA Xs2tLQA334kEdFgWDB50GrrlucY8PZxbR/H0qAWvD/Bh6caUmEu7Fy0z0LJYUMYzvMT3De9MDUtZ u6ywCTbpkUF1j+cVxXr1ne8iylI17QLHPnCw+ZM5V50A3LwKMF6YUs68jkuVWVSSiIEAttwbecIX OO912cBkClj/PZuQbJwSvaBrz+QCm3ibAZAGmusgswBwAKjh8z9YyRSz48tADhDgvqJuREnSuZxT gln2vVBj8fsVq9Uzh8dFbOfTxXe+yX8CCdjkO0VHJCPNW0XntNiYjXYbhxHtL3vZc7QYQz2aCk9R KOsGJRPs+hQaSxbj8TW3E2pwU3SxHUsNqzVXlFtR2xYkvxGB4C2AWha2Jst1lGSdFHsgPvLg9Dw3 CWooWi/8pawAzosVp2nLV8RQVk/1S4xXuu3wR3S7CSVEo16z8K0nN4oj/WOMflGPQy7PehdtneHC aZZiQdxHvP2X3RgLbC9BwdZ2/p2A/iGMgUEIsXrlE8abzBJmuzJFtgq+WanwnjjvIwlmy/7du3dT lZotgTo69N0aYc8urrkFSi6Xi7Whr2JIVz+TLK20CnABsXHuHXJ5uOOBkhX37XP1OwdVQR+3uko1 rV4viy1iOnbT8NGYZpyMz45+Z3lyV1+9S12K6uptdr4gFW+ekb+u0V6tXGYQa0ki4BPfSAGVW9uJ +JiiGpe31vtKkkLQ03sPTGoAh4pryrEiSJqNkeBAYXYLgAnWNowQJDvrJ0pEI8NzCLduUU7PyFKT c1tZ9nNdBCeOlckz2RDevXeuc7406pAEKFaB/t2w6sCW07ZpoWjm8HgIquqV4MisUbUC2ypWdeSt f/GI0NoVfJhUz2stOtBQ34Hqo5cyUnFbbQlFS/pGC47RQC7t2I4gSzmX8DSrs8aOAHGQI2YjNSqT Of3e1qUziJqt5NRWA4HTMiRCQ1MCiDqRaPCJe3AD050GvXclizE5ytJEpnTmb8Z9+rLHODmH4rZD Hg5BvtI1zzdPoStNEQPNsuOFMivOU4HHlytD5t37I32dal/4zBRVOKkPvGI3ZprIOZcL3hh3O71b LfM1AVaVPP37NMB+6qmD57T/0/knNt1gDJHhCfD947Jyk1D5HnhDb6SRzyRg2IOlFpWk4uaTQo3E q8JLpjLL6mvuGR5OAWcm2dZEvJ9MyfF/lawIfE8MG6l0AgfmlUG8U5ydUIgnPwUqg6zK+HMQnRa0 RJFCR+LoOxA95QtAluOHoSBbCYAY2gNBYWgqXPXpgTuTrnvUO0kuO9Rd2IIrNLcdNN7TTRv7Dudc FEb6N3Nfw3gh8n62dGXfDARajmJAs6plwUar8cDrs8x136YDpo/xaEXUqyxGUF4ytAWMlQufUE0O Qi7hsZ5xPTiHDuIzpxLZo4VudEPcxxy2NTXxq38lFLr4KvZ+fGXFs3pUU7AwWlmxXn5V39cmbmnr TTKnfWLhy8/zxBQ7CehnSUWggHaNh7Dm1/fCVLl62wAWoqxGlsAW5muptej2v+WvINWOWwkUkZdl fFV5ok0nebM2ei1ZHKqI0WxzAbtB5SGQtjsxVvGiQLcUioB4C4Swq2+uI0oa8wNPJUexnBypWbPZ BwVV9QxMmiuxrHZONySbcoiwoCYBLHsoGu9zjdGHL66CuvhFwRqCHa5fpLdv6dYtbZaTxW+k6q8c xOAS6pC13Zp0qhdG9fnuSUYytGKsEOzVHYj8zvvasQZQ/exHwQur7CCwVLyG7a+ibnOMVy5vO93G OfnCcSk4+gNj7iU8jRHz2RM2W4ioOFiJKFKj7ET5Ci3QQajxmsHAPJPMwxEuVugRV2VQxLKcaG28 tT7CIfGQmFhO9+dJd0be74La12WNmHBfblhc55kKGGrvQKI4WEAwRdUXt4kGJ/jB9fJ+RjKVzsvG +jmFP2mdLGvsDBYmxYuZD+JOT/gPCtODsYd1OpBSOnJNmwY7GoW0fXgPtqhV1NfEY0HwOSHAZhFD WoD2ZtNwerYfwWHPZ6keBPN83rlRwzkIuFJnWYxXDWpzIOvcX0l+FlvRd6bpiDSlZz7LHs1UOdW6 TIOlv9iDcSyMwqUvB0kyVlZejabrgP+yICIMj2c8qvnvuHkYFMH9BmhZx2P5d1mnbg0O8wv3yZmS EcWbPbVwSrSQ8WhG2XElNjodnxJK/G0APkko2V6M1VNZqG7aSw76b+f36hNTtMBbHOYfieatURX4 Ms6um4RHZXhVb/pungnG9jUP90i+OSKz+JggvOmYcgTThcM3dEBduFpS8OgyJ7cSlPsRlbCw0T+Q CQeu+KCeNwFBoO2M0cL2MdpUbsF/J6xDomELrUVMh15Kj34OKzP5C6iBofhzhslWUkpu2Jlxf0A3 z+znb1/lCUPsdJD/zNqXb6svSq6A7o5b7yIO7pFfTK7Y+roPjPKP4ZSjYgiBd5txdxC3zRGLGLuv nXa1n524c0wPsUV7OQcRaI5ud541LwX6AN2L0HZW6qhE0m7wZQToG0EqnVB288Zpe/m3yLlZQKlJ jr6JPxI15+V04gHCW15WLCTjOLgGGAdjNx/lploFZcDqzOsGGVT4y2idNxjWczutj/wiZsycgopD hd/4T58B3KZ3yO7Lew2fXDTrLHEt99DBXeKmoGmxWR4xkPliaTWYPYD3p6riOBjCm6afp6Lp83os X1+doXjvvctvKplk0FZU07GTt/38IEJZGAovRQtJhZfLAs6UGpd7j9PCo6LRIdQQUBZhjylMpiNJ iqkYeyfKjpfHttCwTSBa4Yzt3qRXoiP0ORCblf/te/2Gr1P28Yg023HC//AjAbIIwRnduUGOVJGS UeNU5GOBTCnzPwFkqPSJL+v3epDUD81lpJVqrPDsKi/3dsqBZNRASZT9Smz9gJZRGGPT+/zJ6u6i xr4leLTInij+yGvSS7HiGYBjKXbRcRVVwb4e6AHDvtoOOpYQHRsuSChp9wJd0D6dIBDraC6b5zea Fp/1OLQDMiPa5wectnXfhy/pwG7enBu8/p2WJZ02cGaTENxIGyJ7W0pYpgpy9gkoe1527c5ZYU05 6bvAUvqRD6uQJXnwGW1vPrkvzYsibpmkoLIVLQMcSJ7gyBwaw0SxhvG+1xLvva4cGCn5iX3nUrhH F7xdK1Q3dj6G+VVt7zJ+BbJo+h7q1jwIQfOC2jWStMl5jNyCLUiTRThWo0gszEUZig8ewXexPJ2G yisynQktOGOVq8FYAxkRwr0rs2lKvtVW0llOtuJt8+jReF/nwen8biH5ZGjGBKN+6Tr/GLC/9BW9 TtVUTQiVlqCrbtEByXmx795oUYAxws3uzS4cAtwpFFBjxJnOJwltAjdWB5z/u3kJDEzU321n6K/a /eJGCNwPpDTvO0ZeawrZm5BastXa+IQBfkrQjbdjha6NPmZ5Xirpfkewp1xDKwGlvQGj6AL7h5wj KsGbH161567EI/ks7y7lcjhcT6LnPKVJF4UnhIEcf0fONtz2SwQS2UUv4W9RtACmM4TMOxQYye7i JzCCgPw38laDGMmGSMRWvyfZbSi1cXf86Jau0kvONA7nAlzxE2oqJml9HsCU2Syy7LtTKw9OnmUE MtN51sfEVBsThpYhBPYHwzwadA71HndebVXdUip/aDCB5fx1XZUJ76bMoHeW3iYQMv2urHdW4dl1 pCsXC0iE6kKNZfeWGjJN+RcqPLomQKde9aHDokyB+Q6lVw4CNAFgK/iy1vX8VjXjOIEg45gCXxQ4 kqXJCLjk467/OsLCuBX2Z/hJ9BsRTDL77Uf3FQgbpRr4Y/JmEqHG7vXnf6dDPreplHtAVUjpJOKI K/4u54ZT2JJ8f7x2zVHIH07PLLIxxVuDIltA2S+zVQAW5obpoJt5casUK5mcSkpQw0x3RJJwBmFc DrSb7BxX6GwAXY1/lQCQhqi8/5oCoEWoCe2dvO2S4unkqGvrwNjGMZCthpr5DUqR0V4J80GPL5rV /4JCTW0azDAEXGtqM5qKVCzDTR/2zNkkgx55ynMH3l+q3mC00KvQ2hxHn5ZIoZ+z9O65Thmp33ec iM5HlBq0UQg1HZRK8AjEIy/KAaYJU3ER+1y9NCFufzR0pwzsSVzlR56Y7EgLFOl0WgjmwSvNBN+6 /suWf5pKcdlNaVzjd0FtEf+KBRj48Y4sNxXFT8qkJdFy6J4StHPLGT0Y8uBXOVb6AnVoR/msGkVO Zuao2V67P6fqeM3mGixjWItZYCGyZi0z2dp2x98b+kZkYKl91RV/EEsIF81PdAEvkatsMkZqEHZu j9kWelXR6i+0u7nfIR76umyNlxWEEGpqkc8rQI2ScjBGl+hcDSo9aqGYJVYGQlqKVaYhoYOV722W 530HMHMp26zW9sq8E4RFavZlfUAdjMN5z7Brzi5aVKlpcCVRrr0obQjrNfiBuppO67rnaoOJqmkQ H8z/0NglVrunobUX9WIz6mGChb03uNxZg9moikYrRCbquLT7ufs/ZfPCvenczYr00mNWjb5hatDC /UVWoH8qh5U4j6snsswEfjuAvQdamCgdRLPblJIjJmiNoL0B/8K/2oSUUuZssG+TGzNzPUu47Up2 gZQQsr5JCePU9FUy4jrO2t73qlsIB7/Helr/nsWotNIozZu88ulolsxw9FS+xwBJJuOduhzyUHXd rFFy7Vby/wpHmaoU42qecoDkViCx9q//RHq53G8OMAwmyKSgG4o6DUqrmaW5VP6FuyWTtmNBup1m GEQ6uG/MPDNl1ZWdUfDz/ewcrABb+r+zWSgOB3GFLPtrrJ1TLduiwC7zZZOWFiXJEaGKudC/Sw06 +/D67Ix1VlcPUX6RJfYzNgZGPygfs6/7njmVuX22veKmifaJKHE2yRHmA8naQXtn5FpLcSAd0Aw3 jiNcFZ65zo0dGKOApIHbylOmjJq49iM3aeHVsSGBlhcGb/9l9LmIZ8mA6Ah9/RnNaQdzOI998VgN CNAMZT6HsloBuMTWQSJNr8OUSTp9CZOWWLiXuYTnbkplNq+CJrHhPBU5mLXZxzxFNf0BPeX9+72H m6RG8yRgYQSKEP7MZM9PC6wGF1MZV0CIl4xdTDKPw6AW8pHpoRLnMD5Uy9PtR+HBIodrq9DfVJKe LZHx5xcKCuKzDNkvtb6K7DomQWNrR8qdh5DlJ5tKiF8tG7+FhAo+AIk8R3UOXT2nfZI4p63H3eKF mz+wnSJRdqnNrJ9Fnrr32trCYxrDa9X/f01OgCNI `protect end_protected
gpl-2.0
163dd943137d266ad9b5e6079475412d
0.950851
1.826366
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/vt2m/vt2mComps.vhd
2
45,448
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block X35dgitOLLFzz+lWaCnVY9MveSDbQftCKpabzKH5hC2g58phLDipYZgyUBtS5n0N6RI/O75AMPr0 L2jvl8tgGw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block oIcKSPlO7WLBENQCyWrIuGIu4TS95gKBTZM4beUqVvUA2DcOUt48MDSrB7dTpBJGXu+YU/tdyOZz 3B65toX+8LodCE3ifXBTBlkBWQd0lh0UYAhe8laBiuU0shlLGGab2IG8tVEjXN2Cnw/stdBp5R1A AGrjC6cUo+ri7RMqnew= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MKu2wUf0a0K2ihdvNU3Z1/4gO5zko632wEieq7WJw0UnnfIKbg8qtSgV4stTofHAwYhPMrucUZHs TEcSKCugUL5auMaGWLNYVhPaCOfPmiENcUC2lqDZy5r1PcpVEr7M9yL5eqTKe6pMtHaybVd90r1J LblqzY8dIKsTlLd84PvY2FD+hk2+5AmtAMaxxxdJppQEBv0QfrPmPdfkd0DNtB5m3vEzPnDWsoDt voBeLNCgjXNc5bywArtEKGyxg4Gj3W200W/K1a0d8YThReyE8VRPxMv6Qcu7IZVRe/0bu+nUl5JY JHcDo0iBVmHoxUCB7BzDBSMpwLbgE3tWX8NabA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block QwpJRDuNntg+Xx8TpTIYjWyYdqcxj17USg1pnDifcjhqt3761ugwAIeQhPxkOKp040bjRuvbrPYM DiM1BzP3gWVTYdyeoAXJfOCaVtUFCBqxxWMsMh4x19SiYpe0/M6PzJ7mh6AIz/K1DS7Z1mAcAX3C VXPuveLAByRSC/jim9g= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dwgdLkFCCsDhZDoT6o7BVKQuYGwER6pb5M0bVfGmTZUPLjw0ysoDBWTD//qpKXrYHZQYHLl6882Z hXUWnPOAv3kzUtatNYvfOeUD/NiCjDDFrcPomYrEmfBOzm1Gv/o15Q6LY/8AOkXVH9VrgNBb/oUg Hez5SZda3zZDg5mN3+C6uaYg4cNOMaaMgUpG8BHLf0DVfaiSinFE2shgD6kuutCndF2xz6hPh68X 1FwjYCygGwKFPyLnKXoxeRJEy5d60YQX1Bl/5cNkqerygYmaNpMFhZej0zUACzI8jCyiKBu+wjJf OHCSGk/oClv7O4gS1wuYi7gatLCTMpDJin7ABQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 31904) `protect data_block +a3aX615IChLrwx2zH/joSF2CsUIVp/eL0xSNc0VKO1z/DzBXLehTOQaRx9nG4FgYuvszhegIddC yBvfkaafCdKWdDLMbSrQUDGBvTj+96qBh+1fYXdxZM5dBdANJ6tObFP2Evnv9OYqshf+1jryJobV oziVCYiOLWY1Eeodh507zs1R4cg/GWmplSjrCCbIeuwbfArCOBsMgHE9cVLacHkp5PjC9qWgadvn A/+nOvP3fkttoSGEmKuvCcMBdA/wzZzfbRN8zJ8k3g37uZX7p6v9Wt3CRpWlQf8f3uo86loZ7soB +hzTI8XyBKFHFz1Sf/f7HRVWuO9twX9fPKITped4ghRp0jAEVHyURrArz5CE1TXi/vw3PIzb9n45 b/D1E3XR4S+T6SzThfoxPlj7Tf7Dr5JEXnkBEoKHQP8EFKRu1xlb7Ff/VaBFseJDhLENwav/JU3R yp9Y+gGXkEIvuHir2dKWzygNcbC32j98nVeISRd6OOztYgRratASlV06nnTOhsxUX1K+1vm6MlSs k3NhGQ74mX/O2+1a8q6hsqgtYgytoYGc+X4WrOU+NTcS4TiVOqh3FxA96zHBm832zYHNN9BUNP71 4dEYEaTMechwmDbl50iaAAzhgT3NFdH/X9eh/Mfflobo62E8VgtjAy8l34FFWNg+HKEItDLsQcfK lJ/fBnO23zeXpA7LmdErRRk4aMJ/8L+fnHBLVoQZxUUmCbsjAyzoxutBe8P/fT2qXBs8FfGl7Xdm bsnLbwiojJ/HdxLt4tiZkt5hZmJp6FaxDoua1cKKRZMCzL2cblhSkedi+FD006fQF+WX4a4nxQZ0 m3G6QyDSclsGCEMRnUa2vHuMAhrEfWTEnxEMdALv0Ws0Toar8npbH1U7+DJhwm7CKN9yg4Vka7zR CRcc881qgOgJJbjPaBGU1bDavBf/tpyqfPhyeFqonsdAXLMWOg5Xis8D3h/GIZzyuhUPUJBs+URq F3ol4UuKtwYQaF/TWOO67Fwmn+VDPSSjYLj2/UhnDEx/G/T1UQo5jiMa6DARmL9567KMwY2kAevp hYudEjKFc5xEYjO7Pc4BTqpoFiv2ebk0ewoEiDUjr37UpLZNi5cLpC0OgkG+ZHLxk0Yyiq2pb7jH Jw053UblvQ9fxhebtb4jM50PV/OKdvSQzjLwuBzr+dn0PukTz9okgynHLXtsNrB1nRavyJnF7xyH AL3FKtAIxYfMIvUVHPYIERIguvvtYxWL3I6IOzlctwl9qm32aPLT5Eendw5Bv5UxcEvBVBcAxJt4 DwSQqwqY4OTCTr4fI+7DwJMK7ozOPquTb9pheMCCXMutVblwx/C0eATaXe1tk+I5j/j8sCB3FXuE 7PF7B5EMsiOH01509v8FT6GPDVFERiTS+dxGh+AuVXKXRL4cqa3TRqkin7milsA2Z+Uiw1hkcpCn sa5w2TUG2SWktrJ5T5Tk+lODrktzZSnC+EVaTmRP2/1xiN11rbCQ0OKpt2/DwSuSFAVR44u0GaiY ZL0HXIhZXuxDKWcTm2/u32Z6xBDEe10Ef9/A/NWimRGG5XGHZYZVWCQb/Xq/bU+4u+RryIEqBNwo wdaID3eWUV2M+cUFTQkGnyqYMwy4ZfPA1weDaBr/pNRUm7EBPswmOS/WtPu/tVNyKBDxAQXs4SNQ IETrV846yHojstwy9veXqKRYdNaIvAJyEaMpyk27CW+Ilv2f0r1J0r82EOegUVNpU1vcxk9iZX2p msHk//Gx/I6thv4J9v7W/hygLyGeKJLHHTDsG0hgEvIyRoiaeSFZ4zHAwZI3v8QVqRKx6sgxoiOU yPmeBH9hQL1Bg+vuhP/yLCIyoltMLFvIJ4Z7jwkeOIicJRPIleVwCJAf9EQHBgN9ock5KXdpjjFI NXN1HkP3Ckl8mEefmbi3agnyv8qfolJ61nGgfORhJyPQSqr465KvR0AAea9IIoXua9rII+V3Qqip kcoqB5dh5gRYFQLqUtSNjRQ8BecHu+SvmMSbfTh8DsvM6NL1OVF/Ld2p4+rCDe0deqowgxYClPj6 kxTs4F+8p7ZbhH5c0E09Cq1ouzDE7V6UEBHsCg3d6FQHzRJ8ZC5iX2IRqP6vmHr1+mNme6aLgYqk zho+zcpvpWmOeYw2+xkpV429XN7cayTIY63I6oCW7sJIK0w6JeGBc+P/LxTu9GfV9pqzRXO9LJpi HMrkR81W5F7zx7Gg6kiEwADPrDhM5ejQ9Op15v1DcdLuq3wtyPeSyH78EX6XfNmyaBCf1wMVozu/ L0pYtqqaMiAMvDC2hV2tCi6aMomuNO2o1mm5oTdrwUkJ8BK4HA3A+8JdH6+zo/TzItt8bXXCPnYa LXilo1G1RZAFuQLzjVujYX5iNQYQUrVjZD4dwe+qqfAMFGK6KxCcpaTlXy/9mYHMOSWpArNs/g3w 9pd305Z/eDKC7vznc/2BTne+h4RB/7zOyytL98T8ow0nGQweulYr7304W+QIzl6rfDjtv7X8fx6L vRPKQb2suO7mnwQ96uMI/CiXMEH2S8P8HW2riJEQpjGhJU+CzyMquEafnXjNC+665qtBfTOisWL9 2AgZNtxxGrRb6VFBh1RSKYH23Th81apeNFUBgli86H8+lwDLVXG8KulSliJFhXtKQrKHY/kw6iGu fKa8nXcOd1GcwCx7ClxVUXHsPInit/60whNGAgBGXvBv0U3P/tQopl4lbRcy7LNZbedue4IA2h0e ZmE2dcVemEK7pCa3WzLxtkVCnTsRaaekKxtLP6ghR4RJ8SWiDbkXuJ554+fLsSYFJpt4TN6Ux21W KrMn6/3gMZnhD1zZ5LeAV3iO3ubgkmsRkQGnisW/bDQEuLviqpshSQiCfZncZA2pPRntVgb2AO/D yT5ZywVtr9U1Qbrx0n0fzazM1tFkXoRxjewCqOZr96DOhn84nK6YSZZ7uJjhA8B/4yzWWeH8GgKJ +WD6n4aV4E8k6vA9sszKspGaLscosuogqLQ6X2Ez4rLFOModiVyp12zQOhVvrTR8Q8E6RhWKnPkH x/kofXI20oD6YV1sgw2fdu7XUIeTl1Ofu5sGfsDar9rLgwrE1+GHViNj8WvgDEzOvUNl5ORCyLi0 HZEWYiguh9sW+AJBNCcHApnCW4z+IHo27zxcxTsDorzpVa6OVPY2OjQdZ27xIYfdB6ozM4JehbPX ftFQDL0Z7RvjXwQzyAS6r82g1h255KsLFRQrfO7zA+UkLSxLru2LjumEcG9oixUKVm+URShzLY+q 7qZGfgz8bFh6HcqAY5l5jkqRO8OSpDpemBJlPe7xseiZW7RD8tmrbo+m2IaLpdi3Z8F42V+5WJI4 X3EYJjP59y69yVQ9XzFMX1ItYM6yYUwoVZWVqZopaWj0V68x7+OoBda9to2hZXQOStgRaEMR91GC khvI9JRJDBZT9zKEf1BZHztPPSvfcgmDS+w5S902c0qeyaEWVMkSxtJ/tPQnAFB54dZdNnbyKDVR NCVrWdcv57YSgUVyhtwQus7Lolr9FOGjpzMGCaGC08rVFvDglgQNQ4HtJIf/AwFjrsuOfuYAE5uT hUgTxGEePRs3wyMEqRvO+o9Q+j9nAoB/dnTq53XQUHjDNXwG5XU/mgJkaChvpGcbGNCMVUExYYsF K5b8XSswDeppw3mjMGWKz1lzwb9rGrbx/ycUAPvDxFs0ty/Tr1rGG2eK3edOTNhSYllfcWOz6UxZ JGuogjXqMtDL9Ti3BV3oiEGZ3y6X6V/2HRaT24Vser9JsE2FKPQitoH2RfEEPLbN6HcqQ6eJwZQf 29NEQAO0BsqsN2LpO6g/dS73u4+zm7cootLONRivuEV6rmEvO/hiOqH9EhtyUPTQmUt19hEfGhV9 RQ70LJQsHAldve9ZbikiXr4zRCCG7nv0Eu/ovwWUvpTZ0l062d2vbN9S1N5DOCYBYUZcS19egw66 YwbOVcuzFoWSj0YR5lsPaJOZf4/OQNqbdoMif+X2d7O6URfDomH/hQbI110cPF23cl7OV++w5F3f f8Ds+qwbku6Xxz66KJfM21vkg0vIAznOUIdiySeE3ENHlq348HjQ6JFsDa0dOe+HIGYpImRfsFV0 qzi1IOj+L4AsrxoPZIY5RziyLbrAKNp9ej8Jrz2n5cPGaeIIaRvQixwFsnhJmoawzPktQSpX1oIG o7/qLjXT9m2GNztOJabZ4u+FhmYWvHl+Mk79o0Ke//ixLVwNkjRPWQecL6Pxc/yMSYlRAz4L92QC pGNVqmBEZ/VYf6TzI/dtI2/MBqGQx8NzQs4/BfmV4qPazIfacGGbCbSRiQ1YrVejxT+NHYA3kC3n 3C3stjWuN5wEBPYohvrl7zxvAFMt0vzgzQctxHnnp/HMUeQRE0M1V3XZEwlbMD/h114FA8FwCF2X IevCbzbB/MdwK5F29WphS6TNoSqJ51K6dp5lJQbd7SBsYFs/3Vk8lLQS3PR65jo1MzN6EuabVDSo tFxqKViw8OyIHlbBXd2wjHeuqKSiEbM2g8OJ/7Y04lWjnryL/QS4UEtSoc+ZSRAojZ1CYUx95NnM TPKt3mQA8FOfqfphUh8qyFa8UuFFi/iNqrihP8axf7MVR3cZYMcR04ZILBdkKqYbFAqFB9C7jLf8 ZoQqCN64dgcFleU8zhDskiEqBCCbLPPg2ZK3+zSHMRXWshvRq1iCA9zx/8P/gpTlq6blMQxgLroL DCRDQt+BkDgAlI1ywWKKhADjvmrfCriqe2g3Oi/ArcLeJo9qoqiGW/Ij/RTpmTmke947l3EzqbNw J0vBF/cm4WtOl3zLdMnWC3FEmi81M0FGgwS5/HD390j3YIV0fDMwNj8nl4UOjnU0L3QsfJJOVw5B CfrJsQ2llvI/PlPnZpt3+Ic8d/uoRQVWRFNgKk7RYDvGvfPcFbuxtHltoJ8mo7Anx/W+eOwlA02s nlgDGMnAH8qqUvHnGfVng81/tXIBYjGvgadsG1w+w6n5FdRJjlZe/UrPjm/E6zlSmBngmZmABj6d oxhi3nFI74MkF+3m2c/eaJd1hlsTZnCXECnS30rbRWymnBjv3LwlcBfKeELNQq3HiDxp7OxkRgVr 5YWqVgu5hutsmgUgfzEtP8Im92jvNZF3jyQGlVh3eNL+upExwMus5lbAHa8fLUF8xAb8xSsJHD55 iz5xaKWzCQHpW0VjkmLYnNu3h1fi4DwRb07ENqIOgb3/PCVPDdjtHABk/iMqtXQpFDXL5b9GfsIh Br64avnsgpkZWd+JtSbT0KXkUaHWmIJf/mQGSUwP2dNY2DQZ5e5x+7bp0jODuAE1Abqr6ky6ZAGh OlzpYshqmB/3zVn27CuaGTev8pRSAA43D6HWH8JKCQ/f7VVh66MEtB4yfB6qEjSVl6e1alnFnCtz Uaw2v0VssyuO81NHqOxKcXAI0Nv3tcdUl/T1Zi7rb74HUAO8cKqocJpX/Eq2XnE5+v2ibAn6uGEx jQkmd69sUmAjhrnM5JrAd5YaykKQALecehszhyeAeZtFFwGaV4YY+WeldUO54mkpuZo8zuUlzpM7 kkhnNsvObiK+pk68/Bb8ku+wL49QFr2lrmUNmwt0/8lPYvTboHIVfBMZYn4f34xqjZgTkTi1ub1f c7iDfUtx0ZyVsjV1F20evghIvf4A61d/U0fJVPtQzUZFhGTq+y9DFT0u++5wLP1RfqSpe2IsrW9m vRLeCAvT85WHNJQhgg+sRkh0mCS8rwphBcd2cuN79lMYaVSdoF+dNlM0KqUqGhJchTjR15LKydG4 W4RgU+olSWmCpf4grtAwthmZB7uN6UEWt3BvsVV8sVzpkJgA1/RitEccDTyNUBCd6N/fFkwFv9Y3 u+tglV02thvDSfDfv3qp9nqYe0C14+1demAhr+nQylGljW3Pvb8ioD9z73evrnN/B6jlEnfCyslU lD3PXI4/Flg+POo+m+po2p9FmwEHH4Q6jBxvDHpneCEl/vC+opLHygWg/d5QTFArmhZa2b9qFMUZ tl5dIlYr+y4C2IUYNl3S5+ZrSamjwrA3NDu36nJdQAFA0s63bGVna8W7S3GR8Vvw7w3xEVnKuGek +w51uJ0xKKLCNa4mOaGFnVeh0e6C8kgSkr6IrixqTDcAPQtQ7HLkvBQcM6LiwVZIUKZOgiiB75mO afXKSGHI8kvVceNr58JfZfTGrJeUywhrR2H8xrIv9jnWGnA0nuipaIAxaShLYQ01qnHSxyTZvqLa 7G/2m+v09VYpDTIQNX0x/aj+HdP/Jg25bE1UgjsRTHs7IQEjQZ/1FwdMF4v0SCk97XQy8Di0q1Hz oBXsxhL9TgeVaDQH1oB5A7PHeRpX/AI74hdLUxPsDBMhgQ/SwVA5nwiANu47+oHMGPIYeHmV/GeI WLQ54CY63Ejjsc5pLYJ2pkIV/EBW+Vwg4Tpli/XXBRSD2++WkTToHG0H6gROja+tXKyYUG+yDX6r 9qaKC3tPfZIZ5wuJ+cWAtDAUL6SA2brH8CwN73/PCQcn/O53ny+EbHC3fSABZssONH89EnUtM61E ALqO7OOflGn256wjDy21l9FjQqUzU8KTwQf+Yp4hOYi3IqU4SJOfhB3f2uOaMK49mwE0vk9yRiEt MgD8tIzBKvagHpDzXNw/Rm1kN92raz9+o4OymHawQNcUznQZiMoLQkMQTLjtTp7blg13lHSZb3d/ YOB1PGeiUHEatEiYS16ZWzqUhyxEV0Hi5bVEMpsYKB0vbzlYBIzaEYIxv38n8+NJeBwWJyjI2pxv SD20cE4gADntDqWeFNpCAGWo0+OheBmSwaI3RhONqPyqmD6X13kzt6WQQZEsg0ZRtCYYVnNkLpBQ dsLiNEesSvWs/oICWJsYCPc6Mptzu9RRfbZStyXWIADfmbj1zfwSJ3isQ2i1SO3UvpfyrSBpBAL1 UJA6J8bKvsHi5+6qWMl7YaHQa/Bnw53VH5kvEIgyub5WiAXCaRBIe/9DOBmt5ITP9RAdilOK9jdA NJYPKNz0oIDxgAPmds1AVtydL2svbtms+qeZsnjYOKZ2KCSkm5jXdMNLTZxX3wFzLiv3Pul53WLO SS2EyVEXuJT2QLaEyu7zFU+wLHzqvenw6QN1QlYFikQA3AEDxxaBLhca5crJ36LhX6OCj3M6syBk 1beFIVeyB2RbkPCSfLn1QYeeICch+ekltBCOju8iSytbsH4LnrG4JldUCNsQXcFRd7orDtcNMsrW 1hXq9/VHxFHOuUWCH7loQLMAaw4zAvDF1RwPjwGTkk2vsohxZx6pAUPTsXz6JV1s9CuU9LiRZKdk J/tqHdm8LpChbMWBaEFjRjcp1kZs0koMpL8G5O6XS5zmjvkJu3cd4eHVSUhHpVvZ9XRxs/QrZ+yd jawPVTYu1pB/gh/vqMX6pd9IdFnReEs1c8QJc6L1jWl4QZAK5c94fEPG9eSItNdJnEl+FGQO+/cA bNO4lYuq5i0aavzX/7QqPzkbi0MavZ6soZ67hGhdxLO5S5yWuLIgYfBlJrci1YrDP8HLzZfz3zk8 OoZcRGtYZrma3jW8vl6U4hiTprdJeDeRv/oXJYKwytikWuCCieo5rCq1DrK/mcPRvmcqXqo0YPOV c1ria04atts20LU91Vp+ZB3Wt6I6jLJlR3UC0HzBZezVxTNKd13+FWtd+mfa4f+UIoWQJx5BwIYk 2G04b4xuSdptaRz4++TQ7CcVl4+USRwMZvGd2wiSr0SuynwBdNPJWGygZWk69m2gv07cGoa12MWG OpIF3Qb9JPF9J2FPNprdhuQ91AqWDFAz6Tkd+GckScZ1NQU6lJRO/sHktLVEe4pgDk+ZTG7CRRKX 27GORwJM1f6XouCPlqckFOicpn+jnWpVppYfv0zg+hbkoxtBuRraGnPfzSL3QM4FIFI/xWEJwEOK SDXlipPW1r9zNvtClLJqacFHs2L02OguRdUCKmQWBEIOhZu775zCZmbW7XKAtpWoPXDH/gkvRACe bdwhic/nCrNk0hJ3GCd/iU1GjqrcN4CAG+TfqgG3/9NUq1v6AzgTEC3EC4tl+p/uHx6QRFY1zuj5 Z6XmdrANlPPDh0mC2qzxfgbkwrIkfoeE6pG0Uce5mLra/ZUeZykbhncBJJAAeA0dLtx5EEgkw7E4 RsIh1allWQ5MYbIPbL4zGKTsHmGRCOmsfO9yoOfgJqyMjRO4QVafw34zkold7V4LD5RPHj/1r0w4 l9KWNAJ0ZT76d1asmq30QHrv9CwjdVgBnN5wfqhvq/ITONGRQplzu4m4LYwwTpSRmT6VK+yQFxhl MbwqQR2Jq9ArF1ZHcvDUK0TWnH3OS6lFneCLQBCULoVDqA6UFX9GK6zX8MUdcJv0dJQbdC0hzDcQ nX0lY+OsyAPAkzWLESy3mzTlOAwMliqUN6P2Ums0/rhj5ZDg3m+SjwE0PTLfs3pLb+Jzimst4Qqj p1UvezagD5gk3P/P5QMgKJfzSVAmdEyDz3jXW/LdsGQl+FBFNM9i+d4+iR3pB7B2uq2tLEdwBekS pRW6k+ryvG5y9nFfkrd/qbfF/p4UMgR3dyf7ad1wAzIubAfvzdA8PACwNNFdqT5BLe9YPYsT1/sA idwwUfsTTmttH2WjhbRQd7Ds9o497XJjotEJFaovv5JMgq+r7xRTvsORfeTyTwq8ePeGhs7R1YI4 45+0A3G3q/pvvou+7adPoLc+Qywm+kAuwt4IIc1NFIVzKa+uRBOoZET9wNr64aqKgpQF9rPfibzE L4OBKZWPI+3JBknsFkfEjiSaEihh0MWfjuhZU9KKD5I7i77Vcf0XrQQQD5hVDufkrsCSJ2Boe+fI e0c0BxDG8mYh0LCHHqBqt9LdsqoAV0Us8BV83QNIOrZqVKQVBurN7YyREL7l3AQr0DB0vsXxcWlS 8TcLvjBoaCn6Ir3vzWHcVxBqxNXf1Pyy0ii9fHka0rbj3Jjj2OapbkiY5CtTruZqG/5jiaFomquM FDoXQSd6l4mmy8CdzBw4dPnC70UbWij8uPHPV9swFqWUWeQFTw/dNEOL3emPax+fM7VSfTCWsYQ0 SzNqaCoQEix6SA19b2W1JMmAr2dXudiiZNdGpCCR9e+4PnvGfsJYb9lhegQb8NC+hdcnx2lwL6a5 rja4j4+Y4uUGxWrmNIgEKVOHP8JBq4aDq1pJy0qG7P1GwhhL/ndG904coJfUeQEXlRXSLjG7R9oz uTdvHM2unsgqFCw6d9o3PsaYBD33SnVFgrEEyXXbEwTtyQv8y9WiQCYzvg7eFUnIf17knyBzNjH3 UI4wKnqYtbPp8cJhxCb5BhMCax4L8v1n5EH00UzeKPXOweFThUbRYQnvSHvBWTh11ixZ95MVEINu ntKhpNvyU0mBdJ+R8iDtcB1rFmAyR4j52jy0JGe7/0CiF0RVdQjOQgvcUDxH5Q5/sEpW8aB8Bj7l oRDqBd6eF3+93+GphNLQSecx31gkyUF1jVNBPoz8hfThHO5TK2lqLvWeZNa7VFJtxiLQdttWiRYR YbfbPbWlDRkU+xWtU9oGfH337+U5VqchM8KJxpDAPtHzmZP75Pl7UMVswge073GyZ2sBCI3ihLN4 ZBdIcPI100T3DKh7Xmk90ks46ybbPFJzrv9bTk4Jh3b9qMkytaY2Mv80DJwBe18DQK8jYysEYM6v vRMNQYe5Pdui44rRvETqifMFHF33LCpNaFjBvjGxPoNO6jQBfy/RZ0uHCKA/5kY1IIOymXirDdxX jKMjNLQCc+HthDnDpDXGOtA6X9OO7kd77mKap4bVhe//D+PZOJ41xlzKX7lNFxGDFvM+rT787+3s OaMUlEzAkQHJfGaTiV/ckOwxaJcXaV2tTyKFRAXFmx+FWEeiu9AJAYgTAx2mRylUZRofhX0d0NUC l44HJsBCNdv9Hfg2ivQrna4WZkSBhtYKYaEVrGnDIOz0w/vprzV3lX1bbMwEya/lq8jQ4/AYwYXd mR+kTNIYeXx+zxR3nFY2iK3m01hxAORmvaXp44n7kP/HPcYX0VSjSu0iMJ6Cb2YBSFaoybgozDxA u/cFWM7AcXaiFaz4mTeFqaLg4yMh1ZU8MJRpNnr6ZFh8EBO4MI3eAvtcCSOPbvHMA+E7NkmtOir0 wz3g5E62xX94ucW8Mfn2b8Z3splyrY5Yq3Xrl8mUrwO3EpUuUcLWlFO83AdHwGS6vpHKZTWOhUrw Fd0WYYvp9AFiqumZs8L5H3u8xIbQvQ1hRVqgTZn1iM1OMf3OMobdphhqwc1xa06I7gtHs4M5/iKn lpg4m5CynInJmOHOgjrWxTUketLpWWoDQ45F47ZO3c2Wf0rbH+ISagBE31e/kyNi7sXNssfS08I/ GWxsh0lKRwSe7NFcV9zFmZ2yGBT5b5Gy9Jsu5uk8/lrvRv0Vme0sUENZg8rhJY3Q4cin5rYRLzH/ 42KNatt46qQl4cS4m8Fm8OtZZWgTktwl1NDziH8WtZFUqfIlHOjbXjYPvYcK3kgfLcxO2t/a6B3G dmzs5cQpQyvRWTsRUqp+OeKDyVqKpl5ZVVsUUPqeVFeajnrElf1m5wIrw79T32/AiizpzwDIc+QE ILqbYgfIWcrmgkBuKZW5dmCy7r/E4knpazR9UkIL4H1Zd1oAUN6PV1sXfm1kFh16uCzMTv4HvxsD yIaffJ0ZBw4WqCHykQa1HwbnQZCRc6TXQkxUEJo5ReXDGkpOAaaEbm7DWN78z/h2JsSASf21D1SA jVqyQ30vDig2V1NEaDfkAVvwkGKwPTspjmpw8EF2Ikxj9cT3zi8/WGDBpovJVgUTAwF4TB4kyfRv gREiumG89CKC2XgpPgBMRNgBjRh8VxTyGVz6VRygzlhmTpQ5pWYMhiTxEItISlyxDmygrDOWFTFf mS7nusVYIPVyj29t3JaNSfs6O0DMQap29RXkWqeZ7tGpX47RHqBL4MaCoj5lc6icYTO3R7rRL379 Iy89rac5T7L4psI9p0bv+1uMfSy5wEZ+06D6eBz9MktNd17+aDckCxSRSC0Mhr++vJ2+PJ00D4HH f+aNEZJqQyVUjDZetBurIfQNNbjBSSJfT02IpZjYK8a9TdjWdxG1mhKttCl/XMUnLaePMuUH6Jrp stWMttJBpixyX2QB9wdlk11k+yeITFbw+KFo6HmCXRtcVYqsd8D9QaAD2IDAb53bC1OGQSUM+h1P 24BsJPiO8ZmtRIwIiKZYlqp1ACqVwhXFyg/2+ci1vkO1/+SGHuVU4O8zVN7FgB06RtRsWaNSs0Y3 ZtVQ9GQjaMWVNzDjgJz8Kuwjfpj9mSNEx5VKbryBm/qfrswc+z7Aw0eGjkK8ixEJ7zN7+MDZt27m 0S/XkR94pv1jpUFbtAinK/1eBHaYkLaD1WwY3hdjw5uVVQ6+z/9XzDqvEgKOTWmoJ0tbw81QBtxm eej6rvKpjEIOLu8mpvoi0IlhLPzbDN51xZ52bYofvnei1yCmlam8eYgbO5FHd/rNmDDZau2BKpOK HtZWJ4Kg2ucODN7pDA2OZkRqU0YVcfhL59HMbBT1GWomqXLyiboZiQ/JPW1rimrPLH+Y2NAPLrHv 2NU0bxLvZoW3ZBb2yDuVfw7Bn5+qo9hC0t4zzdM6eGK13x2eGqkAXTavwIOVyKh+Lu/ZKGUhGTne Ith2MekQL5h6q79xkNsxhgq+X7N42FEvijbbAzj6BgQkeHiyMhsXvIi9i7S8sM3fUZBQ7VfyIHgi cqVcIq3Mr+v2ckksCoFP2XRWOg4F8ebiWByn8teWYzGN6JXMhz1LMrPZ5AzaVcCpgHmMf7QO3o4t OGjIE2oaK3XfjcdibEef5ihoI81U4iO3IaSvyJ4e7kwLlD6nzRo0Peo19HGKv3s6HGmJBl8rxpoY lP3VYJ/EbpkWWtFOBOiyIy4NqJc3QbeC4+Oy3IjBukUTg+zB+5u6jiHEC4mCxptt6DjCIuUpM1lh DSM4g2yGUeIMwo9yT48iV/Te5sHyrDk2iRFPro9hnWsWE556HA3nc4NMnaMkLFsHvf92xtB43CZX fFYhP/kBB870Z9bjO6c7n0f7tDImfMQpmFWXqBu+0PMcKlgX6iC9GehLOv9jtjUOj1rJfVFgKTYd sPZih374n1edWnS86OS5tbYCqkRjSpL6kEf59tU1uV4YmoMyhcIUmvK8+nE8A258SFEtJzrsNS3d 0pFFs+kD6LUG7oGYHmD2MNX/MkkNygiClVXWimH4xBlk3sxQF66T4UzMZRMe8pM1W2cK86EFx0Ax OPErWrMv927Q1f+QZlasmCAjHOc6qwVal3Hd18fzIefU6fkK9BpazxDlmivkVEa4UQbP3LCUvE55 BSl8HJFoHuJ9QVIxziePToDuz8sGtOJFdZGHow+UJtnEcvvoMzkQYxtolSr5smrqN56nu3HET+9+ AFmylNNVrtTSzPFkpbIV2WE6GMTxwiAEkMxmB5+Nd2k1W94gPgwBYwcHbsvDBFGjaVeDVAQjAafb QSPrqsm34DCtQW52BUWR2MSXR7xZfmQWPJwUZtYU8KfCwm4bKMg+R4wwiVyDzX1QAao8/leX3esW w202vTGvPHC9TL399qM6AUrQohtRZV2ZpON2/mIZ8IE6sXj1NgEvsbHvuZeHSo9tiAsNaRh9hOd0 A0WSeTmWCl6jpr/fflLrpPdjPpkeWaKgTAHGYv7OabljLNUGqhahp0oE/j3i3F4Hpq/KpBu/a/L+ OJchHZEH40jgsnXR7dVrg4N6/vn5DbofLbQTl5XDGO9hmar32rlsf1cMp9T5uEREMXsxBvLqkhSZ pFpHNQs1pe/PfSasRmtYqhU2i9P2BG3OovSdy57yoTF5LTngvDsrdJFPyvd26u2JraLJEwpx7WUa KqLqDiVuWbdiMzhYe+EATO7lvwhNJw0/pu452B1Ca3HuXBtiKHexoH4jM36W6nzOBllSj3AasMLc fpwoMM0xGLZjvAUqkqgs975TOsZn42NrsotHAXcCl1AlrE4RuwQ0EBQ6VTfJdnOixOoB5nKERpZJ U7y+2RiucZdjAflIk65Q3cuWnbOSgpT2jvrsRrV3ALlQy7CKHAvzlu3gGQZfwQYjx1Azutjg1Fqw lkT9c3BmaXkWKJg7o4POrjiNWrr9g19Q7cPsVcqOOdxDsPzoDF0M5dV92JpKTmnh9QnwshqbKPOy VtvT0dESiuXOiFv4u5nh6m99h8msRJxL9E50um6a4bEy3JKqqTrj6bFO5a330zxcG3o30yh4Yd/q Si4AXY+LIdYFdRQ0/4YB18OasADfk2Ss0d0XB5OXkmPB+ibqs9GuYeHw69wtntzItt+hiNYfSLJ8 4o0VdJ9BqWQMHFRoF+y3cbb3KneoHtbU1mBKSggvjzuRz8gBEg1GyQFW05Zgqjpsa+4B1TYsTFMo rLzg//G0I87LmwbG/VdmlXaWHDQEpt/D0KFMVztJ1sZZnWuTR41a+TBCgCn+LoiMQ/saEAPCRGR3 xu/gmKRFe6nL968Nr6g5W9AGGIYZyzdzotS2fKxa6OV2PYN4Ks7V3IEPdchjnKhnBAdYMA6Q0U3T dhvKg7+5YVtxDkdUKC9FMgfGkLfmyw7JlyplTKic9b5u1lXixvA0w+gYXbBlDBYuzXGsgNluJLnu G5RM2QDhfGwihjMP2NhiCmF59fm3s3Wj8j9sMGBYFJ7v3cCCY/Ring2INpYE9mpO/UL80sCU9y1u NlST03BP+hgMIr8/coYvZLHzeWkfSb8C+kdF42OZzl4Qlb9fVoaXXTPqDe4UZ+xAAG8NQEBh68jl O6Z8IRPSDi8V+9cC+SUCC1boN/IFfgQWOT3ymRGp9cSSOcO+OMKsfDKr9/oesXBlGCZWKF9FQ48O 53UCnOXgE53VIKPwKuAN8RmXRVhPVsKgNm/oShvqrElrkAPxhUCAWVh3LfWD+JSmVql08J9+ZLR9 KC9WElvF+NvF713VhCG0NY+ciCodBTHg3MfDQ6NtdIjPrBt+4sMmxSzNvosGlgYFPldgsFkvLRvb CaBRE+9b0wJnitvGk7DYKeXcMa1sp0WhCvTU6LNez6H+pnNXgpX6FSMAzvswg2JJiUjmaoxmFpMD eEonm8gcXAoSvvCnIOaHlXFs30h4RAropSkADO24D+uHN/FEW9THjQJmu9KpsVqSwlY28WnXVhUk 2v+FoW8jt17a6nn/McEZZz5pFyNJ8j2GSm2lPxtEoV/H/K0FOBUkZaT/t/gk+/zhiKRLycpQSHbo mY/XqlA3+RMH/moZsaRDieRb4WOZPApKQ+sL8+fEf5gRV7wlr+DPakyqPiKFtdKSJKONCHVPkez8 UE2qYeGgtNCNZrL18gKV1D7DKqjRWTrFlK4rj6osGoxm1obrDQ/Vr7404ectpl18CQ2vfmPX2Nad apU27+zJ2oRX90xvHh0j5jrM8LU5ZSSPiXny78sXaLeyvuJgmHVmaYVawuvYxMuaEyvMUyBS99Y9 L7jDhYwpfZM1BYtZ2lLIskz5yrAmJLXAGS7KSghRFuUpqVj2cCa1shYkX/3rIgSRswgTHYTuoAy/ 33Vg4GSVH9B2v1W0PG/3c9IGMUxRtE2xdcg/B86s5o329KfQ7iHXPkxaMby9bgDC3i4UBqUn+wnp EmQfrY3jbKgmeo9MPT0x9btgIHa1hOSFU9UzFZG2b2g+JDSDbPhqbYpIGhib+lz2EI/Nv/VsGNyl vxFNxWh+VzrNK4fQqEi3nYs7SEbIYCRof2r6Uho+Np2SLplQMn7NyeUlf3nzy5q1SFWm+xLv28rb u6KNGn5k6Pep1l1hCzrFMzfbLFmcsD1S7wu2NinqqVa+XZnAZ3vb21ZH8aqZfEM5C6mVMiSxUwre UDmQPRpr8c2dxlMd2B1vh43i9Xm0ffMBs4GgVB5PprKucJ9qpGI9/eeQ+ApqLbPx/580qE/EZKOf lJ9COd2koLsuegTA+xY1bTobL3NyIZp5yWmOTSYWQN0BF+ZVXLVhQr3UhvjI+PiFSDhfExz+cYEg O8G/YPf/sQ0vI82bYxMa34STyV1PG9WxNqIxXRG5r0i926Ph8xofLcLPb+RwTUFwCwTFrmeDMnta PA8tiCGRwQc4shvK+FEB9aphzv2fDwS5T0sFkDE3uOKSkVxuKZMbYleiVCrpJQyITK4JV2F4xZUI deU4uIb4aVHd+ms8qhHVbH72SSDEYcqW4lvea2SXOPMfXEmAnhTJwxEXcJKC5p7g+g7nWcCE53ef enB3wpKC9XiEPi7+RPS2ODBll25NOfTh//YwwQ3EB7cH/6a7Ez5tQwn1stQzMZju+Ck1zXunT76h SUjC3An1Lj34PBEdLkkduYq0WS4llTJMXvchUQLG4sywHfEh74jJC9WSkvTvxu2D8LEt0vTGVoyH uyL+8tMW3RoPLOc2Qmd7d+w0FzU0s+jPvklU5HaIH/ZAZCuMOCsZulbobq0LMji2ywKTmHLUBufE uYvg7XcBB+PvQUTO0Tn2ACtxvgMzX7yj1A+lSfIzbXFXy5MFo53lFxvXideS++prl84LJkkif6w8 CIphdOG+735US4MqBbb6TAtad0QTTeaf7/dEfxluvudHyrbn0x/osSZ1AasZfrt52coswg4cFpRc mx+KbicGRKpI9lVR8c0sSTeLSH06LXACQhSsLzW266kcuFV02LMVf1cIQuBvKmO/kKGYeVQku3k0 EDBRM9MzO7v5N/yrnG99Nc7VF/Dji5S135i7GthfBuFVuWOrsJDOkkWchH5PZEx6ABbuc8IzjKLW OFVQjYqGDbcyoohiohXub0FjDSDEFcxNvrYfh5ucL9J7x5Rn2dR4pkYYfLh6rcoL7SRVjfmGoe8D q2KqCu04dLBxc7TwoKlck87os1kEfAM+ykJOnHYOItd9zkb1wfyS3pCpyHHdW3YITOYBJdYrJ/4T v/H/+Ty6+9p5nH24y3ACT7pLCDuBlBDu8dfHJdh5C65uImnqp5QDBhK/TmoWaTWxsDj2QxUDhs0R OtSHv7ThOAuf4//822z62N1Yijm1sYvn2fTekJdnx0wNaxqoTmsbmJeBfx75FhsGNVGGDLh2tChd ASmo4BVMp1vXSOaz/ACAur6joFOW8OINoiKjFeAw/sBvND6F6cNx9QntYhhSLl5qseScREmFMUuQ Y2U/d2R7GAXEOArOPiXBVJJGiFJ6y22xjRDQoY986tpFP5U5qTWr4TmA3Xll71lNwEGGGHmTzK6K l9y8jHnN6rBoCODSiKc9ke3FZniglFx7AQuuYhgDZB5vOSId31FGF2Mm8Tg2nbYG/QtQzA9tUf7F u2c/mqYKk96ULKmqL7tkTIQSSoY/UBR9ZRWKe6kVdFxHQ58npXKRf/dGFbd/E0ar2HohT4vfAgWn mUlNbg0G2MP+QYNXN0lQo7etyQagkOXitsbsr+RbaztLgudAq4XlmOo3My0Q+4xRNrOoi6xDb/6W ce32L0fSikA+PZTtA/N5lV9GUzQTWqItdkbbh/lmS9qR9JfYupAp6oD931R8SVdtbqFzbhwrFnQz zNW77FEBN2C9bAgWLIrbU5gfAyjj9d15i2HJHq8V3RTUGV27eoY9m9hMT6kNnJgYMxs3BlQk5S0s lKVtuEVhEdOnYZkYrdUkD9pQYEXEqg2X52l4o9UIymK8LRXdJw9cLjTaBTeuzLeOZNGAvi4Qr4Jg CBE7mH/Px/dc4SYoFGOR2AcoO1GH8M1EFyiEc40kXxjjyJoIz5SEih8oGYIkb7UkbeJcAF3MZPBf nqvwtIdfBPuRmgSR32Gz2ZOYhfB3ly0e+DlZMHHKroRZZIU0jbTHfC5bnBDfAwU9FODJ/vs0w7JU I64xZrQ8X/c7UY+0+Az8KAmdw7Hg9blsTYF0G+mMNtJ6u9q8EnOf0wvNIvpOt/6bZMQeEAhsYk6M IMNr8bszQWQA4sUzdhEFEU6R3GWX9gvr+/5dIXrhncalchXVS4WyOcFrhMvYY/EdibMGvGrn6/wL i6SITyjTIHWl3DyWr6XUtANfD6FTmQTmxviHXoNTPUzhAimfBLD9n7FsSq2cEgm8mEBMa7FYXZ1Q mFXU8qyBeISQx2h9sNZvKoBD0pZGlby8airQyKbABF37hvR/IMd1PFBfOiHCwL6yY9oeYp1pn2ip f3Qz4Kpc1ELDJ4VAXhYq+j29leqmWgo0uRs0hUHDXXNJRzChoATP2EhdBAqdqk9SVke0h/RB8jEG Q6fHLamoNoiD8PRAzbtcVpdUFFXn/XChvE1hOVK8zMhWgqD0Vg8g0AXz/1DFJ7CJTaw3uLDACA+4 kPcUOj6GfbdycQM2BJHGjU3gZ5q2vCx32nmdrLJM+yXRu5tw0TNMRvIqOjcMaVgZUpghkF46zw1s LcDl5D7gtQsGu54Z+CyU6FaqGh0hPf7vpiJxZyFB76imjZCkTykOyDzdEpL3y54ulQp1vhqrCJEV +5y4EuCb99ChZJg4aO2DEy4mpyMgzdIXAVAt1ih7hyDohIYdStERIB0KjzVOrNkeskpWN5esTdzh qFDoUQiNHG+vewglQeUIMK+h1OtZhbiBpozhzkQluyLFCPrZBCSas0MqKZPk/Bd7rNWdNK51Oqv1 uCAtFNtDcDnSO5U6aftnwasmgtoK/Zp810GYgg6mckp9mp5f4bNBM8lNYR/NP9aPBFIcm84yqVRA U0lnoC5FO1snyyeKy0VadDe7yTsJWf/CCiBkMrwgwMXU/Atxv6NW6cRHSt71F1gEYT9coB215UDH Rm1OUM+xyk6S606NGB0ibMXiZBvwkBA+O8bLAFoUSFyJwwc1KVCU2DIx1PdJhkOPA449eK6JDgEO dSa087ZtSgCH5RIyI14ar0d+Pw5cortm48ChUS4K29Guus75TrQ9Xdm6src9HYDlYHcwq9HjFzpv mWOI6m3sSlS+NNjnkSyVJZoMKelwzeIKDhSRClx/mD9uVy2x/4jfUO5NmLS35SRu8Fa9OyXIpY+W 1K6u/ywIhdavwnB1Xy4ZuWyx1R+1q62MWHlrT93kU4SvrGDsWUE9MliNYxm11+u13/EG++RcePdS Jhpo2UdiFvPUjq6wg3tnUe7X6lbnsoptP09/ZoOQnajxmN4JlzIRe0iRDWp8r4QW9jafjoG4loon sCMNoJW4lox7rn7gDcs6JKl/8DOxBJcjVTbMPosZ4kJXDfsUFFK5kuNb6bIrmpdXV88aYvV8oyxU WicOQQxmNDeGn2MsIFqbf3VnamNjEkPf2UU+3fNCO454CM5YJuPIqac97NIOd//F6OrwwU8vh4b+ M5UCihvV4crlHVHZ+icNVjOo4ZV3OzNqWkvkPlXy3Zkb3tgn5lDRAHM1IqTMxJed7jLcXDMmg3d9 DdAUh2y9eB/WPbm1/VJKvOropt9x2LWVlb1+hfCSqE00vabIYi2IzHqcwpsb1IsDGmW6EntOY/tR a/paMch9Wy39YlcZ6VgpL2nzvo38zkpo6A3oD1A5r0Og/AkkkIC3gzc581qwe6PFAr+q04GA1OnJ yU1ZO3tyD6lVhgRkeeGXhAzlAirtPkeOC5Lgfcwm87iuAwacOZNmYJ5cCpa+QwTLP7SMngKufC2w fN0J48fhe8KrKVUudT6yGy44f8qN7i/OSKWGWogEHCdFzHQWwbF0pZJnDXXg71O9hg8cBmlgogcN Iu8QHi4sDPxJNGgQREmlgPFGjqYWAivPgOvdey9/lkfFYrR1OfzD37ZCEADh2c3UWHTJh4UnNda6 yqAHxUaYBokk3v5w4cJUGQZ5N8TZWZ58rZvaFMPjQBpDMAYNq3iqbuFAmfAau3BFDWP938APCOuW bG494oOuBWqiB73wIi0zrr8wSjekFUAavssSoFh2yzujczS8/IMbc+DSa9DgFezc5cuNBKM2QOYM fhOkS9guCB1nfdQIhesjHL7nN9zMmO/2GX8sjUtjz5PG4xnkkQ+LQqoMOxaorBZI9k4Uta+SYjj8 xX6r5hzXdd4lCmB+paRFJRSjYtAJUuiCvKsPIkZ0aRtcwCkZiLlH6FsjxceI0aiHEF0BZVDWFKmR UK1nvoWdUunQdAM9+OqvPbd0cmwcwuTdJqH2HxRIESMcuWZsz7aPahl60UC6JaH9YNUsE+9mGQzQ Bx3IqlydzFNr/vrDUAGPjib/02flRjzhRzauKFxCVv7Y3Vk+aPWCgBl8T+LA3Huo4u0WXU6a5JNf Im7jj9sC6r9gLw5rPH/7H3a7meBW8rniGQCbrPMTw4yeGQSZoFeyilxead9s2UiSrsQ7ho8jQ2Vu 6zTTJTi5EqxLqscjqtPjM8U2+rJmz1VZ1JITcVaYMC9h59zmL7Wkpqn2Crm/Pqj2phAZ16yoxf3P FblUJrjN+G1ENHBNlWWXCvZR54yVyDnjsrcin1ZkMGTj17A2VZfK5X7Ueri5iuLxsGJCKf89G4GV N9+41VIoQW5IVXwLq2MN4DKx4N3HgbxYcqdJuZ1qi+vZDSDeLO18Iroee3P0l0XgNDMwZS52gmLT OfMpZhMdQigqH1Lo8SdZ2Dl6CN56Cm6xCuwTM7A40GXId58u2K+OR4MzHeY5HlFWhyzIJ1hlQqcB /j9hBVnsSaHlmoQhZXsVtI1gqzuakEwnQNZwE5428S4v/lhlBeADRhlvjHplvscPDhcnmKIxRSAd 4x+dDvJtGVrjvRaSRw5N8kGh2XxY3K6h0LpJMTXY4ktFWPPRaioh6bY1lk+FNLACcd03IG2T5Sc3 7G5f5jz7ElZDNeu1KyAfkctniKf3bk6ETOMNOvsCLUtEBKE32lig3EIczqTF17eVBngiBCLkxvYY LfXKaU1nSCDvois86qETauDzEA0LurliaBn7BAs2JMK8EvOKFX9fCrb9Bm5dZ1zAs0PgJlhDqbjH R5JO6fVcZQwS3NT5ElRtjAyFQ54l15eXmgP8YMkMODlSULLUid16lhirCUW3Vtmj/Ry6lZAPI7kr olrCn1lTwwDDlC8rrUIV2RNh2xd6gLq7vKK0qj078x2dMyF4SkQyoFMMH/4dHNsue1YcHgTfsgZK 2exlIswiOstlHUbsb4FOhbVwyL5sOah8R/MCStHoYgwsiBs5sqXIUhMzCK8IpSdCD2fVDjfkfYVW DJlB9UZC4nXaqNZKmGMq7aAtENeVwIsQFolwntY202NwUxjLC3tlRH1zO1LxdZuxDxHUWjhGJjc5 2YgrlXU3jDebuCy+2pc9ml7PGkCdV2WWnZxDOn71yLPN9R6ufYf2PZ4sE/DjmcKSDx2bHMYT22EZ vYIuWm5MVSXFs28Izd6vuDoRudHooKx8i2wI5X6rsmNPpcOJdGJVLGVbgAKEiGV0DPbtLr27ffPj VGu393CDryRjXLxvZ2BoP2xCDwTw+ytr7Ls5FnIDRH8HpI9ahJhtdJfmBA1gZ1peXFjvQbLqbGTI R7xFCByx5nRQUrca7HZU9jcFoOA82vmOo9hy6IibpJpSC7Rkdm7kk71pR6YEp91bYTiDumNsctML d+93rgu+YsY5U8Ol0PfWqd1KeSQCf4kYvp6SsrFw27OVYqOo2YevEbZ/sN180tpLq6k90ogqKbco sO+RhOFcQxdQUZBGSg7/CqB98lWO3FzP7u3nMEHtdmX0iBshHrbHeO34EVBtt8Ba0Iew+Pyfgl9O UAP4Yi18/KpNN3DYKMvTIAlLp7d1oqLlDGZ0/kwO/So4X+6rGr3aBvlLN1yKcykSIL9CiSUVjbad u40noPV1GJz3ab1FoPSj0unSRlkowth7cvS2CIvtbaQLQEUWJ2Jmrn9632TGzCrN9Ul6eRkUAzC7 lV5t7H6TZ3TJQkV7ols7SxiL3eFfEv55/vS9wd9Sn8ytYB+2KenDUNWC/tinteyqnKNas/533/L6 vKYUQFZ8A5zCKyEFRZXKXctkx1c6FieWctDpuOxH9ZJXgNkR+Z64+On/nUdl8bd7v4h1LRXA5e7Z Zl5EElWi/Aah9CS5k8iNr+X7nDuw8lAE5H2ih5nhF+xKWi377OdSx5IvHD8CrRGkgKNIjWRlOWUG B8jDlcCrkpvCQtZRnhIBESLkvSxYkf9DrnWbNEkz5eeQVtUWjYFDnk9U7k0w1NouyR24pCM/njgv /sRs5as6tABJoS9RRtWhShc1NfkS1F6RYzlamZtWfNUKEHT12ocXPK4RHQZ7KMNzjNDK2o595qh/ C9PuysRGYE1t0GGeG+AZs48Ofj4anNF4mBy55TLRSuBxHTPeTZDd4FW05pE7NgjNMCcWfly+FmGg u/nPFtkx+OeY3DnzA3D+WEBtHpYnCZLPk352tRJSD4M3oALGAeIhbxHtKjYsEw0Xp/HtSFvHZIk/ Jzd160jYWuFJn2aMRQx3hPQtWna2ZJuOpkTOy7oS1VdzX2GFtUoUha9OV9WKG5RhXRe2l4b+U4tx aSES4a5vPP9E64Jp2YfvfNRsLazF30na+McUM1OhraGlm0rGndFEqkGn7C6+4RyWxpsoLyI1EM2M 30Temq112UP/t4mUQ1+zxfsCbznFaOu0PtfAu/S/6C6QNpwZY5gZ3rZXZjmc8rCqQQJDZJgVAG+0 o+dPUS8KM3a1W1gHJ4RdNBGj5FkHLO5y7fjIoWfwtGLoC+dP5knN++OSJbdBu7jWR6TSu5kbbon9 SHKz7DHVSPNTrs4g3xvkmqM2IjXkTveChkzdcj8KHc+YLrueL7ix/ga+S69ncM0lWLfmtbTgDoaY PKqHObDCP+i3vijG0qbJBcE+ltVR+UXUMdoub+heES28lpZgBgUk7vCfKfgv2iy+zJiV0JZxCiXY v7UDQxbKqYCXjV8C1SjF/mrCitwgEtg9DIdhufoIO0AT2i/V+m8W5pl79FnOm+O+lzyDIqihsSy4 NHHpdSPc6HfESFm1dnAbFTDJmp/TRzfHnbCKQrEJ6wMN2cw29uUpfauRentkDyn9vmZ3xm8/lH62 M1lYaIiG9FdAZxUkRXKyYG6lo8JCWjHyy186T0gP/vCNVbKuN9Z43bMDY5DCTeu1/qI5f/q9tXDI F6moIl+moGWWe0ZZP7pocI3Meuu5oGdqBZ2dx2pvtsQh/NdxiMiXVNxGuUu5is0BibITI0U1m0Vu mnNNMGOs76m4llU2qCXl2Yyti+CrJOCopH24JK8f+64nvZDWS4kVG2BnU5tE0sphI7tg9JcU9fTW +kstEvDOF1QJ/3uDkW81ra6wdjzsS1Magn7AqtzO3KLBtyZlcmPod0rd8N1gFjtNNWguhFiAJO+6 bbb1HeyT2Eh9jrmtZimNM2ztcDyupXtrAzrLUBHgJCWbMJD0x8rldD8n9ZygF0oaRkAVMuJmXdll EwnKqZEDA3gEen3XG8b9ND7UgGxVDs10oRVdVGIjwEw8HMlS6zmJvfWbz4/ex7n5U1yds0nzdY53 RH9TSLh9YqAfyG253FBEuklnRMin9B4lF13BaEgmrTcmlf4isTUZsU4HPLrNYy/8foSWA7UBpCIJ zs+EhrqzFPC48X6SnzasNV6xm67AW/tf1LNV0K5oDrtZYftuM8EPb3O6+AZwfNMkgTaxj1G8ORUX chFXuCs7YIN+hpRR2Uyj5FCCVjMKFz2cpD32zAp45R/Jg6YbDuDqWqw7es5Rs2MeDMtnQiVSQIcz ATCr28WqFfy+qre8GH9hWHBT5VS424Jfoz0nkqI4dLsBvZbZGmSZ4oECMnGKoL1HA6nG6ZJajV36 sryqVE8jbwCMa8PPvPqoKxclYwQJEbiS1ZV4U2SpfFoh7RAIBYIO5RE0V3A+FE4ieDPry2qoC7DO o0OCMojbPE2viqer/vh3+kYL0eaWeRfu7eXjH4hwDLCdq37M4PNI2YMGJxYj/zw7pZzrDxShEouP 88J7b8PjuCAwOA2q8xOAR9vuVKz1MmMbzUPJ0ZhGmCUTo4rylV2PvhdsFSmUYOXD5YKVBVHpFytS 47Ud5Q90Nkf9gr4TH7/x9gEQx+l4hi9wnnPumr9mpjWE3yBCxgEUI3HyQhS7BQoG8Ct5L+G8Q4Ja UdHWpo5IAGq/C/hFsL5OjQ/P1BToLxU3PM5d8nFkdZOvzvbYPeYeLY77LkSEMAzn77xEuwpdca+K x5duitARlOUyutU3e38HAbRHAHU+4jlJYPfpoPWTF2vwbSD5kK1i9Pww9uPBSHr4evfvg3u2cTWN VmQ0juAdTpSU06yHd9vQIhBZ3SpwMXXhabfvIUJs5sZfnwzlEOjT1BNcDIV0AfAQuWxka8MrvM2L Yjhyb5PnhkrjyJ6HOvqo4JQWF7SyTkX39JEZZ1n+YDIGbDGUG38lbHtjEKZaqEaxkW5N3MxkvwhW 4+iVXBVIF60ll94SL+8XjaXT2yOX9HzcqTzDwzY23uQaSqrKAAPft2ldkBU6DeJfPPeuxn4gN1fB ePa+pslA50yHKapYmxtPg6fMQOHXkNRz8luTo+lERrxHzci3g7wNflcQWlCKX5ubNUmK0Qj0QrKu rMNovenEMo4GzGY6gx34TmPNg10X/daXBA09kpTqhjC1fCGfkevsV02QiI0LuoDYmZwCxzlobODh ApmcpomHlDX0vu8+AotlFne6Db2JGuz8URMWwTqyl058LlFlwWRGNFCalBJ5uVoCHU7Gyy+OHhk4 PiiIgJpm8/d49Dxmd/m0w6J9yjzRc8GJi3ewrDEUdtgi78mrdD/j2FNcSkq/Mx/8tEIbcy2EuX4C 88Xq053dc914J4aWdNBZVN5pn7DE0u95Y6k+i//QPDVAuMTpVmaDf3wqJejAZOkQpXNEfYPN1x5t r4IE9T5IMyTpDt4HA1RSYu9vG0RA6TDuRzfYa+TjuIU0GAB7QiTuY9NRG/lmPETkbDaXaMZG1syy i4q69t91otb0QsEPaEDHyLWWcrTn34IO37Qbt+Hnp3HXZqYUhTrO1SQ3qyNE8K2s5RdjtNgXw9T9 5shUW6mZhSptcy9/tY1ocnRt1LzrC55lMqCzwW8cFsPsuhYvICcflr1H18DHivkNP+pXGITTwpsb CAbLxtnm3NcQt+JvnaiFmpmEqt6z8iqtqhD4JpZEMQsl75gL6TYa6nt34j7Eou6bhgF5Uy/23iz3 UpOIT1ZPs/yNLmT5+r7o2ctTJ9ktcMztwE4bp0AWQZgldx3jzu/6oum9XnddI1yXvViJ8U9nxGIM XybVRvy5C2l1wpqqMbdZTqZamZ971uXKJUFtfaLWHRIeG8gUsQ3sNsYEVwfFOSePi4vg/CTV/SDK 8ZpsjmqOnaInX9Rq23b1Nwn6wI46VQby1J1UomukvNSt4LHcKeUTEpva/oWAqwNSVSOk6j8yz0il KDJXENlpyPoHu8er28BG7OdWD74ABF0RYeEKwZgu0CknSTsGO1UM8WZarzOhnuRJPzNPB6HlBJYD 5Z/lpl25wVgbN5sHW9WEw0UxOEJU4la6v3OxxQkLN8G6wCXSVNJEa94HgqYYilih/ZtwiCdFy3a1 yY6aRcFphQ3xGXQdeTcrcFLK/x5E1t9/bSdj2lQrU/bNxlc1BTXXOGI+yCCmedoE85dguNnT/lMy pEuOYBPkxeW7X5KyXj5hZogTPvpdr2ir/qmLOw0RyulUF5qaMMvh2TOMdkh8r3Ki7lIGlWA0nPqz QuS1PilJvus42eIC2ClkreFtyl3DcVyCna0WMF0V3RvMASR8/DHHgRfNC6ldY2/csR6zLdBHZrmI Y/KimlapKKgwHPnj0XoEeWfsfZhToVKM70kEGSEgZ6cGQ84kfOGJyswXGc1CaYyB0lnUCu52kj2Q sSdX+fROT/QN0cKllMfASIHh1MUsKW0PFkfSy7RzpDVBgxxNPAX1ikk+H1hJ7nozeOW/JBskqg+D fg7ETD4vS24GLDpRwFAsNSHE41l1E10EP5GyMLQDdl+cqsNL0fVtP1O1bN7IV8KcdS7q8JPMENGE Nw+3PVNpFzvfjCDgTJfPV8jPUAGRD5Cdtp1nRgF3qwpukMjli293bAl795cWC8fDfWJES43gwOkD w3iis282nb4jBNMIEiUKWbLf8DpuuzFKwht3LLzZbNcAM7DTAY9WpdthZC79iSFznJ7KjoAUNCaz iNC+/H72lAAyURNnIBo0Lu8m8urNAZvCAqr5bTF0yIgytqJQioAK8Y3uduaX9hChp54eSHVIbuZg vaSzpgQhAIUYHyW5vFDwcbEDkXfXGH9Td5DyHsxuELUXehpImokabMxXKmUcCWhs5wrwh0PyBF5q BTFViInVtcpURaY65eDQILeeDpt/RDw7X+cnDdtSD6d7NE0w8Q3RvoWNRBESbbTYTNyiMkZGnd+Q PfZERYSTysG6q+1yinYqtdeeOSsJab5qmbbnxXzubW+Unq6uvC7IudsrGAS9VeXZC64xJvCTiICP OmoradLbJ9SB1eicvz8IsX/bR6yaK+8VXV8YRTeMhOZbnRfotPtRzL9mAeqW//PAM7ZoDEt/HP3a FRBLwxYY1XBJtitS7w8Qb8ZDRuX8vOGCxBimowGBVwqTsGalCzgdZriPv/HD2megHgNrcfqZjFoQ auaYlfwZcc/qLkMtrsyuvf0hMyaJG4MlHuDrWZJmIs51mSfLX/+UJykA3QtxNbVBQc83sqb5zZWj UlGR5catq4SgavA47U49NoOn+quYQ/K+M/csh2Ld3QalerE0DTIfP/hTtOypB70NLwNtuHyCfb4I hjW2Pn313vTzpRwO+V34IDRQivq3P4iADVo110LGkgsyhjUVOKlnpbG0xuzGfJjRi64PUf1gHen+ 7zwFskCOv0VucWvgLnsdt4LqPIG3wqujxsQgRsypiXVpncejx0OmQiCx8571/3Cfn1u6YTkvxgVT lp0N7sjmTDK9HvhMrIe3gsxsodkpiKdn63SD9UkxNkiXmEv4nBkLLKMqMAT7quVB7VGXom7dhgJt DSYmyPUHJTeox9fXtl+hQeWEm3fs2H27Q8QO69A5tNm3K+HQYw0oKOuPHHIXOcAJXk4uHzKMCmSk hWAm+vc3WRdOwGhiJ1FBr26YnmZnR0fUIZ6oZpVahSTvwT0PL4CHhtnC63O4EFB2dHUL0YXjtGjc /5eoyx1BFHRkm3fpcSX/d61ZdQiPD6eT/23tKPniMxFuSIJFphjIo8dJ7CqlXItSbyJy7ZIFI8Lr iZ3WOcBcxaw0u01DqpdYlivittON7/XtpVKyihB4iyYCW4UupVJIixIcrYyAVEyKYAtj57qf3spY cXqVC3oCjxeVoRLcIfpmlnDxZz1ujh09YVD/NRWosGVBN8JWFmO+00MgvExuMqS5nBybdqhTJ78x mvxzk59dJyOu5pfh5Yg1HtqyRxNmFG4mNPPVOcYN0/QoXs5syWtK4DZTQVVpvbcNq3PF2hjcEQY9 EHgRwrJ4Hxc1fRonKIwKt0tbyydIh/MuaTMy4nMvNoAhrBzsBhgzvTWjO3efPZ7li1RyaLxa80qf /yuqXAyP+UXDmDIInalM6BiBW/KchGghBeqcJQep/wvAFVQGgVR6fB6wPcDGJgF+gbVBv+5no2pi dDtImfGHkkPs5FeRAqkuv7L2PNEE++kH0hvYm5Ub/YHkRoLPB6cCsZKm7Xc18TT8rbm94rfJqyNO INqVbn5ZYOoxkPNyF7f6anzeEB3LmTUafxQmqHOFcXFdl12RrtBEefxlyiFrqEpWdUMQlIE+5F5x B6PQ32TcgCfhXJNRfimhVtbvNRH200hz/K6VsvpstQ5R7PPIAnEGMEaPb0zv4UuDAzwO20TJWCb8 eh1bJMQlfa7pwysZR531ajuvb3L54AZE5Z4lHNJFKLsmOWuiRFPJVswKSbqF33IucNqlDir/iwVQ oUzRCpZxHf4ajML8sZPtKcgJgL7w69TCUyqvmd9v7r3+HXRSLhvhSAFWyHxEciQT1ZSwPj8VvqQ9 M0aKDuskmv426dXORKWaK7I604VeuNGDwENbzWpwJ8es2yW7NpZwthips9Su+2BcAHEnHHJJG9D5 JuNY9TyO/VT4JFg9F4DIg2Eu6b9xQEjIo6FLvZlKMpbMS0Pgk2ZidVuGE5z3bWzOEhJjnljjCqhw xwgV3tAlFN/2RrEoq30Ap/gcy3QgeGXaxYTIRkfJwhwllVoXQe+wTXQ/wKXTCjHyX1O2tsRH3/JS t4n9bSWGyCF1mNWu2JKSRnlxmV7f2zhXCqhqR5Um/DXkFSU1R9l9cYnEoGPOge3oIRs7MpmtB/fR eWl3BI1i2gN+uAISvis9I9evrvHDFeW4qcJRjrZG2BNVUertB5B4Rk76cRd9St5j92PnVsmagiQ2 YBJfFAI21KuRjstProuxgX99GQkpaashYuyJAW+3S2KbhSdm4EZ0feuuw2lM19zlFDnpRqfgkRP/ TKr4EMEhvdqSUqjDHiys0emfQD6BEa/gZ4kW/i8TfBrOAfwu0zmj38Uu627JZVMQi5RCqm+klPTl w3fDeraVsZniKD+nQo4k92wflWxSb3tAPLRBXmxRJeY7x0DbkjqmyWjaJ2iUnpzy2TkOiLQvnUUd IcqxlHIH0iYA0rwYXb16XoyHFZdLJ8ad2bjz/VBErHnHis83SwbZvo/RXUqzrXKfW/XojFlMBqFG bAjcAnkgxR4hampUsTPbKLvnO0VYfz+1gR51/84VqCXRBxJGUyZ4xGPgN2qdtLrQ0tnH3la7o4E1 zEYdI6ei7C0CmOaesMVHcDnaTRzn4N6WkrK3JnJF6RpkBXqBvCepfOnrrM6AJKF0NYoECmDkcq68 KEsoWnz5z8s9hMj26LTSzwmrpOZYo+OYSTj2mACoTIsHLJNxOLJ8WMfelUIBBIKvWvOgkcEnAhTh MOofMEyisEhxj54m3AGJNDLQXdO7VnVdU4hJWQ/OoW7cZsK2fA6QMg8bhSgMdToJ8R9KD7e5FiHk eT6jGlUZoI49ABwbeUepF/CPpb3iaolQZRR6/VnKo6aTiYXZXQsHlGww0e9FzrNEWdVj88zQ83TJ 4XYxAATzjDRT656JNIYB7enrhQpJa9OpPV1sR2WRjMagw2e6D8zhRZwm9ldGQPCNMSE2yxsBlpBv RbQkvklq3cZ0vIqvGFBBRMW8Gg6cKVpBiRLWO3/ZeLGWfx9NxAsGqbeHZhr8WoX0VfVtxFnZ3NIW WNzutHn8r/uNEJB16rH+cEl7rULWaQy+3KEZRLEMisiuEVsgYxvcCj+21R7FDkZ1kw3KioZgkWpp PKSefu0g1UmPuH1ZR9OmlbDWFcoKCQynKBfbVceNcxeo9Mdlo4Y2dS24Wj05Mh5FsAkHsS9v/JVE Yv1PqRou+aNIc0KAx38NC/mbAFK3MPLr9bjN2z1djPeZuJ/51QgPGlum3aBmKZpysv/rEjzkSBxy kC6OyCHG8EOC8SiP+QmDo2nDapEVM7dKI+ay/4s53JAI2bOekFn27iqxdT+qYZGGhhemkjKBlzHB 1Ghk+xnRKtxiFhgBihOajABDjrI0pWpCqCpQnxmsFQAZIT5torWqa38be3cxmDkCTnQclOAwmWzA MM254MgQiGRo2PuQllORMTEz7/gB5uNmgj/Lp1iWZWg8RtNbHCa/mtsHqiF1mm5IBoUz1toeZFDj VIBRweZBUz5iOPVYJNyvsuyF0DX/5AKiDMJ2kg0lzgkUSvEkAfyWGD48SzwbCV5AltE6qsV+6Z9M Jsz6qoz39kbKGRCuPPZMT705Px3W7y21fxrkqDqLqa4vDd0yOVqES+kM/7E+Yj0oDOKr5pHMt7Pq 3PBJyj59+VHGcM6xsYYxflGAAup8aKbjb5Q6rvF9edFWTUWPGpXhnk26BDwgUna4sCoJAibHE027 fHLpuLs4QEZQkBWHLtFeUBb8afXhiPER8LfMMz1IsDvWaQizeqVpq7QLWfSEDRtYVJCpak/m5PAd uTr5rAVfLz4Z9QetkynorxEcbfyRrtaXL9GvaVB1Q00OPx+vQZ4Y6QzS9yXXuJ7hLkPPnoQkEiVf U1KSXq53GbWsPvXMoYSIujsKUEJDlEO02p0WB38DalhLnNDg+vbC4bXDE41T8PBZjD72qX+qHPOS rplLGJW6dGYTWpwudaWZtUm8OvsY6NFj36RqTfQk8rmSG+rD3Rz6+80qMbRYQVaG7nxaHHU8f1Fk HwGJwJNFLx/sB4i/nfWr0I5f+R6GHVp9XZvxqqMGfgJHHBp4ME14pD3xoy3kP5a03ZYRwLt62z8a CaCaMEIcX0D9gi44mS2lr+DfvqFfrp8fHv+YjIdP6AlBDLwShopDBpoRtJ+s1YNYeZs1IAM7Z6Xe 5YGPhy49bL5fA3jv8EXMTiOrnVr+FQle+9lEK7ralpXz5tO15JLKRBmEctq5TsDxaHLgquVKWnVk 1DZPd/4o/XgK0l1TwHleydElLGE7lOk2pOFj03Z++X7o3GieXAkVgfCOxVBHpcTiaL4RYLjthTyU 0t+Nqs4x57zk+fLlKf2jWERLNtc1wnIU4SJDOsJme9FNWCpUDlfSJWvlZBMDmCpNiCh5etA5e8+C NCzDgw2qDYwPKGLYmPEvYFcYLK5xXKlZAC5vgguZDDuQQOhKHpPrrtg1Oh9JY5d3hKDow1t/CI4M cGqdw15ARH949A67n+W4DPwcsSxm5mtgop296+6qt9qF/bUss8C82avIoid+0Es+1CDohZsYhry6 HXZaPwVkCfm9tO1bdJwKW9AgTxIbXjfinbvHR21owuJ3PCdEyfbfaa73/TDmycRlQs99Wt0ANhOq /q/u1Hf5rhMnBlt7zkigW+qlVrMZekShe1xflVFKGE3/7nCVglxrIHoLrHzCH8RTsQyqwPYdOtsj Is/qWDIRWiAxd+KOosC7PMPQ8ZRLWji5AkbibVdxGFnTvo9t2+oIbm0L8we7loXA3JN3k/wdgiLm S4MbbMbM/E02GHXA6ZiYjwJnfwQAG44mCXRFFA+lLUt9TW+PEaYRKcKfGuBNYqbupYHvqj6F2QBS 92cdtBeW9KtzxNkwq2IMPwqy8kkKmWRKZ37Ber/JXumo31e4HCmrEJSuztMub0AyfIuqn+c2l4Vr dyqpHzQQ+R2FdccprEFZgeWOKXnFL4hMks2sm1MWm+n0zidQKY5U3K1iEvqMuqeV8GjbAJYYEfn7 g2xk8PnmcpLPIzlozGht9lSASBxTxq5FHLNx2XL7I+lOHMy+XFRUp7jg0VLYNg/DQVuYz+5kZZhk usLQanGgjf7IiZ97yB3YS9+ABb0Sh28VMNBZ2uwMGpApCaAaj3eBJz9QXt4/SphaZZ9EEY5PFvk6 5NZP9KKY9ONJ+i3KUsuzw3C9H53WbMuez3pXULZxSiJGk9woq97Ncawmo1zIxhBR0S/L6hd0pXtM QDMlfrlNUkVMzc7Dgo4HMZa1jgPoHLBTwN1Ir/7G8btWgBQDWoVcRpCWtt6MVjuZ3V8ChVBeHBJP MCujpYNkqSm9/RF9DtyixO/Y1WJQB83L0Q7AXt4HVVE5NKxM3ExDtuBUBqVs19pVAkZD2hPjYYPK hjk+6t8oxGiPW2VqnClfF9J+EMPpjoECJvXayL0JjJAaP5yzDrGSayk88Q2M2GjbrU0oXhofVKlB q/xrnUJplDKMzSSW8DADCO0aQt9QKJHJePFWPo/Y1zcwuTXcZ1kvweDPw4j3TAucOWlv3jTEDmkf be8zAsGT8VzpJGEAvBF/j9oc/ajxejhwSZGMYDNWVxKLRMBJSEgQcQSg7arYIgikZTkywdXjp4gG qkaCO2S1edDoHPrwVeCDHWcIyHTWfMDew2yY7z83VcCAhyRmVXpmHMZv3rzvv/Ed2Kesl+GVaH9D UFfoL2bPUShVLjUHdFC19R/3k19/49Qkvt4dtTuVrfgsltpTJwBoCJA4CLsX97u85Zh//C8E9u5S 3z/x+IrJZIqDygQ20K6YxpUCtTvY5TeQTNh9D9IxLy20Fevnt0ySphgL+U0ju9QCAoCmXkcj/7Y+ GoZ3cDyGzrHHRPFZ21sTsdaZij6fL3PeBxOK329weDBjri0xVCS60IU+m+IgiyhFTrQIbjJt7h18 ThUlkUu/t/lzqOp58txgIiZazbFO494PILPuu8CpI1IDYNF3AfOoumHioR3zbpcGTI7qOJ0zZsJi 5AenVHgXDTz9Aildha7hj9vmMc8TQwCcdJSyBxTQ7X65CDcRp/EaJu0My4qZKMboJ5Idoxf7NiSs 0Ov3+JBH3fkc00Om/zUknb01YZv8ohhdpqqlbgRU/AoIQ46eWnWyUkouf5adsI7197lcXbOUz/U1 c27dXkJpctlXSJjpvC+UWfnzuvXt3mpz1Ai4whE5RRcx/oQPoYp6ezhWmBs2Wqob7qweIjJZlVAu adhQZkFBKyg+twKmgJf2cefvmLGaPOARK1TsGJ43Gw2nLaZDAJqKFmu3LuKPw7vJW9ByuOKQdMLT 9baYNuT6fxAhHE0E0F2v0lCDPsuzHqu+Qgn68Hd2R+17TTXspOnhkLkZ+/NyhsHvVJ2hjclutnL6 xlBD17ecYe0RWpu3+eWMjD8MvJvE8D8T1SypEmVApO2d61sJNFS8Y3XWNLRY0Gzy1Vo+lq9tLVOY diXwrdflZwtk1DTMHsQidsnMoLIY3VnwLGHshKcNw2/KybTOteHEBdPgl3j84nCs8UpXBtavtXRO Tsyjo8JhF6E9eCdIdBtK6Ltj6JImUMyeRo1NxDUfVRHsJ/lkEEmdGo/2a7XShCgn7tLiG5eTB5ws XNi0Y82VdxYtn6FAxeF2BVnwD2K0VM9S616rUYWirE5TZIedbRMyBj2+51SADu6MUZKSL49i4tPd JMtEhp+Uz/LHPHH26EvVwLMkhNd3gf3huCaW3wtaRYj9HazQJwmhcYrlXSwLozZ+ikffTDiDGWYy 16GSBT8Qf+e/RE8LvwA0uDJqJQndSqAcubAUh1M/uWlaa3qGwv6oYbdQ0O1TClCEJq6eR1chXHXB jqEnyRdOJKIZWYESTBcl8HXs8fkGrQNPH1VF/5duGjIcIv1Go8llSIwa5jHJST8lcoc4afmNIa4z SajuLUNcR3vjrzEdWybpNEHFiNnKeI2LumJ4NHPzQNysnG3ePs4OfwTPD5BSpeBq0ymubSzGERu8 sczYP/r777ssbTte2sF7KBr49UHXxuwB49P0bChS8aus5A29Tb/oItoiVWe+65AdslN4wLxZB1G9 G7u5WNGMHQZue/vKUwK70yfTnNls531PDC1uLJub0sqLWiveQ7OZEGqPFcARQZA+E95eiRItOXOE b7xO7mLCUdWNgD7L+iZHs+3YzXjKubEiq0d4MrSkHqeW6tw/ROGLK0Pp5aRFEsz2hv/K2kgjYNwg eThHkXdW0MavWJ+/hPTjxcMZ5KT9uY2V7qPk3a4zJ5F4Mm+qnxfI00D0hYWiQOK05ECDBLphZU/Y 0qSD1d9bsPPDKwmaZkhCJ6eZUQzZYnolTrxhom4mcxNEXI87OfivfHBagUb0Q7VgyboijhiGVOIl 9aCXow0mTOI1wpK2XCYGCbXvodvZudftnIr2B53H09hfXwbVfklHsMOHOTiORV6Mcg+7plIGLS3S MGmI0GA96KdcjDO7yyBOzg6/Y8uIMIEspj3hiRJ9UCRCJCq5woz3EWh9r0UmYYh+KKtzQX3F8Hbd w94+oMWt8aSMAKEHEgX2GcpPc0yuXGNo+tBI0j2aV7B/PyD9A7BB83ywHtQzW3jKJbfKGxuk2eMy TmXDo8wSTTIGjGscEAe3lx0A+Dj1FtvYOJCXKQb06EXLno1L3QMDPw4trQbP7cIg+n09W9ca1h85 raDCsCyj/BeWATloX8Qzk1L7ZRF90/dmJX0mrZBRY/h501ihA3sbgR4CbYTCHFkLSyT7GYQwsFC+ 0/DBDpj9+qXT09/xjuPGmScYxG1mqEM6jiiubq0g+UeBia0RLY0tViI1Rbw8a3iUO+Dqhzy3AmwW 40zMW0rLsxRpMJ5GUQzJGi5/CHpJwfE7ettQO+aK3tYNntYsqkYZnQ6q9QDcdZGDOK8SUCsF2MJ2 n4zJO4MKdOP32N0t87b+f/7tlZoy0SVa9u7Tt2dTGTBm7wX86/V9ln7OIYhLKtenZP/06nLfF/V2 yPSKA75C/HjX9ezBpvx5ZrgpRl8ZOk2KWPvp/8u95tecTXFqkzpLTzCv7gpo823GwXX+gEK2Fphh FGqTcOzE3w1qSnp7FjjbahXv7oC1HTTV9QLG4W8bJQ8jW4sfXP5f6fjvlPr+8yWRolJF2z8ALHfZ RkehpNOWpiuNNw4a56i7CkTPx1gXOkPJFlYKeS/oRqM0wlHGZAdF2xfObJhsnIgFKJBkDvFrB5uo hO2uLOV5PkK9mQW76bFl2W4BMO9TF3W47SohP6b9lW2AjQv5pBJPL4roqWwvNKG8HOucEWp8/bC4 yBVZ/kwdyZaXNphSbUiIuQZBWEh5HH8FZZuIKzM4pZH1Gm65BMN7U0eIJhQYuyeB8lzTwb0QEeWN OegCrh4nwa8E+ZaBpaY3M8SD/GOKqD4T5KW98y3YqKnHVcQ2u4fLrv89senBJpYXN178ZKSpA7fo cNxkloAOXmMw8RGU3j+R3tO/2G4FxMqmBRuSEzx1yJKrqnp3rR0FlPSKjaIQJUAf9hkdxTrPlzuJ +caOJllFEESp4m0rhcbMjHhD03uCv9FEBy/j+wuK0Qvo5sAB5DyPgDsoa05SWtQCszQUa7zYV1gj YpV2Xw9u3H+BE8T505+ofvPXb4PC3Rp6cYLTyXeXmScSQZFeUT/qAAycG5aa+2v0gJhG1S4yg0oa OLHXC7nBSzW6Lx6OJw16iXORhMYrS2XYBswPbp4PgWWZ1aDfhcCC7VAoCJ4C9GfE6SaQGrrBR+rE 2uFkfEJqy5wGPCV8+/70OpANwvpBHwSfe3QhGOedY7ywCbwUFWk/5l1Ny990FJkLNBxeAh68T5s2 EuVmJhYM4iiS+pk7ZNljDI94uteEbgOqVz85Z3mLUltQhugCQdLl/qSlv7Cb9BAvnpyIvKnWfYFD Euhy9B8ccePQP+6SOHkgWJ2KjgTPN48Fn5X3zMBjLcgh+bQdVbUxcempLGhIL4FqEWePv0Qi8VLW 60I7UmyejuH/ffZqzNaN5wTi0PRjypy9SHCZiW8lwJVp59vjhCtORxXiAWZbvGUpbD7EG/3PAVli ECh7F6/2D8lAP4bIMYHNw3/kFRGsmk8DCxBVStacaySH2mdpHfudXYy8Pv8HtrZSDW5MYbsKNeKY xn3L9Tn1LNeDev94gOlqLijlUSTWWiEMOmRz/M9/10Q6e5SK7AJNkMltObsu0JB8cK6iwPUASIK5 5c6q+5nU+hXmfdm8F9TWqpyH+jsaLOInbh34i55T7XMjXL49jZwibhxGz7jynHimwbFDWTDE3RVp pEsxFz5EgvKvYBBhSKtxAYePb9MRoaM8O42dIfU5+bNL6dyWFohte+atv346CCNDu8EKQhk7JIGS Do0bPPqAanm2/hFjWSmo2Yosjh0eAsRDRWnRFSrvutkMScR/DoXO2tBlih5kuv7TpGlVAC7hiFiN 2ZKJEeESZfnu13NONwCxS0HjQWoJ3PWuErVZDWce1g3USx6GWjLlsGznlOFBkXL5ug7j/nUmMe4S A5pa4sFXsL3AKoQBlNrj4xtqjHMf2dAprHcI283CdkTag7LlVwxA9jU89VjGai4FMPCReeKEEwS1 olbwtkNnOAWWGIarqtC+eM7uslWh2X8zInbE6CzbdPTmZudfyRLct1AYa26jp4cq4QanakJmTTik 6xyjTqQDHJtQDq59gEzDlac0k0OHcXU12bNtwWx+mbJ0zH/Cmdle1teIVXFtgC4b7Ssph95+DaZ8 a8eV9jvZtP+r/a/nSLUtRjWPwgQI187ASdbRt5t55q0+BJV65HC8dbEoB8Cv1klR7lrYvm6CwEXD sm8530QCmlqoa9jMv1qvQAz6xV7JLA8wK4FEYgGDvl7900XY843IVBFnaeQB84TwL8i7gmmsFFyk iGexLmcAh76k0uOugNOXqFAxaw87AY8lgl8G9ZysKaVUrUVbT5WIHa1nzkdi98zfTd9XDw6B6XI7 pYJT24Jl+8aqgv67tLQSDFWPQMfzg5w3w72YwLqyxy/umXvVHX+O7fLAevwXJnEjwHnnBKqqdNXK tlE9hDY6sr3CooOV9zR9UmTNgB9ERaxRqsCqd151vUZ9cWD2iUKZPwLYZgDh79FP73ddAwwXXqu5 e22s4qpAYEF7TgAWF+q+m1lmP3L5i4oKXDLt04BUSgdaOJ8/j5mg4oMSh/HVOrg9tkKEhlaCFW3T t633J8fDn69sI0Dx7h54wSog9k7BT+5JgsJ6LsfaZycc5LwxLM94fe/7Yuc17OWm7xF5q+FCu4vj Cz+oceExfHGGn1LRX5TA1YvSP/i71vaNqyY3rNZiwg6veZzqiuh6AVdCj6jYfyQd8EmJy56w3748 JE2YY7X0kBVzdjNpq+PCV84g/SrzYRhzLZB2pWrPj5zF7kuIc1IfN3KZBAOgUodkJecu7OoLhoD1 v1SQFGkbcllL/QfEN50h46jR/eq6MaS69/rT4WC4hFqZKZ2ZlmULcir8DuM3A2+9HZvbIg3YHQ3I jdgclKtp4/tyxdM5+AHuHZVhGyLTnjh19T3jj7ordvqJiu9i4EGRXiSxnzbBxml9J+fTcqjBoKM9 7Gm7o31aoDxFD+oAFT/itlIJnq1RpG+1psf0SYxsUPtE76FaSyGNiGO9S5YZ9EyIIF+DkpjozSOK Hx15HJFI0IA80edP+ybVziDE/Ydneu7gpZQCVdxkVRdFc6KHK1iNDDIVN+1ZjWTLnZr0+yema4j6 fOzvbA19V1dt/OY1TWj6QDnBkj9n78682gnKiIINPjigCwNJ4H2+l9UeAV0y5cksuWvFfiSz5pmd UkwPQdt3Q5HqQLa40xH3/GScWcncUN/asIvys12T4qcwJFCVQVv7FOguRGmH5JyP6Xxdnxx1UNn0 Traaefa8UEfLgZlSTEwB21+cPthvjs+8CipxSJ5rp7Ufm8ZrBl+kVs4nLdIaPc+XbCH3WdFffsFi LLyWfEqpmZjTEbHimR11K0MEkf0KS+NNyYiKYOz5Cg+RtGAOeE9LCv1NLiubJps+hN2Jbe0f78P+ 3D1jb/5RYgygqeh+p9fhI6ks8jfJ4iw3t5wIKAWydDUJLghQFd4xgsDxMnTUsEb80DjOmC6eLQD7 fWCTfxdhlqHc5euAdWc951ydq6CKvgq9J52WS0ApMyVFyJKY4rC7K3pkdoz3MKxyuWIHjhwWK3RF y7ETtpCZ2DF5XD9B691u5TREu6FlITPNICjZK84twFdGzO3ZamXFvqtaYrynmfOeBEUbtoF6dAM9 fd0pyXJEikN2ATZzxMlIYmQGGZDVUHhAb0Zi+u4438I1iq3KI3XO0aQ504vApzKIn5CqP8Oih2VI ZBcHIEJ6XXDjIpyk/3gNKYovt+xgTnEjqK1Vc4s8/HAr6wmeLwJe1Aj7cNdLaI4R5a6bigiPs2Wr ciwa8oblFZTdIPw3+o1Ens8Y6lWp9xWMDLPdiCvUA/bXMO1hsSyqn/6xTyGG+dyh6bTEqpzhBR63 kiZLM3yLupIJ5nWBXO4AMKvcRJFQBoPhkpLXsnNy8qLQOPaM9tlUHoBDTiRWurgv3AzV/LF7dxuP HlapQl/qnIt7RSdn2Sc0P6bvI+P4TN1wCHVh/GB6LiNRB2tv56w1vY16Z9/VLZB5Mu4Pp4m2FY51 3r/cpQe5ax6JMsiPIqfzy5pGS1XYOnfSObcc8rIzMNrpMSduQ5cHVqcnJClmcyhYKeh5Ykf+4+Od 6wPx1B11lGf3FBoABhX/dYYqPj7tyjS7rGuidPfGdpAfymH9NZGhsRBByHwVPdUShwnIJFTg7arb vqgMl0xP3olbzC9Wc/kgFgUiFKmbpQmX8EEjreKEFZgtUDGcPa0nWoT0nzfi6UmV8s1Rgrjs0i5l TN/BXkzXZA5Tl+ticgGgcqcE08xxsk9ii9KnRi24hQ8rX6Z94vU7dctZY7+yhPHAKgZuk/LCAegu mm0FseroPRho02Kcj1m0DRc4DO0T9GF/CT9uBckxkkqC7GoTXVfpF9VGLLMSQZU5nFw+IIGAQazn g68PEASV7mK3vzXkXw9waQ0H2Wbxgx6hguC05lklkvyyNe7pxC3AfAO8nh/Tj55v1F3LaFuak8ye iMSQtEWLdMmzfetZH0RtU+Z1sS2JgDFtCUBsqG5hX/MiuesvWb7/NtrRATIlSjfscCP3CqTdxyJv vt0T6SfIUPxm5M4FImwLJWnxgBlKBtNdCLqaX2YNDeqWcR76ZoxGX5jhRGBgvhCqMmDrpTE5plN8 CIz1TFFA7jX2vkZfaTT09phYVsJqADsh9/9NBIcqps7AMWKVwYw+d+qcxzHQXe2kGMeJWkK/Db71 C/yjgwpFOWmF0sTP1J9b/IQ4P2P9NSZM0qAVYoRdZ3qJSHGzZ+L59yO+LTXf5Nfec/rZZj7lkX1R XKnJh+L4aTfL38s8IVlOudyBOBi+rijKeD6Q3bg23ugcqo2s3tpwBo3LC8UvXee3ZRzXZFPw2NxJ NLbef/oNkt2g6yWV8gSJjhqj4T+wAGgmvlrpIc6yPRlMEKnViE/ilVpxzibh8IwCp8M/T7s+C2rJ qe0IsS7zk5uiCQM10KFzXAfRK9g0H0YxPu23fh6x3Ac16FdL64MjXZeH9DHF6lClT3vAnkfYu6sV CWhXUx+JVrIzFLuXaVJBsFbAnY3V+epLxKM1OwnE4tmrT07TRrq6/GDV1mpV84C5AKbb4WJsICo1 NEUK/OheSKnUT8qgmraEXKYUwGT2ec+AFlDCHzyNdmqYk1s5e0sZV1XwwindM3qsKDSdGqMVFe3O VwJ90dQeDQ6iHWfTv9saaVhJ7DvGBL6rKWP7A3FNn9XtXxE16dhpYgtm5qftU16yxCcCTbAmhQ0+ DRE+gN9HK3AoGTPqr4DUyhEFIDV9SeHXl2M4AKx62u0NHE1Z4+LyDcKFqioZCgTJAYiUUlMEsIxy aW2YOQjz5w7fURrT0CMzIRDSk7jSqKCcmsfpz1s/DKPLNxbKyVrt9266yxAV8rr54jH7c6uzk+fD lO6ZODtORJyf8zfU+eIfkNjwVWaVUSf4AJCjZaBzgmk11jwDonpcyfd+mXJcczVGln8PJx6WJcIY vTOMGNWv+5+CWwZ6O1wtbaNR3vMxiOaVsFWJHSlHWG9jylj+425XqRGlnlyHU3s0Z0wzgedl7hzo u++ZHBKCT2/UCVTGLLJ0V2jL6j8hhj++TU6Vn4IRRShuvAiFxGD/W52JTWfbqwP9Hm75lujVVTuD l3+OVnd9GPLUYDMFuZc3W57R9tHhn5Hqs1TCCor86DTXqeZffgLNMqa+isfANdvfVNuIBrX5n0dU bS0+xo+I/FAOWxlD3IZvZqXZe4JL7nJLDtBkFmHdiqifK7nte4qxYjOqIBBfQLHl8tIKHcZ6NgZm vc+oSZymEjjyRPbAfssBTmw1fFafo37WzXJduhW+eHbps8rYNHND1Zvs9RWL2o/MsQgseEOohF7s SKpREX8+ov2/o+ivUYzY1jlHR4l+IssnpCVzw1Z9bm9nanFC3gASWl3OWY2ZhOMWrpPZTMTwTXO4 Tri9zR+9v/ayX1HiLMUilIJIbfq+6uRTUZ+n3moHN09+pCuOKyiGzQspTva49lO+iWD/TI8u29z7 3qJOY/Ah6DQyKXKv0Jse9S/cyJnwlQmJdOwrlyLmDqqO4P9e+H7qd9GosrXVGprEvC4Etl3+qi/z fFzAD5izqwMn9Hw0WpI6Gc6BbxZ90v/qcZtHrZ6HH2XtytO+DPPupxJwWoyd7jtjxzXW+BZRcjT3 ResesYBFyqkHUzf980mnDXQKYehgHsjdT894zuiVEgGHiSc2S2MkHZxS1aofsI86RUJdd/AQOIoJ Y7e8EFWd9rb6+M8cxpD4YHdtevk8yd3Ek35dKPccYIXQ/K79vdSwGY0Gzz7DOvyvBEGFNC1JOFUP O8XSGmJRTDt9ZfIiA74sONqaufupKOUxIWklzia08MbvDl0Fzt+skMxaOd7g7kJDceTyqoXFJl7J HFH5UILhN2+1Jq0mNrh4cP4lYZZ/rO/8dyDwJZ5n1B0fFfx0mfILlGFLaTTdhlHdaF/Y6iOlHd39 TP+H2XEdcBZi/eFykrlBQSOEKey49/Q3txoGPdP07lVxqmxfg1FgQ6UGS8rJM0OulV/BGV3F1baq J4rIY9vhLDX1jAS6oM4RXu8AxsFW8r+QMrwpqy2BBbr80Or4zBf7ZgXgjD0qKDjCmdLFqFdbHloD Dl0fsT13KAbNWwq0KHwMy2svuRZGgDn1vtwuwPZfmrlYg/Aem1ozHmfPzqDmEOjjZJ/l3dd/TV/y CTro+awqGsobMJwCPXGO5NSXmMUDvfwmofZtuD/O2ESwK67f/np6N72UziUAaCdbs6WRQHGoB1cF 5s+aCxqJYVa2DEdmN6OhlQKtmjOJJ8Pg+ZNLkd0dz/Q+Ih5e0NlwELILFmdievEhW5/tRqsWPzft Pm5cUO3mYviO6Wq/uUaIuyolELZADkGIF+hHNFMjts2jgfPsJwLOMuBeqWfswP0Gz2eUxdwWTC/E ySJPv0j1OB3Zs9pii3pjDtK2GGxRp9GMJ4Q3cvs7ifVhtGId1nziZl6p80nWxVwYV6S7XXJQn7Oq cI0/aO/w6MUraGTroGCABRSRtTcCJErkL0rxZY7IHJr6OWvfOZOcPToI25c3w74Kb3C22aC2G/fL D3dnNlm5Uc6RDr600VciqsZFjPxmvLZpBMM9WO0jiOHfnwlI+a5KN2lvcVTi3Eo5Tuszaklp8xeK 1siSeykz05M0cicUPMLd/m9UnEoYq8Y7U6FODWYD8FZ/mR8/NtxOIt+LdcgkN0o/zcymc3JOzUA+ Nl74P1tTQtABMPAaJpzFIWkA7ZxRBESaEMNe0McqObUcBQyGIJyNUk/69/rGGTPC9PLrRd027Tn9 3D9rsdtHyolnc3UghDt82hBQYv++3iGFHReLRvGjycNZUuF/picTLHRw5q0FfeJDeI5oi2TAIxIW 1OL6vzBuPb3OP2M6NwVeLjw13luKWzZd+FNtSYvhOgLyuRQ2vchBiXAn6k+VvKRJusEzcyWufF0p S8eRewM/G7SsTvFdqrCmXtO2Uy6nNUH3dyNdNtn9lOkjeQmR2UtxgBfEazzRyFFKf2K8J0KzcrzB Qqkq9PyMQpLciEeyinn8sxXw10B/SZKWfWE1/mK3hmAoKl3WUK6/xACYg8h3MK7rB1+u52+zlrRF lpee1WkbVTAnCTKvcTsOUU9tPd7NqJT8onWrSC1XCCyD/cdkwmQGAb47AFc1c47HdNBvsT7ne7XS RQRBR7TF9Mwkm1uJVXkjIRPLpI6H6RWA2BUg+2H72PmWDS8cBDUW6PmGel03zGzLr7flUxh81qCq bMRmFZsfNGcrLHWzeMee31Sqm5MeMZ7S/DMxR8522isLxZCNY0LnCrkxRU7XFhPNfHnBPRG4rAX+ OjKacMqe5mSADs+UCFrPjfayZYSZNMcZNIikNE0TFC0SBapqQ62S7hFnCI4E8e9CwDB+SvO2s0tU PqyMGR1YKCOhEZracTpVMN2xUWxNeHXm9TzSgGZkxd0OmIecZNM1BJUKjdREC7wtt8xejdwkeySy i0FfvJL7z0iD5mvo4mLK01UuwyYDr/7sOnm+oUrMuKpigAtgeWKPi0gXkDhR249CM3C4pOVf3mvW vICNn+6ddLAx0wIGUw0V7zv30K5+0TmJP2byUBy2Hkss1nbeWwzpQ5Lhe3xoYKpFDAMr0aHXkmzh RT2wHus+h+miov1B6Xs/6R5ZTLnSP0eusAGkhiuXpO0OH5IL67GDQQUsypjGZwCIeZa/9fHdPx92 OyYMl7HQ9CzwNmQCnXVZ1DJ1KrpFw4FG13gWGcUPV6bBO0Za7W6ze7/T9P1ZmgQVa7brFRej2fGW kHAojLWv+kWdhwlUDPusH0B14cCOYy44fpd5o2zDqTRnx5ecoTARZpHYm8NT2CRDgZZPUX6tYWp5 jL/saS9wI6VRFoIb/3AEztSTzqqVKb5gLn+ISp5eOWDjNpm8EenuU9ts0lOi+x7UUoG6rnOt7w/e x8okYrRxX3F+LCfJjJJ5KhNhJehs24Hn5onfeOkc84nSVN29pWV2yZvqI7J19rlcR9KUyQG8iN3f 8SkiW11TGXXMccdio7CAfuElxspMX9qK/ABjBkPZ9Esx3Er6oeFrW1V7SryUYcDfcOb5D/a/cJlb C8M7+8TF5y63Enqp73Zk10kOe2mMqahXsbMXmbVew7nWFiSe9LWKQvTqOG3x7pef37XsfiqVfVw6 ZcKEx/rWSXEePQ1vS2w+NbfH2NjOV0M4ZdeZggY/UxzS+NXU2kR76/vTpITMhawKqps9w5AB4ASW HDCz0UK2qjYWa5qqJqkw3Mm98I5lrw5/HSoW2VoVLu8vZ0JkXhypx7ySfm6FXSsG38lKVqrXdRUq 5rkAaUhNw7s2s6ZEyBmDShtK1H/0FvVHF7x9O1to/2CBowSsPGirXxenjZq2grAEznKkqE/RvwtV 44p02DOjSPukIpCIvkOySZ/dgPSRlHh+XWopUATlx9T5Iln+ppoSzhW4v+t6LzLWQW/cWnoxftiU 60+nXqB0QZyrL2wNymAVArzKo8P5dD7GGn7E1oCcUadCHeVQevm1TzJGNgYq9ECfwGMxUyqVHe+O CuAxogaQxQ70ukKMNT1zinJCkbw4Kc/+yZebm082s3mGPt3NHK7nwpfiFvWlRe3nk5rbMuhJdLfc eczjKa0nD3Ut3W+2SWhxWZ4FLhL3wO0fkUfeFKQDzK0ndhgopxQOgX/bCA9huAzCH9ZSeCWzKQqS 3kpUeTts8BIseDTsmWGuBXD6NtSmsUJt3d0uKuOyecRfM+8qGo3qD7E5qE0Yegab8+YGBWYicCGZ fH1MngyLTn7hj9BdaA3h9E0VsUgVJk9ia3kPtuaj4kgtMyRk0g69Dp7RA99sawhEXXB83m4+apq+ sDLbTZ4QaH5LXvwAKgHroHSliLn1G5KN/BIMXPkQE6tqyTyrWBVjO5KEmhmCT7b0ffO+JbMXwTaZ EyA+4IqfGfH/UPl2maIMf5AWXqSroXEMEs6o0V+22JJKtyRE3TM7/um/t7JH8qj93PNmso22lR44 UkJ1Zy2iA7FvSGHmC4UpfcmjYgYI+GYUe3GN0f+d4/VtRjwhfEiJxbEZdebkGkke0qpN+4Jca+QW T7w1Lc5XRrZENegEo9lhTOW3mYUDroVnCSJ5Z0e/k74un4vcGWpRMSkcjXvXKNwMdxTih9qG4Ode u5Rf3vJH239dK+ffSXonGCzI5TylgFI1M/goJbqeHU93QUskcDd5ga3Yqvk8KEp+mEXwmxPsDzgN XdwP5p3dDExWQT8mqXbyfpntPz7zON2A0NMaZFzrHvjSA/LBVTNfa/R4JkArKa11Pa0M4GBuDCXO vbRjA+xZ0MsIJ16uzOM1qEJclbkDJASZ7nl93NWn3bUAPtzDh3KMMB4HDciJuuq9nmPUpj/AoknA JQ+Ha/S0FCl5DdGJVc5KY7iXdq2Qx4i/TogQQHTuvfyCorxbRs9AbhQ= `protect end_protected
gpl-2.0
b2618924b439fe8a38d7afd78300380c
0.949943
1.826101
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_mult/flt_mult_round/flt_round_dsp_opt_part.vhd
2
24,289
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block EnFu5iZ/E5aUb/cvTSolEFbIZ5sgnCJOJtA36pvBrmBIh9swIjFyShL229Foj5JC73xotpZxGAlM VEJqfOs9Nw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block gnC3hlxmCYz0mD4l15fzUPHMVh/fFNqiwF38vf7oglOolfBte9OhIllsxDB7hd7crIIYaIjoQg+N FriV+njTEMM49uNzgmrI3IPhQGD3sQ1a5Yc4DxS5Y1+u549PaBwKSTAdNfcBRrCRbxh4THkKNvGR wyhofCq/ImxHqiLhSxQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block vTOoiAbwITijbJ3fvXQgNvFCvWGU5PWpLYq4rwqB54AmMKu5E5+M2qbDBBswUQECzc1G1SbIx5Ra rf1iykcvXPMbK77+3QGgdlRGD4nclwPq+CByXVBQwT2n5nqZhWFmYHOELK566zK09zGfNQHiSw6f w2/OYA57Yvnt2BpE+oZM0VuSbIw7bbtUkVhZo5rV++wqpH+hLgf3etbU9tko3sDwGZNRdH8Mprwj pQZMqbHzCXDhlIcd0cIRtreaedkcO3Te7s5He06cwkBO1FDupB45fn8qWRcYokittZ9SuDD5cBps X/xnGsujSZq7PFFadhY2PSicn1NO2AT3Ve3tvQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block n8zFcJgwOnkB2hCYxa8vPbjIn8MbcocFVsaT/FgXEU4SaZeOZUB933P7eHGWQk3WziDnXJved0bc udtnqTuwnqgeNK+/Oq9ECLoaco6PA9AG3LQOaVY+aJEpHHMpB2XjCq3L6Esi1ZVkQ4jCnzR99r+q PZEXZ3b2hI60C8CU/3I= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Aa3p0pbCWB9CvO0yj4EoLbKbYAIfFz1yUV46q1TDmHQT+q9SwfgHnLeeHHOvg9cndd4ksfZ2uD38 AXnapiKvy2Xq6A8IY9nCzQphV7RjalgcNB9AvQ2gW9nXzocvz+NuPmxmLi0UlaD2sdEtvSujBm4G bwlqPhyOAlbq9E1uSPxX3d4uRpoFKBSe6vEejFzt6nDAI/fVsUvBZQJc0eSgkV8SGFH1zDY4L+2y hhX81rWO1DZUcSDP/HNkfa5W438zoDkr0PoEDmMe8vBpCaW0QdpeZD0IBfc8101GImXs0clpA/NF ULCXFHbw7y9clj+ux0xagm4d1hes7Ljt2o1oIw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16240) `protect data_block +b6gSwi0g36VIF9pigVZaAbTLZJJj4RLjCDp1UYkG0JWJfSdL1aP5Z4XOFuH+n3fzaU8t42WgyKp 9/8N0qA60woVfBm9j/4Ai2ajpOl5rxWsMAz9ejVGtbymB+fiWiKSN8SP9HTVENRNxr08JfEtPWN6 NjV1AbPhl2FwKNWJ9E8hOTDBCE22GnIBu+j+z7C6CDj0ZL45+ompGr8m02En1z7cVzrabY1ZUNdd tS5zg4/SwZAPhA2wdrJl2e89NhRj0olFOwmBaMcVVLWrkPXhBy250y+vmDA4Q4OOWuAvDMXq6TYU yXUH3Bjo6MBSPbrxbL/pXZhB4w044Z0BnnVqdw95IjAKQUSeaUolb+vwX+i5H1gZ1M4UsnmFqHkG 9BF1lOU70HXd0xGF03nPnpt4/DjsPkEE2NlFS7+OiCCKh7jeclwoeQCCp1AZjCu48/JDARXhWxrv PGMP5LPQbDZVZ33jKdU9+ue1pc/TfUtsG9ivkUnRWsM3F2yZ9l09M5iSekJs+EjqfjSJnDMfFo2Z V9tEVOOJzI+LXnMBX1zNUa0JqLdvLbh3AQBKDd7LoXl9sRMlXEP8wbtyYmGjVyaHgcVRZOrmetPN huYxycu/IFoUcsNz0kDTz2CacsoSKG5u3/YatzWf/G1c5TCZ5r0N01P9GEJdARVuRRhvXPuNrooI dgg+1YMD7sYVfYXotSPQue4lEo8HuvrcmWN3O8agVGFE9SUPf/6TGl98MUQMkaqcepn+BfuTrTmj TRz63r65MvwXq2ll9vrkT9yoyZ84bp6k5v+SA6r6re9Nfb52XEs50ci7Oujw9HKMIgFr9veLizhD WL5ac8IbZGbEVVpy+Wi7Y8c0xz7T3piMBOl/PCQABY/+Sk3Io0foOKfuf5AZwAVQFO+pJWFW6uUL TrDcbPrSWB4+6jO7J4BgqNrzsWohABRa0cZTXHS3pIjZBLMT6LEUbyvxYqDcJjoP4aGujPIAt2Dm uaG1mPbUpxCkbp8gESuGLYFFfpCwZbY2At1OuDc8pblrG/0eJU6/vYcmpIv/J5QHhrwgJwkX9pL8 aaRIyCtgwYEJndkWLuQIGk45B+ZJidXXOg5nT+Vti8wVGtSci6oKwNUp9CVplqJhAWzY2clvbxV7 ByKz2BDtOBfdtMzGDGva5qj0E1BrjRWmg9Iucm7+IhsoFY2MKCsrddcOQPtc9S2Gb1fIWC7HBZyI voHiNNrE2mk9w8nhZNjGGZAQkAdhvm33Sfb2IQWwMzJVsswzG3FKCMaQxKslswuwG0JpiHiTwWNv 6hrm/s6eD/nukJqUsQciqO80YAZ4kXHP6Trj6LPk8F/917BYEd2jCuT9ZeXfEvYgWtOlFDzhZA2N 9HJZ/gBiQXJRw7YjHHP2ykwOoZEmp2Cl4sWQyoeGPqF5Ongszv7kVY0MoufM2QF2RuS+w5zcI1Ti haAH3UIhnU0b40Q3+CVtADqTfgMIC2e5pIoBVrHrGAJmXAYGjMfX6ZyuWjyx4QOpkqAsnCbbIevU 5UWw4eE8W+agRiNjEnY7InBMQWcuXMgKJxeVQgmqW2VVNiWZJD3Udou1okgblXY1qdn2Hvyh5y0J m6FFYLyN3q4sHyBOLmMpJK6eXKBqaMAors5EkaDfwQPmhjazXJhNzmMxBGvcqFbb7Jd85PuJkjk8 tJ6r8VLHo8uWsLcIrYKZS8VJipb5TL+Lj9udVRvAwZo8rb0FKKRz/9sMtJ1N9aDkebiQDEsL8rBd 4U+PUaQMi8dNIiX3keyRRgnbPEmFEKOlScSIH+hqqJKPYLSEBfZIdZHbr7aOTekvaPLWx0cKVaS5 Mgqn2gq9PQzLKzZocE923mhhb5Sm0fWSQIFz//X3lcd94j6h3QmsaIDrCO06yoj/59tAodh2Ov+N KQzl4Xgv19USWEiM+y2bwr87BxzHiHY5SaYEToGty1roOpuNIOCUU5kjBi+TYXk5y5x1Xrg4dDZ3 wdKI/U51JxS63AJ5b/9zHgxpssLIQAdbFk1Pi/vfnUUp3R1tM5kdotBAnmu+7rk4FVnEohLme3di sTAW9wDNCoAc5xpa4wuOTejXrsrXMHPN6go7fioEr7u8un8B+9yi+LmiAF8Bo+xl/DGD4rYiKxLp ipFNAfN8i0LSHgVQk+i82VRX23IjcBZyhZGobo/Ubw0TKf7MHcsbfG10C4j5NGdRWAZLXiO3Pjfl TdO5TiTy+SvaiXFozQzN2sRn+mru2d0HfEfFGkjugsQNjgM2IEGDvh8eg6hYviN///wGtrwdXewY S6EF1G9/jnpSsTBG7IzMAUv+NHLCJpFW8Nw2zx+0d5rjCXM8b5H1Yta0Pl45RF4BgCtiW0al1i7B kg28D9BfgXp1+x9VpZOo/ktIwkLIDQb1FgEI97SZxZARJU8Fn2WMh6R37SA9l5zuWeVgIdUGVLOl zlbQyqMIkiiBYhEykimayVl+Wbo42ZIVPBWAC8lwDEkT003HX1FLVfbnBtNps8vUWynvF0G1qhSt VPADtiqPGn8by+YxWH8XgrbX0bXZpmg3PX34YfFxE51aF0vrj5Sr1oJ1BQmraI3ZS8/9+FkkTqrZ ApK2oN8SGbsDtu5/0pkHkE6Vy+y8XlZUG4vtV9+u5MUMG6Ci1damVn1l8+fAjGCpD7+5OCaxBl+r w4pX647nnEJhugUlHUoJFsuGLB7LsR1hYRZ/FY+h773asrSeMzvd11O/6Jqmaxgg05VYOxMC1rgJ 4uE5EQ6CYTtNllyUG8AiY7x304q/CzpZKCHsn3nOkT8bg8PbPDgn9w7B1K2S2U2ALBqOhHeK6V/Y 4BIc6wr2iRdJLj9zFtLqTkRq5iVcNH9AeMpbL94aqfJnR1SCz3/j2LwmM9L+qcM2sEQ8m5WI+A7O SrW1zhZLtBiRIrX/Tm5dB/Wc02JzP9bSCzG6yANJoi9imKlclbUrd8fMr0Uygrab7v7MZPzP/xgU aW+hHIbuTFRg1FFWxG1NvJJVwvImwEVcjW5MOXNTXtb6r1MOci5cLwXHDVvdH/sHxN+ytoiw5Y4n pbyKYsOlz6Rm2T356FkAKk8iNpBUQFzQZaqPisolZ6c0n37PVl5B2Dzb+pgeLP5uGZSqECkQsRc0 PFNtPKcGBycF8DR0DPLdm+JDZfPrTufLGzKv4vw2MCxH0RpL+arqTjzXZsR92Vgw0pdewGZPbGPC D5EINBCRgnYFIT88DiULHfIaKPlN812EDb/xe78s6c6GuZNji9Qu42zXdiD/XSccgiM/JMHY57pW nLYa2JURYMNOd/RVVV8ahqNB58aKrVab5wVoNIOqhHSRNgF/WkEnm/kx76PoMlNKH9k6IDm+8HNM tf0AjloYo2WQdfXfx+qOuyycwCTFaxrRpcXWXaE47JNo3JxAbUarG7Njcf//bd/JJzwDZO/yRE6M t0NFPA0ETiUMDq8RPJIfl0QAW8Cb2PFk0Axep1ZMv+lMJsAPElNOw2KdyoNwZAZEacsBYE7KtOCd E+47+NguFeTyq3I8izueYwj25pJy7GILDklWofJ+1wFhkwvwFNtwX5fwL8KiLb9J58cTG+29b5BR LNJ77UbRpsizFRM07bKNaZqYff+w2k9nBF3qeh1pvgD9ObThAUnsqjsyd4nwUbcfffeVvudP7+mY IZADnn28KCSQgUfLf85UQh04VSNfi2sTViE6K5Lf9MGTGaGCx/p42QsHny22dNCWT2yAi0a6kg5A ffC9JY6vvMAN4wxe7y50WtuvT+C3ho060yyLPAE1kR334mMbLNFaAf6HJEej16nuuM2SoaZZwWKA xOMr02LMhVfl7lB21gxuYqPpg9PojEJ4XpoT8dBAA3Te4rZ0/P2SVXnmglw4LIHjUkxFVAGNQ88P nA0xmTRkAEmd2Ws4QjN+ooBVGwfZS5yreOOBeAFH4KPFOYEzILmVP05dI3w6ns6txvp+oMlGbGKN gByhddwSNaiVjgqxJ+zICUQXF0pIbUrMHd2QBrpXH/nJ9X6dORSG57N93IIZSRFJuvehNUowcptH QMkjtJmVAmuYl9Su4Us59zDrcSS0lDBD8n3CuLGX6BAJedPl253Dkaj5hhHOh82nat8gVe0XHWxW xjbas1p87UDcaqNUlZkS4M/ltOgS0fBhSfC7mH+mYTZxzUwDIeDX7nv2YlstIu7hgTyxSYqnR4iE 9By9kZYA6d3H9p7WMqPDKsakHKrbm+ya4mR6pJlVPQmx6IvoJeCMNC48m5REq7o4THXWjFb0wcO9 1PNyC5fE/taGrDZmCUgSvhiDGD95MS/4+LuWWinvxm1uX81JdzHWueXwl0cBBSnKIDcyjQmOEMxg 8pKuVa3MnjjnI5YAOjGNRcGfsRqNTbjs/fvdZvJQurJ35BhZjvKLNTq0NWHUfVOL09msRbPqy8es Rua5wWiSZt39aM74hvxas+i9q6NwNQjBniJ2xQfEtfLZRXWESIjA7zLAsOU0tg492W7z4qAJIoHJ ZWFWtKdHa3VXCFBpMwQzk6IuOrbo/7hbM7BdOo2iK3X//dawQvJWatUEIAYs40f3On4/Z9+6/GlF GO3iud/JVTubsC7abqL/qBDikzPBMXAszLU1xUl0xAVk8YJzoVwcMsDN6+/U29/lQ8DrZEQ4iJlZ 2MUm47XQ6DoeXvSHlafswWTJM0OEZl2BLZzSRqIrtbHaUYhMVBKe5PpjGN9rQPciHTWZPYdujWLs csjPfYgfgI6vt+QXcqGXYOL5WIfgopC8T9zBhF2akNsfpA/fu12vFdSvXqFwXEtZAcd7SdeA1b20 gVaY3vrvXzCeY9LGiPDySmRAjEnYWIp3dqLrpHacTxksMZpGzfNMtvHG+F61FZHv0nyyXRdyUiAx u7x6oShY+5+NwA+ha8khy1ScEGbQaA+dpbXWqMryJzJPTeOCgqZVIVNULK7K5y3r7+Lpwp1+DY7n iAv6DewT2p5iddXrmobMBxCDiCvhhQ+EUX4tUbOV0m3FCKfFlskboATFlva5LGbcm2WT/kavT3Qf 3FMaxSR1rFHCF2g0AV4mpTn20RedB2rjS3fLOGEEYXYqnzPnoQv9t523NnkGwSHgfO2BI2x2jClm /3tK2EXhqkL8+qs+0n2VTQdrjEIp6vD7upJ5lzry4q2vsu9S/q+n4eh7Ydz8X0k6box5AFkXWRHD bSmgwOdr0428pYdlYPyhrFuM87WJVaSciX//zK5FVsbJK3d2Kb+Yu86AUxcNc7zYb9BZvehWJK59 fAT7vzAD5CUngWM8/skCPIzaQ4XJ1qdArY4vfkbKzyiDLBubTtY1cBGKlyMq9v8inMDFhkY4fKEU RXABM/OJRpwaKFWD8qcHgiiqmJnqOjzaMtCWeMvZkVPF063enfI/V6cL4nLRZXkP4is87IiQLYjM Y1LqkVkSkCO9PZfQ4wn/c4U2olfm8P3jrfCEvQa2GpJ0tL6G6i3MJnPbqtRt9s5W5pDSHbMRIKy6 0AH49nVCBt1S1VcNAyL3iWrmx0Ud8vkPO1ZEChvnXmRP8x1s26FhzxHGdnFfpmGu2I2pjytwESqS RYUy7r6G1bADlcBVb6qiS/5zmjk83st3pdZ9FCn2Mzk0lCWB6BwkoTa4cotrLdtZvUopjY9AurI9 LAt2mZMCYbU+GwFZClpErwhZfB/52aeQvdJk+xJp8/MaNGtOX4PiRMHXDtFwcKrnO+Xon5lWyoQe VmG6VDTseiyGF9HMU5I7KgCXDwCvKjWhKiG2LVXX1m50NGPGMxZ4aR72dXaQ6vHTnT1/I/+v1izx Kd+d5kx0f5+mqg4hQ1lKNVQIz3zNFRuH0U97B/HuDo28Thh4Kgtj9FgSVagoCNXscEvojNFdQAYf 6jDmZvsm+GQJBB54GqlF5Y0Z7EI+4V7LrbE//ChiC3BlWiN2T8Sypj88dA2CnuT84h1/hcwL4m3n QvjsR9gvGEAOPyvHwuQNzF1GHwpfPKgIreYwRBnEKLl/KM6L4SGCl+9XfbYPrE42ajCTdeke6jCA sqzOVB7HRyvrqX3DX8rKf0CDfI0BHEkElo8uGZO9VGlHhSyT3z1V4keTfd4i4PfpHoL0xs7n3dHi mBRjr9DMErZKNr2u+f6Kvu7Kc8Bd7fafFdtOMmpFMznR1dQOWdUT1ftksIv+y82wcEv+3vynHJtp 8gIrhlue2eBTsJZh2J607u+0JDMiQ9c+pXV6KWodzwliv5+MHLRgXBftzcV0ruZimhYNPfv0Wr8f GVZb9S4pfn+KqKKNY+mt7d+JBERcnWB1cHHaKLMZfXB8tfWMy4OBpne+M8UdKoi7SBk2XYTrwois u9DOC1r8YsHXjtImiBvCA06IykPvTA2ebWKTxZTXZNcqxm3lDk0LJKVGsuyXDm3HIYT5UxoJNgA0 ygX9W2GTwLBimrNYoprqMKdmhHZqlVtqHDe56aw5m7vmQ8Xwn8Fvurb8AjMLVkodr4gPceu2DGCM p7ry9IJ6+F+VO79x09lbim4Q+/e1keNmaQDd1LbLjMolzDOcn+eakQYgY35knNZCPQmMioy6XgTB kyeAT0EqbtZhMybTy9WXcpX4dmxae4CdEKbizvLHQtARxGDqenPAPrS/oHPHdJctbKArA9xu/U9Y +632gFy/fnluQ9vSVzmShx06V7y4V1jK7g/UqJdSDqIH7KLSzZi0rrLNab5icC4ZPZvDYdYXYO66 1oKDNUmKdmZZn9vhFWscN1pUYFlvkNxZ5yJmAAE+gCtN/662Cb2cc+HMQMdJjIZcftGnDu/Gt6ql zxfVgSzcqrLW/31Uz6RDZzSp1kMS65nxDzWJLXF1AFIuoCPWYAs0yYrHsP2CWSyf8VFV7Az057+w 1S6tkD2aTHatjUxoIAvLpjpWP/xhN9nqjmNAKUiWoT/ybpdrw5gJLndDcBY6GZDJ7petIuHnQmeY tk+iNtszgkDHtBXZNF7YnVXHPuo6e9mEVSbJEjXqJsx6I0rbaT508Op9ymnPfZTV0WSjOXYVF37L vl+48u5iu97l8eijyRRXvBFon1MWIdXriNZ7+CBxjk8MfS1xrTRLxqAaHEeguSVlhVCq2nfI0EMx BundIe+fl3OaYhPIB1aco28LVZOz2OEIt9/SNZgWtrhDv99dXSS9jdJRab/3W2iE4tbiryEh1U4x IIEIXrc5VeqnfGecMquyXz1p+5M+xRmE7Q9IObkbnvUvQ1SKfHJKeaCdwEsnwTMfXu6808ERJ+Tp jFv0eMLYRnOIzjOJHOmm2Y/B8+GDwDahHxfif6v9CtwNhJwfBwH/Dx8IFBvq0YU5FEEZ5UfFZqsQ e4d86h+ng0fKTXWBw+6rpzNkrT3xekbeHezpmo0r4Nhmq6L4UJ53s5KRSTD2mDrNO+eToC0uRtQ8 kAmPA0KjXJBhdgQNXdfpRPeB3/2fHQZ0LNNJUVaIdNP3UB31SeTs+7DX2o6nczDsrw6asxUVrLW0 vFpmMggb7O2Lv+T7IbG8dfLinAzOSX28PXlvKXIzrpX6T+++eWNyYR/4nN6eoxiG4oHbIKIW4FEf e4FtAR1Ka96PsNitjdKzzSvNC9zwXo9AkpIt8guC8m12mYALR/jXWWw5ubrQrG5cUAEmg2V7T/f7 G4LQ2iuTQmCI5wRe9+GPV23jrluBW8g8jcB991S+LrFogFrtFTtTX6sSfebhEXOvMc8Zs9gL1YdY NtfpRqL4H1e+7cP05NC3fKRQLHpgrYCWXsFx4uUe4y6wMDu3JQL/EVDQKhFtz/Ay02980AVRhi4q P6UYr9R+PbzF/Td4yMINRL5rhi0e8S+eONnOADO83nHpd7sO5z8NSbOTVnxglX+IlQQslk/DS98p c1ZtElwHfHfVYHR543dfSw1tJSzH6w9U56gBipK4hpdfCJnrIm06qAVMwe5+aCV8yD3KCh/Oq23Q K8XNHHbz3L1qclf8/tN3ANwVxt9UJ0T6i22fDpOXbzTeFzi9p/CgIs/M1Gb7QMX891iZvEWSLlPS JCN0NaBWmvolpoNWbH95YkXH//i8PDBQocLvL+NaXK5BHreHKzgPcWPXETTB3F4GGDLjTawFVT8M SjfcPntkrMrLzwwGhRwSL+wJJXMVYbYRu57cOMU2Q+xw1evLSN98n47P2+cOo2nnbeQNzDtfr4Eo WGFgrnOWdnepGztnJ1DTievU6EyU5sB0Q1DwKNUr+pWpsj6V0ni3N+Ajb/X8LaiNkD3RW+fQLHmm PgtVnxQwlUHFWb6nFnZBX+LjNKi1ZjC7qj0tNpVlsKOKKCy3b4WNMB5ndqwY7EAqON5OcbOrGtZf 5JaH7jPBJ90aFomxzl4T3ANEX+9I2zVdgTiVzUpbX3eAAyc6JEg/xNniFwi33KBSQ9aETzMpMhGl aC8XUYpg90GwSt4mDOOskpjqgcIStHZZ6Tzp20is+EEpK6csDrOtFUaS/NVB84uJ6qRQ0F9BRo1m HLoGpRbntw16wQYt34YbM3J5acXfHen0Dit0g4tfUsGvqU3MnAV9gh+hBHw0LO4uKH4w0ioJ+rNg jp5I2DLfrGfQeJIw35XpANMgnc0pAscNFhUAHIoAzFfa2kW12moI9Vhb9TS7vVC2iNACs2eWC4gk xOR9CwyRK8jPcIDGbezfJXgkXfCNu7Z4prQmvZkrfoQ6UDNjNVUsny3jo76FF5nORXKWpAXTZL/6 NvkhgmBaAH9u+uoiXsgeQT9tAgqhyko8zi6Kd3cCwUSRfU1iD3KzUArjxXSIZdDnO5kRD5/Bju/n rQStnprlnBbZVdqk30FvdF+XkXSI6Z2qRcGTwTOhMSE7R1gcWhnGqJThn4G3WEeOw4ZFf6sqoxal cup90dQFHIGzwrt4kBl0Yw7t47uYkhEAk5+T98QwcgTaSLwDBVgN53qdDdohJJy2FJv9yoUAhGge w4+twodOzFHiDGZYNhrV8tCvq7kAEGFnCHH/nva00FVUmPBxOmSxg+Lg3ur8FaWJHQglEsdvz11G vuTIUjUo4GuRrnyXQOFJsIifg2To1h3uznuUAtaIi5r5WlNGlgNsBHlQcCLd5OLPGuX1KL+z+RBk +9RJBgwitaUkeEIS9s3AlsXHD2VT+zdLeBjYIhZJId6MtcLup4Z85uHScWUgCfa0CUy6FHaIA6ZA 2RN59sOxACiG2VXGdY0QbAzaN9gC5BgxKIM6rQmvIiybMmuS3NDNDTXUF0FDLWqCXsmNoNGQniQC LcUF87cclSHjbiS92X8ybBWshyQIHRk6hhS8Jf+x2Fyoyvjq4CMsHhP7GlNIYMh+kHkHsJwWexOT CKrsLT0eV1weWG7B04ZJwLHTkhzCgxAPtNmJk3A352pLOAbDGPP9+KdS7CnnLpeJrWSIW72/jgMP tmdJqeT/7hlhp0GbmZ/03fPkD6ZRVgnprIUpkEd6FcDNSVD4RK6t0sd/gTN9YNz/cKYXZhi4cqWm 7ICfHFVgmA3nta0ML0e1uNsBg1Ty0t2HV8DD4GobB9bJPmO/1xXDq6ARyzaKXzs1bFeBSwKi4YQf ouiHLkV9MX+Iwn0JIB+GoO1mXV0wBnfoGRPBTVCSnKbYIz1Zr1aihnDeeuJn5C3wlgVK7msMDgs6 +//uPiya2NQbL5kMDKk3619bfqXky7Hs3w/ZfkDSywsPGpQcn9G0TL64prMV8bUYFF/cc8YOUjrm TwQ4wzMSTvJvjOAXo6kiFtbFQxyhk9LDCPjU7c37e6BFBH/5P004HnPNoHG3Qhrjo5dpxsjSyPJM U5j9mEnZAhp42czmMwTiQz4KrMhAQkVHKoYf7bgPIv9pt5LfLAsccRsPaX6I3nux+Dl0H2Es2mKq NGdqsAu1vuLyxz+RztiTYWiitLSAYcsE2h6tsrVtL4MIdV0jz3bUPf3o9RUZguJNijVG73coWsvW 2RlA48SzrIuLFWJY+REwqP5WlcNQztIbE+ZrR9pwCfs30rI6yC06Tw2F3z2seN0vh2TS/IDxjzEQ 5TrIjOxYEwJQmKkOF13H6LqI55hXUrm089WSiVMVucCGhFZk5S5n5Njb7ZenF//AeXyyqTuQu4wZ z8zs4L1ZUvq4gVN/a9S/C4IGqOLDIPdRDlbJuA4Sy2qr0Wj//F0sma2YqQqPEyzL4hLW2bxITfOv EzjHLQR/rfUnhsLBkTgvLntHCJSvkjsitmTp3qrF9eszuNHkVCaqbSQxNMkTf+dT2GZSF+d9M5aS d17zB/4gVEsjDM8NcFIVUtuEE5wlRPA6q06QvbwzgdGZX/lZKMBvM7AB6uSfCjcq94WZuVQ6Udzm RNcnyJkOlyPvxG7Q0m5P0Bqme73Zh1WyiWEOH3Xt3eB9PRFiWwPRMuTwhiyw5fgAFG8xb3y5gnE2 I/8FXwsU7F3ZgMIwRdNjgvSZ6AY9bD0Sgy32rZBxmUgH7mINKZkNkGx/uJP+X9L/lCfuiseZ1/je vwbqKD2k73SpAKHNOoMTPGGeNedy4HN6pDWqv6bGt1U7yRsldEt+2KGpEOmhfnmY1BG9XcG9CMLt D4oLP3EN7RwQC8wgfzlRlmvwkci+v6UhQq0MzvENwC2DKGMh/4yr6nFIHbHKhEIGf+75GzumSil3 0zPZn+otNONBRexa3QfQnQWohb/9zfF84gdGsnVVQ7+M3rTZdN85u9v8Uc3icZIiMllHdtAQGPv2 Xse6FwYQOkpr2r8crDEgTSTK6bLLckPquf2cYmaBIfL5XNBBUFe7UUPXS76s9d8L67syAi4j7DNx ua9nTABfc4yllpHqZj5hyWLxOHF9AYOvvnfOdHA7MEjSGNPjxi0OF8oIsr8QW0jn5+BEh4pa6nd7 XFPqiEe6e0Euv8+GvcwOVzdUoqGRAZSxt+x+Q6q6qHPFtkEqo3aJA4V5HDmxv/QozQRudZWhSF2M +8tzlbfcHx3rIQ8Vd453UljWpQkIsPkpPNlfuHlK2hPtjc0hszUN8rWmtK9w72WXgQB1R1lGD9k9 FDQ/UiHBksf0ahBz9GTuj8b2Xtk/Aiqxi7OSqPvXCjK0TpobKGS8SwD9YYnfQWg3rcRjB6snPjXk /xCjnMYN4Pu2EV6S+eVFZNC+q+IgmaaM7OBr79rNu+wAz1HELaupm8mZgoGKW9HUiKyxCs+aE3Yr cskdGaf15C+nOv5VORYqZ+R9p4JSfFx/JCEERU+AAKWhN83Fq1HiN9u2bJ7GCNwCMQCcpI9gKZfX KKcxv+14LVYXRA44WcTxaYMu+FQLqclw3sTupHgZcoAu8eGEfHaeBJ6KzAPNyyV9SKz93nxjqH8O EEOk0D6H7ULBk2drkNuTKW3k8UV6nx4XHLbxtW4axK2ZeHWgwqrI2kb7um72K1TKKIaJi5NyYYgX PKdfItcjkBm2P0P3VNe8EOcPvBemoLBELydcyqtKENqxuPdV76vmIEF9Iy7vncZ7Nhd7V2gMdJCz 9+BWypLphho2pB6xvbj13Klwgj01zU3OK6r8ANmL6ta7EmmquCobdwhtxE1IOwte5Cric3XZ0wFA 7wq7vKaiVd6JfYpFXRes39kiIwZCQ1YeJY53yVNe9BY0wDNG0f9agVLRfl+jSPy0I71sSzR/QQhL hc7zRahWwSwwrrjAUeWoR6qNQtHEowezOBJIXfljbt1gG4JYa/U3Bwpr9qVdYedSvgubV4sf7M4W VcwZNpmQPDS2j5Fh+nMmIvlTog8ZZCW2OPcA/VjOHZnuk+8LdsGa0taTcq1fvwspKpoTzaylOOnh ERyaxXPY7pBOf0OPCRR92MlfMRbeV2earA6CoKWZ94KXIxacNTBfFaw9KjpQlgdK1CVGdz1wKTH5 c3VSKfRl9uYMr9RjQRx1TOECBB1JlDXxVLKXoEk+Eh3aEI8JpWgQa2GfBrrun9cmazQk2JUoKAPE 4kWUkuSe1wUoYOrqjH8rWaZ1XwJqGXenkEuvIQjuUmyGq480YVyoEAIIO8qI75NKtLc02zCoqoLI ufPLo0RSuPFH5pSL04kh5i0sq0lGubYLhb5XNNZetB8ehV4Wpd6H2hh8Oqk/GcOZKXgY3byWI6CB YX8tC2qUHB5Mf58HSqREucAjxw38hYUYMaXKsDsMDKoWU4jfz2pmraypzEbFaBdyj2N4rfsn9uOr 1uIXlzkJpFSoxiOqe0jH34m5VVLITRg6Kroce2bkUp28R1ETqRfQdU/8eZH2vbqKLlIX5G27JCS1 ZTm0HlK2Yx5Q/1E2f/0KbkYOwp8E59uvXUreml6lp6qTfAk7I1YWvMSL/R3LzKnPDUDQUUhweG+P DRlFTu6l4tXKYsQI1BcViyqoxqVRSIDDAnapL490Nggzit6YaC6Q8Kv5VlO2uJkVsRsO4R1S3Bbr xiiDsYVhjoBmv6HlqqSpTAxo+5L9pXbeNxyCM1GQqE1Zr5foJIgsFBzUBnrpEkA5lbeFJL6bYWGY cgcZ3ESHY1qmnp1272sevX0kviN31vqyYfp2kR3HnVXyhfkpQFn+FxnhcOy3fUcshUe9xavwFFs1 2JLY5Hs6qv9VTi89cTdFR5PA72l5HgVRWvKXeIdrroCldhs4PiBjWrFKal7B/jDtfQoQX+07D6QV EjZJjG+QV39wQ3ykKKd9o8P/zCXPPZCqTPfGLadjmWWHr5QCOkiEl+lBu+MSBXpN8D9wKa92pIya l+XefpM+AlLT735jQ2Ni+MRk1IgoJ7+4BchlXaNmMnGzyJ6C703Fx9y+DdBoek1zuSQvLfiD3r1s Yrfyux0oRMdOtdlvYT84XWPKE14/KzByuEMH+936iP86d8sBg/VqoT/JXj8vk23/dC5jU7DBW+dh FgUOhJ9IBguAGQW9yTGO8ILe0iuC+nWdDYIjklgeGgCc+Idi4wTvCALG2yzmkgy5gsTT3AyVjWz7 rsujLWrtwMfamXwl0Rpf04KNYtJn2Dlm7sY3/szjKAheTGzULlKmeZnxtdvJl1izhaocSVlzXK1c S/9j9fUCAYdBmulhYyZY7CnuWhINzvHj/n0gx1cbhC29PhdhrxSuMR6mWDmZhZtX6b2UfjBmzarT vtEpUYjvAs75rx1rBXDUsPx1unLWpNcsJhiZvJ4RitVYSN6ZFhnPcpabmdRcRQzXB7TRnR3qcZgK RnArTSwd8fWgWGf3kdgLHmjdHv2jnwTBn31ej0PTKViXkOs5KksxkNc8mk0UDyaE9JumN/DR/jWN KFlaemc29gp58N9JqaO6PofgYhi9+Y5tIIZQNYhmmixd53NejcZF9Q1B+sDBg8u5vUQOUq8S0ImE Cl2bCojHun6fBv8ZGXUVXTlpIThx11bJZaj7rBM2jvvJx3wninBzy5av+QPFLboWE1XhidjAcBez XvwbCUsv0d8JG7o0pspX6mAQMC3jwkv1JmmeLSwhGKhEhDEcPWuCCla9Bk+I1YDIe6sadkzq4OX7 i9qGdHtTIql6SYgajAwZVd/bxa4rgEHs5AykBN4uHdrOlDDe5IE6Cmpw+13rr1bG0IyR5b5ujY/f fsHg7uLfCkaIEgOJk54hkK7R9j505r+PDbH/mVda0ly4Mk8qdHNq7e2TJt81MSh1JPgrWlAgODg5 F4vVgCUAEfOEGNCvRLc7hd6BNWlDZ0r9rXKR43eKP90PXrHNZzlth8zJ5DLP+aMwIabcEru4Rl9T CDLQDv/Bsft8bksdJT+L2RIhH83+FQgLNkIfpKZCXmFM+3GCiYH3swv1JVHyqG32aFrI4PVdGAjq yB3cM5HLO7bsWnzclKM1FUJx0ZPQZMNwXiFlSBwo1QG88813uIe2+WpT66YYQOjmiX2rT1pCHcJP 7a5l9z5WmVyklB3EH48EaYVB51/yL0DHwfmC3ufB3II0RqC6SCB09fZNyEgCiWS8tvWEgaZyn4mW rOHrrk9C22QkoAzr63bQ4yVwR+IQgC7FwTfB6kNE+CcyYomY47YXUCZ3DoJnJ4MRw3dv8I525T9L 43hR7DVGBUEzSqU1oB06JnT184vh2mTBw4dIZL5o9Nz6plsuJ4EDE+mKNSwpaQR9c6vs91MGw8FO gYsSLcn85wOC1HCmUPpsHV9XS3whso/Td8e6XbS+TSh9UfSabmX8PKB066nvJY7JJHNYnCh2Ri5H Rmgcw+tsruPtSZIzbfeO8HP0jZpoJiXpczdjHea/CunV27n26BbGLMMzaJ+yPtJHqFtKBr4uwnAv xQcquYSDCltqISKuIG3VSBuUvVsDWxQ3nm7N6P/gjGLRamlyw+CPTbLloWtcgx7FfmampaWo9glH x6Um3QSG+i+ekbJXAWvF1mCpY/y+SMKfFkZyEFxlYZazS00xj0SiN0F/+IOYDEodmp38HP9E/KN/ ufwHMZnzYJLQ7vgyxPokXC0+Iqb4ImAjqpH4yFJTrWI4ny6uoTowRPU2AwT94cNgqK7yAP71GOPA RF5NcwRvuUpcb4Yh55C9bRZf76x2wowQO2HLXYcDawt7R3UweqLB3OP3wLRwM5dUKyyly0A6AvQS sewfpTpEpB0Dv9OgFOGR1/+cXqEqZFrdDpI7cIJG8M0cig79KEj/ZIHarWicWzYRudmicerv4Ae9 OKn5QtAM0qbDeEnZVV/cQHX5OJtwLy1w9FXuCKiUUwZoSmdLTwu1+Lw+NtQHH04yU4WGZaSxqCQn NyCbstvkwwYYCU6hp4o8tVph1n/7eP9073W+cyq0BgS9daKa7vRilv3/P75+x4XiOfbThC8cgpA8 tZM/BqglckNHksjs+YCZE25Y3r+dC+FtrCqV3pytl6hFGfQEketJdti+43RBsBwz/pQsXE/QegPu wTbndW1RNJXZ+174V2rc+0eBjO8tkUdpr0LpBvDRA0+OI3hyO8Kxy4WbTV1rgXB51HqB85tlez/A EYn0I318WAzFjD50v51JXjSF4NvZkH6aRlAX0UIrOb+4KYtUMbHlPQBgLzWTSj6Ak/IhIx3vi6D8 hMbvPW8txKmXYA4DIwmv5o8hMW7O9nrScHc3Imft8ZyT0BDvK2q3QkKRN7/+OyF6a2OyEPo6U+MR G4QRPDrAwTb2fcx+3SJXJRmZ6LMxltg9Om12sskzPgQKmBOwaeG0hOdXEouCxTxwkbK+T5Ta2nM8 rcdV7iSZj7o5EGRgCNmNJjUq3YC6PPkspY0Nluw3n3F/twxYtQJ0KBWoLjpJt9AHdCilcJD6Y8F9 9C8wbl/lHRQ7VpQdaWeQLvVOFykUr/qDHkm4UhRfc30y1W5+Yryt4PKXxS55aqFEpnZgqEVBZs4h Iogf4BZrWgjwhVKWkXd2US38KLS7nydVXVutrlern5BqcpZ5JPj75uCqZ3MrCk+O0CTQVvAwSaGU Lye8r4hjIiE/zhvrNYUBmxghucSG4diU4rzp8jWQjVsQsGBRgv3/8JuiOyr2SEwsqs5oF4tRfK17 oVljBvh6Qb4/v7FB4+s8iqg4RNgENbb6PeBKvWqR2/Jmxe9OXnM4C5AMCh6HStHgedb/XKPmZKVb MVUtvyQY0ZCHLmhqp3efH/B1Y0THJ4Qo7CN7lq5xF+5H0FcGv/OeOvI0gRK5XUtt2cfY58W0eMp0 c/1Km6y8tUu/qNaRO7bbaPGqJ4e+VpCisSfNHZkykLhBfooIChkD7sHsOX+k6vaNLbFZHrLJwGe9 SKRAxq4vygrXmoAyT5bBmHofe13fVimukgUYxC8Xhdm0rj7uJfBbG7Ja6Mm1b4Esxbk0i5UQJZqw c/pKlw7KCl2Fi8KkzhH27py+VY/rgLiat0HlE5X28SQ7Kxkp2GtRF5rklMQe8vRKlLfGjtufHiFA xfRLKsSkwgvyoPZbwcWGZhGGcwVHbyqjUa3nMAXtbJexmzF/+sWyEzf0zzH/ZRM4E+QRDyGOcu+0 A4b7G/YGloI53uTGuw8/iv3GQc6iDHsxbr94pJFPZquFT3Dfc2UZopRlx7EZZoOF+hEHF7s0mRS+ Go8YsZRjQNd644odr97R18iNAueJ9wvVhA5/DyR8Mao/javpA1FwbDPCC0M2LyyvSdnl08tLLeNj ChMtxKct0cElaVt1eJxcZyZ8RVrjQRfhxbmBUq482eikjyB3DQiywxh2hNhQfX94vbdjKp/dZbtd knE4P76izmEzio7PEsKi2T5NxRk0QwvBFzDQhVlEtK/OlTiogqVGuXMMlMSn4rNYqv3bIZvcnAQQ koa79uJQ0caL8Vfh3pFU2quHfinfFyjoW3Me+1amnxkNs5aoSHjoNPCWKJQAAqoRb37nMlsGadpo MkXtgoP9vGTwOVJBs8n2O19Xlb887J7YYDOPfmwrppwxSodgsQs7z3JRdn41LAajZ/qLNfKZcW+B Jyi1KGTWkbCsc01s5lnwy3wJgDwYuvZmRGpGnZPC0q+YzhsukdtDL7Ttipo2mxdzfLcnFqj9fy+h hHOpPCmNksp/ZPiri9fr4jSzMxyHVqamhkxu59e12udyyWPqBKWSxj9RblDweXLOfyi/xQHA8SJn /4za92cpcVv5nd0KdPuwzFKAWB8rWwjPkewPfNf6oSMyOWTFVG5MuEC4MjJJrltT8fwCfNftkQyh eX0BhIWb/gTyqSZV0B2kNCiaVrO4MzOJYWQuu20eurUtu94yt9bki+11hBIH7S3lSZa8g0obzL0v /hWDhWAUyQ0t09MfkIHRiGUT3HLa3168rwPk7/VYOfntrurwuO8k6MJ7UsWi2kOR1s1op8R6GLky ZsKF24m1c+XWFW4fBkAyIJANnJ390o1ztVng1D7Y0o8t5WwkdygpxyzVGfbdbnttVduCUTUxyeac CPVDvsu/0MD1PtWx4QZDgocNstY+BNSvhr6j6ckVxw9GroXDHEfr/aJgLzRD0/UTlat1FTpX21gL xsNMdDWlotv+xKJRCNw+URgsDOEyJwm5KWzdhG+QZ7vLSwSs+jxLZqRbek4LOPFLcBBFp9IfpMwo tJ+bCANNrmXakNws18T7XfWcGbqFO8mrDD3I77tRrYA6S26gOBtP4AR7ODN3LXDaeDhUTI+A8C1k cjIZ7OL5ZiPxdcY1+k2x9QTktJ3pTqlrzr2/mQe/a8voofyoGcYGEPmhXny3sLuNXDvPszWMlaa6 F6TLxif4h76KWKFO2n6buOJMkoH1kNWNOVQAd1x7s9R+0rzYlKHsEoal0+VQbXqs+IU3pRPdGaoA zZiODhGK7X3SQVwqE5Q6058JxEJE0yMGbZYg1cF9PzRzWC9cptM3VnpPFHtze/6eocpC0wSCM1Gd nDD56E3BPiP5SqF9mFWqbOgwAX/Ux+M88nTVKDkweNGap6DH1y9ZM6MhnHmyXxa6hAtg9e/i9hHZ LYLE4Oj5zPRsoi+e7D20jJlaXpq69/lJMktBSsNRUZWS2wO9Zeift6AGTl1+l21OeeFs8EaT1yrX vbh3YiVwXJEu3YSIB+VCIlRH9yDeU1n6AwvS5Zoz1a1ukzSBPDZ65S+pM8Q5EloqI+xQB7rmD0aP CQ3CG0CtPinrercNylk7Jdvf0x/tfqKHxfYHEyBTM4B5eOrvDQJeKU6jrc8BxwUchvUvvAvve5ch vRhvZAJjkQkyfTorqw3NKIryQSGOT3CF/JIxKY9mDI0Bc+HL97IrflFgmzEhdpt1lEEmJ+ZFBq8r 9YWKBV+ia04yVI6m/o+UwHUDNoshNde+ZwnT3YsrTgtmGIjeuzmDYuruZhvH70h3bEAsrX/1iM4M RPtio3o1UvZ5z7Tatc0VPVTLWIs3l2MhQsseHv1JqGlq1hQkeLrn+37sod9fGOUn9XXWbQYbZLyJ cVDVfpQFnbJ9VygYGnMVRh67JRTjK4V2z3VEdYB4sH/nI/dk8YLTktHTRSExiXWBoZd5UfbvRdw3 slMjALSIkXXBjT3U69teBWC4YyBV0mVJ0+4JyvW0S3x4TsMIF5Q2/qImvUyM8mmyHg12FvSXUs5N eGJ5hXv8NhE4saZgilfYznhw6Rt+HXnZpY2ass70AecZSdH8owKnmWjmB3GzFp8r2SVbN1qaE2pO yyt/oPzCv1rjYRKDuaO5OwgLeLzYO4pN3kXN+ATsSeqQOO5igv8m5IMaVsreYGgJjo4IDIB0HPnX /3hcgaNPGYPNLRd9t9zz/qTZUup90prpv/4YvTOkyb8smHUh0hYms6y9nV7fGg6AqqO2w9tU05k+ Hs5yjOxdCo0a0neSGbr1EZF2kwl1jbcgfC/plrinvUzw1P/+Jhoi5BLycihP5sx1K02dkwkBPFSN 2GdlxacKlwuVBgKLHQs5y9HvtbWgCu8GT1Zr3I0JeAq+kYw157w1WlZi1ZKBoXUQg2S2EEYS/+fS WsEPKTwGwWMDlDfL8STFaMZJZvAZNYLNTeRAibvEv++d5XooTpVDbS0aRm5AliRKZyiyxVFP10QP suICB+xm/yah+ivvolbm4fmH6ghP2z5gwhGeFGi0A76ibmhPvIQgfX9u4TaCec/zcjEdJ1qmqjiW TgdhVUoq52LNO06FAophS+9WQm2SZaAmVj9LbcvZ71bw1R32/zmdqwUwaDz3RMuPp/hEXSikZ7fd Ld1xXUD6nuwkHWRgmMlD+j4sHVo1UyptDOueDNy3X3RVRJ0iKBK00Lzfyv7e+gruIygRNxGOixVm ys0UYi3DuvvQyfl68V4K5nLZQVKthso/iyLs39LbZmPAp81CYpCJafbJF0ALQqiagts23YoJMz9R NBUgAWq2sfOksKz2JexfIg/Q1TkY5a07jGZG7y7i6fF8Mex0h1hfFxTyCBkleEMjI47Ip3jIzpnj kx1FKhZf71w8u0QLrZY/nAVCNDqstOL6p3wcdqV8putbIQnYZOXxAhhuQxaOG49AsT6k+ulUUkBi +sXePT3AjJWUGeLynlR67E55E3Wab5+OR59qsdTLTA6MBoV9HnrA56GZrNi3unftCxjFXe88b9+O 4mpwumOTPM06pk8Lf1UmtfkEDFOUgc/szO+anGEtiEo21Kb14rANA++mnUEHDXDYij4GsVTYl0H5 VEUbQRsk8QIyLPcjMF85HJkG6F5y6zS0Ils8KfXlHRL22mWjYxXTZnSLJKcWfPs/pyAu8tcgiunL rJ3CVQDNOR4MbnOed/58W1np5QeDbcrCGDyxioQSWTepga/Gj5d0eU9AUlVca9eYw+6J/3rI2pjJ 2rubFwm7hOTiisovPiEtq7EI5OLvXGzwub2dFbrCsrvZBV9oKy/+CdQ6ASmuVCc+t+dBjMy5wjHn wy7CRUcVnRIgXT/zcfbT90HrhNod17GQXFaA8qj1eWDcJ5HeI6eu1zDjfpQYicz3mSw/adQeDSWP nTQ/MT12Rgp9D/1gNN0hef/d2anO+k7WiddT7/zyP0mcBRY7SEPYfdNJdRHFtwy09Zq7OmCWlPmw BYw2UIpsGegmxhcxU2BOY+a8/dmmStZ/CIwudLPcnhjXUa5aSrk5Qutna28NzCKAwHCI9uUi/qdO AkWXCXkXSgmVnvtLJ2oQjB/ud8dpogTv1Peo2uHqQhzCMrGCgSo4jqboVNQIJGo6+axS7hESV+yc eG2NZjM44W3VUt4n6dVC7S8x3KwMCH1Phq88XQDUXZRReXp70gBHB1MYAXUcwLgwrfTbD99728WB Sx7NivL/bIpqBW8iksoWdtP0/FvADw0K1rsIT+Pu19fstTGVAdCegt/m/CjOaOxPuguL5eavn8on YcacEXgqv1SUweeqftyr/uKdnYHW1KppwMHrk5pN8MogJJGeh6CyONfP3kRghDlYpVrl/nH4KTUw 5MaO6hLvKWBBkLvqSU68Bb0Boz0W4nO4CikAPqYWJ/YwefnfQwBKJebgLkN1vp5fWvrLgbQLQiB5 v0vEKcv6rg4k18VLe+Uylwl0K9q38UrPX5N2Gw7E8rycpJwUvk4vCQJNl+BjlWE7QED/manCCfPH 4BCV5kpqiLfsfCrnlsmK2eurvDqfzrTWM/K55/dqd31bPO5vx4gnfWxxjZTaT0gPwuZI714+7ypy xosReuaHxVT3aopg0MGkFdPUjy8Akad4H48PynWj6olyvlwASe+k0m9LmofedXL21iMwQNS9mb8J VqwOtv54zJd/Lohjzwcv8CIvIeql7OYaNRqESsKdr2qFshD0biB6rWG7SOZJDxxFvX6gn1ZXSHJ/ mYs7Vakoupm4YbsIRIHJ1yvcbzoOlhOKtCYxBb8rac/saZNZ/IOvhZjMelNzZaNTcdE4+AzT+Vys SUI+IsPMawX7hlBjSecyUNnYc3OpSYn8mSJ4HnqULSO38yibxo/8JTSiOBA+Su/pCGHHKM00OfEF CFadxbsyiGccq63Lvmvz+OzsV4x4Cz85Iul7yA+3xOS9vuevDfNG9cVnuvBmmvqica+TB50HVJNE myIPpzQjkzyp0/0Xwgxpb4wwDLK2u7kO56GOaB7o24G4mD8fdDcrLDIjqvv1vK9nDLXsZissGNoT +q1jN5ejuBXXLivfnfJoeq7cP5q8YbQB3++BqNoZavN8KuOvFraI5HpskEBVnrPiwT9uh/5owpDx Ds4iHbbXGxYlN8goWOsg2YtfYepgZJRuK4mE0TvaIKHM9dfw5foicaGmXjf2wSE3YNH9d3/RErKD Thl9XW/7h3A8xr+UIFl81Cow4mmi8GIDxCuz/UyGZRoqTCITSYXBA5gvj/I0oTMZbW6EJD4JrxNI ideRW0NLM4QPmj06B1b7x0Pq4bY9Y6j+tqZ9XnG1XnqXtc1PbcuuRVWZcEahoqR+DDP5HgBtOyfq 2SxlEXV3eitXS3fQM8Uw/o4fyoynK9++VKZNXViQOyuGc+I2eCjwDe5j7mKGPyK0V6A2L/3VoGv2 dtmNNewCIFayOi7x9ivBV8QV+7AvnKNw0k8gKmktcIzyBdCgxLfJRkOpV2KeOW6ivXuuChXK5N2l xPne/w9Xz85sCvpu5f/i7K4MlIJw/unnCg1lHnheOG9rdT+/0vkFh2+m/2+kql7DlXA5BFnhw+TL VfrpXCWu+MjdWYwrga8ZmklHnYzXY7QOxDwSAY19YunFgZIHoiL7AExzI5MsW/rXvv23vQ5FDEVW WT8M2aSQpg19GekriXSlHXbV+si6CARayxQ5oaTl7Zy35X7rBAEkfhRmggG+zmhIXc9kxHT/W3ir yAvZWYNI8l53pSwy05K/mDXXtBgQc+xygBsvQAYqY/Dh0eFyF9F3xzq83ORHB5QmKfy7bnVGhKf4 /CVDcrR3SYIIdkFgY1DGJHAJdQMwpi+V9S+THCLDlR37LapCrdIBATjvCQ13HP5ToBA/otQ5rKS+ wwkZEt6sEKnRHacTuMlr7gEnIkJn8nK/BzRTU29Bgam/OL3sbM34gqcsDbRia7IeqEURUzA81WDd qohB+EMavZHM5P840+yuyGoZ14DpJrChlGiBao1j97rzN2YSvRfA0x7Zk5PEzfr7FhPZA0YTaGKw alBa5O1kBwWtajV5gXkzcKeN9TZVZlYwrp0V0twXyBObrdrmEbOvXg7EmfelBOm86cgI6ZcBwG8X +aaNoWWT1Mo6pOqoQPxquIxwiqSDUXbjdbez4id1WTrpbV0Tq5IUuh2v3M5AeQkAxXW1O+qsl5wX scrtVkQJ+/9+BDcB5yTg8pw8IEJwNhGdX/yR1U8gFfSHyh9ZEr21eoVjOBQfV+3KKuBsfh1ybIii ygu6INOLGCBAwArq3thXnXxSA/iPB5Chpzzd3KN5VqmVQf3RrNnAItnBGwAHe1Xtc1lA3g== `protect end_protected
gpl-2.0
cbc8f4dd7565b77771ffc7cc7dbd26c6
0.943266
1.837153
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_sqrt/flt_sqrt_mant.vhd
2
35,961
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Smeeg3jysJc08xAOb2nOd8FEN8V9es/sY+lTssvDLXD3cjJKpSLHoqpFtunmg6rra+wHBnR4FSDB JFWtqncnfg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block NWlzcmMQ4p0UAeBE7wkq3LRFRwlPrCt5lhcilT7wHJSaexxhufhYP94eOCNH4W3yVoUIUB2wUSzH C4aNdubCvVaIC56v9ns1GtEFwDyZPjGao8JHtuLPQZDakJvyo1CVkTqY5HYVffUIWEifr89zYv+A jURHOe0JmSKaLRJy8n8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MQrfa1H7o7HVmaqtpYsWDtCkuI9lbIkiNZAXKPORAqJKWRyn+pUT96okkwLY09eX4U1WxARMFLJf VUy0mEc9iSuDkjJ8vbdUJsdOIa86ejozLurwjrwY1LAxc3nI0rheT9b3OX9WqPUg5QdqOSC5NmU3 Jj48n6P6ADnsw+hFTRqb4BghXjtG+7ZwvHEP/CcmYZpOjSp/xW94vSvLPkZMI2MKSpf/064NOQ5v ZAvkadPzZeYrcQ0JaOKhmsBkQETVdDmHJXOxofluEJoF16c1td3BcSi0WK3xpdmNvNFw3kfPaQUG y8uLh2cldRm3xpzofMIuw0P/UxhDjR/GamdnhQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block x03EDrz0TLRe76jprorFdf49LAC204WxXikRDU0TCPk4JZ+frcodyiyuXc76/BNK2vWKZgkYdRPx qGMYCzV9KNdHtn1ThO96PrJo+Ol8BOO7j/VGDk+N8pFzE80B7TP5crA0uNyYFz71jW/JZHNQyQNo KqMkKjr2J9Qa3YOLgQY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block C5Ar+kdz0EOJhYtkyRuj0IZR9XkmmypdrmmtMoDxgEk427rdS7Fho0Whu2VLJjFkY9SUwqrpP465 jNeOozuU0KQfvpFZ2fgHcAMUGKjTQzU0+jtxs4qKLK529Qab4/GUZXEnBBp5cAHuLtjiMi5EB6/p H8kDQloGtVXU+S9tVG0sRDnp3S7FjPvPBJRUMDacvg1XQ+m+DQUs72bA5M5GaBD1YMg8qy03oSUJ 4gAZuIIjhaHSoljc5ZNOt8rhjk+VVF737PqBT8iHcxqskwfvUF6bbuDIpGuXkjv+JCwBc6dc029Q apnJ43mMi2uirUTM0cSC0hb77JgNTgMYCr2k5Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 24880) `protect data_block pfzsm/P0hdLuVHxGpGiFqW3Uyu12j6hU/FB+I/6H3Cs8ipUkvq4gNlOLGDjXpnG/8r1zHJckDnVF zYkCfMdolJTqSCSzD5nl3k2HzGaerfjuCbhfxwg4A5HZIDZNAPKPcabRjYpjhSHDPvFRiVANyO6Z JaiiDUoZcFJBHBsiiIVNoXK9Y5KWYr2+FgkBAMboNZWD40ZjzOD9kLNfozfoKea8+LPhChXwl7HE zVQkyvGU5RjjobRY2X29E1PM0Pu/d8G5qQGQfM49Fl/YIUAKyCMN8ImHWQGFMBrrH0hm40ikQtAc iXfEOi2ZynoAo1BLhxDI6550MZHUMpYlqydY6dPSXVEg0J8qFPV8f2QReMzCAPk4xIeH1Zb96FGC +wtiP5sNBwHUrb6nGdRxQngXtCMCn4XNWu4kijx44sGR72xZyxVNoU//MzpBb6otq660/1BU2FJu E7UjGjongLQyOvqO4qSoHX/7BmIbQ+Ud6jyWg0Kf6PRKqj8FHTmVscU/xwBwJagUnNvkwjdN+p1D e1JiIKwYv5YhnQDkL+hSgJh0H7zJTjTsQh644CfSer6Q6cvv5vNUmFxaCYb9zNU+RHQgbnByaE0a dQ3/LKpCek5R1/ArStlKFTIGOTSxX/w/dEcdIo4iv70cX8CXYTDqda7Hir+Q4PauwiN4G+Vl2lnH 3WpwGl+uRVxpNV9EUI77vEhPbCAE+Fdd6scBQ51eVOe9shfmw2iPieLEmd+XCXIoJtWRuZIq9ohp dfrzGBaEjNX54j08y+Koa/8XPwLm2lEr/Nw3Nrd+pJZvkC9/A2sgr96oRgkmTYvp3JN3dzeoFXj9 L8xfYWwyfF+Z1K24c82eMeNgj1jOd0WqeBdmlLRdAkYJFuWZlm+Us72Xy3Ta4Fs0eYI5BAN4Jyn0 2k1rRUScM4SShyJGzU3kfSEKVsQLKBJRxm3TXOWrRKoFxjdaHjdSCMj/My92bp9olKmpwe4S3P0W qXG/VolKXBfBX7aWiujVbpzoF/pVN5JgCddHdH1D3jO0NQ+UEBanIistnaipanbZUuMMzB2lJXjf M40pdiBGDujd93WoHzqtEUBSyKWb35Nmw69bxDXuS5dWY5q9PITynrAK0Z3xnYGja6zWHetTILgK OSSN9wM2Pvm8XkZWOwYcFoplvT6CkUAhVfYgSZI+q8rK1x4wqETtEXTFokl2gjrySEKiyFpOC0+2 8IuWlOSHG/CQMmMrj71THbr9E5AH0j8TWqaKvHPT4ma6Qu0V8hXhhf2f5BAN3jENQwj30yYdzBwj f3pqquToElkUc4ZAOkI3F5SMSChppWRzfAHHcR1WDq516nsl9pD0ixFnvXhbxy1HJHgBa/Vp3qlK DwMxdOoMwtGbR4j4kCIGED7ZHYd+2hP08juRtMuGtEHzrUSjRQhDp3x8bBbZ8VX6CAQ4kl2mF9rS twup5xHQzGF6xopjk36IF0xP5XVlOYDo98bi7oRT6ro3OvDL/6eaT8p8cgs6AiB7RUMKScVBrNhI mxZofKkHpc6HjvqLgYR32NX++Zbu/FUID1q3PNCpqv1YAQHPg8uIb47QalWKogkfCqo6tDy1rj80 uA01StA+gRXldoMw6hw+TAhEG5YjYLFuXHp+WfVgO9c/zr/KoXAGBaVKEfS7C+HB+rJKdcKP7cGJ ackrFTjYBvgkm0vXnf71zZ9yNZezRJcPEo0OYb2gBCnvvrMDomsK6/C9HnQeKauZIBlS21pvbn/z q0D3femoaXNNO+jIJSMNc9HJ5RYA8O/du1oMJK0H0GVha2R+q7JH05t8fiZdJK6kY6CJq5oYISJI JsG9XPtqjjmzPX+mnq3LxQ4ypCT8ip8UlBC8FkXP9tCoUSuonkrF/d3BaCow8qd6UxhoNqN9jddt qA7n/p2pCSlnHzopLkVJg/6b7pldAWXTQk/lNVYC7ovyuAAY5KNVFWE0XvH9XC/u9oT03ybwzWRT Xl9EJZml3R6GyyAjrO7tNOpHMZpYqwLj7D7J9mynS//4MNPP7M9Ri2L1OB++Z13pTskKJ1wWgXvr K0/vQ7DPirsJrJJ+GJyoPhTt+SeBv/atCGOCgFJQLqxdNuI4ZRMmOlGdBnn5sEVQ42B5iCOdfM19 0rKZvkDUIiUALUTIWFVcJgZG22FtQaL0k+T2KNVu5z9bl8PdNKaSCT0zEMi9VSQx4Dpiwv3IZbng LBObKHgu92Y5rQSBvmwoFiy6sjC1p0q/7ZI2/Ru8Cds3zcw3eo65CoX2tmKLwdStbWARQ97DDj+h 9ZprI9Mvvo14HFzmsPzAotS+xkfFz9EgBnnqgjtyB2h2McWEOlbZCreLsP9u/FdpS3o3JVD3cJlP vOxUJ5vsqsJ2lmEeq1S4aXqLkzzMzOR6xrCF6PdvlilAAdEcAVoDWW9tADguEX4YA9icuhs9Bpwn shkcfRJUytTq2Ld0c2xadxSIngC1P8m6HFMTAe6ouY3qyQyXP3XDirLLHhHNNLUjroerLPCPN9jT 0I3KP/DUKPbyEoD1ZxWJSoWMWUxAgP8IBaMsVepOB37mXdocjjdS0NB7yEUiiWV7cF4q8pEs2kEd 2UzYMzDyIz1Wcm/hz2c7GHOfw7E0u/cTj03QI1Qtj6YJijt+A53nzSQDopuN259+aL8FuZfu1b3U IMjTKbgCypgHyXTH39Bhq98+yZypziuGQHyWURzAU/Er7xOaC+Kyqeh8lFwYsOz/f8/nm/ElOqB+ D+ygsQjdeWq7E6GFwhvpoICOOhjGMJNBeGSOgWPl3GibYWHffOPveX4PteQLV6oR7h00nCobIRL+ tMQsLC8ELVVHRttmzj9IF4l/4eLJLYvG9O3+fUbN5K7iBwXcDX0O43Kbfb5wz4OvH0bS9hqvHRZ0 5rOIoSD6C1UY4dJ90Wm7GxbpaTIjw/IrBY/Nxt9LWUpBSkJIzzDuhYMhccpovBQqoP2CWkF6dN90 ddfeECJXsL48vY2aSxZiFqJsmhpg1d2i/Ag9ConXFKd1O5c+L9AKCp/uJ6K4QJ3OxH7GPIlL/S1Q nIGY8N+xoyRDYhQrzGkoblC1Z91RyxOTTxWg9k69XVulOIxxVN1UdUhcOg8ohI/AhV+iVvKrfzfJ yFkEqa54pa5xw8qjPQXSwlmSV2rHm/gtop5hw7w8ZXjvJOU/gSDx/CezsGgpYQ0KWCCEuSAl4eJl D/WRdGX7ufUfSuVTgafP6R1wOWERbJxegj/YMWgn0yztgueMHIk/6qH2g0rGgPvalKpjF09eAk6O +3N5g/hM8i68Ajj+oZN8wzmup1twvnrBfN5Xe8UXFSkWJHiWuWc8MGGU+x4tob+yzOwrwnYCiiur nBKzlrof8Ol47UHAO7vTpx5VVzkHZKuGe/vbmsIalQql1LCVy3ILZKhkKdfRcQsaLf4O3H6g6rBj uYK9SClUUBt8mSG/7Tt/jI/5Wt5mUYMHbDoNS5ENX9Yp9Jc7F1u9W+1fe9pPJEQtAV6gvCjYNuza m358fsL0U5QHr2VqtO+2vdjVdOALKQOiCSaNl9F9ULlWDxtUkiScIos6NNnms3uOe7DdTG2tNUBU 5Fhx2QibJ4VcWeNKz7FBzniNpIVEFREG32g0lQ6pJFe4ZSh2jRt3m0q0AREAKxepitEWM9+V+HPj 8SB0Oo/EWCQTMX0DRfchTOS0J9DEnlyp8cLGek3ux4grtxZf1mCwwBP1HfkgANiExCyD1MeGY0fn ME5KKWkqkQ6f42TUBEjxmts7+E6GNLoDunVgxny9odMceVsMLUZtCp+S6uiaFAdIguBurTMINj3B Rz+HuXnAnOPni7MpgxgHdlOWC6yh92AI/uK5SeOg99vYfoeZOplbR7+VNDfmitn2BVpq0yh7CUKH v+GIj1XQIavd/zNp2s8C1Q5tbF/cAQY6TWPAp4mI83y6PayX/hBtgveXfjrabEjZCtjkUbx4tyrM yfVEE9snRtsT8rxEDXlixop4Maf2RpYRSIGJMIYkobpPRJ9DhfCRLQNWTDwzu1VT63BVuPXguDzT p0GI/rR2W+jLCHOKHGK/f+tYv4hFskXGBuGYtEcZ7Kzcetj0XDlZ+MPTU3w/wrfuswd3XVEahUSS AcpYfOtdwz6Vrftcw7SOjuo0lGQnnPyoE/kVWIK4xS+yDGO6Sz/y18GmKDgk/RBw+xEBEgREUwWd RFivlc3TNseo18u/j5w1a5loEHVAv2uFDoE+5pAi1Nw1JJR4azx9SC8Lw5k2OODX1wkCjw349pWe MbAwVGhDtf1xEZebvQlID7e1NitPOvElxXIpVTZvvW8c8sFK/QCfc0sKqHmQIfiEY74MEWmKRx0P db+5l8zQa9fPmqbJJIGcovW/AlJy/uDjot2Q0RfePZCxDSb/MCiMm6bOF5t7+6iXuZd/s20ZD2VN j+jOhjJZHn9x/FYxCUDN2bzRN0nBbuiLoVsVdlI068nnhJ/5Pf38xGwNT9Xjl3kJQIZXi3zz/msG ZS5YMQsRlCuYilgasKjCKIOFW09dqG66+tIwrCqLTlO39Q4CjM9MOk+Y2NQ+NIFBnfjHB6g5UUCW SO+86WS0KHKsaYUwwof6kByhxR2CQvvTg1/KLTqR0/AmbFN4R1LUGUMtpEXPf3gurNY6mFNUDjRN u9JqgMiVRHbbSVqNxDXafskKeESYDMIJ/ns4kWRBRcx83kWJGKMb8cZKEwRQ1I3WclAZH3HOZT2t BmnK6YlI8+gSY+RsiKx4VIfP4gz+cEWnpfNHpjMrUG2BMMNdsTMQ4zlXKVavKrvSgVgkITnJc0ho qYsTpX03tdoN47a22TWV8DDiR4SleqYucbNhsuscOpjWewSBdfWvX6/0TPD9/FbOl6r5p84zI5sF VL47VeHeTvkzVk+S2nRxMQJgkohr2yUU1arsKJHYdQ/RFe96jl/NY2uEGB/7w1QtUh1uZDPCXfjb z/eOXbc3o7vWYSARDUmPWtsy+8WdychSpWpTGjB++vNtnnXMM/E7YMStR4HkRz0DLd2hyfvPZE4/ XH7f+kjgEl01HAvLgc2MMI+ysdbcHGlNUPvfPYFGYUvKMIB3PyPL4OJ2MGfnaHjvN8ZpQqsPJU2j 2i53uxE3QVGaqjUAvvW9IT9f8wOXDwd1UxOv9zAq3frY7Xq7e200hzzxUvoeUsXj87lSpFljwV4u lW6MenhjfINQI5MG4dcKQdI0e4gE9vOCfQTXIdvTKP5aRk+/diAT1yw7QjDEjWWayvzuUFSqV7pF D9hLgXM7EkIerhT4jSvQBWMbwFO6jidG5uCkL0DJjro+dFMFj91NXWlhXWauy9zGdFNQF++XkICZ 663gpxpCAGNQ9s/3+dWSd+IWMUSZnWT4vOg71Jc9h1HF64eSmuZZvRH09p2mUyoetcEV2iCECiaM XfSytQTaQ9Sbq0odNua+CSD6NalpYBqceicNgvGfLcTmRtpl7f3ax/LFRx/5kLiGtbrwED7VAWT/ NgceqoVuIwjUiv3gckp5TnlGGk4vhMi7N9UvPi9B/EVvJNFaZyhGdm8+7mqDLmu0kWm3wOXFEEcs KDfqJ34ronuRItuyxOxQ9Oj0AWgK++Bjb+OdwbN4H0puEirHhzgDxWmaWekH9ekKI/L44TXpAHQv Srh58PFvnq2eLY+nW+A0eZ/2HXa315OmCsN70UsrqHbp1eM10J82AJoB9xTBhlIjy19z6WLpmorn B+MFtgqUmaAOfp1ix6aTM8Gm0bYdWvH6oBYRUToKPWWRQHq0x6Vo/XA2lyVsadyjSqAjIQvtZsyV xvS/2rlt8c99Mx/dtzT1goeZfjClWvoixSUXL7VjpPnn4S+pUKDVGVFDkOUk0G+T4rWPSLoEVGjT Iul+JLAnOY8w6IR6rwrJArFl+P/IoBhdPa4ajAity26ACAn4YFk2qSMgVTYGwhjgRP+Z5hWIKT9L fzzxuCpB2+CQ9AcdnsvdvaLAJHkVyzmBderkmitU0ffAGuUUcW5GOnJUhYrjCYCZ94IqK6kdcmXk b/N/kWSfbqFemF4tcUxMYIFQ9RRlu9DEcbBNDRZdShC7+xf92Bpr3ToDXk6ghTArvqhr+1Eah8AN gke1B12ffvjN2i35bVWBcGCur+RNpES/FVXol+oYrTg7qz5fyDGSDWUo2sz/a/u40m7jMKLC0PDZ MbI1uKoG4lRePj0ho75qSPPEeG+Ebuxuuzd0tBmJA2xrpWnUSyctjb8aKyWtHteT9E0EYCTHIqiJ mAf7m9oanFsEM1sdUeJPzSWqpyjhq0n4+W1erBhJTVAzlBgsuOOXG3CFjjzGGNUywB3wKrKIit1h vhx9nCQO3tmprpza0IdEoXLRFS32xNntdAvKSC8btOgw20gHRjb0/qXEVuK40MLqZeUJ9wTD6X27 VnBcHRhnRsFPP8BkJ4MutuZPO0YqqkVjhISdPEGPuiSdzA4LNnWMcFEC1OwusPAYGyGJxCuqG4UK K2hmBRuSUzsKMkIoDk4aV9OP5/dMSCiwWfUsS7AvkE2FFslFIQZUtn6D/80WYQiGq/7i5FeXU09H NAhpK0Avee102B7ko1gW66byzg0iirHy184hKIQEfRlw9aUhAzD1EYpVNCMUR7ws2SwkVwSlIqM4 8m+ZsPhC3yXuPDvKSGm5JcoYSp93pfdSODT5OadZXHEyaAhQoo7718naTGNgeXxAJHCMsxOrxcFx pgHN9mRrPlxeV2voaOwUc5SWkCXXti3kZ5e9Q0N923Ol+wwdHGTFqpVBpNmWua5rIt9ZemMhcdKO xX6iuOkv90/au8UGXpbPTjKp4cuUvtaqnUaeRtmFadU2N0Vx2nCeNMljGPl20bBR1GJUNv9swxAp KxtKVY9ROFTC/en3WyZzcjtmjp2H578LSBQhBT+Z5ke5XLl6oOYFFhXFVKHvWOGbev8LBwhwF0ZM XngEq+lJPdXoXlmjnDOIo4tXYf2+KouWj6ONv5aDM1uRFUzWvWX2zhuJU659uRRkFsRzveZhK53l EC3xYUHbStC4mRNSDZ1y9KcdKePRbZ9nR3Ke7jdt57ZiNsZPkRoAVXKbZ1JLz3/BojgiUIF7x0il mxS/0b5cS+Y+wle5/Y41qdHcAmyXlIiPJ7+sTyulf1NkLfLNe4ZlI9vzYMwsdrpPbWslhHtk2GfF zs14WXg+WU7TxQsma/vTur7UliQmgciHan7/oFaGb3eFkatr59AYm93ieFDeSrKa7w7VzWfRiIy2 0Bkm7OCEF0NDqnJrTonXRujeAVepXYIn4gCX3079Hma7Gedo7SKU21mzR6MjkxKecDh4zogJdJMu HAwtMq5xyf5SpEnPiJrwMf5xEG8QKguZ71EdrmTrvGLtSNsYfQATrSglkzm6by3X83VKe0LVcPJW rnTZOYQgdLpjdeM1MtlYCTL3HNYFUOouZAkBLyX6/NYyaSe71qPVBKNYy/FP9ZUtp6Heaz2Nfr8N bf4xrbFg5+xNcZk2SrozDM0N5owIDYwojdEYbArQmRMvRUVtuSv23UyNsbTPmBv5p62FLgciL/Lg ZO/z5p6gSTjHhBRQZmOcz6c3ix0BBpg79YuxYyKQqy/0Mpnh3NbpfKU1RGiNeDHYTQMJ28tBgoUz BJK1EJjL55buOiCOL9XO2asDuLH1yRtvyVHMLtBT1hjgCSF3sUXry+LBHtFhA2wYvULfvYkXmqi+ JbG0wcOGm1fLZNyZilXKzJB9daVbAtdE89e+D59xHIsESJWPtUtSuq834W+Yf4LXTX6EErjGOfM7 dkVQ2ohtmeiHfVZlXHnsIw9egOwgz5ejaez+AuC+IffHwYB+INZk3JNgB/97g5KLQbt9XJgnjWST u72VtzKj2IL+lghRQQOXj72irxrrKpuqjbDhfZlWMqwUXpUdZy3LtIi2HPeGScNG08M2RktYtGO4 U+3SwVJcu9rgsi5PYa/JoWkfuoFanrlFQOE/7bI7Jo68DSQyvJAYtq3xBCYoq2py6bea1DTA8aCZ 8fPYWGSmdku4uKMxYOMdiISkW2Np56KcBToKBNTDTxGRS6FlRpuRuOPKLQvzuJil1W5WJdAZgTa1 8KLP6XMBRAG8Eh69hf9d3i2pCbA4qRL4tpoGH4iVYdab+0gWgxDdV2gUDGrvWjgDkJtg821hhIfa Z3MxTuZQGkxXdOH7MlgNE1Z7zwxblmv6lb/79+IOTiccjQjmgtz4a25rH1QyF2WudiYPHgsHAlug wPE1afH7L6euX0qmLnSN/RNsf9xkKEeNOG5cVPKxjOws0pKjSQHeVvuayNuY9c/Cy9mSwCaQXsCJ 0BVl1erNRA6j5O9sAMYsjFtTfyK3YhgRHsMNzNVpkxRqhe7mfMy/9tljrID7nsN1DkEB57gf3oHh NwYipDraO9vU5iajj5XLkGez8F24T45A0BkVrk8A9IKQ09V6j2Qw/2P13vBCgID4ZTzVt3uDg4Z8 Pe3XLriqpzl5oaLDWpoS7nWWRvN6nDO7jbhpE0w5/J1xpP063mbW9MAFMrd4Cc5Y7u9bSBOeQfhk URZTo2eBiw/Z56ejY/8h9qpupO2o95IHBEozPORwnGniOECnWAUizxM7dqjfUOgtpxNeAyYn45qO TzlBVPSsPR7D3MwegfSEfh4bbDatvFLtOzXjYCtGVfKnzLJ4A9ho3eUu6oAgViYLy3RmU/nh1zPq HOpiyNEkd45yka55Z11blq1ogt1JE4mWt3Adve0GgReGL6YWYqeXrQP6FhRrd//U5qiYEIUdvK7p gC4nJNOuN0GNnB8FsYaDavDkTgoXinJIh/5qii4qBl+6OUk7S7TQnBRPNwGeZbNyOH1/t9FI8k2n x/OCW6u48xE4HwxaTe7v5X8kH5JqyMLjoTToStCKWogCUGZMotH1TWfQqgatPmtAdAp45SO90iSo u937US55JaYDuz25PgD3QKyBsslKK31vvJv8cjMbhmNQVXdAXRIMcx/Zqrpkm3o2KWWd/LqSdfuQ jUGsGzdwqNZGYGpvGkz+MapM1oxM4ILNJAszgJR7SiTBlT0zqesyhJ8dKwJA6r+ZQEVhvuA8GMR8 dMx4ctWgXe5JdZ8D4+CfgA19SDdGhsnsAyCzzOT70mC02SLA9gvl/MaVWPS5fbugFJYxmM1+jSVx cTKz3zSIrvtbvsiW31GiBFsVmYM0w2BQcyB94aeeJ0BYayoZ7+Um7iDtOGPutPe3P+twLT6E9GEZ DEXPeFhZJSkNMFsA3tKeV0HBRmFqFbKVGEtD/AASWwKyiGG3Pj7Jo8rGjQkKw4A0IAjdkTiPMDUc kzuobp2aBSb0l8ekh3VbhjJmwC95Hi1IvHgjuIvqpB9h5x6y/w6v1SQxR6Qb8WDWxNqYfsq7LX/y /ogb5DGVQcKi+BqrTl1MN5j/eAbB6LCIUQcReWL4O3f8fZxIKYjPLdfE+dgY/gi61uCULnbdXn6n 0nld9V+jMh+/uMNZySvW4mStuDAMaLqUE6x9kfNVdNAFinxl7koM0ojE7kr3KArIDCuUM87uKR1j gI/zPtXpcl/PLMMlhrn0TH+3AyfcU0l71nW8k8QUXv6flZxw60Z7T5yUJBKd2TvD/Hru3NnKnwxz RSF0AVLa6ijcg9yxDLmQAedDL3T4iNBitBhHYedBsXcaMZXJazFrbMTCAoaPllZC0hQZra+vxOOY oZNjTf3ofzmGFLocgXxzL2C743Mq/VmyUYV6v88KS1jVNEkZBU1LEWRdTRmSry1lxQKBS+FKpKAI DOYb7ENyEcseyCQtqt2TIuf13I+lvYAXptaVP6qFAcjEybpzea8T3dH2PIxx056ukBE0YLU4lWxQ KweH5HcPwm9z1JeZyh29LpiT1E0S5FH4tSMP0qWa2/Cx6hDJn+Cql4cya2U+SaHusMRbhFsRGQpl iP3NToktDiA86cPRyAD2mawIUij7dk6cB49Yr3Oo1OMTb+LAPrAGwRMA8gPgYPSsA+GVUm6vC/8E R17BGB3K4cEFFbpo2H7wjdvx44gety1etvh7jEuU8wfcfaYsksQUyN15BXy36Pycq8+KAtQY0M38 PtBdp6RNNVv9oq3dZqif39RqBn4RxmgW8WF3lNUJzHgCz3o7mVxZty41XNcwa7CgXEYlADJMlX1R dfx1t7m3HLUIXJ7l2lGYyafn03BJGkvzzAi1i/o/3IhJ7N3fqp1qQCoMKcCsjxGetL+lBoXFYAPF ZeA+fJSpLTNMObIUrzx0YH+D4oPvrdOmsjOPUS3yWix4R6Qw+OWFhMZjMwYtuhVRKrh4FkMoR44L Te27+u0G3BBUxvgocOXd8jQGGgpl/Ervj21W59qFJxEox+k+0NgBUclMAhYp7nLGgRsawrIT9ys+ LV+Wtj7QTauGbrxn3a3tXKU70ohiOJtYL8YxdonXNQpTZum9e9cnB+IFfpEsUz5QzwMhzkazzX5x xZVw/j5FN9CLLzt2NiF2fI6r2O6oIkLIZL0cP3xs417grFyrD9QKnRqKMfEgoxOiGePLSdFiudjL n1DX0GzI3rxIOyR2FgZ7haJylLmafx1+y8QQdL3Jslhx41U6CbYTWLaXRZXJJRaJpjaWUSKa9eve AeIej2YdEwOtaYR/k6GZTK+7WJ3NAN8/5lethfl5lU0mGOcFLeeZHV39/AhV2n2I3nGYMPf1Vb77 TDDLgyG4eZAdCZgIwl9qngij+DaTTAN9VkdAHvoz4e7b0vjl/4Ks9j/+s+dlUzbGwa4qeZEHoZj2 2IsaGpNZe0ZicO1SBXk9uQEwjUrxrhxgBrm0gk/8xIMHfSgZ/4zAlB1VyFJASeeV9le/ruc8u4NQ bQPyoCSEWM4/KZR4+CWXD5+YfkDPg2Rx+rA9W3HfeeG6MK+PJ9pqAf5Ey9SAwpDKJX9g9NTHFuSP ZjyQ/V/fytfSBU6VXs0sZqVLUAxm2zJjgteVUcvZeXIEir3Guj57ZLkryDh68jcU7VSmiN/RRGbK PjT9xn9p1gLwyX1TcPc5lKjO4xZNXEiimK/4F7RW5I2pVtwmOtfvdftwKhbb7s/21VB/CchpN5Oh 55xZkwaiylk6cllXqUnmiF2XkhI5XuzQsvJZddmo1qiTnOoa4OgSn7q5ITXMurOMtb1MDk3tDoDD 75mk6csu2t0Aa78Mon3kghi6QpK44D70X/lTWKeNmaBOyLLq/IgAaKHBY1iyhpSNNK2Tq3a3TSkE KKNOHgAW/yBzGTHm4cfZykWWWEBW157ckZCVOBbnuIOHJnewnx/0936beYtx5VkJYe5j5jiARDge oZbu3dyG6PRMMwjk+NNgTDX4Ggw+wD2JVLXfwfOr0TOW7Ut6Obx3FCXVd5bP60Iu9uIxtixv/wpr l5mQyqHkzPpQAeWc105AQ++CdJDxGhGdgHv2PYaQ2nx4++LOLHUTSds1aN+hiMbKBV6hw0FIUwiq vXGceSvFqEUq0ZikGyw+ZExT/OKShlrTAf29tU0Kkm/4PsDPjfXlqX9tLigBvZ8LGgR6wvFoUd3q 3f7yEokV50N8G9V6oguBCee4yzSKFcLiq8vKbBvLze8NNohzZEU/hRndyorFNacFink3O9dfLTLQ KCoyp305jrXJ3CtSdsBOomUjqUBYPOvm4lIs9yarSMMHlTEXlCZCX7wGzmt+b3k//Fihubwodtle uqzwD8rs0qFTwWC8W3RW3dgDM9UDhoW4BJJ9FNJZsDsDeH+ovQlUk2ExiAeGA7DtbB7JCiH07KzS 7yxQc7gdR9UwVKzQs8/mtB38xBpgAWGMlL4+ceX2VGD63uMMZW5pReW1FDZfmjP4nAD97Dxrg7JT 8dm/EwJy2gX3DqDzAeU0wjhhRlG5cfSaK7h8SLKPJ7/aVPdBW3NTRisLSAwUKPsYOy5Jk2Xtlli8 fW0PkWn29SgJwLJKV/RE3dFjkKlzzpf1YdNcMbwmdi3IYS29Qb9WvkMMwQuiGPsXpers48hSaNgi i04sgQzLklmMlQzyZQQiGyaRG7g2N+JwUY5sb7EAPLrBw+Pc2ahx1F9qlmnJfD7OeUck8gCb0jug xWO9D4co1I+znOwGfmpJZPLTzL1KfA/4qSOLDEO6WufN5gBipemY2EDjMhnTQQaeXtESlpzuuPo7 0B6nFAo1TzUZq3UhVZxym16yHZkbm4mOHgyQd+XCweOzweo8/h6QjBZP/sJEj/eH3Lj3upJoTr1u Scq6JbjECQUWorI4uv0VPE8D9VLGW054Bw3sNsUnyhlEYRpHTL8Xkzmbv3YqesIGCU+2kbHI9xsN 5QRDmcAEkEfES1H4KGeQi8qLHfKv/DOLf6NcpZxcIFIkHnXK6/Wc3g/RZacy9aD8njAkUSEQk5+B DLZJpRG5Nr8+6U5wVOUeZP1RaXusGrcyEtrJ/3/QzBRcBX3DRLVu5mcOKkJzokoXbvOYCUQoZ4Xt 2MJ5DZglwWOJnNMzO0HFEUxZ5T6eLSI3mEwSytefPXlT0F97kXwdUsDThQqGViQCJBK4frNSxlSh EY6RISKZgZlD0jAwi2nw5oPVxN/HX88Z40Y+hlvUFkUUGJ7i/rqHtClePxYte7Q2LHzvVUMVsAZn f5h3UqIOmuLuJi6GMbI4aAuRh7r7Q6Cuf/O+N6pz5I3SKMUJDbeAmm1rMioAJLMCBMsajlEcPD1V 6MqDFiWL/UkM4j6paua+Wuis48qz/yenIKfOURKmmVKXmehBEr5QNN0NiSSxExLwmDp/Yn0EgfzC nnn35nVQylrlZZc9cBtZ0dCaI0YbVyaXavCoaLKhioMS6Jw9CQ/li8OjahvyzNtrOSA3qeFNBTWy 2UK12x95A8utS0WzE653sx2jOu4nuxRfAvk8MdCkZPCvZ8upN0KBs2uvWyWkoa6uM9DhcNn11OEr lyiAQPUSO2yb6Ad5MsWZhIWnMlw/X8uVmKpwhs/LlHH6048hamcy6HbfmirkTLn5sNCNp5DDPf4j t41EutpnR8I2umDHKr5H5+aP8uSZx15uhGqnYvjqTVjt/EAq3rOUIVv5QAKQ9SV9yGRA814hSPtf D/YYTwQugUyOL+TJyXl2L1Jpqzt21yJKn+/+kv1iuNtWQrojmpwxFkNLRdJrgjpuOuodl7n2vyIi 1tg9oh/7czuRg1KHSGQBZdNPJeCn4UpY1FA6OO0nXle5PnNaUBBBUEMVhTvtLp/W+FPHYC4qIZWX x+3otjC6WHS8sa1DQ//GCpL831PlPSgNgcmkSjfZUiBoOe3L9NZh7Ncc1CL5UjYlyyLtqGMDY04B dSSCVGHMBSURNHNABex18rSBoMXaajAQv2RN9mX4B9IS9HE4OoIaOz575nPXdT9KPnk+KO5ZAwfE 7E5KGMtBd0+bHk2e6qmZCsv1DuwAovK4uaRNGVQhdKY/2Ca0SrVGU5Kg5iqgm5y0Fie7/Mq8+aH0 vAs7n/H4IUHoWoACKzfdPwFkIihSs3ybqmRMiuJPQn0NelOlQ6i2EbCH+e/kHWxrIVR4sCDL7rUt IptklQzRmI00yhY+EC2lwqM+XyhkQXwGxOw0vdWTLQKZjmBsGgOpCWOi7XsvfyIK/qDrZOX/uR+r viAE9kj7/VPVNfs9i/IBHGTBqKsAA2F0Mqp6PkFCtFZOZuAJaNW7rFBQ3HAHbGRmu+JvPJCnoTwx SL6yfYKxJbU8kh008kiAtMgcpChNkhbjk0jbA9PSWF5G0RsxgFRzUs0pA5FwX2ZahIX5XN8c1U9g bemSQV9MTWCY5zi2H/Q73qpa3w98RnI2wj1plKIN4vi6qPX64dteN0Ar6QFXwAHtsu/yylsOGrBl ctt6cyXFQeDqwFnDRB+/1uQGSbJ09kmybZEHD/s8hFUiO+P3Di++aFGD7p0x1c+UVV8Tvlhp+Xhf idlHq5XOtWzHDhueAg47ZA3S8lYErp2YRyqXlD6WJUVRWKZ1yTYmTRSITU0pDH9sAJKnMAWkNaKV +DyCMILVmuiA5Ftta19bVpIzeexYxEC6UUfngwC9CQ1yZFLZ6QSKzRrDRI1zvmT755DDoQRPLwg5 C7aT+HSudm2ea3hJajab8+v9rZBqf5UloD3nC4v5yZs8bbRXOLgLxmdN0EHev07f1pNwmhQq+dn5 zJLCxipyaz+ohOGDfoBJHs/m92wWp4zsBVRstD3gJTv5djnn++4CEaSHv1RC31kptNFH09RFQ4CV LqRg4MNoPefRuJoqrbaIhfrlMdxKNhNFTDhAKK8gR0Cj9F4RTFz+fRroIsE4/241Pjn/pyk5MCLP COPAvhgyRZCAgWVjU0RUengNpinbkIdVLKymQ5OZd7VWLOI5h3YsDE4DFSv9n8lgUDbpVHhOjveJ Ct+eGVI7VwX0tuqyQmgQw7nH6luLq7rLAeY8bg9mfXbn+KVY0/sBjO5whSEoiY8fWcuErH8EhppE 8+M3ys2e2pINpchtkYV27IiOvxEY8qHblps8D5KBQfXyeYOWOqSiaDLjTaBdzdwHhBo76cCA51+A B3ccTcbcywy4Vtet/CNXN3Ep2gQSFbanhTHIoN9HxmBjZGxBn1CRuyh3jX73JfdmzjnWBHVRdSso io0w99SRrcOD15dgZMNUFtZXLUKVEABv4lQVMVyRLpgIvHAwG8rLndDDdcoPHQB3wsS/L1cVAHb3 yj6XYy4JM6NUJO9+YV8xQNAbe4pBVEcGcHZPA7ZecnD6NlQxS7li+G3PlHlbhQb9Zulhx3nGIRu2 oDs9ZOvmdeRE68DCRw/h65W2JfpmmtPDx8N1VsLq0GxoE29PxGIr0u1rTe1P/10S0ctao6n4LIca TR3Ir0XvDbUQPePdsXSKneoBsnKFN9AeIKQz9BXZhpQbLJ7yXetR/lSXuob8jPfE4QkjfALODqEx 82+9ENPYQPRocNVnGQjtmDSQ/KBgcHjEQ0XDoiwoFB3rUfCjov4Yt16w76c2CN/R9Nt84u+1S23N RCkq9RtwNe6M6lQs/ulHBJl0VZlr2WAE/v4g04P7N3TQXo7uvFx3Co7D0OUH0QnhfpQZs+hKGGov 4xaKSRs5ilzBFEvflJ5nKUqoDISGNQ668lTE4DsFoYSWy5UPxdu6CNpg51iDSqVbJJQAyp4zNptB eIjZug/C5aMN+rmgyOXaAR7wukeBk26Sbg5hzZxkHVNJVy9raUXzoDAON7nVoV0WH1876u4d1OsD Tke2iZx132xPr2oyZBrpNc5UU3U5slctUabXxamKpHxD7Q+5ux1o9Fury2qeNi3s2fPrQEvWLKIS vK0cqcNjmgm/cfV80mO26PEnPkQiDrc769kzfS5Xms3IKSeLGaG4p4Vk8Lz1p0PA84QqCGZxCnvl zlM0JgQXDkA117XZEwBsxa+SaeAvT3exgsJzVyzqP2AjqGpyKWjLz/muF4NRi4ITqzB2zY086sYM AtpkMScU1KLLkYcJOPNmMJNHB2Pvfl/e5GcA2fL+gCLW8TL9YrbmuMRI96qTTMBpEzHdsd1gQCbb vzF9P4sII8U24oFko39NGY2ZzT8mUzn3nYAdKisRmi5Q6L5uW2DC0VhfgvFhM25czuZJelU0kVsU XyrP1BhwIyQiWsQ0iTw/3dBofHIVPcK8yhBkeYjoQl48coJ2nNojKRpubgCm05vHTnmitzPG4Lgr ovviHQ1khI+cfdi4Gfmp3e4X+xM35X5PXSTR0yl6WOEQaDnpZ119F5fW8ldTunfVBuGsi/fstJXH AEeq7t7GJbLcdHhkxcQG2fFgc+lYiNpvyd8Omk/JBIuN2V5VWhUd1gTg2J7OHKt8tiB+EUp46ZOi jCJZM67QjgRfdSGthHnPvE8Artv+LxwV6rH7dft1QMQ6wcgpaGydoWHuJ3oF1sSOyJ89BH2apOcd yjStYao9wDYMx+QFEQbDkjT6op2qmYpSG+u3HSS13cyXzhuLvul0nMjuXYfPSr+P9ozKuVksDYTs +t44qoKIA//IJae3TFIDjI+uk79yEYZS2oZPx2Vq1x8wwc+EEgg9qrqatTMiWeNuKWHN6wlmcpN6 3EmDH2Yf2iqOpaVA/68Bkbdgvzr485OXkrVFPgdx+43mnqTrhNk6s37XegC2DELvQdyYnxC4PYGr ojOQj4sCBlO52cpTbmVEmhiLn7ONpADDuYWztv8GT/CUuytsya+/MxMsTOkQxCawn/Ho0GG/vHgm 45fDnP528p4EbTmsO3RLvRQA3HLMfBS8AXhpZkwkN/m9XQUff6PwFPvUQ7j260USBr/izIzI8I3+ 33xbMfBglk/uVZEtYXTAgLJP3IPzK7zN5MdncoGd8AYhwouV89tl5xNU6SS4f9TICDnWDbXy9QkR nFpRlmr3Xa4cMvadGn96y/B16Eyyr/jbsdFFvNuO+fOooqqohxM3AErnGlEJVGQzIJXAAzs9KaQ9 7kNjWdKd8OrZqZoJ/F6JLgLOP/OHunAKplup3CezfxIDqv2KVnNzT1xxDeRbZa3XC/cKaQ/GwS8M gzi5Lx56gXG5ije2AW0/ZdXJKEpYEjuCVUXgW3NLCPNDdgfLQ4Up7Smv2+RkDVCT16DrNH7aadD1 x4c9WpFl8lTNDZWPeZObqKZh8F13z4nL+MDnj506muciOkBsDHvPWQclAhD4pfOIDE/MicQd7D1h 7oUnYYh+q2G/g/bUCFvpe3Bcd5TSLcRUn/sHCchMh9KFlbcWLf+7bdAHA+GrRHFGpwu7h+0Q2XaJ QXP8CLkCmprDF6a9847kGzRs7CZ6LUzj+1qZeQraStocARrHZpaflc/42/Of0/B/9FF4gx5TzTZM UdqfUw8gBN/JLPyoVxVuIlbd+pTy/0s26qXdMrUtADFgcZclG2PmFB4EUm0reClmVGk0O6FJq/EA mFjYqHXpFHS3Tg3cEOW/5RilLw22lxpSWlYU9dorepUj5mzalJN8tzEnp+qfy0Yb8HKH8II1T4xr bpd2W2MFooXMIZ6FzOI91JuZnznumjm5h9wV8//GbleqvmgIunePLhteHJHY4FD5qMM5ZREC7c9x FxQTyfB8jHLzsY/bKz6z0HZMCE7BiTEHlyVA6TOyGuZMejzWNWrle4cTM2lfQRq3uSPFX2/a02j2 bNLfe/l4Dq4rxjS2xewIT+/OQrP4jYF6k8N1c8CTTFLf/uCY9jrlJSsXKNKIsXfS3IUYUMqeizua d8bGYV/+R16WN3APzhEWl1Brnm3wGBOW3XVtsweCtDBM23fuwqCXm1lskOlKLeoW7Szqocr5Iv5p yvq0lOakYE5aID8I8RP3+urhp3x6sUbYBrihfvRdXFRDLVcSaTDx47woz5qhslALKd4qx8g8ki26 mFFG3sXrzRGcBZkhkz/TbSuk7WWLTGxbA9Eg3GlwljOi5d+MBFD6fGlXNP+qRefuR9V9UevMUKwd I3Dk/0yprUcu9+1BIASSZiJ5uFykDEoovr/Q5Mf2wq+LMHzMIcK4Gta6T5ThS2qknS6ZCwKyqYVn u7MqdhAAozqhFGjnmbnlUen/pbS0taJPSE8+8420dQKt17L9Qv+mbe2KbpK7iPdVnLAuVL/kUkfw xN2PJ8qIA0NQSJaZBHo7y000FWDhmJ2HUdgjryaTcWs2NOwa1Uj5h/UySAD9PsfK2UtFhp8rdOZb dNkAumtQTpU6ElXXAx+eVz21y/bJqbhX/+dFOiIqN3xjhS+ZBKwojElKN6LnzJcJHaxMDqWEgWFq orPVfoNwTaR5xtHQoHc7KzHJdQqH9EFx9jhu+HDYIwz4gWLYg13gXDKQoVnp/fQjor3118pbxsYu yMqikVhSXS9JoYFkc+Ib11Dp40g+ARWD1QpNZYSDMsV0yXuwMiVbxo4eNiTpGMF7pO6Zzfa78aJb tXHKp4tHCnY0eCE43z/3RPl3digITlgcqH+MIQrMNm4263wnOy+b/l4zpyKKpBq30iw61ZoB03vH iTONVi9eZvxil6ns+VYlLWHtqLLCIBHXHjMV6q+zGW/8Xi60vdlOFDO9duUztQYj+PgU9YuNYmwk DI2XqMBlhhgRxfO8OPKcLwfiXIDi2GtFQoBvyhCxuPvkrBXCv7hU12Je4HQySmPdqjj8ScXsIwJX Ctygwkr80r6204Tyh8EkPzQvJtZX2NI1ldJwcSl2fXfQcnGYFqmrUxYzOzn50lHY1oVt+UpqsnHb BFpMoJZzUVBVuy6i/xt/Wl9ZJ3vvpcceZnFULODeY/z0B6GqT4oVGTeWp5UaF3OdU9Zv6jhm1HIp I1FhBUIkazFUKqtWGO+fSuO6h207ogSM7KOc8UuATNDravEdex9/etvQrQbh2I402hgxZyh/G/0y FuIOwgIFFuk1y4ePRHeTynoljpStdHID2yn5Ee/ZdaXCFI+dL1Y4TTpeb07giSsgVqDwnmhQ34Bx sZDHdsun6ITywbCTYJUNLalwfXr4cuFVENLLAM5KJ/DSn6lXB9YN4gKC1Wl5rCqnE1DDTZvHouJ8 xmu6YRvrX1h8rKOBZ+Hq2kZM+WQv9EcwX3v7vbiCo13PB60mqSCut289f1nfbuEKGcyQ0yYkLCWK rRYobWY0dKcx+/1zZ7e75RGTjXtBhsMMHNzntUIxaEfnuwlz6/yZ4ZxDJ0GmwfIoRNcYgUD6R8rr h5fM/H8+HR7cGEB+Q8oeIUI0XIUqRdLaLgecVvR/ilLg/gbKZZMR/7TMKAFZqLrZYw37AS2Hn+eF u05InsiLfzj9hgLNPkvk92S3HbVNmw2qC/Ulk6eSiqON2IvwfQELyb+txOFZ4WNtBBhzT2nYcWaG t6u7OMjRVBA6NhCoSJd+9GykBpfn7bV42WNMbJus58Wc+0VwF3q4C2o+3t+vEEy81RMD3OXN4a7S 3kIgAfZUvThb8/5/rAMJ4yyPJ3GNrve5hq+PNExYuBd68ZtzSOnoJ+VP40mppEOSWNq0FKJddyvA 8VAouRNGdAZM22oQc/T7YF0YVZbkxrN3hBO+/wRVcNy9M/sgF4Ly/edX2dHY4SLSb2BeQBeCmHn2 t84HVihH+yaz7dWu0dsEJdo6i6uUsrZRjv4DsijBxQ/+Da9wQUaoQXnebtOvYe/prFgl1hhfezF9 hp1eGEnx+euHSc5JrHzs/7rmAd3S3FV4zwouolS9DmbbkdBom6wzSrY64JJke+C3iSLs1JbmJszt NpI8SJ+g4lRGk2QPBq2UH5gJoha4975OEgEF9SFxLvZKXgFiM0R3toUVoio7e6ssuJSizqEbWmmG lsjwRBo3qskX/Yg+o2Sf8Cdij7IC6aS0JPUug9ioXZ9oBn3IliLls+WP6cwhYcnjfc0uu2dhR/ur VLU7n1wj+PGOt0YAOcgXofhSIbZ1QQuz2sNAw/zTOI6RO8PU72RoD2VBXMuFxIev8uNfsFd+VPAT 5IVSH4wdaMM9dFXDwxmo51VJKevbBYZTVpZozH22jNv5YW4M15uISqIUy0JDH0jcflQ54n3yLRbv 167GuCmTWI0Z3yk8O1c1DL/LbAoxek8t66WZ6WERbqzCbIBQ5aMyBSzG3wV0MCHT0NFkI8JG3F5x ILqni43bbJ/ZFMvt25lGhwLQoTOq7hNz7+gvPY6n+kpkuNABXifH+NkNZ+je8D4KR//B2kStgNmj WSC/gaMDjjlNwr+2VLAhEk9bE/rSLBwGXwaXriKeUSpkR5m7st/VxU6ZMzwNPL0t2iC9AL7gLHFd 0i3rCBXeSryLvGy9eHkRqMDvZqCsu4qStGv6ltJIbZC6ImbPP5YLGZY1sYq1sTzWuzrJltXgHKKf MJDS0F1ZgKxSkRADPiCQL10f2U0M6mu2/hthGJ7RSCXn+joVp8/J79Hr3IJ0lJ+TqgvA/mkJVHrv sClXxOnB9OcT9sSVpqBFWJ/BVBG3V6vzJwyUEI75/19UgtL0XoSz80PYXDfvt6q1iT+UMO71JLSU ScLzHDBKJR1RvWKYgrvDMWUOGc1OwhcGM02qaARDYHMCiwFO6QydkRJGEM0hcDULyoXJvAU/IfqC if8ZpB+UM1CCBv/po2yo9VczQ7TrEpvl1GHifCV6Gc9a+EPw/JRHaRH6OttOI6EEKIETsoAaAo0Q Stky0nIXNNKUzWwzY68cUGzRLFhoY6DbfgIlbSsT8SdJmhRQs6PvmTq/bR+CIiPCMI38XSDkktqF /56TQpM9tcj/sHsT4gFH2Y6W5qANopMNwRuTWB3k2tajZguFAa5AQxEWRYPfZl2pXvc0337QN3p4 o9xNiLEpIE80yxFKpu1DY7EpgZJyFHMGT70BWKOFvKCkXB18cUuujm8zzkqY6G/lsiUhaJDyP2Jb 1U4p67zD5AhXYxzkyY3fAf0F3kHW1Xye/6X/HYXkIPsvTLKI4s/9oZhIALWcXD08nLllR4YPRxaw A8q/neEA77SjPGlH0hWxdJDpz9Z4wkPRz3fGiwv+2L3s90ZxSNT4I66rAuQS7QDEnew96Rk6/DR/ gpryu6wrNkI+vMVK1ONcmRG12bbSplYJYCQX/SnnwRB7tGqbpAMDFYvS6kaKoQm2A7+48DaRiPIF gWLfDBRSfxoNjxhRzxAnVTjeTb1UTBprYhDLgVNt96r6KUocRFLuUY8dS/ZHnoDZiRcIjfbKPjVo 8ZRr7ddZu/pyCo/F++yJnfauG2oqnDhk6pUR1RCGWlqia6znBA1n/WaKzIdd2pR5JCIX2V1KDY2y Ze8ev97yD5si6tuD2hAQj9UU6ga4lhUENSmkX5cg4mgapwE8SOpZ+Q81ahwSh8XlzIC0qvpm5l+v u5M+IrhgDgcxhcp7Vr25EdNWq9daOGPZN19lcz+TADC/NOC7HvHvu5mOUMPXe4ptuTXJcIIuSgD9 /OxCy30oAh9vWF71KfVGk/Q0oKSFU73NIjPqO5/f5LT0nGs55NetfZKdRxgF1RYgyPY0BbwYnsUv MWXucau5omGcHYCfeSdHEMhxLs/+ZfiTnmgijnvhibOxyUgWf/DDPkWHWODbHDaT+WhwaAYHdP5k sQE/bYRYE85oOZQbRtvcAHLIgmvbHBTVc7OHKs/i9pQPmYePNZtzhZ1WiFXXbOmJCNE5RIz42FrF 3lZMYIhsd/nEslojOLZRaeRcOaub5pMlrFFsYSWdLLy6X3oovaTLxJOBgMUN/K3lrK4gXOBsvbZE tlyGxDirqopKLeVhOFW42wvAvbzIgo2Lw1LfQWdvwdyJ50RRRD/436pTzFgMOKiy/yipbaitRIqs ojNBvg8gkaw/ddHzmrAkmRCLwluSwovLzCmtZLnt1Fn6BQPYLvwScagYCT2NXpErXCgcYArESAsf UZmzvhnpYGAG6XjvQNLCj5pDC4QsluKKhJmDkIdrMseFBO4gYTckl361uAqyyV/ojlycCHSadSy4 cN1oKCXG2/Hpl49Y59RHkqTeditt41VokisdJSssjJtK3wD2et0UL5eYqeyOzU+2ZC5oWbZAuaQH 3/oPQBI8vgVzTpvFJ1Qb1aPTsK6O896wNXVLJd5jrFqtPSeaw+9cSMeImFmL7BvJaRP9CA0sy0aa jeCZ+IPRk1jkXMGoVqDsxqrXGJJ/HIiVTC3PG/X4ZuPAOmB9zyEEUS8X+kVAyAGf/DgBoyc2I5Xm hXceC94mSHGa3RsrDrLr9FUiuthdGds01DYYLE2zl5olXnFNuotvLOjcZ22lwL4eiFu74oobsO4/ lgmE8e0YH2dhp6iLVnWQMoCW6w32qhJmCnwkoaE19BTvpwygT4yBtaxBOlSdxxLchnDXXx+BzK2T PkSrLi2gEOFpWLMK6R8tAD72yKsT3EulSebN4o9xEzuCp6molNzn4z58EOwTIk7wCPRe3dYfXsuS TBtwhRfOtf1QNKguqxYYQpzsDCoPnc3tJh3+wyAE4PTf8eFIVycQSwc1wKPUeyG5aQ7OS6EOPOr+ 3KawtuOZ5LLPdzDzbyqQvL2BxWK8EOdmW4DJ0pNMaOCPve7qjmSULFNf7aF69SvY+uHbkmPO+D8Q UA9Bn5lN7OjeZdTtVJpxmFYmENjp2WU6APNDLOykweNCWJ+JplQYQb74E543Ar39oQvSPmp2OdGw n1okfp9E8zJyw2d05l8hV28UvajxSuJSfWVC8oFuE5kD/+FOJRBc3tPE7TyN2vwxoMzxP93s87Lo Y8WhbIBQnp089HcIIwGvM3NipPKE/7vdskXYHLZRTHrqUzdFuj+N6G55dFDEgFlktORbaq/EpUfP tDBmVGaq4BeX+42e/2jn8w8H5zU6gggVy5aDwHjUxo01qzM3lHueQGPf+sB8hZR9ic/rmlcXZmmS 7A4soCKXwGPyYl7zO+8TGMfdHVAVFQ1tryQTpEnYWciWUeQTXkOYJ51ohPmV16y713Q5FYoMf2mH YewNMw0xZbqPqUVWFn60kn8Tj1xeb8AED71UPFDKYeYa88KN7gnyWeLFPCdWUhogL7ZkdYGIkLD4 Hr7ZfOdBeoJYJJ+jHm2tX3HYXfSsQk0TJQAPpCcciAp9HyJG+XbnodX4EZkgnlePLQX+XtFpgvom 8cLRvbWgNNQ3TBcocJ4E5Mt+Mw9EWT8Xhldt0+RkOblw3cBofzeLodXgsLuT+j/tJLxvEI50+m+o 3R/9qV9cdG8LDLA39OWvS+GLwygqUfebBZHvrcux+jWC8HY9YIOXsMiCwB9p3WPschG4lV58bsjg cwInJyKec0ThDWd0+H6PtD2cnX08W77nAWgjqT0ZyIVOPs+9iUXCxWEOfULJOSCjt9nqKQrlg4yN m/JFlQoXRIwnrnVobIsbUue1Rwebh6I6CqS7P2hbv7oWcYvHOXPPqIvcLx7SZzuY5tTPeew/n+rP ye0SoQgrGcpTH0+hkZdkIFVynbgEec7DCwtrGpOvxq9nvmD2C490Ia9ZCetg8LDfiEJr8cM/MzRV kAwcJh9C6q7JPVk/tTfjWnSU7V73IybWm7FayB2/KJS1my83eV7QBQqpy/ObK8f1hEugoCyvFnuB LQKR3e1e95+ibThLeOgyDhtQWMPqPMK+kR66wrM+qkYh9BhSP8+09BLLfTlxxDNYLMr5Hq2Vedab BmlYppjGWE3tJ0Jz6OL8NgQd5i+KYeHAfDFsY5iJ4JOHc7qRwXTCynG/pXnlq+eruHrauUpHSzHE 0Aui2QEJlGTd3hjuQQjY+5yWlKrJSJjN7P2tBMSQkv9j7JZd6AF5vE266Wr20e6wzyB2cauSmJyA TlUq08MNKOs966PZI4Eh/VIlMRDwEkKi6CQZQpUvii6gqDQ6SODfWBgTQL5TMun3sCeq1rN40UU9 OkCurr1ZRXsMLsd5XsOXR6p9cXQZPX96zjSAI+1wYcb0vtmm+3UMnGz6k7JwFy6U6hHFHo5LnBBJ OkpKef6tKo3bO3aY7Th+FTyHVnSFS/q/35zsBheGl+fj7bFVCtLL+mKdvoYWDnSEVdzzT/5UbrjG XzJi4Iqeg/RkrltjfwLKWx4jUXX1DXkouNFUOo9vseER+WVfVjWlV2BgCnEPQqht7ADMa5OQw1He ZO9mNELxwZRFQd31tRoPXRFLsLEBhJkr2vfaaUft5lg/7XHrxn32kIfKmwlKYx2i5F9/i/EcmJPx usmdEcNFQV3eH02BoNiaFYHnDvOWektDTNGlUxZX2hoo5PjzXycHbAYiSyG8hTMY7E93LZgWvdbh DoN9OYdW6VV3FX9gd2e8czJl/SPAaaMuGZmtrwrNU/Rh2ngPJ5sUC+66qd1L9agxv7z/FBMIWLgj jVkmDca1kN+00pED6K+Oztlt6WNaEyQT88DSst5kiRg6FSB9Zw5jEAmpm4RdMAUAD5tjhwcd2Tuc p9yitsBPXYN+b2wWgUNkf77MMucSjdCajEfV9eqhxFNLXr6+Ms383L0EFB9WAYa1P1q7nY7JOIRm KMDquJOgLn+8DWqVtAMO7QZ/Vaj/wo1iM3l++7A78N4sX+XlmREVrItqpuCwBEO5IpUn2Kbkrb2l oNYZUNUryzi7LmvUhgLNacHICnhtydSrIiEMWHktcEa+me+6wvAamKv82lC7Iz2K12u7thXTADwO Iw8iYZnMKsw/8R9DRdEXyDNgcDtQ2RxRFvwbmA1LV8QBh5XvYFQ905iQiVfz8fYuzClrK05KPBc1 xsN+/Ri3LK847aaEci9eyQgJ//t3AYOpkLTDj9b9hcb1EU4WWbQKU1h76O02W5Bc6/Kp0zx6IovK w60V5dDkTShOkKGgkd6WX2Zt2Oa5BU3QkYRAe/6lYPFjIM2Rki1Vm2Rib2JTbRmx08IC/L+gqz26 Mo7Zk8mAf4d0+QCXziu97gw31aNpfFJuFfRGoNG2DBDw+9h3e5hsbQQfeR9yL9yI8xCYWiJsxOg1 XyHorr0l/Xyw2D8ees/Rgzw20zhVWDNynfXS+rdjZeA2JVBDeg7xugbKbD0FhN7sJdANCzf0CRze aBXo85892ifZKQJYAGme+RFAeLbB0qmctGvRkuw2U5BjcAzT+cDR8sgsbF6CTFYONW1nxE6LpGvH xtRsIEz5TOjWpm8OlFEJnQ0t62l41KSrapk6jJIfap5fIUqNwWG6fPS5TSX19O5oCogZea4+eYDS INOQf7A/+w5Ofm01b+fGiEqUZHcD5PP8POVemyTvq7LD6lTsPaKjFYcrgZlczR9sE8ooPTbnbUb6 RCpI5z4QQF4G/29mrX/SSkIrhNcihHIM4zjJz6DT7Z2oM6NNkmcA9LzxApnuPF4ke2bfT0XEvcjk EPtKquKgR5gKNWKZulWoe2JAaovHOxRdlCDXZni+1qzFmfsPp13CVIY8RGYlcdA/QgUvYHguVq46 163yC5NqQ2R/wMIiYv4wAyz2O7AaJfdkeCmFw6G4ku+QWF0gOROsMUGpQUeZM3j7pzhxbb2MvxnG PjoShMoSimcV1cl8LAnc+BxuH0bX8iweWq6uT4VdzGeGR2ijLvH1lCfbuRlS5KiEeikhkxrkl+YL 4C1pplaFAKZ61JY6zO3otu++RqVCpQDg9rpzD/CseBxe754Yl3TtvphXGrETPwIn0wJIXVn3mrAH xTtOESZ48kZtUBpUmQ/T6GtVVG/epinspxg6JeIJMFR1ucJwTUbRuxzay1lcwwQrAbsCyBl5x8aX 8uhjQ3jyAUA+wVoQwuNLKuK73uNmvgHogN8SrANevtnxh9QS3lTPNXqmifW8sCtVxzDGRfjMlvUj /oV2JcPMoylWV0D5yHmKU/0Echhf2Dt683HlxxJpIdSAwf3KXjrW0i/B+UPH/uQyJyQ/3GFeEpKZ LfI7BiZO6Eni1zfl6pGnMtk4kXo2x0KqRXqPXPSyfaY6nMkO07qw+lV75be0MkBy2GXSD8IGNdkh 6pdEvbbKMAL2keKc4VxclLcDnoQOmprPmS1YPKjWyDnhdHVYFbrnWMayc8B9v+SyOMLUW2/Iwd5F wBb5ZLyR9tIAppypC610fIHr5+wOSmYREgus5lq5/xnZeVXXjZ8p+Ea7XTaobGtamQkZmT3Z5Xfj 3z/nAdcGQJndzMHjcty6Yt4N7ediXqxqBC56Wr4tnt0+cxYieI2YrCz5QMa9qZoTFdeZSq0xHqpg PiF6B32FrJnXm8D5Wez42Hc7zbE4ABjm8SAEAFTe1eIRwzMWc9M5xsJMCwJX4hU8XkeRXaoVWu6u URckFoytk15KWgix97LmqRBGQrUpoS4/M+x0WAaRb01zCs0eeUJc/R0wEokv8rmDIyF6KGfRXx4r 5VFsxsKT4ljKmyKOlqpnlWg+zr5uQ+yKGG4AE8AquIcpOXEUd28RdPfSKxb8HjouYBlpSLr5SDPH HvnAZkcQHAi36yiVDnlhB1Ru5lSIWpmrp8MB1rlg8kB2eG77cBi/a8C1B7h3KCTttcz1xjXfMuI9 g8rruDWbIdOEezMwI+rP1xtFS7e3TGuRYRk1poch2BvBC2+tjO5pBvapE5KhCdUZdtzZsGFLEFAe KH4Orm5Zbdc23Jj9gJkRws6oVY4far9LZsyFTiuqbczEbIko4MSpCWcTE5oW+xE89JPfqJEMe0mE ankfyQG1y3hNwun/JnK1DlRLJYFMT4AxE9kFNcrgJ8Vji+qG8guAnUWzXQxiRfhwY+SkGH9R/kbT jXG2f79VIjAfiX4OoKY2Es+J6Lde7DDaoq5sQ0u480EX8muEPqMrr/MBX3OY0inHuQx60vlhSVUY vHOiiX1AaZvSsLCcytcKGTbx9182HQSJAQwp2DlpZh/OmA6Yea+sBmuSnb7rkVONG8AhclUGB6oE pnGd18uwU0kqA/RCmWQ8Rq2GAwu8oa/E0lg+Qa/9OVK80Lk5uHxX/dd7ax9lquoYa5hUEKAl0HhI ixWRCSo6WtaNCqMvmXrcE0kIF5AMnUzMO0JwMWBL/nwUWLJguLsNifUi5izZc59gYajPIQPWbjCD gXclW5mMlEk0aSuqn3T8zf5bIg2LsEocueWQ6K+7fAbT2ZefjPI+Xi9AAnyDPdJDw1vP8x7kY54b TU580Q1+zmUFrw3fTXld+yGeAeNWmiD82hA6VmU8XcxGLYJuGfbzqkUXtrQToCxWble1mkk0uHT4 b0HTH+BAWmTzMOMllmb1upzpMe3v+r86CFbpEpEzCyf53mHjo3KV0JpzWs6/6FGsm09Os7kbR0MO MLUvhFIqpEIThbIltr17IrusgADOYrJfKJmjnOrRdFgn7LvdV3d9DVH92xT6MMaNJhhjjTsO6ZZo w7R3eWyyt8Ty9RcN9lD9a/2iN5FHdRuZ0iOZHf8TJ00FW2GBIgbiImvrkH4H8DyTwJAOmz+egcOM 6BLev8mTRgL/2DmdAv6t8FysqJpz1/s5f/pk7QtDplLuh0TBtRc/3jPpWb3IU1Fp3DvOt0hAZ8oz 8MiRc9MC7XOOoVBOGa92ArW0y+ClAENYRzkl2uLWxuyxm9dMmdvV5SMHoLbcAYL9mKq55zjiTpOM eTxkMEWqWZXdaxdWZXR6lRRrEqgue99xGIEAwZK2bEauyfeqPZxRrGEfwF5CIRbTxyC0QDu/MqeX Q2GLxQheHj+CfPzaAPSMpO8AdmkqsslZJvmJj90wDfXdlkhr5ZSznUt8wMYCuII7m94sn+3yp4UM q8fj4YLSCu65+2Mxy5mJUtQwD4sQDqc/b68yKJMji0zP77F3SyYfH+HBz+V8OyBwC84Rzy+PxLLO qK+Z0Xt/rWldYxo7Pz86CgLdu/JtVfMM0Ybj3Exs7Ib+0BhRpKSSRIho+gCo8no6mwnYZh7mC2/4 vbDtn53elclpIpBj227vi+QbtGwHvdkDjOtCmFCn9eUBYP1ZFqN3iU1X6HOq6fRCLoKzTdA4zBQn Y3qdhS69Q8Pawfr6je5yxzfJkRAeoGzSV7IL1GIW8YoxIUJdqamuBpTO35I8x0gXpLBlScjmPX8E etvKa5KwODCao8LlUVG2tAxDTOF3XoKO3DyVWCXaZYajhib1dp24p9226TJQtV/5AFv5YyC3JVlr rx3TiUMKp+gEAqlEiFdP1qzFdzZS7T/wLPPHEJUQydOezIWfqxrsOQ6XO+XsyEyIF1OEAhgTMOcz GOXzbNvU6xUVX/HXpitNBalxCv3Np73ZQiXwu/GJY2Elt/ruvhntvGmoS5dhvozjaitz7gWhOAdg k+pZulegmBQtEZASE2VJi59uP94M+FCJaxXc3mnDPfbiNA/kjAROji/2fB7roZWLRu8G1/upNE6h sHfWEDQpzk2JYHEi/kxKjH7w00xYwZZb0jVEfzCOUUDaSRxmQRqpzbczQt4L2n4HYzQfhmr7jGz7 fhQhN5X5cfFioSSLHS60INpmpCfoM4uXcA06XPFfkK7kTSklJajeNZWXiZZbAPJJ0ggixjQ2Pe0W jn0cZp2apOeTZqKcN2le/3XnmMWlSqCVm/RkIYmei76FUYay70kvtlNzL4tLyXeA+tFaekGIwxEg 2vK71X2eoQhH8Eh23/5apQ1sEu/16Xh3phmhyliaWetC+5Wnmwtb2PU3J5DXZ1WC/4LcR65+2kns uCFTMP3rsH5KDqvFKxhcZXjyJ8wAqLOWLaGtPkfc9xabv+lb11ar3D1cOkb6n/mxFabax4Lq9Icw CfxaWJI6SHVzWyIDkYXop1wCw1HNdB+0DvvGvDjoBQu1BULzujkGU8qO4+aNi6ppKkpcBhqjkhhg EFgiZQl3vhMnrMqWBTA6S0fvkbM0OAiRyPXORCoYtUhllT0BtFAJicrdZMziHTWZoouL5RdVbV33 m4Ge8Nsil8c4vu0Wmxo/7oE3A/xEw/XgxrZfX26I4GZkkUHBMAf8imUQdasaUnZUQpJR4LVfGLCj LBpuMUyj6BGBRfqg9dW4DQgOBqoLEZRvXeTvkM5GiTry5GlNmw1cQQ41WvqeopVyi74M6ol+X5J/ 8mhJoU6UtUjtOEP+XufbPyvnuY2NTbQiOX2M3AJKADfri9UtJhRaeJNh3nqfOzowCpDLy+CL6Clx kiMLxROEvxfa8Vl4DTujE2iXOPQVSSgQsAmwOGow1G/kYuBMlWsTNV4nMvpbyCHuNgayBYOJgWon dSycc2+wcKtyrQok/H6vbWexdWMjrJ6TdySTYmdYuwzQJc2QN67y1L9IG49znZjoFQX2q4/c3OJ/ JxRmx/JaoqeKDyp8SmONUbTimMEWKEWaBuzPOme7kSBB+ZzRATD3D+fVtSpLVMNO95vBWHBurMsg PM1vkwLkLT2mqeaS95WYltGbISsaz4QuIDP0MofwHouaHVa8cAm2GLj23RADfTuI5Y77viRQiq9w vOdGsEMVLPQcaw1r7DCIO2jdShEzFala0vOCcINwAa13UkZ/K6wIFWa7fWgHNxEK8C1QQxaYv3ec bY1KewbcIOmA7tdOBx7WEn4L8VZgEZ3HbTgCPiQ4F0a1VuU8iDT2LULzculGjWcntzuWO09jOP7a AqEGK/wtEGOkAjTk8QHAAfNlACeV+aeT6GlgagWdMRYNuXCBmxhdTvQQOpqbUiWCIEDVd3vvDTTW o1mT2IgG3XdTYVGl/PJgolvDI6QIw6+Jf8JMTexUrK6ddFX63FK1mSnisH3A2iJGIz2rZOLh7JNy buIME+5iBKe/V6uUebVag6BO4FQXRKAh7FSm4+Ffh2U3a20RX7ejSPD4mOUEkA9otJ9n1zTMS1aj 6jD0GRHqunpXwoo3FCpzfwGPZ87qWPLEH7fBrE8l8WOhXxamq78+Pf/9OxWGFanoET0R4AAmPd3/ Q56s3K/6gXmGL2ti8fG7jjhBHiC5Ft4JJPUOYNDIhGTHZTlRXZUSHGY7pEUWSH8m8Zls9HntLsQo fw7uaNe798UicxiasUOncanl99XrFeNyR9sgG787wFNMnnLDOOzoqocw7K1oLBWA0FEsnai+5GRF /Ht5OV/UJ/cpBoSDE4/LJD3X+usM1h8eM/rLjPi25Cm8OBOMvYWJ4v2nwcloHatudIBd74MPLahQ Xyg1h62P10rIp10kjB0BbkdUzXUjbJuVGvXZ6IqOkQ2PGbjQE0XgNmDiIDuzjEJzNf/Sb2fK2Mav tngIPKZBApSAZPMB7twZW/aslCN6T5roAM0dBP4yiCIdVljXodC8lRYTDbmN8hz8X8WHfHHUfiOM DRxi/wIVJDDVW/BYNwCS/BUvPVZSOJ3UQFbhXuEuOg2WDIs5Ja5KanfhyKKk2MY9aKRrNwGWcm1L tVoXxvZpuNLw5svzdILvm5ZODQSYfouIgJW81XCTC3EU/vZ0pSW0XFy0U434nc/47OwN7EFFdIOT y4Jrfc0mntXkIpIwhbQE3fzY4ia7txEkk+gFJJS3Pf2rDJ1SKn41Da9firHzrdV3scNkj8tv22pN Enku7efgmvMSgikECCcq/RX0MiIwGnMLYPN9107cRjj0xtKezhmecPA7w0wsAAW4y7MgnLKME4QV I6o5/u5ewyrCs9yKPNenWnJ/hPtaVuFuz9g+sCUbLozrulohiJZ/dcRA0grwTZS+4udbsAVkt+70 itRh7he1nsWs2Nn5fGNp65BHS/O0Kb/frD/7y0oC1ZdBD7uVS5RHYBfqQiLfL2pdNwB7TqKBvZSY uCS3dtONfeCbUZ60JzP6zFF3DQAJQfEEUEWeLNvYsD7gcDj9qH1Rd8liOyD+YZ3+157UGGCqN/NE MbLRLkeBi7PZm15MXeE1kUYr9/2ukircJ3m8F+18ZcDYquGdqbPXL5ps7uokDAHK+4alF7mVW5wu Y+uZK9RMvw+Nw1VY11g7S7hPUB9bJAXK3np5OP5eeMnoNm7ccFy95CRYilEBuv6uuwbtLZknltue MjpH3ss8In64KwAKQk5qxgI87fn4CxhlwtljJOnpmq7uv/hZqXFla3BnvH96D0CEA7R9dD9bvnU+ oS5z4eVMCvvDpb8DoSeV3H+zck6YKtniGUvp5ea4dpNdAmTHsPhoTi7XOebqO7fiuJPwWPbTI/2s hk26ZgdqZcukZ8xPURZe4+91zJXURVJihCwAAczXRteKVdQtbyc6FzPdjx0nY0A4FQzzTqcGDubP jPYCRiimtzfdBLiEPZ8tjPre9TP4CpfO6ie+e9Nd1DTUYd33aU41SjF4WBcva6v3ylSeBe9hjBHR u9WGUvSPf8YpQsZ2nDDao8bO5LKKW/oE5CAaNURfrSBRvgva5mO5qbq9cg/agK9jMK9FCq1bSfCr zstf9Hxh8D+zAzalU6Vu9QMy7PaXyKWjNhIoaCW6SPViaPMdhZgF8AXhu2+uLIzrQ9jHa5nsL9sQ LEswQfmbd6G4ZAIb07X+Q0ulJBlgbTxcQcGxQNrX/qeD4r2LuM6JoioDiHsKCPtOMmKPl47hXyrs c5PbWdbyb/nV/Nm+Piy4CNTbhivR/ME7Vm3I8yiL/qOhRzwqnYT/1fYlzEQ50OXe42qqQYaPgobP l8rcdhJyO3EJ9OrdM0pryf7wOLA24vm600s/dBpWA+mbSjiyd8d8MbHauGi2kKus0eNGa2gAtnwa yUoSUTPaQASy82jL9gSze8fxRtyLX4d3lb1PPpUIMnGjhqE8GR1eI/9MwMuq94+dI2SPjSgzd72G zWWRy0qzEqyPv7Aqm3qcIDX+b5nIZhpjH6Ze8RxksG39oJ+OtzSypsry1dQkG65RXU1vqCdqrxrb 8Hn0m0ejzRhYldtWZbBMKcTHivxAaTtqy3yXceTyxcpGFTTwgOdtOrs2A4LttQQt5nu7h46DfXp7 jZBqUfujw6/N899p5hGFGRMn5Y6Mv7+56sxzP8KtEet6vpRXX+nuON+KZscnSDDpGSliNdo/v3QS faX80uj5xOie4obXL4Y5DRN8xoCE6BZWexbg+vpGNUaDFEqLI9bjblWl13eOpOXnMLZ58PE/dzfy r+Cv69ly9qRtGElnhHxFTpy80a+m1h6iqqWx6aCSuA49/xQdOTizxT8rqwwSCuME218nkcfF8HTS HSwxGJJnYXRPpE7gGVTbMSgTfVdRj0ekKWMG0XC12KdURw3wvLZGZzczznQ8ewIej4LW8qwsFZVv /HyFR72DcheETfTNdLv6ogDyWf++b3OvpeqNAq1of09UWKJPL4cmk6+g7N/qPzaPtzfo08kBvrOg k2MO1MNJ2YBdiUPNrPT6UoZ0hbBW9roq7mBUiMSO582b4g3JbCp+6iCLOzplFsKUXY2ivVWyEqH8 1DJYY5quc5MNzwY4iEHBcj+2QLcssuLaome2CkQBFBQuERz5sRANg0A/cQytbsquLs1s8GgxgfnN m8Tq1zXhpm1UE7cGki/Mh8uf7IHPeL3rU1cdXK6XMPYY7b2Gx0N1gq8qORDwI8zYLk1TCGlBoKqJ OOclFcqYvRf1Ar0OyeH3PkBIpbqT5PCDBBY0BF/gjmCEAOn/ca2mH3s0D7biccjG0m+Wh+fWsq1o homEBQvBduFvVTmC9T0elgBBY+VI5Rp0HAA6zmL+7Ol0CdKq6tCVv1Ovso6rrStUsxUoJiO5nR0X NG6i1NCu/GlOvD1cJrQcfEGuGNt/zofok5PQyCM3DMDUgth3ISHDfs310qSCEfJGu15b1QBxMxeS rUYkI2BD4jrOECdOQ+TMTtYYre2sG1WILjuBbDNtKYDt3jpQT7I70yTVQcFPbz9+5mTwA0VmsIuu pTWD4Sl7R8X0vuQ7dYckR0dGTp9vqrxF0/3SJKltb0p65IpJVB+NSqCM6STQMm/w4jCOJP8OORWJ OMCRJUke6LEFN+CcKINrqh46x7Sbg/YH6GH5JdKZWonMPRxiDrVqL1nVSz3cCUulVr6DBr8K1ons FB7IqK08c3PcqefVa6c+gXlBxoxLo2jSRAV4ZhkTJRbl3WbgcR+3atqS+QnSiwidCuNYIFO5Rl1o vvqxcaSMJhs2j3eB2PuLLfPVEJw+AK5zhhec3smoIBydXXg4cy6Xcqz3SOfMsp+SxCW/mZLsH1Jf p2ICVX50ymJCO6aK0fRkkriK+SXj0tFmpMakiOAJsuChjhc8R+XFGgj+PaoyNdZX6HMPUIqhEi5K 92NZGRKmRwE00BUCQaRfM+0m6KS0Q4L/O0V9SyaMPHekPKMWQTVtzV6kZzwdRhc15kBCWXfx/cyL PT6MGCC+7wrBdFRfM0Nr1+KRmkgj5/cCAA+3T8v0MjW48oTKVOrroRFOZU1vCGrQVpv3+2CNH/gv UYxS3gMO7f+atClyvjh0TndQbENBfQ0qhK/pRdURSHsDj4tiLsqe2bvcI2kOZdTg1rhOronpPc0n fjeVadJriUr998llWdPWR1YBHj321gMDcfnel3OG1Aiitp0TDnuZBUP30BsHl5hpEhFUyG7IHeSU JDIQf7/SNbdRcv7I3L4DvPuStCDWinBlwxPuyTz3ifaze7eTDJ2/SskfElPZFV1KWnZO920Y0cKP NdvmToOKtVURxIYuR/DHqQfVQPW4GeCE4fWNlRzI+pFuivI9KmcrOGdGdM4XC/r5YQac0bbSln6i Td4ktPdFXgCa7+JXzha5dCHm042b/7PWcYed8IaR8kCgYAsH3R6PNFAOoGE2yaaeMbyNPC5Zlm4V NnutzIA6TA6IL1s3DyTZCs/1mIOyyqKuVMSmXtcWi0Ey39EquP1HcPf1aVpnZFtD/0x7VHG9jklY oOBqMcwA6L6RD3HcX7kf3g9Jq8VbGLYJQUDDgyyg+qWTgdgXsbuhjWOAgEI1jAPF6YGg98he02xG tCulY1rGQIJZX2USRcqrLczRJtmgD9eIs3oyE1shpifcyigXdN3VIQLUabgqcmYdfUKQ4JTil14H nTnqugRLoHxqUmJzK7k5g5aIVG5MWg8+EEBiLw== `protect end_protected
gpl-2.0
b23e76cdf7b4fad4a9e192e06580cd88
0.946998
1.836431
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xfft_v9_0/hdl/xor_bit_gate.vhd
3
7,190
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block NNz/z22m3UJP37kwa6IFd50Z5x0r+GFc4rFR6jArAiXt8vj8/e91uShMEZyGpJLA4fIGeVIzLa6n Rlgvwp5U0A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block NBcwvdGKJcIxj//wXtXdSPlUmgvz3fvQdRs/Yk5UUJK0E1dSFSiYbB27rDVFGi9dUGcDfmIFIFN3 ABEz62QhgCUeuzk7uacWw4dIeBuTignyiPIGk0E6jgd6RloFhVt0MDmcoZes9NeUuvPbwbCkUK0A DPmSqvScS8/u3peZEMo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block UKxHPzVUVpqO4ThVo+RBVNlzhTk4nasm3vBbQ2rBuTvUH1oMNlAFl93cYPIRy+fAb+XN0cLtYIXp EGBw01sAMcGDPvwIgZnqpI4luNd3GEXF2krmECPdP2y1F9s05KOu9b970diUzIgaU2HBiGDZ1GYK nr54h4G4rr2eVB/GV9IvrFMbKgRkstiwJNti6OurDbCYSUijd3tAmukLjqcrhCSPjYCMYE7eRGMp trIQleDP3dphc1Fi4Pb7DwwQ8F1nDHVNWyuOitzTducJt8GWBvYsdDYRv5lzaQWPhztaaaudZT0t sI5VPnBJvnsznmi+9rSNonXojDwdNxAwjCZzXw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 1T+evMewqRpkzmq4Z1X5tVoa7iwLCF3AQUyIEzInFos8DSluXITgn+O5IbUqY0j+8mRfJWVf5KlL UhGDOUDtCmvJeritMlg8z7vGvEdS/GLLnmQs1OgJuWkSoq/vsTMvXVktXl6fmjquyN5Y9mkOZ2Ge n6f7oWLOv+3F3sKvkVI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Ln1tl0SJvDonqv420pZIkybJL9NO5yznR+oglU5ke5gQ52e2hRdl7jp1pUClc9YUGotFrav0UTp/ bvjUSv6GChmpW5185lT99Ood0KcFxBzTvH2zDN9YjI7Hb7Wk17HGGK+wWi5dZi583O7mBPEFus47 LIciWSBqGuAQUIPYOBcPmWCHL75NoYUBTM+S2z/+NP1ig4bVM3xufYzMG+BnSIXwYLsaCrdOX6+T Isa/FmpqYAFcRnA5/I8e84t3Po1vPdGrfGhUy9XWQiUjULaNIRf10J4xg3Z9qkklDTY/AAFawtz0 DhF1GxNED3yBp4jKj89A88X/+54cFrVrXEEolA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 3584) `protect data_block CFFX3MPilLdHR7334CoFyyLiDsAWBBBNq6m9D98FJmYwAXmAaT8Ld1r+83pszxjRptM3OxbFOTOW 4tLcfbCobyW+yUVyb9z7KunOtmgwHo2w8PUe/a/yjvkA+qS/UnbGTvIBlodgQwURMZs3UmF4wu2Z VdHswA6DTBCpisUBNHIk63xBMCCaFxh+uJc/KkLT97/jk4S82UrFF2Oz1mT8EFlIno0/XWxfsfng 1o824jUs4+6s+bTKJQhzNyUAMApA2tavjNA9/Q1NP1z9RuAhyAa9zcu6YcBz8KVx3LW8QPcPFvTC 0DAIUJmhvvvsjRFrczS1SNmsMfbTsG+0IhyEQTLjs6/Z8QGIpeoPz2K583pPdUVdv9k/uH8pBo3d isnMYX/UxmTyi3FcyMCpaO5xDAFzn11qliWNb8p9op4NBAyZqO6KTm3al0Qwo9UYHIotE9u7RrvE 5dJM9I4m/yYn67pH/+9Nm33yo+jXeMa2YtecVcbxd43lbv7UBSBMlBTSnydqGk+rrUSe4cJ2TJjq u4dxt25SCp7rbxhQZrt3RkUPIL8T2g1a7wxjg/bGPtdJKcC4uPIP+CJxnx9GejoTj7cA81RtodIU c0ZknBt2MwvBR+GxkkkdipddyZVaBemcr6j8W6apNyaEqg+SEWWOTWoPLadlEuriKBiDa7kjs+GM /c6XiLeHXSEY87CvpU45vyYlUakuDybIaEKx9KYZZQs38dxgmhUUn0GaBx767BtEC7R8HxomgN0Z 880nO9XJkAw+mv/rY5/c2JoTNlBNWt78qu4+hWaPwAko3L4CP4PNATQ5JI3l+HJED8WwZo1RTX69 fDFNwwooCzXzPS4xRAZZ2+v+vbqx/RpVmN3gZZq5BmydSWV1dNilEjOVvwdT4JOYeYfRPe3+nYze 9FrSs5n1NX1QzNUfiNXMgjIk0vSTCF0iidulscYe80SknOy1pFZJSLodAuWg4DRzuOujRh4sqyFY b5EheQ1p5FcWBO0t+0rZRqvwL1/nks+DB4u1KsHw+jqpsKGGBWO0VI/hS1vYMHiiDV6RQdbvDz8C qlYop6BzHyeSrwwvpZcHfQcedO+S+9jVBYr2Z+VC3Rb6JnRPkmxPuoQqJPjR6/sXx2AttD2eq9K2 U3ZCofNR8+UtYovZP1TFpZ7EnGygDL95Lxk2InbPeSmpamH7UCQL5WprRiGr/mS61e/Ux4oiR07u roJ83glBqHhdF/FNFRBNUW5IqnHMHbewRaIcMmf7yP5iap96dH2tA5h74J4PlbVa/GZKYqLakz2F Uth8ee3kUNIKzVDNZS3LE5y9C2hMNqLXPMnAQfWkXVtarlmZIkTlMJGqcP/q1atJJ4D6v7iIrBSJ /CD3RC2I/vaB/21PdFdawlXAWDIaoeMTlsQqmlDA75J3yjrqA3aYPcAy16aRCUQIKQkbbFRP7upU Rtl55s184SwXbqiYKm8vYmp0VKYyHUqhQXJWqVHiom8ADWU6gigI+BfGnnZwfzj1NKgSgZWoikpe o2j+z+CJGof/2sMddbaiD+GFlrVbjvb+7nqnKylYGr5f9LBHd8kbypkSDU32aaNsGYJZTkkio6iT k1cCHlofo303R8NxivaTV8zbtizhVnomtgb/sExVm8U1B8kTdsdMz9JnAOkctZww9cFjxMtNgkeq PljQElUKmgopHcd8Z3WMBigXbC3N+LuGoLhIGkf/eNg/Ocd0SroHh3apzZfsZprbz/BTyVpzFTmw V/5xkRaetjdakkDSkdlVL7tiXgwBFqfDxMAWHyNRQz1PddvJkf9MqOpBONnlS8ZI8WQ69RRL69xY 9S2VjHZRqVmXerEMxfoUmUAwKFcMU8uIBlahS6YGnbEnAyUc9qhNf4H0nWTesuoGxiyEdikMDwQq FTAB/Dg8gmqo4R4DArtsIdv2RgLr/8ZcIy088Gmy/WV/jmCFZM0ACi7UuvCha7cXKlotwiEW6KaP BhQAgdNz6Z0zWKCTLj1t5GvaVdfHkc1muBHkCguJNxiuJHpNgSXsGEAueeGWL1r36Hxu3d06IFfK 74Xz6NHhieyZVFF35H3a3YN92phb28Z+9TiyCXmjotcJbXa4RxNRtkqAOdocSDmUmyPz7adRh4ss aghVKcE17blP35V5aBwF5dlPseVY3HO7zmoZhqNErdAdBlWl48APSiLZJJ3XdajNYHdHHyv7dkDi fJ6NOCcmanvMEJAz1kDXRqBd5f06sPr5nRATM68qypfzf8zEQ7ZnlHLwhMRHJZFQR2HRlDdJpZT2 UeIcTwRypOueN8xQQwMEua/mkK9oEi9XODRqYWKuSUgcyxXwFzDdGNzWjyiXXugF3IkifBOtLUVI SzNzxGlidUZZ664y4J9lzhfTZBwsrWTNmKFTAVQy7b+CTdDqPNf04154j0r9kpyFBnl7yx4CaQyO BvnT8goCkxaY3bon/KsZ9Usz/0JCkiT4d6X141D0bWgmMivZDaR3RqAqz4qeT/L9ePirRZ6CJhvC 6ukIkW9EDrp5aw+lW0s7H3vzjTLdM1DcuGxPf68ow+iwkbsfB7hUPcSchLSQlRMq7ZqRGPtO/8pE zarVtBdgM1wF6o9YLgmlLeS7KfGXRI5EUDMJDJG8cIVHkkewxmcAAcGTnXehF7rNUmhA3RXq3ASw mGJKTeYCpEjhZR7DbkuVOC4aWPaBqoGW4QSJdrqjiH/hY/eOKy7ThWKb7/pPXA9Z6gHYgqPttcVM V8HtEtV7ux36OE+aJ0ZlQz2DSMhK0Nqf5eOttN/bAqrCPKa6pGy2qQouYbJIokqJRpHvX8A4mlFL gvGxm26YuK9Qt6oeoDIA1ecHMB0OkvEle6mM1QNavcwTCIOBbJuRwOYABb81sGSdmxeEKxOytMHX gj/lnBsBmR9o8KMa2tDM5iPWBl9uTyAHowzMpeI1V3qLrBMLyiVxW142n1jeb/6s5x72U0iH510g Fx9R04btyfnouEnYfQnesLPF2WAVu28TYKI9jMgFUwIvlNubOJSJitG3bh5uDxI3q1fD7w7lZopf 5+HYvoZ2U1M6pFXdsdT24zFC9jAl5QHqAEtdujM8XS9mcITl4nzfhKavCWKNSx6mFdaZUiTvN/Qc 4uyznOesl9jt5nYxTsEUCm+BAU6+oieQ5ZxWCCSmieKkvQ5lS3OJk4xwOJ8ego5Wj7nD+eK1oJfc GJd8e4jsNqtcksBjXNLRXY+6UGCiDNtFdbzjs8yZnWcNuldMUS5UE6EQfXEjM/kgRf8ukjGfl3qm ckNi+Tv6L75PfAZtFo9mjzj01QahLS+qIGEmmjD445Xt0YW89Jw3uY6dZtn0fSE0+ceADvrh3NOH mbEWEXwSoxNxBg4MYUuLdEx9kdb2sgINgvFSIYV1Hjkeu9v9XTof5swK3ZQbmUrn1LRheTBtDIMt v9P1BDLLVehT+qJPN8yI69PmtvMsciGMZwZAOibJykNR26NbjU2l0mV3Mt8JUArW7Uuf5lHcqxpI 4cIkX2mJVQ9AR6O+9lCkIjm/QtfRI16M+Pzhl7//CMJhfoW+5UT1luza+ISplHeqsDwtJDh625AY 2kx0Z4gsUEe/swGZ2yTzdROjr00b7xYVn/Qe/SjNy8JbDxatOYRfRkqfPrCrcM46THGOp+T9L7Uy kn3L3PhWv9Sw8BLyGAFit+E/LcwQBg4cdSIj8SjzHfFPU94zV4TUo89dpLIkFX9t/XCNthPnJhkk ifuqyfhvoD9/UMgoI/vIRk2U+nIuWh9g2WdpM8LQ/zd/PStDSg6FjRZT91io7CcMoLeC1aPQjQut 8wkD/t0G/2HCJC3z4Lpn6fQBsIkvrTYmBhGHmJpkz3vkM8yB8tQy0kMm3zpRN4OLPqdxFIclmkja ktLaIFAc9TpyP6+mhh2Enne741Vj+qlNLVHD11ahW6zAQs98rA0FIqRvwKXItkQUYSbyiXQUxbzz 3jai0hH+4F0Np76CfeVD/MMCKtVH1pgDomhp3kdtY1JG1yGw9KdO1MFyXLg4aRyCqZ3vqDRM3/aY S/AyaqaGVAUm7Xe+MbI7OB6xauNMToaH1C7sB/x4cjBtrnYlnJ0IZUBSLD/BOdjMLEVGyDhZA95L K0jH2yYBNabI90QAszUWryY4FGPmtgB0DP19mPHCQsKa4Dhze4Rl4UsNyjyxAnpZof1puOqD+GQ8 C8TVp2v4vLqOiQoHLw1FN0hY4KdXtdaXN8UY/YSeg7yKu2vWtnJ/Hes95YXvCKTVJhVKbwFF+CFJ leZYSRLpmKg3k5wPiAM0BAWpiixYlwA1OIm1SfK5inER5v5u3Bxj/NevNWL6dWFP/bbnWpLxCPSh s09hxSoQjHyq/FRsnt78KrN4oMtdd2yHmzEmxIsJ1hipkTMzc9kWIVaRPuMKzeGCxcdRpU8vFpYP iJsvpwMq33UN14qXf6pVEd02Tudku/PxYHYdnhgoiyT1e0Nkt3xqYPIV7bdhKHj8JCj87DK1DWnB SmM4IOQsDFo880IvcNdyRltg03KTJF9dHuqO5lEOkKsdTkRtOVihqHaFGg/0EBrclMRhfLNHcRea POgaWI+1Yh+UA36pKqi8rUXL0A2cYLHzlKI+O69Oh8+BIgFENTNVn+6LiIs9x1tJtiSALNxVdBeX zgUz2flYBkTaSYbLG+2JYwdsWtJJcBVvTz8QPeA/V1X21E09cFnKs0mJwVGuZteMfmM3kxMW0Xt/ 5y9avW6Z0NP7KKP6L2rfZkg/fM2plC1ZYjwXC2F/Zu76wpxq/2kOlsDpM3A10q6aqgM= `protect end_protected
gpl-2.0
91a6e08b162a800a9f38b46dc6e6547f
0.913074
1.947982
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/mult_gen_v12_0/hdl/mult_gen_v12_0_viv.vhd
12
21,761
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block AQtwTyGLz0NMO7LyR9Lhuv2cA/4y5ZLMBit+QBleYFW8IhTeXqKPD4aSeseNMhUuoCyqQPHKXbmX LeVqKxvarw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block hGVhv3AqeDsw7H+uancFjD279XefBZ3mwEBxW5pFk8a3sVNt7IAIfyXMtmp6XBWsae0N+Ci3/npB 3SasZ2GaBZBVMxZwKr7R+ZnX6uwtyrN2AJndaqNaMftiUp9xtV76bCQ9uH42U+M2x7hR4dtD0fvB LYvzs92V+0bNZbbueyA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Rwsa6WOnTwbkSOakIUUGDzVbehno+eVI6KtkIdY5kK8lPoN8q0Kbk8vzYaFYPqtx24HeGf2fCrmL UEBJpMMEdeDUWeTdVGVDGgJQqfSETdgcbKy251IhCrCQqWqIbqijbXpSb31jgoi6iOsGmyPpR2m6 gAug5BKSALEa3o/asLI95p58SZhkaUpFyJnRspVoLL7h+r+QTO86y/MjL1M2HHbiMVbK85YFLHSo hReZLGxbL6QQS1znPiQyyVy1PkLupBaKBDXojs4pIX8/CiwzGsFTCtFrmYLQ0UqfaMo1P+9NS07F kOR3KwphHArLEZjIth7K0OygkOWzpexPymT/LQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block GFpv4P68gj6yK06WrGFskDzgRibsxHI5jWrB5NNgR5jAhsQi6zUtxk9D39KKYeNXJovsaANReMqt hhf/9kQFTUB17gOOYbYVuZ5Jw0U+jkdJ3RB0GtDnyrRDOZ5DC6YyDUkB2r6PLs+CT20zanhxcEtl sQKOEnL6phaWOedi7es= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block c6+3pMI4bZ2mi2A6Ycj7+UeOiarlb+GAsf/fjV00iWC1qCUggxIKRxP+eJ3z6XT4BZPrG1RsEhpx pNg3X+Fuqp0RwnM/yLWB2Ltk447QmP19vCUIvCHgqjPtI7kt0WbjsDqel6aoZNnpmEL/7gd6/3NS nhA3XQ5QMumSsq/7bmoNg9hBobg7U7jlCr+9ZUf82X7MkdUEYGN/bzCmelYTt68FJ8ZlCW3h4ve+ YiX/yE5WOCAsimsuL0TKSZhntBGdjxuGpkF0yYXDh6gl9KfRWWkqdZXIh2qUMADKH/9YGGslBS9G GFME+3dogZLUU37G226tsYdPFlDiwh9fU/p8oQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 14368) `protect data_block EabDjAa0Az0isNZifapev/pa7g05ENm5QqEyThUrGgw2XouYHGKJaqdXiPTrC8Nvn+/yO6ydmjP+ uKEIIUPCPzXuHuVXCHqg8PiqMdDruv08w6ylrFjBBDuY1v4oXVAVYOo1+yPLYz58WSpta17Niyir mi6Wu93GWxRmPF1jKE7lecUGe1CHtVjvhdGsFvuwJzJKo1/Qw268fKk+gh7tiz1s+bBYq40q4Nmp d9U83GqHY5M3x8ZT1k2tqLoKlYX5p6GCCW3dNUxjnznBZr812er9s2DAI9skEwKqIrx8vqhQrPEP vJrES+esMhL2/xh2VOSJ5Eqq56U7+spiQt+o8LamMHEjs/IMo71/W5/NJuGW1tcmwNCWukIj84vJ TumMJe9b0jV7ZnFMaF7UxvIVMicv9fwow1uxM+jJfXrjX7sG+MEKZN+k/1XM8Wa6PE9s157MQ8Zb 4I9TG9i85BeJPVaIUo64dgXCuiOiDogXiLI2/tubkSjBVmswO0UApcEK0ZQyYAaBDjVuhHPvrOEK ecbfu2Yez8dOPtKY+35TpCG56nzxPSoG1DmJzJYTpABilMcZ6IwJ+d5UoEGBrKxWFfz/4hm54ZOC BQCIvj65SIZw9cpBgpGoddyQO0Iezww/pn1vXgvIJsIgFYPs95CPmilUyC9LT6J2mwYHxucNOy4U A2LRkqZt121FimqLJ1Nw/PnbnhhPW3H1ORVKAZ9h7vc3JLc1vLNJz2TByuB+v+n6jgnZh9iz4ITe mlXMRsR+9CqEwdxb3safQOVtm3+sKz0m2vpREExw8sc/60IFS4DeXfp3568KQMc4P183PcANliSy 66vvMBgkBnHFPoA8CiF+2AGp4pNJtRCkCmgnS1IEMPJaLC7TDN0+DJ+T7KdtGGn2rl1eNVk9Ro4z X3E8Tceb8jDL9Ux9nXF3T8kCr8SU2duDvXyNsQ0eMgxDlG3GVLXhWxLCxUvNMr0YFI4z2WlgiMY/ Yn2ar3RAxQoYkqLjQvLtkr5NaaprkhvPlye1NqWP4k1uGLU10EhNZxoci4OxeASJ1/iJ6eBZFMA7 dARVMFN+FXWLlImkScxvoRPQlKEyqipdAKmSYQ5Q3NSmdBZna9TVjhA7EsDm8MVdM8/RwVJPxnmJ zStghD1vJLTMqzvaAjB7JBIWToKp9LeBoaxVhuQUUSXUp14HptjZA2V+94PTDBCXw8ZHuwzFm/Uh nDzzfOM7vUkrb4hgct27GMnl5KxLxi/gZA2LAT0X2/eolCLuNYVpRKDhoSZNa4zyXHboN1/7bOmQ 1GO9ZwhHA69rqv73oKH+5wCI/leO/Jxu9HFneJzdK6OktfTwg56wVCnZOWUi1JznC7To9L/mCm44 bMQpwEGiIraIfq8K6tZQLfhoihq2P8dXh4hxDYJdW/iRWpiCsetI0CzwMsc2ay02svbLNMzDBzyQ B5kafhUB7JJ7E+ngulwvC8F4/LdqRbTq/BZ20pe06jIjtoDtRy0KoR6oP9quZFrXzACYGZ92ZOFB uLpNKn55m2V3Fq1R6KGDGSyofK7Co5tGANyEIUhsAGT24RH02bX+vNE9xMmzL2BHxZFxP0S0Lnxi o2iMXpLFUwJZ6Hlaxq8kfBQEQK8coh58irxS6lOWho/E4amcAJZy0EyS7hNgPQnj7H57MbxvRYW/ Oqm0BUyEdT3WWWrhEb6qhuQikW5nXKXgrRClragloH0Is8VSpkTbJ+AWS6FS+MCvhLaVMZOnznQ7 9kq0WaNkN1z0/OpgXBhli9K6rzt3BrhpX2MTwa1fMVUpuPQIwcDyS0IVHHq9HuDCNUALrfMzs+ra PSRCyhwvOCoTG2ugr5d9N7slEWo4Y317fg/fLxOKJ73zO9R0ayV/rKDZYjUDtGwUNQxif0nGv/Tc b4fNea7Hi95P5WkBFkBDDkBPlbWFgnAcl6n9CGj1hbQ0Enf6+giQJ40vIglxstY9ESa8eelA6NWj rYxmmH/055d4rwDFaOSeYyQG9kkN2jihpx1cRm9JUgekjeIxydPgKCL0kHMkk93EajQX4TbhwXdm r0dCtgB6nZSrMHcqLcr7r7zF4+d3oACqw7S0J5imp72VfUQrqvUxd+gSeC2xx3q1D+k1wCWfD0OD znKecOirq+oFu2fbdAYtZ5fchb8Nlp6FMeF+J/2HR8X9ditnlUuBvIG+wlUBPs1aFevZ4cGCX/ru elO94mlaBgMg+1ZtyltcPGrT7s8gTKyJJ6eKcKOS18+tsxN2f1sgVQuApC8EqJiqbP6N+iLoPn1k yOOour64dXhrfrMvieP30708FA9l9HzUKZAKDJH/Rid/jF7TLu7V7GWXihsKylU1VYPpey0goN9T dK8CYxObkE5yo/yJAjCQEXmPtd4kde6E11UC6vpsdDLRmLcJN+5jbfq9GS3FTo4knzg9ssHmFNa0 Lba/NOKkEGe0F2oVxVVdOk7WJfey4m4/sDKNSpKS2+Iimb91lM45tKNC5JoMDsmLQQ26c6CA53xc 14esQ8FGcquGUKm/FCM2BrT4ZoeEuCm2WFoDqApUEOXY3rKHCZFp2jfxPYTArwDTuHaSPKbtV3r0 MCrRoySQlsCf3DgEPWCgW7dx67OiSZcU4OO/tNx+8VmVWD659cpWI6MoegWozYD9jHvdKv6g8yio XwfNIN+woaPyZjhckoNOd22r36dApXdlkWxInp3JcyzOJX2+ICFumd0VYxEHjN+FG3TudIpd0MgF caXrAejYLKPg2Zhse90tRsFnz4H06tHDxo0TsgW8T+HAmdahxb8xIbfZiG4SscKjVOWLOC9xL6dy MLxFQESu7OF14e55Oh6x+V4lfZqBtEXpiQn6PhoQsRvqsoWLTvFcTvD5lJfwodw9zL+u2ro6Gf4d kTGjePKBHjEEGD2OeUlZHSSTIpg9wSEu0oIRw+LtwKj//cKj+EhguZl0tcp3N7OJJ14WnWssmSuC kYp0xYM643BVW6SM0PbTOmCEzHJ1T1jbJnN6V0jh+sdkKGkf/GFflY//eeKlPFzSLCqWkgpP0v3b +gglxHyKogZYqoxR91myZVbjb/uaBlcp+39LcCiRg3SLpY05jFOiV+nXGfbigyXAR2iJXnNVuY01 RLZYxwVvkP45hI90G83fq6zQEvX1iOOT6TowjYihu3vglQlE+FEL7lmPBPxSe8/WjSLF4iC0G6jx Y92jOweN2IUJbTjOZZu/p/3yshGHceH0njGMz+rOU/3rp316Uwvm955dC9FCc3kSzv1G/jA+59/X 3H9Ps2zGpKn61jToHaGRSOqYqYTXKU7YdD1TsMXbMJom+6TnEARt3IIqzeV2BBE8d9pCJ9TJEtMU q8R1KcnNGAds6mMv92GL+GNQdKp65EIKAQFxy4n5w7O93BH1dlTlOqBWoHnRYP4DApQ+CgutTJRG OXuLgXu+ke9T8PnuPDrcYZhBJJILj0SqllxEdiziFrOxACd0+SR1jeLGZMV7DLUoqDbInbTeX9GQ u/deWXiCVclXBa0tilNajtpEDhm8+qwQdbUUMFM0uG2ccW6N9vY+dIZ7DtG9b7K73YQWqg7DwtTw MrwBMtzf5fXsZ/98Y+EODs3TJSwlMzXxytEwY3bYoOn/iOI/o1xPbzBzwv+q+cQXdtbaEiL57fZb qrLv4kKbkEOun0qVRWeBCzV55VWIWUYyGm5z6rZ+hlyr6Q74HSdq5T591zqF6HS2JRd9KRkKm7pb 0wyDkE0YfP3qp+WUyAW0oZjYKKJ4Gb/opXbxUXhvAP5U+n3Ew0/AWVaBVWDH+rnvpKy9W+u8OE+X Cf80r3NvsigtaBPzQIYsSOI5Hb/XruKebeAbCdIFGVaxMS7XVOK1SsGcoM1AZOxf/y9Ak/IzWY9L cr+etNOui65PxLQhxM+8VSLyHkdbzBwYeU+DbasK2TjtZP9Fec+MQUwF7axbDEuwZAjdlrJvR2Ys mgc89z7TZWsBqJuvXoRqPCMwnlkvMr5pL7YJLWyJ7HAwxpkfx4Fec67kj0w1w6igTDjzcr/w0N3C NEwnubI+ldulTmlEq9Pr6iKilDKgKuGiMOQ4M34DYkpH+2UITyA3mUL7xUDs5FBuRVVzHfFyMW73 QdhCP3tvHsYPXwdewKQ1j582t7SyhyZAHTVpNRolF9F1Qsd37r7Ntfp6nqHreNbtXI/xv75wOw6h b6xdPAEvj3ii/CkpwN1mh+EjRE8hXP3LBDAnEBj5nr1MEdXIHsOeVACI09lg7Vljg0C6V9zxnm1C dwZ0W1tleb4Nlt34u0YXqkwPRAJmnnTi9JaSAxDKCtCha2zghoXgm3DpbYtcIHR/ktsfxH9TmttL c2fIM8Jw1+BsUzF4jVa5sQ91MeWQ19i57WaXYxdhdL9KIMr6joFcLYJfVI06eRMOrserHpXv3ne2 xL8XgcConc8mcCwdJEIgYcEdAraVSyhQrXzV9aPNLriGIbT9OLkZzKYfU8rlHmqUjx0cONm+2VMj NHSozTnl3KmrQ+uu4oVn3UC0/FYhQfEUZa4nBivHbfEuYA32UJ5Bp3wlXrXUrGW/eK6vyvlkzsU8 f8Ik/27wIjpeNE0DkB19EbyNv7a6sSMchYnRUGbCaOyq/+V1Bu1pKA6weATaabs1O0NDZqR9na9t QPtukdNR1nOV3qesqPmRZylH3Pa5oH08reiq5Hksc8U0calnImm49iNnUbFclmOJL3vgB+b+GwLI G0c0r1zMXBJpKjQ3ubY4pt0U+p0pn9/mYvlYaWbqUSJbG4+XNcnbKDsDRkImpk5Pd3ydwwX6REkw 0dHUyigzKQkUL2xA8hiYmDhqw2UfFeHSehaBw6rGWRll4Fh3VMkHtr4YpQcuNWxJH6f08Ld4Al7y FZkMTnU7G+Pb/rjlanG+OKhQrij9ZIIHEd6r2odLfff6yO7hrCytVG6NeLwzguMJZc+y7BY33N7d Vo8trmZ0OFz+mDwOU1G3e7D5EnWQ/SDNB42wE/qPIQTX5YyhK4ctGTD2g7CqU64ug6qvdwzBy3cK NTeCPljrlp+uu2o0OqAusQfGh+1XvBCkNKrKBxu/eZkOY34orAgXC8yQYBVeAlt597MvTZx+P6rz vUy7REq55WzZDB1S7qHyykviOTOmauW+L2c1Al+iFaW6PHtOSRSwmhDs6DSR2w1CCbAmscXOv1z0 VjDIVKUkDftSLvPjA+lC1lUKu6oUVyp4+6qzR0+tf2XGg9QN895muewWX1YpYCGQRXcCANJu2MRk 2prXCh/v+bXObNAe9LVviJYOz0syOMHVAiyUGRU6c5G9PMrkIKy5jKcO7rsr4eIHRTnxf5yHhl3H 3a8jCz5L8ggVO6ilcllxBWpIAlRE6yX5Y+7C6AGeFrYaEYNA4s3XMQJ8YYIlqZO395H2+Fu7J73X gg1w5PvtIGXiKDBPAFCblwyOlhYwaJe/AA1VtCy3jUR2fU5tcd4ocHkYyNLGg9s96P0faamCF2nH RxjkCEXtZ0d6udrPZHmoG4gHkQvmK2Gcoxa1loJBY8RMpn0WLbUfEXa3Obo7jwOucZnk2cfD9QyE /olVLDL+zTt0B5VwC5glBXLRAOLtaAUDT5XPXScRUUuXwZB56VnT1tWiZlqwv0oSe6oJ/2c0iK/U tdLdBSuTivqbrMtnsc/vRAoMLu1ZvRtejQtqItCiWUAiGMyzTDatT9eo+s5gkizgD9STDQzeHEPe UVuNAGE7W8e2AAYoJOJ6c4IEiPhwVLrYZCvxjzOxUYzEy8YfwLftzmFxr0lbdGKD9DnR/QcJKpVo egoJU5mGzLGhOg9E9wFbKKAei5Src9DTSj8jez+RuR5zWEOpznX+/ApfPHHxgA5DsZbASPruWxv8 2OJ34ymXqh8R6LN/fg4aGghrFzFiwInXbDnXcOOmopcP7f7lZ3wurx4F+a9EuC/07KkOmlkId59W Xp/E/+d8FRHJzvd9Q0feA/BBdLtVCMFaqgt9F56QiCPY7pk83JD8ZagR/ZNPTCCs3ae/GH3YnP01 hALHcXFX9ywE+YZ1dr32GLf8EPl/7duz7OcaXZCO/iH+gTfIln1FKarb3xsN72NRnzx0Lk93YjaA sG5c6gf5KktRu0/Jp+Oa2yA5HEUs5WiU9SVJPSc2iWjXW1Awku/CKysApdGLiroBbkXgcX+lYxEL 7/RkZjnmVPIs6EG7RgfFXlls8bq89qcB4782LXvaicMuY0jLkL3TbAPQYa0+P/BFfKlJMrti4vcy dc6++iYIcC+qlI/ZPcTAdiQQTakSSeV1XJjAdlSj7wm22inwEejCfrmilNqhrPjX2dDDZTPlfF2+ QLKNpZXsEY9Lf9J8gibZzaxqMRWqYOo8kCgzSOHxCy4eOr9VP5g9EOu1W0q3kwL7CKEArZE0t/ZD JNBmLwOA3K+EB8lxPRyryQVtDaYV00bncx5L5ZEYHx7zsIaMBUFrBMv6WvNcyw0pzvWmbSReH0GY T8tCTajstb2YxDMxPY5N8hpeh5qbzfuHb/muVhGzhU2OFCx38iLgzCj6eydy1cWef1HF5EpPHl6I 9HBU61qBfnwxlCLoKTbZQC0PwRvMpB7Zx6E8N5SVTXLLqQUWL5/Lf4gbEJJvENdVwmbZ9BZJ/iLb Vbu0i6AzBfcoZmpNTOIA6YwDVdDLO2Nx7VnY13GJq4BBVFVXyS7gr2xQruqr0TnlM3VA086Al0i1 AOFCZT13im7h3fzw1Vkpdjmn9s4NSUFvZej+Y2lXpJSsBVdjSb+evN/0FRCcGPIPH4K4KS0nxJ+p 25XZknOK3qi5/Zhsg32tA5r8OBHO1nIcGApZ5eSuIOr5uc8aDZ+psx9PkcAQKahiKx7qsVEni6nv ZMFISXWHSEsVzuHEm8HK+KnWE88bbJlYq7gI8eQJTGd3mErzoYavuWtfMUD75IYklZUb4XCbcVCL wCbKGrAvmLmHoHyAi+mHIu2IWgYCSskRGb6rEDLH4/re1MoysM1YwUJUptVu7vTLfUwTFT4VGj68 rQ5jd5mHff+Az9dK775BguTPOJxCElwKs3N0yZad0E26tsm3CXOFP/0Lzm9qNv75xziZzdfNaXP7 xr5M9XEmVv6mzAAp3X4oavoSmc2ogXCvQCkNPG0NAycBfzAE5bFnPxmhpLwx3noaBiIMjtpW0Hq8 M6y/4WkOAKGLzpYJsXzaADyZ7M32R4ALSYgVfCedh2m9q7IB7vRG/kzgEw8Fyqx/W5OHOdcY4FPU JqHfHBiZHiEUZF6QqikPMEWtud9+F4QKnXMoVAl3t3ZLbP9nfR5VMaa2DD42F8jhYZA0/rJAAGyw LVG9W0KO1TMo/990TdI+UzJmWlIa+u+iNomsiTo4fkgCf9/w9zY3LZcZDNdzW9+KcWk5qJapC0O/ VL45rMxWUWj7Ces3gN0hAgaWIrXujBqxGc6uSbmPIR8mdRR/rZJiLnEdxKQEHr4+Y86FLpHa6ZOe VWcrtfXymhOxEWLZ72Q4WSRvyAC3p1RdfPKxy+SZ5Li0BhgTsyldRcdoH7aaDp7hiS3gr4boVc08 1o1MxSKZPDPtciuoUvpP31MiJU6LIG4mVokWaYygyhjPlls8Mj+LOcouSueI6fx4xeC0as2oZAPD z/ln/7BW3HENEtRHwp4n+Ylnc3L/Hp5NVsDT1BOG+mzHJ4+bsyGFPSyrzabc6WdiSibtjZ0XLrkF bjPPgBv666pOTTWwv11OcYkX2nCYE2XZMvWTeIHfik0Uud9+UUtpbpCtL+nHmEI02lGUvAwjauYE cJaQI67ZnWrK5CDBEKzr8fCp22hkCnPLYtLWME9HhgGksd/F1wRzCt10Ktxo8Eu6eKBpx5SZyhNU 5L4z6qGVf+cujFb8McucPsIUetADifZSaHwZisKT57V8aLSk+bqkMcet3Yzvf3Jz3VSZGQudNRv/ +VXQ5dJVDRsLUGvFKXtCNdydJsHFnV+hrFU/fdzZVdcJnaJZHsmZQwi0RBAucuUMyyT0111/qvxQ IEeV1csxWWlPxlVUW6i/J786EGIxuxDf2CMGYP5+Q5+HjUTyKwkqSM+MeCu9dYsd+Qecdlelv9xa jR0imfUyYhoXsvTdhkmpAlZjIomniqAieFcGXxzsmfEYy0lhkjus1RyM9nazzE42JUgsNAHXD1nd JlQNXoaxl2pGOqY7zK3GO/xziAs3eM3ABMZRe+2nqAIvqT0xYYqLriApaNWYxHmu5NcRKExuHPsi 5r491r9CzLtep40i3XSrwdcLUuaSWOcnvuBe545hAtTXsAsTyEYMrxG1hd2aydZg+EpTkSNJg/Wz CL6m0DqFxLHOablyJoPpAqx6V7RB/BqLioqpDKB113uu6EDzZazBRgKtUBPVHTZdxJ71/33IOy4N H9PETknb4eYgbnjTQaPVAhzPWEayc60qJ1Ih6xMxgP4Gd7Xf5HgmK9okcLgAH0hZdYE/uFa2NTEZ /EwqlE78F3qRU/ZTCPjzppTrJrLwcQJD1FzXITRPubgQmAZ8275r6w2hbf/NhghA5XJ1iR2b4p65 OyEF4h26k6tkt5UiWJYrK6WQPVI4XSTV3LOlnS48ZgGnVojHObOPJcorv2pQTxy72nPUMjV6Aq3i JY8GNH60eEW6mSwLExRHLwkl8NFUXUnMVBDlmE9QaXQslzdXYvi7oosYUKfM4RCcDZpYtOs0JkbC TYhGpCHwnLaC1PKesGAuwxap8I3A6w1+/2EQZ5s3/O/AgL50IlINQWJ8YgLf4AwTz9N9VVjOuBK8 cplaCcSzuM0kVWNSXhbmuakVQPMKPELnrb9WfosQLUF/XfeTDop1ru0srfqZfb7fiIw86RzItLcJ uWcRfNg8181AFhTOzHJ5+XifW/WPQOzfLAnMOSzngswRBvN4IiWWYEiaZ3T4IJ/iU/g4dIE3Q0Wt kaONgUnxrWiBk6u8rkfGPQLTFUC2q1sXvD8DD3+VK1yDoAETQP1otHtkU4T35+AAHl2OWI+4C5un 0cm/FaK9WwHZkWuENpcteC/yhiRWvZxRoCMRMcxDq6JuxVMeHZvd5DeCiLyQLQ4uwsGptknGGMU8 0oJxL0RWTLZJks2pEuHMgHYxLsfW1ysnXREvTR8wlLuzbGI3oHGr88eJLSnn/2GxCouiS7jJKHJp Y/R2HUW7WiuOKocsB/dRfeB73Ry2V1c++Bja5EO3ug6Qcb5XS53AaQhberrzaqgt4jSuNYpJY5No 0Cigs2Um3qJg9hIuXt43+1R91XuLE4501GZSDNUoXbxfHqGYYFz+prtSPoWPRPOG4/NWnZLTx5I1 oxD6j1VLHeavn8Z9lVhemoe6QtZ8lTuz80ikFzdh4E8g70Y5Bzh41qNuQLJUkBZPpckx9AQgBWJ1 JNa1GkhNRor9DUf+vUqIoZWuhQEQNfrgK8P+0qr+tpTft6F3raqPh5O0gwLiF97k9sFBEV+Nc+H1 LyH4HgNif+PUyp6kuOmhdV4uFg0WFWyN4WVcQ+3iNnBN8tS4AvCp/JmdojzbGSn1Xr2zVDG6GhFF uHU/ixAcp3eA+72wmrQLQCRCoylsr8KgdAVmVezP6wUuVbE5PXp7ji6DW7UfQLMCgOXaQWAo9ynH NxUpdc3p7nBTgZukA9as2x2SVVhrdJX4JYS9NPdan9nHeZTUUo/L2uIGlffWuSv25kUbhLTnxqaT 7fOqKjiS2dKpIkgbGEEc5pkTEGMjHqWjbO4q/4WCBFpjyw7MGK2AjPt4gC8nx1lDc+BS7KO4rPZ6 kzT8lhJk67SLn9sr/vBueg+apY6ozy9cE63GvqrCBaRUnmXQRg/x4g4kyjG+YjOlOnO0s+TvMExO 3bTmRyklo0RJVMFaffFCXcfVPZ7J+cQVyhcIQkEy4KxsSx9/jKg0FV19vmo7pMTml5OkvbGZYO7X A7VLo2qksitZGBsNyxubXFUeZKoTkgXz0XBxbQ6Yvmd8I9ggM2rN2bVJfmQdvRrnMWIJJomEbho7 ghNfWOJqsvw4oCDgppdcJ1WMSMduMZiErhvSPc6Uv/mWbd5DDXS/rNGXIA6gynk9LTG57OjbUyPi SAFNFqwZ1yFkc4mmVoKWKupMZK7Q/beg0bieUwe/n9N3xaiOL9qQGp57J2RkotWHjEpNOmHOOD5m WrzCDZj+bc86lEDJ9U14OC8eCRZs13tFXh6ME7VdnqprgIF7b82/iTtIGj+tR2wr6O4ZUx4jOkod bhgayeuT7hF817BTZ8jPlZKnzIQvBSIlpKFQqHTtKmrmfpJjKTMCsym2smSSd91/IjcEnpoYPzyA 2PYlMqi7WPA0XsgzdP3ztqpi+S4Rpz+y6ujBIZXo6EBSgAmfXfj9vhKVXyNuXLJsYtLmv3fjUkvb k8JRgHRtWlVEqoN0sBGm5T8bP3vcqv7U280B9r5Mp8LchjkL44MioDgWAl8zVlo56KwwGqjDT1j+ 28tmSPDD8zScNPLz7tMOXkK07ktDVUXh4d1Ol+xXVF24wKBdpcwYm+SNYoUAaddottEe3wrYYkT4 fGyoal+CYynS89g+A24EZvEQjbxHyomAqZBmIP6C6as20RxkWxslpOAD2C3t3EyS9QMEHl1oJFlG m5ylIK8VPqk54d8Dzon9sqw5kSeLrvtSEJQaOXhAbu6Vw1i4Rnd/n0fjc/OQdliPSv3WHAu7JAvM HtAiHNOWzHfgjV807umKqzawJIx6A6OtctDfxEaCiAiopAQyFOYwwvjd7KYBP5hnCbY4p4axaxe7 qDvGIBd13Luv3Mq1C8qoUSDkKzOH6ZK5PR0zh6AqVYTXZW6V7n3N/dwby0XNflFm6NRZz2/gkAmM cKY02VnYFpKNP/Z40LCQYj/Mf/SQJV9bq6Cfov6TfeGN5IgFJm6kY/bqVNHVeykL/XAdhNogaZSJ +H3iKvxXOyR92fLcdF9zNatF3hTNrEZiNksHrk3alYuQKbeYzGeIkzbmXBSZBBDC+MLJD9Yn01Ii yQAEaFO/puAV/7U5lnJd0s69eLwRzAIeFsA6lo4V2zxuAC6fIP8DJDmNSVB729VT9XIG/trs4Yg0 VxmjLfHzds652u8Wht8UtlnpDqcTTjySMx0Rj7Qscakoj51zsx/jrr4nDs2/7CG1rdgGA+qWXm0m BTJGDyMwV+9OwvODpsc4XT/N04GEtZgHDl+5+ZzOU+It6fQaupjHcUOtL0x2SVCMmuu32D5vr4GM vtMymBCp0JNEL8udXD1PGAqns9RPbIoBz8NJVwU7XYbvN0V+yTlq9nhbRQ/XFO9VjlFXnGl6Bh5j HazVQevJXQeGf2IjBX6FC2TmUVRLUH0ZY453FzX6A3G+eMUa3fDAjqthqjCnSgQFfxXJm82uo4x6 JgmbxtlV4fNH8eXXP4SNXmfNwHHZ9t8APE/0YYZViOU9w6J3wYCbv+V7DgrUPnCQ8+9K9mwpQLiJ yn9DzIjERIpt4iciePXlSYglG/yAE6xhMv0qqgpFNhF7BQRp5rBS0PTyWsZo1YENtaJeH0dR8aMK iQnePxddq94TUmsVx7kzjIUjO0ujZNSkh4DChw2T+CJ1oMYBFQC+8kvGbmcNGrlJn9gCc3ulvSfx LX5v5sHjo0rR9KU+mx+ZEgsNbyBHvKI7OuVgMol3NHGL9KtE3iGS0pfHCTE5leEIVtYuyMHQq/Jc oHigAVvjk2twsRSQQ5Kukro45OHh0thP2EeUhFP+aXdbmgVJlH3Thw8rGSiyD6yf+DmyYdZV84HL FZPaj8GDT/kjwbS8xX5zvzuIrYMAiSs+MI2cS4ft/0aJUtbgmi+ZVQctTlUcQpphbNmwKSKchTHG s8s+agwtIEpsI9iT/FWmfxmuqCORT9DVBPz3Q8Ly3sMfrzXih/Q9f1qzJN2+HyiYU5tpcK2IbVaF BJmStv9Yx3gZRaPvvkZid43Zkkr1ZhXSXS/8zNfn7nTXydeXGLlItR+oU5VevNAYlFmGfXytIE9K 3AFmhbobIJ5U6lzRxAQRyzat3ziPePsk4A7Y5QQbKN1nrsnq2yVoXSoBkyE89H9HbxV+ppIXJjCH ShJb5fmLQV1BppKTELLQgjaHmuI1OHc7CTe5Wpydy4I7+6Lf/Oc0B+o4JHU7Cgz5PmwzxtwycpRR YObe18cQYA1i5a82cvmE8lD0bS0ZRPvguvyCL7Tm5o3DD50eYWgdH4s2NgeBne7kNyDseqX3ilw1 BHr0pXM8aXij7bI99zfh0jAUdGCVeY01Y9RnLne9hRqx3Xv/8+VOBkQJ0K7OJvdf89CYN5k35r8q wJqQQkq+RIDbC1aJK61u3PwRMdVFI/ilfgkolzbvqoh5/7sRJ6ioHVZ7BrFISLklQSrs4YnlZsRg 8fO4g0rNa2BAH1JKLrqnPtpfP0QLnhYxJBrvJJ2QcjRpBdCpzeNMfITweMm6k5+AF6hkoGe8zh1w WDogtG5iOIdpD37vEWugmsr41Ho67z89fNc0Yi8TVw4mppMqXOlCWHKiS5qowjScpyQtRIUZbLwC iY3iiNFjg5zqCouJv61krinTBlzkwqpV1Bcns0oYSPRLxoj0VTeFSnPQs/F8V0xIujSNt86kRM95 O9cOhGVJFsWI7THXPJeDziimb8kBITsu9ypKM3D5UHGR5ALogea1o7qbf4+uCZOeKvP/0bFOZZMu QKfRa6b3gjhjTxAB3wZzL1UdLtYOH47VZUq2mOZ7O0Rpf9W8d3g5vdCoWJXw+mu22aPEPifhuM1N 8r6P6/PutFuBPe8m1a3O5CZwcCd0q6Zj5p1ofvLDjvQVWbE+qxXCahqE1fhi6SUpv44M3MX0mYwI akqIHpDIACuNrO1m47ZqQGZRbULcTd933UXF3WJNrBN4fYYdeSRVGOi6AW6lDUboLythWXqbOjms XiGfv629J+p8oHorWbkwemHT/I7x7iOSKL305l0xW7eR5zAfDTC5Rup9nj5wDVZ1X+tDlIP7h8y1 TDlHuNa8rlUpc65jDy0TXVcgfo7uQKYLorJSHN/A4KOYDohX48m4+QGSvFFLoPmrdHMKz3bjiauf l4VXqrsTIHyi1ABCn04+CS6I8P2qMfSwkF7WXl9z0aSG0oDfk/vd9g6yJfMM6FVxBjJqoEw6x8RQ /iT3lXmqsw0Ryg9d6a2HknSVKMUp1Epf63m9flaJC78xCR+vdPi34uc31dn2Jt0ORgfvJczIGdeI ZBqwGi9be3rDO18ThVx2VzgKQMSxnSofWHVhxHfXfzxKujrXpFQ7PKn40Lmv1N+mN8VJF6GEmg0O fd4x9yGy1uayLuE2hzI3xn+Nkjn4YYKBgBXUED7xuCSllnwOGnltWHctAO9mzzFYPxznHMHb4Kq4 1UuFTj+eG+WSqDX5hMcfRDPWNh+JQ85BC1zS2L1F/aLvkspR9OO9CaeYFm6DweppS8n3XPODRzbP OdgTV80cdSiQYhUaCA10+AMnku2DeC8ttI1hyZ5kj+MeRKTB2DqcjgTfcwK0xwTyOAJIAZ6DPNfV omR7SNxhfSzj3yV4oWJND2dWO6BJANQaVXDGpZLs/X078W7h23fBWvg5MNdim8BUImfHlxRig9z5 fBuOcZJA7y7oDQXNkhozjKzg/oOS3E62WVkrqiNa5/9zRNucszk829B+wxUFCeQ8JQvG7P49vBL2 GFdm4b00zemil0sYBBQ4/jIL2Q22UP0TB8uD1WloSx8iCIGHjmsZ03BrGTKzODzh5RB9BguIlm4y O8DoW5swCMKCJaOYE/CbE8SkWMHqUW7n4wgF9jfKMj1/T5mzAMpddgke80+lKRAA8jxIwQOlXm13 9PXtxsxN4EmbLXmo7Hqm7tCTiSptYTxUAHYZeIhA8dTBpRu4OYcWh0nPcKCaHQymT9j5Ugl1v3dv LnBNHLooD8C5daSrf5Z8+DXqZlvBlnLzlHkOFzbhxj3tEQCwzrDPyPsBrZg7sv+yc6+xt0gVDON7 a5gESoAWCgR1ogZrM3J/GYe7K87fP6qBHm7B+/aAY0clD3PEbaD0MZG1kJP9qIze198mMUtG2tn2 sANdi7c6VK6+xIYAHJFeAUcV7bTCn6pHLvHkStkhX5BZeueTaFsVu14WJ7GQ4LDcddYJOFfQKq3r m0mqpjKgV2JprQbu9xDy3S5HODFQu7Qr1f57KSi6DhR7jf/Wsmhx5f5l+UkCsKUmwxXtVKIAn7bC 52kzr6TrN9wm0pLJqeEVDry+PjyW14VrzuEovruspJZvqIpE5S5K6RDQa35y5aRBL5qFRmggMoXx YP8YggK9NacguzfUWRTv6+xHnL4vjFgUziQtTOg54zJEs0GBj8I6VBUK5yLR/qQlwIIo88DE8kfd bqk9N1FiR8jUvGQa8v8T9lY74CX03/Bm6SczxlA8hq2q+igo2PNabp6EMC5d/mY/nrEUBEgrXKiR pjzv2TZ/rRp2FOyJF/8sqD7WnRHY9EybKDYV0rB6Z1FW+DaTYCGjBiIkefdkP9+ynhTh2BjFD1Bx 5GAbAQKKeKPD0lEA8c0Ndt5CVffqBLyYx/FKkvpi2JsOqtggQa/7QJCoha1Z/3O8CoPxBiMJCDpb F22CkOulz9I3ujdjX1543ojsaiimuyxr9oOitw2iP3irVd7amXbRmJFE0fouBvzxwZjiYCGxFi/9 pR59Wl29Qy+IlBFbj6boamyXZAKc9M/U5Obxa5+iR6U56nswJUbj+lkASkAmmd5H/9oUxSyo1qjn 5mtKv9G83TD+OGN4seY5pcenW6kG/RGNK2cc5wFfIm+NfEZ46Euz7VGi8/UUVGggtq+btCjVJMeK 3d9UrFNKU/M90o3hXGpsaZ9oNS28po8OdkulxY1ZuHP0qaaUVwskR7I5Z20WeVpOoGRIR2nktrqS FDmZaAxZapdlbBaW9rBbUwA9RWDKkOl9yIjpJ6WQW6syib0gIK0Jm0TCxsHiuFFUCgI8ZTULeoy6 YwirL7AeGpRbsAYxzkyB/YE4Y+lF1SJe3OpoJ/3z9gybcqOrbZCSC7IKcDduEcnFrSZNzdIfzN3L yXeXGOQDXYvR8Q7xPx9mOBUAzExZaVzsMoqGjPxwHfnul2OBQdJ5NvK49TYXI69AcDHX6DD4L4s9 t8uoUWJtYED2yJ7JgHv7UBYgCOjprC/j75xz8ZzPNhz0nPNbkqMtocxcfnD0GsohLCW2hVp2n9z9 rbfG6C4gPxdSbvH0bxGPy9IIwFAAaKHmD/46IXfk74ENKY8DPA6NoEVc8aekRG5wx6BTSiDt6LoW AAHbSyswKMfsXNcZPcJW659qjXzT7CBtgculk33GKcblQBV8r5Wj/xDHM94aGlk71eYDhzvIw9cm 2xADJmidI78sFjNwbjouWOl5bJcQjRJKwXjqsysGE09QLHW9FaluR7yrSBs+xT1taUd4QHxkL3tw FcDnwFpV96+2/vswbST2UQfft410YuXK7dUtEQ/YzVIIQC/J5K/wTx0UIHRiCjI3Z+EMvyD72w3Q gMV3LnWltbSrrkuhWbf2fSQzq5Vkoidh++8JjSmGzodluqYGaFo16HiFhvhjm7Clz6uaIk1KOvkQ dAKz288BvVDqUdMw6LzJl52zdZhdSjHN8w/tU8/qLcF4wJtsoZR1pSmb1LHPaZJVAHJAiE7PStps 3Pn1KmOetJSiKA2NXydGPH3Pm8xKvN9tdRZNBqtStdsmKkKINZXo1WsvbtoXXTme1myZLZs3Zkz+ UHo/ZqpqEE2YPL/TScacC1tRd44VdUfMJvdlGtTBYhhei/Uqo/ouy4mWqZNxwB5vI55nCWNvkYze sn07SBMhSHGraVUVvQxQCWBgMJmw1hWseG331z9oqoEO1kLIUCuMbDcctiK/VC92itYc/Wlm4aCf 40EaY2rGw2/mZanRHFdT5kynVH0m+lEZIRPT51pvpAgFqhKGbcZB7fh6skSSCZSBW78+YFF2LG05 H/I098ErGV39jxOPsuQc9m5fyCcUjhqrWMvJpPNbFSYmofhiQZYz/XJGV3SGd+x7qmiOiP/96xGl EcaXr0CLV7THs0MRownE/lgbpG3pAkgqU1rpdCsVHy8C8Lm6Vw1TfcxUSA9mdol4JbJuI4p0czyS V7kteLHuRpLxSbA3eK/y0f6uGOUUTVEUb9kgdzL5tLbCmK5Mv+UbpWWPCWVwN4/ojGQBd6F4P5Z2 warPur17eZMtHIrghs7Zsz2zlx6slI2j3zFCJxolmKUNwItzn/7lqGjAQPEmjMluQ0aPD4SvJZ1X FVaKjpMfOWM6EDOIUwMdVjnSETREt/6th7PohvWrBeS8WnS/mdGX5XTUyMb8/Gfs6T0yDYjS0IIU RS2sLOWMiv8e1e2PrfNVz/GHF7GYO9ZmAs4azVKJHS4pGcNL9oG8BHT3CEg7gofruGC7uPOc0tRS eBbHrxWacBzvUwcMo8kWNqZQn4fVShbUGq9LoZUs5zOtNKJPEVyKVPFYb2SBJgImuq51hS9oTbXl 9dyDDDw4/wkVmQl9/yEKdTZDDazgiWj4BjjYcyExXcJpWh3FczR7/97g29GW9/3oUtABRAZBacNs 5ZAVluoKfyNnlRv5fs4/KoBKg9TJx4gNsYwhU0piMPhvq2Ip+ZFQ4h8qacX/mO75nNruIJExxSww 29ZbcyriXi1F0vpTmEx32nMxVnY9iFXiyg0nwFttYfsaleUD+7S37ndnhHRdEtH1rX6mdRsE5vdl TG0GafwrGcg469e1169R7iVSUQISwgO5Kdi5S+tEVb9VbwKVWMYLdnvqPJuvDSvTh2lOQgf/EhHB bVpnB5izUykIpe5dlxRhRzJReOjcWc7eXs0iYIZB/Yv4bu6HKT+wGoVlw0y+gtIPvmThQRs8NbDv dJw6ENi7Yc2k0bUFAMb6UYbE117MDro0nyjf6Sv324YfCmXedAaI+7Rw0pz6nxOU8V2L6kz0I5Yb kacXjX8hXSq6xl3xfWgz0HVZbCKaQVzwVutuchOKUl4FIp1BTd3GKZAkyyVhpuGfP+rrylzHb/BD h7WWiB5yFAODo/dfitxXmDgKs4jl+TXJmK5X5RYqZxV6ER2oCck4pybmeUqdWTDhkXepzpYcjDcv YPwIrfBqQfjCB0WI4DDkr0h+CQ0PkNSx2xClXvFbwuQDcaE9Vat1+hrjhXO/L8IMG5jtKLYhKXpt 8+DLCBNtRkHAo8EyNsK+SD/t1dhkLw7x9ZVfH9Dx59d64/M0UzauoxT2oxjaBxDQf1PbfezCSG2C jUE7JYNsQtt5UpzdJPce6G+RQBRivq253CjuQ0idDaQQlUkXh9J/TSriPL7baNoBaalIhYrHMJmK cS9iLEfqW8E04tJufFg0vzpm6RDTmcdmYruXxpJ7ZLpBL7+KVZHywj1vsS8CH4dffTTGubx+rzPb Bo6fydO0OTgQ6y+9rhzs9xc1G1msNhGLTxwPWSxNmXzitx8eaD5dsrwxP41ihob1teyeCMRpBLnq LmU5YeUOqSy9MagzcM5vQbOJusstK5BP+O73KClFo9Dt/1gX3TW26pExVotseOb3ShOEzhHxZpAu RHgtjXYmy78RCVMvPZUTQXOSL4tU2idlB2BL7DwP6Y7hj0D+j4hTMwqP6yKjmhbpPru1b8Wz6Qmf n1H+GDAircMRRekBxyBbb0k8ESjGQhc7dqwxFnwCVz64+ZbUK9iLvJqmLRAO+Ymbdo+e/V/PmIeM TwMRKJgUypZN4o7/6jsRNmLU2tFIeUdXN54OyVBxmFmbGI/k7qLFzp/pHMZ2wJ9XlDShzCBPJnZb gVGjmJyvF/Z5O7lr9y8wELO3iGnTJ1NnOXGi5ZbfQ0is3Rbo2E/7rrequA2Df9ydg+BPoodhS7hi 7fSLvMmwur/q6i7vbtObhxfhzcpyoGhV5e1/Klq/HP4J2eq8UPb0ZAdrWUgHXwxDknQE8wOWFdLk j5LfvLGcnvSdJ80dfK+rLz/RzpG9VaIzJcXjjXTnhSGfjGJWCnt9RfT4KMMY8Fm/Js3wNyOhnAv2 oSIkyiz37QK5KqgQgO9hw8uKPDat4WPP8VGrhGW9nGD1dfPUpPDW5YReWLMsGTWiVp6eBBsLr6/Z EDIEbC4m+Q6iJEG7m1YoroJ2L5OMRBRucfzwulOTuuBcF082dT3YDbaAInTYeP7QrcOX+PV9pkE5 KMvEcxZAuxzbPB0VtafpB3CIaJDwOChOCMYQ5/WNDZNFMOmVyYyXOG2docy+ulzJ5ELqMxxj0JRJ bnrwZbwVUMqHt/JQOxQDo1hOp7TH6F3MQ1jo1k7M9I2c45m/wdP7S4jPdC5c/81p1gzKnsOH1oQI y0/i2nQ3d7gxP4h9t+dX3SVKgUPXQufbG/DyDrPZnKSmFRdJ1iTlT4zA2oa5DclKcZy7q5rNQDhT +hR6f/Q9uac7UKYDzcOYiJg9YVGSjXdjWoTJk5KjlQG9O2Ks3Z0I/boEPgf2HMw1lPpqDLVpOwt+ UQAsszhdqwDBJ/w/hDDieOnh7izL7cxiRGFNz5/jDSFbIIjyj8t3XrFuJQe1N8BqJEMwBrcnoNc/ US2JgDq4y4AvDLl676CIe+b8Byd4YUlvATV07USHjFYUfQPkJIrEQ1cT8jSRcHf+OpcxhJMM175P xs6Q+EQbz7h+p6HuujAK/wscCtqU4q8wISWlzuPmNuhoDc56OEobHcjNwAHlXYEmz7mTDOq3kVN3 ksqQGrCX8sTtea69SeGci2TXlNw9rmLSDPjxCsFFdNaqpPpGChIpxsdP8FXwdo8YAi8JPv0OcKUG osIof1pzaTPc4PVTrOX35PPbcn5ENBVLA0u40Tul8Lk6lqG1iCkR3RUe7k6q7xCeNBM/sUV/WOL0 NpXEwwRLprQOP8wccDgTZjq2IkOVJFTddWfKKnRBwZt5UUHL9uRmQwWEnBFUZg7a1q2Og1xBVwzi s35BhNTEH47kKzzwtGrRleC4wtHD17ld1NQxxI3/lSEX7dGSXsIKQ4L4NqB40Sq6EHfNmjbLu217 1SWBYfcE5A3qjUfyetZuYbc3ykqLrGtE6P94fEWKzB5nhVXOPRjr1vx6LY6eeNC2/PL7TzdWoidj sc9JrZGMrHnxXt1DbSlgSNQtt1fUctrdV+2kCr25SOdkYeTm80/EYFlaPuOofVPi2jOVF/KXN+8Y YJ3IHNlsREu19+Of9Vak9eWcCOSiqIokkzPqGlMs8Xbogub/FdRK6xXxqYqWc81hCJjt4NXanRZc HGfoHg== `protect end_protected
gpl-2.0
83a5e7b517358cd02d91f552272a4215
0.942328
1.839631
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/ProgramCounter/ProgramCounter/ProgramCounter_tb.vhd
3
4,605
-------------------------------------------------------------------------------- -- Company: UMASS DARTMOUTH -- Engineer: Christopher Parks -- -- Create Date: 16:47:50 04/11/2016 -- Module Name: ProgramCounter_tb.vhd -- Project Name: ProgramCounter -- Description: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY ProgramCounter_tb IS END ProgramCounter_tb; ARCHITECTURE behavior OF ProgramCounter_tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT ProgramCounter PORT( CLK : IN std_logic; EN : IN std_logic; OPMODE : IN std_logic_vector(1 downto 0); OFFSET : IN std_logic_vector(11 downto 0); INSADR : OUT std_logic_vector(15 downto 0) ); END COMPONENT; --Inputs signal CLK : std_logic := '0'; signal EN : std_logic := '0'; signal OPMODE : std_logic_vector(1 downto 0) := (others => '0'); signal OFFSET : std_logic_vector(11 downto 0) := (others => '0'); --Outputs signal INSADR : std_logic_vector(15 downto 0); -- Clock period definitions constant CLK_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: ProgramCounter PORT MAP ( CLK => CLK, EN => EN, OPMODE => OPMODE, OFFSET => OFFSET, INSADR => INSADR ); -- Clock process definitions CLK_process :process begin CLK <= '0'; wait for CLK_period/2; CLK <= '1'; wait for CLK_period/2; end process; -- Stimulus process stim_proc: process begin EN <= '0'; wait for CLK_period; EN <= '1'; OPMODE <= "00"; OFFSET <= x"000"; wait for CLK_period; -- Device enabled, in reset mode assert(INSADR = x"0000") report "Reset failure." severity ERROR; OPMODE <= "01"; for i in 1 to 15 loop wait for CLK_period; -- Device enabled, in normal operation mode (PC+1) assert(INSADR = std_logic_vector(to_unsigned(i, INSADR'length))) report "PC+1 failure." severity ERROR; end loop; -- Now: INSADR = 15 OFFSET <= x"00F"; -- Jump PC to 1E OPMODE <= "10"; -- Set mode PC+OFFSET, hold for 1 CLK period wait for CLK_period; -- Device enabled, in offset (jump) mode (PC+OFFSET) INSADR = 1E assert(INSADR = x"001E") report "PC+OFFSET failure." severity ERROR; OFFSET <= x"000"; OPMODE <= "11"; -- Jump PC back to F. wait for CLK_period; -- Device enabled, in return mode (RTL) INSADR = F+1 (10) assert(INSADR = x"0010") report "RTL failure." severity ERROR; OPMODE <= "00"; wait for CLK_period; -- Device enabled, in reset mode (RST) INSADR = 0 assert (INSADR = x"0000") report "2nd reset failure." severity ERROR; OPMODE <= "01"; -- Return to normal operation mode (PC+1) for the rest of simulation wait for CLK_period*15; -- Wait until PC counts back to F assert(INSADR = x"000F") report "PC+1 failure." severity ERROR; OFFSET <= x"002"; OPMODE <= "10"; -- Begin JMP mode (PC+OFFSET) wait for CLK_period; -- INSADR = 11 assert(INSADR = x"0011") report "PC+OFFSET failure." severity ERROR; wait for CLK_period; -- INSADR = 13 assert(INSADR = x"0013") report "PC+OFFSET failure." severity ERROR; wait for CLK_period; -- INSADR = 15 assert(INSADR = x"0015") report "PC+OFFSET failure." severity ERROR; wait for CLK_period; -- INSADR = 17 assert(INSADR = x"0017") report "PC+OFFSET failure." severity ERROR; -- Max jump depth. OPMODE <= "11"; -- Begin RETURN mode (RTL) wait for CLK_period; assert(INSADR = x"0016") report "RTL failure." severity ERROR; wait for CLK_period; assert(INSADR = x"0014") report "RTL failure." severity ERROR; wait for CLK_period; assert(INSADR = x"0012") report "RTL failure." severity ERROR; wait for CLK_period; assert(INSADR = x"0010") report "RTL failure." severity ERROR; OPMODE <= "01"; -- Back to NORMAL mode (PC+1) -- insert stimulus here wait; end process; END;
gpl-3.0
65efb44ee4471fab817c57e788e9159d
0.603692
3.608934
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/multi_fft/mult_gen_v12_0/hdl/mult_gen_v12_0_viv_comp.vhd
12
8,098
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block p26Qkcl02DOR7cnF7rHCRVPjFdC7HaB9rwK4z8ZDgdqD+EBmIHjmszweIYBYopgfBX+o8PxCld8I iUuHA1TMBw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block BeG+ru1mEHFr0NIKY6hnAx/dh0OLktcuMhmziMJ2KDS16OWv2Mh1zZwldqN1Wap+jJfQw33GfHbS XABG43+9CrdkmSel5iYvX1tV2xN8ztxgX0niM9PgyeTiqxsN00SI/EAhrw9QU8/AGmUF7msmDiye Z/9oNRI1FwbSe+WY54Q= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block lC/xzfBqJ3u97mkCbZyYuArFlvFE1v1BqN5C9UIuFtyZsz6zuwLD7ZtEfK0m5IHlr8/gejnC+njS 8YSns7L9/CskvdaGgdu8yh5L1MDrXNjj3QZ7+QXm+m4kDl+or7SJgEOGIHHqyC8VGfkbbMwZUSVz z2aafjmuhGH0AKwOvJGWpKTyul84bu5i1p5I390R64Jp6uJhGehMSZ6V7Ien8rIO5dep26ftUUmD g5D6arfdAVJY+US87+5RLqbIu7sowowj2h7HZOsKKfP4lygu1yKcBA8kQWlT69ni/iVuZk3Mk2mD 3wBhkKLpKwXh6+YzcJtMz9vHwaJ6amZrgF9t4g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block cUNB6NBOqkU4rdOY3oHIejxB9RVu4/erq13pNOxw9+DPYrz2fy6+ixE1SZhI+WeNEczhylkPKDs5 //EcKLWs+FRUZvHBprDwbY4XSfpHW8ohb8FVoAbRg1Cwm1v2tgp9vscGefiQkw7w0b8jK3VJaUjh RUFPilS76wZxLGiRNSI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block hoHf3Rf98TPxZvwGeZxCG57b8bRSGi4JUlqCWjUNJ42Qq/feV8p4tRxZzi8YblNTkv+XUxo6rsd5 SYEXp6Nq+9RixCiWOBkuWdLdKO+qTHtHooQ7XR3g3JWRHvMKqFk++pysEeuGNXFZrNNN3+xs+aMD yWN56FLkPufakUP3HI8gLdFThgmN5113hv9/yjDq3QWTtQqv/udKlWVdHnLD6kKw77BoYhhxttoY k5tcDWMbM/ZdInENwVRYM0P4CbV1vv/jngLRQl5QXbwwgDzh1cCcUdSU6roEb8TM35vDx7UUsf3z 3r9Kk8e6V+TVk7mjfjZBgwwh3/vz3GMA51UUdA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4256) `protect data_block L8/SAB7gTdBQapFoi+h6in412sQjblZ0yxCn4R6GFbhYeo5O7Jirvd6QGVlxko0VZW35sGPnT1QC jItiu2WIo2dtKW5YIm1yCvOJqOzOy6jivCMtrLMdz1ZyqaVEok/p8D+rzB3FouCLPWuk9MWBR91V tTQYmCsTNC598YvrJncxNoi6S1JB3np4tWVHwb/ShPMIvlv/MrqbM2s3Tu0jFHkiyrYxU7Sqj0Wu YIHWD88OUA3W4+sBck2c7XbE/k+wqaQytjNlGgckUUHXdge4IUJmdV9CkN3LLTbo2prz5sYVhoBo WJHscdoU1pEUhQtVQY7eYrLFrpy1XWXFGIXFyZgj/B17jPP5FkvfMsEhGs4C31PPqDRokSf3cK/+ NMmL590d8cF+IIXZiItGDR4eunNNFXWBES1DmK1aZlDTq/ZrQET93IR1sH63wWlG2vkplLx927jP 7QiomCut8GwMvHmNEUXan7Zr5urybj0SUNgj0Ux3JgTihm5Jb97ZcUJUO1frnFGANYoscq3QEMX5 68CygrpEJ0/vYMrzJCStrUn3+1DJ1NTPm9NkOEvMMDqSyZqvm4QhKn8vHB1wELK14l73IBWqyKdn GQdU4hCcVUE3dKcU95sUcJlqz4Dhi3SXZ1cj5or0o+ZZmGKyni3v+zbwbk6cPTF9Z07HMZN+tGcQ O+8pbJY8bbV6Fv/Roo9/THSAzbNxwv9KYiWiZ+J3oCegjnYxMIhmcBgLlVGVk3X2XNDTqOCYBGKQ ynTZamSrbXHmYDcAwodz/33ttlk7bkppPO0IJ6KX7aKE9qSpGAHqhJz9peYEFJ2Ez630Oy79xb5b +YrLG0jw9lnLZgRyuFBizRU0/MxtnpC0NuMpQOjmAztw2wZehTRsMumpwe+cgAa4gNBop56avyE5 yYSMYHH66YtUB0Y+BiqlslmGW92yYfkeVFat7StzCQFqrA4CqtA8NVTWF+nAd++XOSIccdMcIDpO 0aTdnBCXvlu1+4PiF1S3m+z6+5Aq4ziWwx4HbaFEDN/CevI6PtJbj9vKyz2t+AOADDiTaiBkkZ7u z385oyWvFvsLU9Tkj9hpLWfd7369PqMFvFU2CG8MhG/JJtdyyVHiDh97GfKVV1EuPEVbs22HTzqt 7sRPJkMlNf9ivc2Hbc/jVin3G8n25xuMBCFXAz3FzFbRBExhYWzPjNNU28Jb6vPCt2Y8R6RO1mgB /GXitKD8+105Z3WAgfwzHIClNDIb5+d4sqxxLdI4IBAl1OOQl5bWnpjiQrziIQ/3/KU7BiPNBQD+ 5SS90WvpNXX+cJZxKyFzB6RmIwfrdY5NswHPuL3xLr+20pFq26W8RCYGsDnGS6ApcEtTzRTAXcw5 qsnIYievrkgYBD6Rruv5MbSiFvoZKj9XsJlUgAFdw1o47nSARpIPanF7SYdSYKEAI29TZdjMAwoK +9IpYRSm9mJmRoB3u0IvdfVka5cyGre5VIvBPxYXT1AFNTAFomHQPw83kaDsJPWimP6uSyubz6D1 pLGNK35UXAM+Kbs23oyiW9i1h8dc9Azsd9al3jaKNqmL2zFS7eM0dHXPaE+k5nWZAGkX7BCd0d7u 6rfKQ7yBelHO0qRtNd60GHADhFVGUOIfpGW0eik5rVPg5B7WpRFoIoPUY/QpAhG9wwzIwgZtobop ZbxdgrwQMyRnrobwv8mTPVGkYeNDKMw2/rdtsVhg7i7O8aL227/10AjYphPOvCtmVC/pAbweWaol AjZ8DGaUcNBkpVJgKrKdPCRm8BkBI5oda/rdbsbMsuizpr6HNkfIkGEMgpjtXEiwQEoRpL+plaJv a9zd/Ecnd8ZcpMsBdb3++Aftj4RWOVTrBi6UKLCyWtZzuXB4i/R+dSJlB4pjKyrwuC/9i6TLKT7x YrblHcN5/c+FTTt28rE4+qXXSMFBOKC6SpfHfaTCozzlfNoYHIuY32v8o0a2gsfajGapZOr1FpSx Boaz1reZudDqzg04NnPM7mJxLLhgupeK/0Kbiz2g5AUVsEbh0SKkXZteoHTzC0MZ9AQPFZchxku8 aTdLAuQyrGGdsNNqRb/ND1fyReCMgQh58Oc+IEvbloyM9y+x1/2cwF4RwuKnHAn+egmf1JZ3V6nq 7hXtb8DGFmYgR+Aw/VxIkcQ38gj43eXkvrfxB1B0WQisYzeSM7TMFi6q3Q9j6pB9ildR1cHl40Kb nl5mHVY9id6tT55TCogU4Y/keTxRJPht+RKcbZnTyaq1fqd4vwEvee0cFkG80tgnKNsqRQ0geD00 74iN7hKDKk9I4fk82GN0WsYZECa4VrMIYAYBzHgSzUkoWgTE/H1eywqhcf8DIFOfBH+mlgiUBoUt +OQeNViD+UKpHKrMcglYUlU50jBVCGbNF06VzoY6DQIm8Ht5AOPulF/mTvpvxfvPySKXBXJy+ryq JUlcEYCqKeou5kkXIYGeM6cfl+e8YPau4cTuHsJCGR0LGYKBGiuZe51acdDmE3RrKttDcyDzYlS8 z+9dE+698nxm9/ZjoEtWDEiB3a9fC7LvwEae06PO/aw+6QHZcQu1Lj4PLNQS7nSkBmNN2h3R+uMu W2uIkCDWHdeEUpYsuuIX7lvypYSOmrrwnmyXKuYMl/WKFuVa5QAa0aCP4NC6l/ni1P1opM49BDXC csfbw/kou27Ni33iXXe0T8wkhTyScz07z0hJtrpDbRWb172TT1Y1zyUHxhLuJEaxV8cmiCaQm+gv vhb9r1mfu2QlbOqOa3vRX09rj8aRHcE2O59UHU9uCFUXdxURAcwzRCYOSGQdGv2L7Px3LpK7zMTU Ni7z+old4Nq1sds3gcToBP863ud5pD8v6ai8JGsfrLHeqDnWu2U4vZFWMRjWxup692hGAvdh0AgY bPNUXYTo3SFmU4Lk94L4bxk+93idgDbE2vYt02LdlM4P48XydUMt6qb7dF/ud0gXdTAkzmUhEJ61 rIcsbCroPxHK18G+5NWtBzOZXTzWBY/cr2Rl0JatOG+hZvGRnTt9eg2jlUyD/jQ+R24nSfLfGTn0 AQfU1xVJwvDbKs7nGJZ+KKKqJ0AK2aIaaXVCbDmbok6mZQ6VO4fn+2roqp/8+smWhXoA3lWaM874 qDaZmrdw5HeS/BKHnecwiEtvLHWWprdFLOWJHzmM2K15JvcBo7yYQXISzf5wu7saglMD8SAPG4TI ffC1mWA0fXMXvubzu0whjNcc5hXv33WaJthc90eIBne/J8GXZU00Zq9be6b6r4KQZ8UUo6s6SEC7 Aqe/NV6hGiG2c9xbSnH//oEJtEUeWS8s7J63eY5D5IIiGaARxv6wKoq638ysJ8RjVTbqLmndCHPF XwpC0ivlY07JJy+DLQe1uVskKI36kb4O1kLGvP+JheR5+qPshXaazuCt9hg9VZDvNoyQ3MF80yw9 XvaSmlRrOyeOs6QnHaXNr+Z0PZqFAn30BvNJ3zHu+5xBFfvsc+i4BzOjk65Rf2KFcO+fdiZSM0V2 JMYlNriiHLNzEKd6pzJNzid5hSrvMxKWXYsn831VFw4SNIUY8NaPLA0cN2tMg2HEU0prnkgr+LsW wDMTnaXFAiwkpVZD4YV4ec9IM6NaGCxGGxezUZj7rTebdawfiMxwySAOClNxL3qvRq/74xRBUrC/ ccHmEzUIh60rXnsNoJ7fuFi6uPPhp2C4XFZgs5UcNbOArDOt1jPYo9JW35iJr46tr6HgwZYLtxBb /bEIB6A8bJVEh2QyN2icVNUcOJNnxEWHL2CamPGbl6fnHCZIFfMH5y7WOw6bW8uL8ZC/Xfo9ds1a QJV8ZNJxAdIuJkgsSEeG4fH8gmj9laWTdHU3AxLJJOTyPxZOVbIdWXqFS6OQfH/+fNyOUGdN1G8w kY9YQt/nuUZlEt+NjXTFTbpK+J1/FqzRUIYkx1Nj7hLL2URC6sJMRfU/6NNM3mf5FGvKJ9krkHgx SWnKTfi/Xs/sqF6Q1mOG/wk43HKspV7H2gZWehslZyO7+z4rW1O5waCwdUn7DC6yaFAhvF+dEYI0 fiWQXD2NyLzwXp3emRpxSrPr1uLqDiVmPpUmbsMxUStzVgwkFDHZztLIA2Yz+tQIkagHRrlMBFjY J3sddxa3ZLpx+wbFcT8JwE62rXj5wzE2HiPicati0C+DNIZaXYfzWfk/PnI9PvdmxDjJzB5lX/Yt m7a5YMxmYZhHcVqZyFzq8LACX/9iFGn6lBXD+N3MT99QFNWvEso3UP5hGDDwDBVPvltq46vgyEJo vGpYDVHa+rxEjavZVudPXz2CB9y0lcJQ8QHf2CvApHUY84t6KyJPwtU3cec9AmLJuWtfW5/yM+US RcHl5GVjvMDZxZl7LXGEgSxTRsgYXj8/eJ6ppC1PqzWr5JjfAIKE2b2NHbTxVfAZ1NELVTiayE9b 7H7wNqPucmi1srntagEpEXTwuf+fbKFCih/vyXiGrEKOVvKtvGQ6frxyjHEHcRHSqKv5THK0T1wG 1MZ6wn+gmVc8EuC6ZZtwAn15H558TK13Wh7uePdUjuUthWNMH9vV6Hma/EmxMP6kzgXqNqlM28hs s81pumEcw2KEbu/7F5evoWVlzSi+JTexQ7AXuNQpWeNHAW1mnsjtXQwpqnKo2kOOvdvU/Efs9NR3 LX4yCku/eHmAixtarHxLLqnVyTzqbAXgWu3y7apjW6o58HvMUc5xKgucLP4GHFzAPBdZFNI4SKtE +DyV5rJCZhYRGH07Ylp8hRmSCVjC+Gose7/Tu8QJTnJa2LhxNHoQSaZI/d+abpm9N9qlclp6Ypgi XzdvxM+okIlC1fwkiKdxHULjsPNvA2XLyQ5gf9GFR5GfrpqNVs+aeOB4T2BwI98mlt+Jp/vqhsee Yr6dcAFWr138bpdVITX2txM5RtASHpOihcFxkpPdK4XlwbS3MBq/gK+WrlYypdu8JvqanSbNFWsb W5Jbqi0QMySAwqvbs1FC7JYm490aeDUMdYo7Z0K+K9x/RB3m/vTmijiwmWyElfNsQiVac7Ysm6qf 3R0nsX2J603tazFOxxz+RPniDpsea8qfEYlocP2VbqOYT7WroodUzo8zEwhlKK+BRm1ZLwo1V7lV 00avRwIt6KB1fVxlujVaI4EFu6EQ39ykrrZV3qcP9k2QP43ejwwWFq9B4kPYfnokk3Oj5At1fAgL yVK7Sm1DD2QIxtWcBmv5OwbUJZclfev7o/Cr1ngOUqnzjLqoIzlwMana+tE12uG7xocOGdZ9ujuE ohqfrhd5BKGudUB99elY7MZFtgSZXrfdMoPLWSr4AuWR2TPJY4PAFsYGeYK6K7caCTodBknaWX5f KF02NUgRBeg5MhSpKFUtQLvJftvKP/5BTs4nJcymhzvUOcdretvsJDj61N9tYgRSWt90s70QaM1H hTqA2tD0fjA72K6XpF4AzOKNR5TEsfooNlRv/cgAEJfF9843tEYtmQPshAhOPBMYCXAfZl1NnYYx dX7SpjQsjqzUvGSWus07d/kG+EgevHtxyRKr6mJngYNwoGBTDgpeGeydTtvTeF+cG3OMS1eK4cGw veS8czIXrkdJFtf1cpev22Iz8WpwiXJ2YJcojDu6nZlhYJ6wtXAug1sXCDeFFLeZvlXxH7Dtwviq Ag1rndlqsLeraAsEqjUS0Am7YLLA9qMuM8ZSzhsqHbycHpS+ZHw= `protect end_protected
gpl-2.0
0c19c7b5ab6633e4f2420effa8f9bdc8
0.916646
1.932697
false
false
false
false
UVVM/UVVM_All
bitvis_vip_ethernet/src/support_pkg.vhd
1
11,365
--================================================================================================================================ -- Copyright 2020 Bitvis -- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. -- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT. -- -- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on -- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and limitations under the License. --================================================================================================================================ -- Note : Any functionality not explicitly described in the documentation is subject to change at any time ---------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------- -- Description : See library quick reference (under 'doc') and README-file(s) --------------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use std.textio.all; library uvvm_util; context uvvm_util.uvvm_util_context; --======================================================================================================================== --======================================================================================================================== package support_pkg is --======================================================================================================================== -- Types and constants --======================================================================================================================== -- The preamble & SFD sequence is represented with the LSb transmitted first constant C_PREAMBLE : std_logic_vector(55 downto 0) := x"55_55_55_55_55_55_55"; constant C_SFD : std_logic_vector( 7 downto 0) := x"D5"; -- Sizes in bytes constant C_MIN_PAYLOAD_LENGTH : natural := 46; constant C_MAX_PAYLOAD_LENGTH : natural := 1500; constant C_MAX_FRAME_LENGTH : natural := C_MAX_PAYLOAD_LENGTH + 18; constant C_MAX_PACKET_LENGTH : natural := C_MAX_FRAME_LENGTH + 8; -- IF field index config constant C_FIELD_IDX_PREAMBLE_AND_SFD : natural := 0; constant C_FIELD_IDX_MAC_DESTINATION : natural := 1; constant C_FIELD_IDX_MAC_SOURCE : natural := 2; constant C_FIELD_IDX_PAYLOAD_LENGTH : natural := 3; constant C_FIELD_IDX_PAYLOAD : natural := 4; constant C_FIELD_IDX_FCS : natural := 5; type t_ethernet_frame is record mac_destination : unsigned(47 downto 0); mac_source : unsigned(47 downto 0); payload_length : integer; payload : t_byte_array(0 to C_MAX_PAYLOAD_LENGTH-1); fcs : std_logic_vector(31 downto 0); end record t_ethernet_frame; constant C_ETHERNET_FRAME_DEFAULT : t_ethernet_frame := ( mac_destination => (others => '0'), mac_source => (others => '0'), payload_length => 0, payload => (others => (others => '0')), fcs => (others => '0')); type t_ethernet_frame_status is record fcs_error : boolean; end record t_ethernet_frame_status; -- Configuration record to be assigned in the test harness type t_ethernet_protocol_config is record mac_destination : unsigned(47 downto 0); mac_source : unsigned(47 downto 0); fcs_error_severity : t_alert_level; interpacket_gap_time : time; end record; constant C_ETHERNET_PROTOCOL_CONFIG_DEFAULT : t_ethernet_protocol_config := ( mac_destination => (others => '0'), mac_source => (others => '0'), fcs_error_severity => ERROR, interpacket_gap_time => 96 ns -- Standard minimum interpacket gap (Gigabith Ethernet) ); --======================================================================================================================== -- Functions and procedures --======================================================================================================================== impure function generate_crc_32( constant data_array : in t_byte_array ) return std_logic_vector; impure function check_crc_32( constant data_array : in t_byte_array ) return boolean; function get_ethernet_frame_length( constant payload_length : in positive ) return positive; function to_string( constant ethernet_frame : in t_ethernet_frame; constant frame_field : in t_frame_field ) return string; function to_string( constant ethernet_frame : in t_ethernet_frame ) return string; procedure compare_ethernet_frames( constant actual : in t_ethernet_frame; constant expected : in t_ethernet_frame; constant alert_level : in t_alert_level; constant msg_id : in t_msg_id; constant msg : in string; constant scope : in string; constant msg_id_panel : in t_msg_id_panel ); function ethernet_match( constant actual : in t_ethernet_frame; constant expected : in t_ethernet_frame ) return boolean; end package support_pkg; --======================================================================================================================== --======================================================================================================================== package body support_pkg is -- Returns the IEEE 802.3 CRC32 for an ascending byte array input with LSb first. impure function generate_crc_32( constant data_array : in t_byte_array ) return std_logic_vector is begin -- The function generate_crc() generates CRC from high to low (MSb first), -- however the Ethernet standard uses LSb first for the frame data so we need -- to reverse the bits in each byte. return generate_crc(reverse_vectors_in_array(data_array), C_CRC_32_START_VALUE, C_CRC_32_POLYNOMIAL); end function generate_crc_32; -- Generates the IEEE 802.3 CRC32 for an ascending byte array containing -- the frame data and the FCS. Returns true if the result is equal to the -- expected residue. impure function check_crc_32( constant data_array : in t_byte_array ) return boolean is begin return generate_crc_32(data_array) = C_CRC_32_RESIDUE; end function check_crc_32; -- Returns the complete frame length function get_ethernet_frame_length( constant payload_length : in positive ) return positive is begin return payload_length + 18; end function get_ethernet_frame_length; -- Returns a string with a specific field from the frame function to_string( constant ethernet_frame : in t_ethernet_frame; constant frame_field : in t_frame_field ) return string is variable payload_string : string(1 to 14*ethernet_frame.payload_length); --[1500]:x"00", variable v_line : line; variable v_line_width : natural; begin case frame_field is when HEADER => return LF & " MAC destination: " & to_string(ethernet_frame.mac_destination, HEX, KEEP_LEADING_0, INCL_RADIX) & LF & " MAC source: " & to_string(ethernet_frame.mac_source, HEX, KEEP_LEADING_0, INCL_RADIX) & LF & " payload length: " & to_string(ethernet_frame.payload_length); when PAYLOAD => write(v_line, string'("[" & to_string(0) & "]:" & to_string(ethernet_frame.payload(0), HEX, AS_IS, INCL_RADIX))); if ethernet_frame.payload_length > 1 then for i in 1 to ethernet_frame.payload_length-1 loop write(v_line, string'(", [" & to_string(i) & "]:" & to_string(ethernet_frame.payload(i), HEX, AS_IS, INCL_RADIX))); end loop; end if; v_line_width := v_line'length; payload_string(1 to v_line_width) := v_line.all; deallocate(v_line); return LF & payload_string(1 to v_line_width); when CHECKSUM => return LF & " FCS: " & to_string(ethernet_frame.fcs, HEX, AS_IS, INCL_RADIX); when others => return ""; end case; end function to_string; -- Returns a string with the main frame info (used in scoreboard) function to_string( constant ethernet_frame : in t_ethernet_frame ) return string is begin return "MAC dest: " & to_string(ethernet_frame.mac_destination, HEX, AS_IS, INCL_RADIX) & ", MAC src: " & to_string(ethernet_frame.mac_source, HEX, AS_IS, INCL_RADIX) & ", payload length: " & to_string(ethernet_frame.payload_length); end function to_string; -- Compares two ethernet frames procedure compare_ethernet_frames( constant actual : in t_ethernet_frame; constant expected : in t_ethernet_frame; constant alert_level : in t_alert_level; constant msg_id : in t_msg_id; constant msg : in string; constant scope : in string; constant msg_id_panel : in t_msg_id_panel ) is constant proc_call : string := "compare_ethernet_frames()"; variable v_check_ok : boolean := true; begin v_check_ok := v_check_ok and check_value(actual.mac_destination, expected.mac_destination, alert_level, "Verify MAC destination" & LF & msg, scope, HEX, KEEP_LEADING_0, ID_NEVER, msg_id_panel, proc_call); v_check_ok := v_check_ok and check_value(actual.mac_source, expected.mac_source, alert_level, "Verify MAC source" & LF & msg, scope, HEX, KEEP_LEADING_0, ID_NEVER, msg_id_panel, proc_call); v_check_ok := v_check_ok and check_value(actual.payload_length, expected.payload_length, alert_level, "Verify payload length" & LF & msg, scope, ID_NEVER, msg_id_panel, proc_call); for i in 0 to actual.payload_length-1 loop v_check_ok := v_check_ok and check_value(actual.payload(i), expected.payload(i), alert_level, "Verify payload byte " & to_string(i) & LF & msg, scope, HEX, KEEP_LEADING_0, ID_NEVER, msg_id_panel, proc_call); end loop; v_check_ok := v_check_ok and check_value(actual.fcs, expected.fcs, alert_level, "Verify FCS" & LF & msg, scope, HEX, KEEP_LEADING_0, ID_NEVER, msg_id_panel, proc_call); if v_check_ok then log(msg_id, proc_call & ". " & add_msg_delimiter(msg) & " => OK"); else log(msg_id, proc_call & ". " & add_msg_delimiter(msg) & " => FAILED"); end if; end procedure compare_ethernet_frames; -- Compares two ethernet frames and returns true if they are equal (used in scoreboard) function ethernet_match( constant actual : in t_ethernet_frame; constant expected : in t_ethernet_frame ) return boolean is begin return actual.mac_destination = expected.mac_destination and actual.mac_source = expected.mac_source and actual.payload_length = expected.payload_length and actual.payload(0 to actual.payload_length-1) = expected.payload(0 to expected.payload_length-1) and actual.fcs = expected.fcs; end function ethernet_match; end package body support_pkg;
mit
3996bc1be6ca53fdc8d46eb992b929df
0.572107
4.193727
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_sqrt/flt_sqrt_mant_addsub.vhd
2
11,296
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block ZtEcgNdf+JpKSdr4W/0I7KrQdp1K22I49MzroqChJzxYJjaingA4z9aW0bBR0Ej/KPokiL90vcWb vq+uk45tPw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block TZtipo5NekKb4ogd12TQnx92XUmIXKGXsCDxJwX+rsqBXS82AZHHQnKISio4RlaLNXZ1bSzIXIdg dZ/2e6B9NdOQREfk/G7csDKMBAxua4XD31PAurgg2yBlxKEO3ZHAMBv4Q9qayX6fjtfEg8DV0XOF 3NeSBVodKSR7SVZh7RM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block iI5GcndPhOj6v9l4WvoOdEmdrYHfASd2X7pje/SbQo+hMc/wybbjaqoqZe+TqYriLm57PkD19FSQ gwTqF0eZXlvpR082qAymzHa5k+FZGDa6pIr1wbbpMOX6DFAMFN5LM35TwcIsyw3MeGRwxmf3i5JM wfSg9jgIYQpmJjHrFyZ/2TyXJkAMuefFBdwA39ge5gU+i/Xr81FhyT4IA6GpANCNzdJuejNLTwuH +/h42cLGMEIIOLQ0Sq361+jg42L7a41HocL2THFdXQQ1LiALk09R8ijo9jP3FSMoqG0IyYiDfElT r5NSsx1wcGgLcc/a8YqwTW4/nsjemi0CEi7azg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block YZHSc0jqlzu9AG8TxbIuOBvPKyF5f8ZzVK2IvPB0loqI7rV5CKeGERGCjnNHKxR31ynQ2ExY3ZEl YV0JVir+2mvpHUk7hNQZ/NJN4ypN50kUJOlWAU08S4zcTzXPiyeKNnlO6YWYyUXPuD8QVzAWXSLi htiBdZ4k1897TQpnlV0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ZcBdkZvqDLc1nk62vUG9NEYkshAgEh3XiiLebMcmeXIjtVFS3kuWcBwvHhCYI9O8B7G9sqD4Lmsn Tee5m6w2vO3OxozCHTM9U+Vi54vpEsuM4j7s4ITj5KvI2Fa5W5Ip6ruUw61UHKM3icqfRx+iXyWd LSTBOVU0XYykNyrFSTX2OPPQ2QaN0qg7+8ihIdPsD6vW1PPOnHa24pQVkzjgV6+u8P175HV5vcBG 3Ygsw0wm4dNzRqJEYUwb+iDNQGapps3/t6BtuIS31HJY9OuhxQbFFkv1ng0+6cQmEFyWYyQvI24u 1MdO0zPzpTYQmWTX9kcEQfCZRJ90SKzYVM7/TQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6624) `protect data_block rde7O/b80cwamI76ICQj3TLsILG/8dZZX7mMgsHluNMVnZYtehp6AsQPVErKGOtADLKJQ6LRNWP2 IT52ASDwbbqpd6FaDcZwGsvqAaeHciH1F5LENv4+dGlqAhr9mpxT2/wFpHdwPcnxSA8KYztnUYSY xAbmRrAl3yHWTSKFwGS9nZS6eDZ8uqyuiAsi3p9tdKwiYQMW9aaKXqxAdsphBTAW4+/BtbauPl+j MDNx9BcL6ORfcCjSfAPm8vPkI8IKS3TeIBTqGSqM8wKcN9fow/YpPP/x9Wc5W4swgbExcZ0qONsv uKLfYWeMyGaUqOKdILaqwZVGTJdJV/997UKggfz7cNr4JE5ziwNdI/1yrYFDZaS3MRpUJE3CFSYE icgNvUESJ0DhYa59dK6uDSghk56mClF7Sa6hHGUd1J90DP2ADdjekG/7rKpCzF/WIkGhnjGC2WhW SZEHvzusaIgwJy+X2IrCYClD/9NpeB/+F0OkYFYWPzkOmBORA+sHjjUBnx9lOcjb/7JJKvSVbENf qjHf6FBYgBz0YJpbxahasQnr5TbvjSirMP7n2qZTMmnncFqzv+jHpdyjABxl5qD58ZcWcNawb7BM EodzLGvsoDx7PXjS1ETppBYwReWFXIHlAEmWaRGSFkDrOwGxFCqcJK56z+1FTAgTkEtOPCuaw3bU U6GZUtf8bd5dStZw2VMj53CJA9yF8lsBY0hs6wJY6DJENo4oFo1/hRPJsM9jsb5HbwIZLzgjX7cN FUii5BmBfjt5TUw0xg7mWIQ69+fqZtJV/ukSoc0/+8EtRvrdLZCcvsHXJGa8xy1PRqHoWKmgtbfu cMeUSifcmn4sj86XmDtCVKQ/MrHycblBzn4xqHBKiqv6R75/1X5go1sm33r6/ly77PCZ/dbMNF9Q Hlm1tTbJFKKC/aGk+pDq1bCc30j707giY+WPrElXUC6D/PNOV2cOvcgkWx5oAzhIuoZrXzpSycLA aX0/IGqxqCoVenpbKz4Q7WWY0+0BjN861cmJpewOP00T4qTIrNKTuc46md7yTOQa7ZZSMaSGFPfm K8ZeuP6rPRYNm3DCSujM7H8hGvQX3am8DnIX5HeHB/ZvljERdCg3B/60RNr/fiFvJGl6dO1X/77V TzRMd2O7wvM8s2/Z8oRbcVnpok2Ma7AsHVupQIgljPhhz8/HsA3UKLW6P+W7bhVBa8Pa1Hw78bRv VkUJJzuIkQN4sb3qVSKdfn40rqUjcc09GjtB1otzFy34zuSBFXadCjfZY6aFyGbuHRKh6uVVJOfN FRHSS0Z3mm+Lm8FlaTD54e9l7ZRGpDTROSelapmlcq/6RxH/nYPeP4/AuPj7bF14senOw/LXTJEH 4Aw24JAacw2bf6I7xjzdoM4gz8UT2K7+V7aid+RhhHHn13xScGHa5PgJXERuqQZs2rNviQxuHxa0 PmG9xuE3OinpBtWT673+EV6nxXcoO/TbVeKglcrHMmbE5TFm8GyKVAu0KJiNvTOPyMUPEU2tXd+L jNyoRulWRyNVHQH5LP2Djg4D8MJMD4bwNAiUIUBq3rdVypysKlAGh01JuAGNNAQsp9W8K4wM3ydW +MxckFMHopf6o5E7kXpEAI0lpw55vC5PJf8MvpTXS/VSgn6P9haYjF033J3MEIzZ2j5MP30PnSGi TN4b8fdtDLbU31p6A/6rx7SyeiliEq8UGU7w+PHZAeQ8WtdrzeoRHXCVZoQYSH9Ktg/aGW0uvMk7 4yhKTb+FTHc0MwYm8L2P+in9YxQ7fhNsUQl0d5MRUrJmhnoBhuY2NtQUNPgEHDIIZQBmC9CFxZbq TzXAvX9856cLzEEcgyPnYqmZrY4hwAOm+9Syl2rJ6FwtLUqB1DsLkwSOlXah0Zs9LWyX4KZTjRbN MC5qhWXgM5ttdsK6DqQmkaN3ceZiMjN5jQ3BqPj1UfkDQ89eiMdy6dldl9oZRQCQh9Kmc54deJld FliIsFTXD1QYMUYDtGqbcasgDgGhs5wqfwS+/JwAxWW4OO6+ImOV1DVhlS8wxurAObPFXq6gVR86 +MpMPY8Ru0GV/nyV9jp5gAOn8Dm7oov0KYJlAdAikdTRz4zb0jeUkzbCvu0+bx7V42NXHA/EHguU ALVYeccxZ73DMIMigU37wPJI1t65q25KKLKrYGMcuymNqEnE0kWAeX/FliyRhoFCacj93yTxQJuG T/k2qQjVLqty2UBewpw66eUe1Bnw6IksMQ232ExvfWFcwWzJzHUtrU52UN0IT+jkUuryEqOnv5Vb RjU/cAx0B4Xaf2bJDc3KQwIQy7QSGY4ro//Sh6UWd93b67ksiCjio6OdqU4ZXwxkN879YpKS7VRo bduKu4TQBEaBZNQTUAhs0OUoCzXOX4qsGmKUV8n6htliuMsR+YSGtTieLIUSw6dvSuvPj3rMuy/c FjSCoAUZMLKMwGNoQsOs3JxhV2kpQzh+zIOK4rSgE7BpeGNWWrQ4wKqnTYyjo7JvXWdg1jpnbuAl wG/Xg/MdxkeFred+R76av0A8jHPScK15miBNzJxdWC6Ackjl4ds7AqBH1iXuD9maPV/s0fUg4T7p +Pam33bpG30WbGjinEycoYX0wGox6ZSsnAheVE5lzz6Q93lokB2lrYIFsnZNBpjbd0MzWpK+IqOx nhAh95NjXS+a4sn0XMQHmVq0R/48sNqHwMGLsxVcbMvY2vJ8tyfNJagVelrE8k47xUB8mJ5f9Kf8 f2zQRuKGgLArbX4i/WOgucCwse0Fg7VFCBvGefa9rKG+0paYThjj0wEY+07dn0/IFSQ4LuUfBaq1 qdQIc+kMlIIgGwXodCSiM1sn6ucpllJ+yXBJlwkRqIHuC3drc+t5eaJgWhAIcOaTLtt0lbWS+d7w cY9k4/rl0bRVM8STCWUWfF/lL1+BGqCBV/MASpnddbPSslAQZXJZwcghN3y9Y463o14LxyASibtP 9qCeuQxFLlZr/1HkV5XGZnrOPN6Y+NJR/7Wx6A+Be3jibMie6aR19JCrU4I9VQvIlNt8gr0ssuF+ nFK/WPLz18c9vulkuj9nqYmt8+OLcXd2ShiaBbAc6eUvLdxo73GPdgR3J7rVieFxVyoo+R5VJ13g ecLHxM4GWBwnZW5zdczGPAnpgObqud4F7LI9uxkXyqvjDzp33+4z7eqWnb+rTUeLModb9iNrABDh oD/gXkfGQ+RYf0NFmx8lUqePRUHI35bRY5zhxdJJiqzsdogUa6r/Mj/XoUdYnATDdAP6PZqEov/9 vc542rL+gJu6gS++wWyukSgOAMq9fhuqTUYbTwEm8HH153sjVOG0vTsqen9XJ1aZp40xlPAoG7jG ZpM56ub4lR7gnNWSvN8plpJwDUCmZN1ZpA4X8Ih/DKURMwyysTlej0JeOK7Seq5HJVOdTwLd+JS2 ruZxxgSQS9RunnHrKFzCsLiph+f2lQNqZksyWwXpkml6QezTzUPyaistogM0nl3s8xdagpZYs48K Mvx3AYalnusI3WlQy5aQ5WTuc3fxnxbewsQo1MOAy0x8UQsAtV0TnX5w5S9qmAuPPW26kaaETVB+ 7jKSoNmHJlh/G3jv6b2e0xrJ/6L4QGWJGDp7GqBHsdGuul6WYQSBgEWOPevIjVMhVpvdZTHNfsZ7 eOEFQdoClRz2+03iyrTOvxWmqS1xvwshmDRsPs1RY7FtEFguMMgdklt6NJaJBy72jFtyvtTBPyGe LAv3cy1D1K8mawQOm9gIVCFVFZ81RUxQ3tb13Jl74oFhOEE3dAt08O8rnycLcwbiqDDpt7greoq7 T0UEBtne8miE+0Cxc9SHkyp/JL/ewTnoh3QmM13YODXnF+dKhDOH74KhyQ19Zez5l9qE/i2Sc3Dz KETnjAtMFChvLyTcoMT6gRjn5CWN5FsQ5tzB0lKR/bUztWpiSQfSkiXOfehw3KFQt7w/HvbU9sdy qt9yuZe1NY/0v/W/2PwtM7XMYuI7+tUFa6m3fxHyTzhgjvQSgWFOXq/b5WgAuMEZJYxzAOVMO6h+ 7qQ6t8/gAiopaTTR1k31iGz7iyfX1NGolG53M/eqYA4N9aUebGqu8vircUyKHSUIKo843SWizti7 zulXtfsd7cRbPRtRZmo1E2dNaZnyavhNbloTzrVjIGAIoDhF7U2DN4Dj0Rlh71/SNyLHfqs0AJRX r9wO6CoPP+7EutDRwK4us2Ab4L+A4D4d+nR/Yx9u0/KO5O4L2ATua5nzYDDwHKFlHKefc3qMIBHD GJIWi1nuSqCIYA24+6MAzndsxrPWml1Aml9B+p5ABwGRZsUhVI9i1bhHRAgMdM0WovdTjkVOmwFL f2lIhYm6Rkws/ZbltKIOLzDyGvS8iK2Prn+VZdedEj055wxKp8Nqk62AsE71mbl4KXadLQ4ueQxc ZCdne3VRBEk9UDvN/2bzfQZtRf1RQrU4e9xKIwb4TiGEBf8wHPRh7EKCKJsGtZtKFcEgqbC9hDl3 GdqSe929slfnoCOtGxtp1+VaZtXv2Pyts+9KkhlgNygVMEizqao06idf3QONz6OKbEnjDZiLNOlv fK83L0mrZNOYisfnLkptM7IjRfedPjSp150EyRxXL3eDnwASVCHD3Npfb0LVMD17FyznU9kTeFQY 0992VUbmZcjMSNvLcqFSgx+Tr4HZSVT8MnwA2rj7m1G0WHKQgHnoB0INSJXRUWth/v2mxI99rTk6 uvZ2Azc5eyrr2otVYlF9m7zZidpE2dZgS47AE64Xg3s7dnjFUTW+1FjvhT325tBpD+uOSiLXXimK xfWa0UEsTWsb5KlkbyL4DhOXMRPVjUG6LANnqvZRfFEOMFEUBTad1ahZMcjiN2v+nUIeWhWxWxgt ERzWsq4SgEQnxuiO9raHMAR53YPjB7sd9MPNf5K+jvHuvtF5Gcqm0qHSg2UH/Nujq8kYrGGLvjd7 2bc1RLmm5s4J9UuwLGGFWUQy6MTYHXApNOm6iEn3AO+jUml3GfHZvHA7P6dsPQLFiwq0Qps1HEFt M7TwHVw9IZsmBF/Tzky/wKy/09Ku3KpWRlthQacc303+5eS+P+AraHsa7EtT3OGqOCX1BysNAuWt 8dSAELekF+h3UVMaKeKE0oO35Hfy+nIAk1NikBsaCgCc4CjHq3WUlcgOXcQkctwb4yOfWj8eECOd mFWLyVjEY5kwpsJkGvztB2pznNPXC65w21gv0DLv7CnZtSKN8iK/CkMa+5odh1iPGmaMHVMyKtpi qKmqKLtOohLzdzGxvnBiFhKfx9EO7BYArwsgkp+KvwFVGPdk6a8sPfF4byCiAVy3X6xVdcqK7Hx3 8vXRLva6fRE6xTGBWpBYWKJ843UFHzvwGV5EZlC4xJBxAt7b5uBm01EGuviIjrEJmG+Nmy0pbnQU v7Vqda1aKDqPHSYaksp63wzfoH0h42jB8DI3m+fDmGQ4/GlZhR9vW55oKokoYoRIfFfpL+OTRwWA 8ri79yMX48R7cSHhLe3qw98XvABz5AKHmlrnynfORpNO/DBKF7iyzwtIiG/Zyc3FjGkb/9mTWyTu 6oHdvoLE+fBRoKL2A6sbx8f+xrphlLvszU7KVly0Iqr05+L+NaXYSpQWlLP+HqHXNakSYCfOxBv5 LUyOvOEqSO3em3eFLxv4b5zz8c1mIQnEVi49+/C9axbzKA+RGchxF1KXUccwSIsNyUtnpcimzXsE FNEDqiPLlfqPzK5/jerW47INnvwH9SG+8L72hcAGmc/FBFuBuMjnhVgeP51lPo8rVQqDQtcNMn6M PNpwPkfGVD8/dKAHMhwGaU7YiJtRYklfSd9tAVPNbCknwPIfU9lznOsr+/KdffXurhD3MDleW4ep 181KBpGcOBUl4gLvrFe2otpIMvmQU6hmxZN30StiEF6Ci0EJFpMqaqYkcJvtybRmNe3tgNr8mYOI OFRwT/JgnvhNPwEDvjc0dW+4JBE28/8fgyznAWhPGlWkriZWvYtpoDSdqNb6pRFsTTCYIIJwp1da 4oyjxW0qL9Fr7OZGKix6nom0IOeWdUENG698d1fLvOOKhraii9cQ1N0uX0N+VAFrpsCPXo88KizJ +IiRCBhRFI0fp/Vr3HCuEfwSpetlOdCZ3IQTWak+I5gWgOJ2bceYp3Sa2JGlGVyposi0eqGQe5XN Z8+pzenlcYRLOO18tr5hcldmcylsys8S3lueYUMkZaqovhp5r2HsZzctG+RME1+ej47SXCCpkCm9 iSKk0xCyzeyMOf1L0CkW6A7K+DFMaVufet3KLXhSDtMHF4VsIylRj5cx827INkg/sOnZAGmjipcw eJZNSSzHwT7C4ISRv6a8I3kyiaBC2aSRBfD/+pCjSPW/Hb4Zt9dD0tBm7gp7IV3oy5Nn2k8Lx357 uqdUDp7aywLiUmbVKBbYOjg/Y58C6SzS2jfZXyGa9BkGd3guM5myijS/9t3KLfI08Ku5M2eOK+GZ 4Yf+TDpoMdCwmaj2+qB8ppUZpao6SOU+XmU6gT+Kp4L4rMFb02pEoQWfhTGcSL+YmQbNL1vElgo1 f743a9OfWx3rdpZ8vV0xDfKKLkqo8kNPgrlfUx9x+o7ePBccd2H7iuulvDR8c1aHGvuWcOqgEvV7 VB5bYz9lGkH0GraM8rhQtQfNonTwU2DPAyGsLSS4l4/4P6RM3jxGCmgzz0mhfFGD5gu6DWljZEES ip8nVkBkO9CVjbPFduvJWRhUDCCkZI+qupJpj2oQyIEDkpewIW45TPqZBgITgG1NeWngJXnLhLfu KYFxF3JmJVDwP6916p6ZA0YSdVLJgYu61at863uXqg2jEPh1qTM3Qb93o1H0T3jLBnzprIIf6VHI XlTr4pS7QpB8DnXe7L9wxjYu4UP8zU8Ua+RiMbsq1+lX+v57Bds4rCyAFMDj1EaGRdtFH/tqKEJE QpAiMktVJdERBUEnhGkpyhM9tzeYwSzIMNQ9Ds2SO+vj/D0EXGaQXjQvqpKQPNLY/tOZVROdIRNR EDqmUuc6C4R7tKBO28BEaUMzRsTZgZf+46y3EbApwY4Wo+SYLzMCf3is0R27Tm0bRwcYNTgKN9ub tz+X48pmnHFXxRS089qkldtibnid5gbFAJiU/cPCQtcW8MN7YurBcT4EV8rRLz1/mB3PrSNrnNV1 47yrtge5mpwyFiDITMJYQtZkaXK5FRbIBFXQU+5MdDidSzo3bud++o3sv0mg+4y3IBdTSj85qGiV UyuUO/w3zMiXfjbAWwi2F5iYoLtr0g86T6gs3ORqTG+haQcHaRUzWB8zhj0KrwX4gbj0TzlmANHj vP9hElQuh9uYd9G0hGAWgTF4mnGLOVaFgaWOa7l0coBxQGUyhxX4dsApBOeGnaQTse3qjw97/Xff ECPzHZNO66w4DCkyxmwZtfeo2h1Qkm4xZKqRDp4xkj08M7MtwdbO4+95ztzaVoCWaA6zAKH9dTXf MohN0AFWwOd7TdDoCYI6ktJ+mduE1blREAP3MhPzMf5QT1JMr2txsLYfU43MhkFAXfz/ak64vHB6 KJQIaqNppttesIgZ/8dbdGgfUnrIdKtJ0Fzk0JW+IdAc3WNhg6vSYXUQgzkJNJBaadwoSWGEmI7i 3SRqdDIPb5W2MwJUQPe1BEGgEvEb1K0r9XKFxezUkkhd2eWHQKy8AD00OuC8wx23AgYX5/Ea4ly7 FZs+bmSfsNiKZ1TTZVCAzszuZIlTn/rH86I7xEV8f1SxoniAF8ff6dzR6/Mwgx2UeTA6A0lbEZyR I3Oj4ya7ePRHWjdfaa1TH4pkFksvQFzh/wI1v7hZE7gLreUrO07NzPN5T4YWEi2g1I4YJvJvDlUF GD7wmGHNCZnd4bal+mN2RFYjnUrc3gstGhWLd+h8+0D2vXdUJacCg47Vdua8Sh12gp9W5xH/x4fQ 1qRXwEoHgTaGIrkFEcitB+gQtyKfRCVTKHcOKdmIIz/5O+xoyWRl7BDos/vkUFaWI1xK9M7Tavf4 4tu0/89dvwu8PeZV4qThFR2L4Tkrc6xCWKZlNIBgZ46k/1Pn08bjQaDVWlEa/d4/x1HGyGebr35A aXQfTnoSpBtVimUmfQdbJmcy9pNGMsloi1TxTyEe4eIQ/YPawAko0raSeVqSVmWtY5dNW91wcl27 wFHzX6CrMHmfWciiVDysD2wBVQsH23qYwMZEehrttlYzLEm1DnA0v5ND+mejiiVHvd5NbKlLuCnI dTVYsYcB7k7Z8wtUCJq4YDrTPg5H+CePbamnjw6ZYOuoqVXA/O41LUXdgpPdeLZzSZ4pLmYMEjw1 wkVUhZDqdqf1I2CU4SuKe6KQgvClGKPR6wqrUtjjZfDQA9f3VMyuFQlBPAjorachjFJMA4ZRs8oD 3vvOXVxQmKaQUFDjTeUAo7NVfdXetvHSErwrOQhmI54LCeDE+e0kHMWBPPjmoo+d3YBi7EPkZa/W IcecPSI/hU597stOGYkgGOeb0WkCNsdb/OrnEYlxPHV1htIBCpemS0oPovKEHgoXmONUjblGoGrR gMekzEHkjGs5/5c3AufcPuWWb9ylwqxFqL0RDYbDLmpCfVWOgNmk7o1Dulee6n0jQ4JjBhtJ9OoV NyrmqVQjV6X/xTANiTjm83zk+lzUxlEX+5aDLceR5bw7021oCZPu/BKqU4EZr2k1peQUbuzlDFJX xNbC51TQXub9B55fscFe+bed8XEtJifybEBgCQ7bIaOGzPFstWUZE3+x73LuRFVUEXIHdOKUzvld AyHowA3j8XqvK4pd9D3Iz4PdpoHwqD9lK3p07laa3/GQp69NbRAozTXnb7c4p4HV/+uPm/LXdtLL bjKKFwG/Roh71u2f `protect end_protected
gpl-2.0
043183ecb51f9289dadafb505a1b3f3e
0.928559
1.896257
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_fma/flt_fma_align_add.vhd
2
27,053
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block dqK7fVvLYo50UawEG/sBSRO1RHL1NixjVmCcbowlkjuXHVk9AsaFIXbgqiUyZ3HshXlp7+FBsiLC WC2QdGHK2g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block RJTOwDRWRLI+og4jE1fjKmfOSfER65hSMJNV94WwC/einbqB2gHa/iWB86kernjF5sZPP6US40aR O5GqeF5K7t3oSlINww48C6mAQvxCxfPiYQMEKtHbmHR9Nh34c9zJnbxtTXiOl+FEghbv/l3L8wp9 SNfXI8A97rCAZuFKgvk= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 5H7K2YGyQPIDNpcwDT3xLIR3L8EziaAs2m2ZZZB79v++dCmnFcwqNWgdLNatFzV4l3cJR7i/lDBe fcTcbS5/w2afNmdnpDEZMS4clUwBQuIygJEI9XgQ0pJ6UJaE5oARp2oJvevL0O5ni3CHGJnF9PC8 hZKmMb/EObSIsNzEurj7VNcYPUeyxhPm83PWiapEftpWZva7Bfxg4U7cTlTz3AWYpxVh8/vsnVhM U+H2Aqcv5DHLEShRkswYM3u+t6SJK4iwt2VrnISU+QbRnmSak1PF5EChylRncwjAcq5T8iezIIEX 9Q+v1ZtAjYqEonb8+vg7qByJPJ8TjE3+7ZZdfQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block xd+/yIZBgCtSAbt8SllswmBC/baKruclDRUfpHnaWitDxcV8JMiSfV+gZwQ5v/Yp2eFD+DoMjHll RUxJwMMe+YEtNSBjBEfKXU2b2n/jk8dEmYr47iqT+GmZVkpP7z15jI29K7FjoGcOZD/SED6Vk/52 ivsD5kTKYP9bTFhd34s= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block fAkS/yRq3OVDYIuzP7se/k+q4vfGyVMVQOYfjwlOT8MoOo7Fmxx6LAZjP0SlLhcvmP9RObFgc8Kf v94abY9UA/1ZzX+HZhzQ2pTOtbgXQPsIAra0gnydlsX3kXeCbIW4gRVwUCEDDvvPMHNWx4rE3+ox pprKehWNsWKRrgtS7kpxEZT11Hg4l6M+9SCikM5GB2jCgsYc43iECuqmBBpbzxeelf6Q0Ri9XATK Efe8u7QB+eCcobzLC1dak7WrWggi9GGZO3I5DBm+TH3PQGhslZZudMPpkuHJD7Hslk3Th/RDLODl i4q2WCu6JW7wVMY2AwXpgEX2I80IfhPYyOCBsw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 18288) `protect data_block 0c5VnFD4tvjzA2tHF0r4Z7dXMbLWtZkyp+hiE+bmXpHhHvfxDG5wV6yRdpS2YTh4+nZSr0TyZBeY MhpcsCdHqEeeVrFGEtT3yB41lhu/V5kQnchLO0ey2o6eUijktvTeNJEoOVf7LB/Y1WgGbcNYu1qw YdJxwjq8Tuu9tCiK3NGtBUE+cGm8qRG4DeA6qMDAP1K8/7Bw/MJnUuzPPC4THI4j2YbOZegmbBWa l1T2/oGm+xoVQFsB56iGz5lyAT49RKRIUThyzXHlssrP/EiB+vLTbxATgQBPRHkD7NPNbpEqkDTt MHLkFUbCXmtPIOMDTi4lTj7v2/I7Xd6Uwdxy7UKU3le5sKzMvTOsp+bp4Nv0wANt8yNaxAhD0pgZ FOzAuTLJzQ8FvpzDWB/0XZHlfSsR9nBI0zALEDRA4op/Afm1pLc+YchQbgtwezLgR5ihBalQ3zuB ZzQofXYdEter/RTii5w/FhVtd8T78d09qgrdUbNhPeXkaXvECBKa0w/ZaqxumX7b94QGKD74DZDh 2YBD3khCWjYBqDnZ/vbixwZ8KUhcqBWl5bryvt+1dxZNFYvaFPNUvcEWhd3i88K8/9c9YpPttsT0 wM4oUSjQQBrr/L7hCJ07JARnc3R8lFsDybzTPuk1OZQuut1yxYQ6AQ76DHXuqCGZJSpQJ96y+dnv pKDB5j8qL43MH8eNCvmjoTeL+0GxiSD810wrQUe7eYNihghYKjrmJLNPYlzZ7AFcMv6TVi2pClr5 CWm3F1WfuWb3LeRBRapW0edJ4CZuB6CYcRIxZy7BW5k9NMjcXzHpB/Ftp0Xl+hz9LYESayGPdLu/ Hp2Y6pJCzPiIl9+cDSVaWn1fsGjALsuRr5kcQqY+4YXChxUzSFQibkdGkBb3CDokCESz350CMUTz bqcDEnEnTDZFflR9egccHsMZpAUmjK4Zjsh8H/ZR6N9pSNdd51TosfYINYCORy5fGx7hTfcQJG5L lI/Luzjqm94OIfsFJoS2+oVmuzE795hsXQdWop8Rh9Qc4063UtLrzkY3GVnC4I45dpylZ35Uoaen Vppdm14JJqUAzGoBCt7PnUbM2FkuR+D522lRDUgTGfO7dhJVrcJI3E009aUBALz0r2l1eafMf4bG pgNg+CFd7qMswrQj9E/P5skibaEqWQze4YLYI9s1CWeFQeGDXY+lrjZkZMt+W4P88ZKHA9l8QoGm Pjwi5x3rAkubGU63Fr/exFSU1DBPhAhqLtJ82DRvoA3f4v2uN7E6FEaO0yQUIqyL0jDxdwDY0zLr oXeK0iG+487v46fJHyO+mwynzwixwm4MjsVp/j0HPnvP/gs0WnwnEYD3EeuIMo0UKhwb5EqOFOxF Hcslcp6CEheWlEU5fyG/zhHvbvY2vZMmqym/6wt1oavFFeNXZrqn6GydvzsBjYVxeIyPtk3ZOwh4 coXmJNh+zkw5zER53O06cZ1nMHHOJb03XqtmFczLQhrz5LDpz6X9DkEEBfavlMkgpg3OgD95+1Qo an+kLdIrwBMGZZpdAGiy89oelIeIar4k/bT8rTOD6fFKkc+/SDAaW5gBkazhusgNUusQxVEhiWTl CStjnZ/vcUdItlyfcNpK76SYB672myJcy6gHatv2zP0hJiMqkobOKb52uhi8XxOkRKI18kl9cSn2 Ax7JHetheXmDqkLrlYzlyd1TLvHuCh7lz2CO+YvTTYXg3FJd4yhm67fZ3hgztXyDpHkhsovvUWhS F2xiJTvoQPcIilw/6nbMdqgCCNgHz9VRrG24aBVnoATXxICoIp4QBYGMVgh4Cif6HKrolo/9iaQR 12h04zCKikKDWLc/hhy+NYNsjQTcqvrcky2/d6KWLjpDrBBJCh/m+C3kG30F/DMTP+wDjH41Abik LFECG4cuNNJr6j9a7eWrV/xVqkuKNUo3YI0FRDo0ccvDjFrRyyblv40Y2fIhNSYPYucSjh8fU5ur zjn9gd12ym/FmNPcf8JWk5wQdQra7GBmDgMVG4DGEUWQlTiilh0m/zIMRrSHxFQUnG8kIe12fF8S yUL+z059xuk4z8LxF5qUi1BX1TdlfRkTruaiU1GoohmwG4M+nojmPe8QfToEyPVw89/zRDf/t+Pr 5hI1WSUZ3QiFWuMsD9tDxxb82qlTfm4UExQypXewxSlzYspwxDjwz0cyKTZCdd/RmcS9BE9Z0H8d RRrOdmQqshBuEuxR6w6r8CAxhni8URszXgMLOm1KLBm8UJT3xghc8zMmCdIzBO58fUH7HBlcbw2x NmHkJaExdn6pSdJgVvBiJHNoz4F2cDaVQLKcSK5mEzhZwF03Nbl14okzva4RzWnMZU1Ic00M6MaW b37eSEJv6n+eQ7MgeQ0uQUNL5XbwENIskgy8UW1JFr4EJl2DsU81+NS5Pdcsr+QcJnKygu6ddAP0 UqPN2f8F10cpy2ahsTrKh8sQNWHXJ0nYgAkVSVV0PhlsmAR4N2fZzm9yt8cSF6Uy4JU0qaQt10ju iUQ/N2iUJPwqyEEqcSi9tM5g1lZ7xMYxMRPP+Iwnl7zxDMoHhOgdh5KXKwsaBHUjPcRpVlprlSNS F204h8AgzGnMygi5ErK7nNk8TN+sA1pKBCqP8lF+t8w+ma+c1nl0oF/R+QBsQyRzSkc8BbtrfU28 RrBqWX9o16NhT85yWR7bGzhZF1QEEUKvBNgcFtGkZJ2rJUcnyipW64MO5vBrVGMZQ9jSPIiN37TK 5oNIIOOhyqLiQ7+oYhiMqatLIoGlq1uz3ROj6EizzAMk68CL9ElwGDBtWV1WhYoJzd3jAV1KlNwi 6fPh+kdc7Ap+NzpEcFEB5eTEkjJa0yc49cBfJadQKUYwLDYdwvAqZRdXM7kzkQ0uYGiRnBvJQpob D4zkdvzdGkOZ8RqDESe02qbVQqYNyfLak0tCozT5riWWe3BjGeBqCCenS8D02glXTFORSyVm2oiY 0GS08/wxMrjELa0Rk95GE4c+RXEdry5TPliSMKJkRWSLIPUIzFOB3F696Tp62MNh8dqCiMAs2EYI 6sKNCTpWVkQ+jCUN6EevnNPb1kf1YjXUgF4KDjVzNexvl583ELIIssMA1/lNp4ga4/P3l4OUHUYk noT88vaU3s+Zhf1Exvv2KCTzWzSCUKu2dBGQwx4aU7BiE5XDdnY5rKbWEdG/QnmqeFiwQUR5YuuT MYby8ewueAtoPFzzrlMKn8L+KxFfRrRNKQZDgsyy2VRVO+ek+DN4Rhoktd+uHaB4HgwNBiU5OWtH kVVETBlZtZ0AZuS7DWjIlEZjY3M7p3HgXVqDEAiJPxx+o82QqQ/XyfWUbDnb7WvJtqfGrlNm7WG0 KLye6msKGN+6GxSxr06PJfHp+hKV9FEXW+4AlW0Ejik7TC/Z4RP8XZ8vo5uJ1O8SIFfq3v+EnoS+ thzGCL492EpYZwnQIQmohSdnKovkxRU+AVrOgIWJWlLpu1+zlW7Eqwagy0U+hfdRre6pTyQsFjEU HNVGgY4LjsEwFSZe3sUni16mnY8BUjw3ZsDzWTxMwITjdF4iwugvm0MrJxAmTTP+9lLT/URWks9M AFbErwXY8Trnnt5HZ57EKd5hSe/dKYgqRD+EZowJNoaFHymmoKPlZHVGNJ8xX9ugU+WBzdXPJZZK xPQ3GwifOtORYuwksdJjz8XexbXlu9TLFrV5EfZqvSrTdpIkdXEjY548tCcEFTpc4FiA3QMQkMj2 +hl/bLFRBPdz+z69beIWikxuFc3h9v2Bqcx14cS/9UcT9mdvvIonwZ1BdDubHbdNLu6NYnQyvdWC i4Pt9oqpcYRivorNQI9rHwS5t3/p7++UKiI2QzLeSAAhfAXFk0WGCe8ex4YKSQPpuXuxQnNq5JU8 3nwSWq6199Mh6mjVtlMirPCZoC2ndyuoOpLYovA2qTWASwaUXZ0vtT2AVq4Fk+J5MEcgAMaEfIL0 SENhZlOG+XTNKCKYRGcp/4vpntjJVNv+HIuYrGZpo/NtEZIjPmzTEOVsx0Y7yp22OSbMKQUXKHpl zQ+RRT3A3XXjjbea2PW/nNXarj/AYw6aOzTZuDluxyDt2wN72g8ueVjNWfvdASt+s6JzWWOSwkt0 +6MY6ejmFbDKtnh0FBXG5k5BYY0aKSs21u53fi8dgAAvFmhR5sOmTNo0MnL0DfFGxolbgnpiwB3z xypEorBnLpgA0bBKjqQWZDdN5k2TMicGHiSwl71N+RGPzQ9SuN7ydyXWFm4PUNdr1sOgHFyTnAXO VpCuRa8ZwtTktQYLXQveJcCJMsL3eWBVRCszDuLsO1/cRMEvizqRNZMVHHZnOgaB3ddhf3ve5/jW Aqz9cqNluJJROLGXj5KtQNblnNuRawtOaJMauJF7xgatXPEQ1qpthSLNtHV9rKpIxAVvS8H9ajo2 gYLLKfrgp4pHJnsaDCt3oInHEFysxtpTxG/OrbnAMpp/0bb9hBpbBTJLD4z1kKIuaCAlb2HiNZb/ WBSEM+MNIkFXC6scE0wMgcxaC+nOCOfWhn59vCt8sF2PP9IHPDzoNlul5jKkIZ5Q+pLo8Bh3ABI0 9cKABMBuMcm4YeR1nvmGm3vCLjUytzDCg1EopHHq0trT4P1bEuFDz980dqtthEzfYVVhny91ZQNx J+iZBKPH3Xh2HEL1eOkO+A7l/JyEkkpCiK8jE+FdFTIUxKTymMA7XoV6LtgNMwaFPKaTrMFuNSWB eUZWef7I6YbxOJOv0QgUt09JlBPZb9d9IZyce680DPeuG7i8qpLOChJM7EtRHIobr02C22RfBBvz EQFwbCGiX0xUNfxDl3Jd1/ZFlOqGIlZCFvbDb5Q9vR6TZPxekkzcnrWFVK9wpjUCGcHYtP/oOSw+ UPy4+R95V1xX+BAeJaKURUBNgMwHDtb1Y6NC24lhcsDYO4UY63KKyjyJBESA30rQNHIr6Z7//UDz kA6M5jVvKxBvIqnBDeUQmVL3f+nrOEO9XTg8iRNFoncy+afI7uCxkVJxLYeShyP1sBqS556M1nyR ArsCyV5yTH7tbWYELyNKxIN7Z6OMBDtbH5YPdMzhZjVUPoKlVUmYTEDBss8GZnsA+MJQblLE1ZOM TqigDCun70yZpkiDzIJeuH9o1L3dkAtXS8tykrkVZlTQ6YQkfcT7vCRKJVTfeYFp8WBNEr7cjueX V6RYy3i8zVq7OIrXpGJfipoeZ74Wy4vb/j1u4ac3cNr6PgxtEjyldxRrDl/2tPe9imgwPyqxSKd8 E3iufZdOuASQN9/ZBhzQogboVUejk4whAXY8Rn7cKqDID5MeAqGjO4qwMocvH4n3EsWBkrgzmS2u kEq8EdCnsJu/Ywj8BdYmA0xZ4N/ClMISTUwwta0tLXvpmdD8AH16VQZR0g/WK2EQih6b0VfVp3aZ ZuN6nj8mJY60p4a/pKL5odxciGEp3Sdve6TMvNLaya62fAtuKxh9+fwHUnCAguLHNcqlBcmLWU1S ZH56mNCa8DC+C7MIBYC0nfuujyWH62wVxa0sUYsqy66PmBSQRFQgBuXSDWCA2Kj5DhmQg+HSsNl0 8KDomUNxOVUhbcQOtIvpj8YVJ6H7sXsNeFV20ziKPnRvrcv+uSz77SInpFVnMby34rdiCxSgFVed +MM5f7MYW/ua7Dtn7DRJLPmJJp8YeGuFwXhBbWppNXsHL3DU+wE0qIa70wZ3MqR2p16B4F3/mVun vEXStgqpuFFXdOQ2A1XFu2x2495mr5IfOFqAapM1Qup0RkwKEly/OXmcCAW1t852kEAzUXyCMGjy AWUqH5GtAsncLjkz8q8lgUyjULEdxv73VMbp9RqZWcesFE7bDAGEOtGaoeN412WBqQqp1p6C2LnM MLvMr+jvPowGizrO0JAeFYwemDdHSQWPRMxZ/zJPrklOPKMTPWf5nlbX6D6RhoPIh4aUZjf+KTaU o4Uwb0/LPAr0BzUAbmADdsp/SxOKhMI6cfJXNfQjSltZuz6C8AEuVGcVfqZaOztOn5Pxj8TxIN82 hHbIMrjdvDAWrRwmbotOYrGvh0ee14cjE7Y1VUg1toT9++KA0adWzDAOttLfz5t0PrlkWUcFOq2X GKCAHsL4TS8SBrkteAsA92FUIJZjocL/Q2UZ+W8PEjfmLgiczek1iNoeBswg9pwNpb7muBDExLSs RYo3L4Ye96Phc6aEIg6P38uRG3aJjE3Y2qkf5mrIep/UsDI5KpNiLcL32WfQu2YeTKxUjQ0XrIDB pp0j2c7agOnfbA3j6VWpUibctV5Lmc46C9c+AETgEnM5vIbgS1LuYkgHSEH+dVKnvmh9Uii3siAz mCwEnuRQNv+D3xW7ysMgIoxKLwBdHHGW3t3R5mmkGmDylnwQ28Oqxm5XrBPtFYcDOY0XL/zZOQIJ wmjW1yoqaxHDm3wkXQcIY+nRZjbE3kvycj4Ekwj2oDNldk2r+bq/BO3cLsk7DQIcIyJR8mNCiV9N C88IgNOeZGn9fqNMKkwAX2hvRHVbYBleazQ5vUBoKj/sKnJMN/XMiT6LOPI7bLSp1sj57goB+VO4 +pRVv3QBLZGDEb8Mv84m1hPiaZ4v7B758A2DJqHjhKDRS5aNF1a9gPBN6IdDaBnSjvslIVHkPElo Yap0DzOkyCYNGlt7UgK6FPoyIkwAymt6Zie43+sHsszqIKPLRQDtmGrhRSBwod3Y9IyljmMdz9zG gf7/FCX9dr8WfUIXnf8/MP9VxeM89sToGsQV4XqdjfbMKLolJYt/G1jR4hv8GEc03z3cF4JR1FRR z6gyMMcKCnUNVE1gQru2ZEJYqB2P+/Sr1AO605pStpp6Q8SoMH0xVhI1vOgD/AI67cOTBvM41mo+ Bo4oYm0eFMCcEIUyIiEKLvd/wZdGfpqd5P3T25Aa/IQSxDZhJ+H+MOOr/zD0ydo2wpqK1IFIcfz+ JW7WK50aFoTbcTE7sgCHiSc2jYIbsdpArKvghEIni5Ey/Bu9Su5ChIN9Dlmo5hhzimbtvysgpJfc qMi38tzEs+z20gxqgqJItz1/cMSd9FY05JPkV8zWL5YL0d3BFJ661dAvC5mjwmcOow3sYnFcAyGz NRvQAfgxnnFHVrs+dgPjZtPToedBfLncIF9/aUvuXU2lCeS1rmOUGbDlRSd1Xm3NOUIt1cZQbpdq 13QBaL0Ap3SgSO/2rXBj7iAhpk1pzffGMFJ4sp5C7Tk18g4d70kK6qHrHkWRqAsbhDSWcv5jDntH QCqnnQqTebGB7X4PqpxgQJxwX/NMDAWg+tYzD3kUOEjzFpxLWMVu7s3q04iqgw01/rdhG49c9buP vKug+fhd06Ysk9TMIk1D2YJOYBNfzER04fCsfQ6vxehrPCgRebJwDTgT/8mUr6eXtRPhzf0Jeipp pbLLQIk5WLbIZ2B55VQXc6JPx1hAcQAMQATt5heFzfvl5wirsifqwlMU0vYBbOsuVsa6rzBDMlBL 68FfFijq78Rk2l4OqqvFol6RgtOwexABQ/JrQTCOAny75ls6DtkkmnWKcKda72fp3pf+cLrIXmSP iiRU93VXwPm9HGaE9gtY7s3qxzw7CZxBYNHZ7u6Y9SjIQzWbWzyfK3CW01PcyrsF+jxUx0wGVOY/ qJZwtJnShrNOw2pMNkRNmgluj8JLZMHT5xlb7b2oOGwdx6gRmT5Afl3/VbE5nMiFoztaPHFutzJ9 fB38CdPC/OGll2ANYdviipW25iAvnvGgWVRiaeMbuX9gEU/zr63NTIJyIYNzHV4g35b4scd+Ibtd 2Az2qtbrMqhvoJq6lbtAolTgK/nGaQdgCAP2QUT7chGk4SoTB55sDBKV3StfyyVB1Qd/F5c4OYEf JRz6V/zlwUPmGST2PhEYGMEdYUw+A8dPWcUYcPgK9Kfvf1O8jRvFDg9Toycggh3xPgihy1ldq//o Y4EOhLx+SyD94SmgnEZ49SzvntkDnOmQJPAA9tSj/HGhqnZm78lhJycsOijbiX0e++rCw98HS5XD nBOEER3C3RsejY2SpKzdsrTWiXEKQkXUdEiEh3NpUbVzlJdsWj97U5Jtanxn672KNleqY+AmLa2a f3nmiANb/8YHahOSTowU2PzoTAkZsfm7egBDu293b+04P0k5kIev41LNe+eIziASLU6Mdm7XaLhG DRCs4vWQTFsv16Sy64zxWUF6tV38Qn2IJxS8OnE4V5mM/fDud8eXxcGxkZLTwB1sh6k9wZ/vweGN ImbhJX3OK0qZg7rJJCFzFh3khpWZnEdR2ow6KCbbhnII6Cg7O9sfNuYI9617aE2sITgon7B7pXN6 x7aDxbpluEN6ofAu4RjTFbjJqzq5ITtSrYaac1HUup2a+0iW1nrE26SQZ0VjqT0Eld55FD20/0xX do+zuP4TRg/ZnqWJ8+dSFfeZEd7L85qsqQl/ckXQYYCrhoGMlMUbhYk2Vmw2bp3RWbDt56HzAoBo 0tEqOXdW1UfcAvLnaUdT8L9irrS47zlno5Xw/kTuZsC7gP8Hr65q0isRTgdVKHtiHDsQfWJSGO7+ 2yEvZgE0w+BkGmrb4LaiPnLAM+5tdKWD8YJA0Xpn6VBMbW5MsvfAl6x5r4bJ0jtgRSAYoOUUVbBu rZS7/18hYVTiuJif6rBfo6P7V2zYwVyCr7XetBQyYxvCP3BfiPy7IG2JISvJu1p92VVW7ie4VN+R iSlxV226X2FOD4ov2JQKrmRgBB/Uo1iZ4JhoLGSGVsiExQ3wKbpc2kpBB+Y1AHCERNv+3LvJlcf8 EehDo1sO/WPR4Ivxd+J8vD0qhDSD60XHNdzRTfuu4+ZJKyFyzcYi18mngz9JQwO2pah7s5ryUtQp uPSjERGgNSgibKwUAQ61mt0kObF+YuF6R/+z3l8CmsstI496S8eLoLa+icW/v3n0TVdeHj7QXA9e fZMgu2BBZuErUw+IVQqRVCvO0hR7A8d8jAopkFyTHrLiGQ/mjSvzORgZoaWgvpDcbzEMW1ORr2ko yVD9tyuKcWqQ0xs9jCOVFWO4szAVHwxhnJIfmfLhLQDvcFpTD8zw8kzidOAfi8COnbRDhaNB50tS +6LkdniBXzZn/SHAhEQuEN8q6OAIelAWKGEVWzBYaskX8bHW3SewmmLrUhliJQuV1xkqgI+/p1z/ gZJxOTjx+Q1YdQKwQzj3jOpQWwHHWQskilaonh6cP55SXXAYJn4bRET4zYdKWVWEe2ddyOivhvO7 b2ny9r89YOaG9d25O44IPQGZuzDrhBix//sJfpg4na16GwT3ZYgkhOSML2i3/llHbwQDmnqOg4Rg gl4LG2BtuQyYp9ream/NWGdKlr/7Oz6p0h0O+epWOPo5AdVSqSlb0Nv2HGz6uIwttTi4cUMmZs4N m5LtsNBrm4V1Upd6q4ULWl1v5dZf0XZMUiN+fSILlKzy/7K0lSK6Vc+p68yUg1YHHtl7akrVK2q4 ugxeSt2qdRGzmRZPXaLONY/HX41MgVZ3hJuearbJKT+xwhB46xSHUo+UXQ9SF5s4RUGWZvaWT2bQ YSWWha5soCkuDcmZwGM+VEIkUQN1Wl8iaxERSGxRVHZZFj1r+sGySyZYNslf+h5Z9eBb0qG/lNOx Ktcf4XJHSPMnlxaZjR34ofLwFDPVPtri5ZES7t0k3o1NpI7jfwQrwTRwjQef2q/XvZOIM1z6ZRHw BOdd01qWZzxL0vz2DWvdCigaxMjtMU/bHxIdAvKrSczUW8cj51xDoYkumhCHsZbMk06dJVdmSkoX VHIY7ms4hZGak/8Ykeanf4Yko2sUJd0yL9wzJb7jmrRAE/BqHQZDErNilsKwcTSzybRfptLaEZAt /CRNkQj72Pxr1kBHWa76ZjT296yQ9J3eP51JcDBbr7gKpWpA6yxD0FhmtWtP3f/y6YZfPm3R/4tj GJGU4LObW6i24cjnLh9l/V639V9jZZn0XUYkHYrXiL/WsjSJTMhdtWiuOQDhiI0GqI5JHr8IeAl9 b4pp27RmfWiDREXs1MtcLgQjgSyONrQpZ3TXqlB+AQtktRAPqW1fsa2eENMslIM3goLZvN5o//A4 hbH4EEQJQ9mJWOs4nSdUn9PJmvHRcwSrMzlqe3IQHyFLT5gu4LI5/AlP40OI3gt1xyrY8TGVRnES /cCIdIu5KhpcASAd0Jle7CZKzGHM+P7YlcEqgol1k1P2H+AbTcESfiSr/w4l/zjh8J7iGB5dUXUJ 3dlj47wQY/SNbTKie8+PHG7aj6l3vWOVLX5eyZHgaVLhJDlR70AVAJiFcPeOHobRQzR8wcVITOZf h4WNgmy7lcqhvwrgITLEJrp349tmYZeN9QG8sTqbthaXcyBbTb/i8HznQOoRFfaDtyhLGQ/62ahR o9hndjl1tVDX/jyeCpmEib4zq7pGifPl4+Pq+NzPZ/AD/FbDE4609ostPTquj53flMDG/gsG4prF rkX+vwl29bdQqnXxjmTgKawDi4/9X4wOhsRuVsRYz82ADCbvkViGC6TyXWN5J7XE8cSohkHRNsb9 3I095LFwIk0ceOWxUBIwvQrSfkXvO4FVO0P+54b1RtGwArMYJWGbrDKQJJYuiQcbMjPiiVGF53dl xNBEqdm2U4BJCTEMHRuR0q4V/gnSFomqD1UK4RWBhV8/SpOEgwXwHuZTJxx1oJmj3oGYQh5MNeCz mMLmUO3JqIwQWh6IwqqeRQkiTcHa3HOEdVjVFPA+al8r5IUQOOrmMD1E8XAZmZ0m7B+Dhyjjh6Cb glaUR0xqOhhFuppUWz1JJxmzYhVotvrxduKWx4EHbqWLw9RtZJHBUm4poD+MDdoOBIYAwDt+/RGS N9hsshiEyVy676hcIQFybnFAL907cdZdr3goa2wDXw+/txb5VhgKYZUIfxvZ4V/pSMLlHgi4TGmX 08ylSIPYJWIwlWTP2d4jfnIF4tT5MqdTxIa2HMkMmTv+ySMUa/289fzPpeVQ/Iyt1Qu2nUkVF/Jo kQEEt7A5VeI9/uYlyMgDc922/sS1sgLX1XVceG/rLH9a/YigKK77gec3U9/Bd5su8glBFebbPq+w jgzkalQwBxFcAHspHLm0gZjPGHZU1VCECRjhkIhaFeNesEVZuQcT6cdcl0AZINTOy8oKETK8uOMe Ucwg989bgfBBKjNRWx8zdrqRAA0aPEDAywvAV8Tn0+VTYCKkeoHHf8+rP8PRSNd2PKpdR51BUu7h MdWCSjFtxoQy3WOFPoad2pRCs4vP89v+uFwn1LKJ/YsG8U6pqBiDWF9j5k+tiC9RTclNViTBmctv NLfDOMYvEJGwPx+4d89jqlQjKD1gyliAMvsIVOKRZSB5tlsCEGrbkZk90AbpoSnt9ogVMQ8TLvf7 8joUgewcnukLJfj/Ql9bfUW1Ju3u9k11IlB4N2AVQ2ErRTW2CIHAoGKe83mM+m0YIn89i2Br40ip nCGHwXKNWHZz7VFNQOGTL0BBxezVYOGclk2nKdcX7rIlh1KVm+vwhtVraoYBJhRM7m1nFbSlXbwV T48QINUCoY5DMrq5dmNZKmURWR7LfgnSK5X5beuMUx4RzQqiZ+Y1QtYu0yxo9y0FhVCRct5MEW8s 75dMRKJotAVMCnC/QVXGN4lCbWEriulzwNqQjn8RabZdastsxjVreNGjWKBNpCj4ScUwx3dy6rRu lfzzTTL1GsSUnllsKZrUk6EDi1mX+iheYO9Ue1v43k93REP4SEMnJEPrCTJBhxRAwvaBrw8fj5pL WyvXMad0oI8cYcLh4vMRZO+dHdCzc+uNEt05HVxRPx6iol0bqq6CnspKs6AYrG35UR5p7qVOTyfi tQ7cfchygwr/FEVNQf9JURmr5rzw3bA1AHKICZ1xJug3ViGe/bvqyWRiMRinrAdkj/QsRRHuabf0 VavICKOjXXZija98qE+Iv5K5JPDqmxTRddhvT+waGh4+ESDdGbP/1TgIKWU5HGJnci5eGQCo0O0Z As3Z2Ke5Lyv1LFUHK3V7n72dXS+gp5QWEAsvPgyzh/15a2GO3nLSJvsVLVwSGBrbYTkgUvSbPgPs G/SjbIrCfSuZiXGAkQ66DejEm+iVyj/ZpEiwm8+pJ+gIe9ShRXjUcEXNdW7CNFfGp2DPwtZ2ZNpm ZVN07BGVoRj7y2U3oobN0E61XRF0maTTZGqXPNG36Kb+ULm0h51p0veQYNGLTU2SDXVY7MJXq2Gg +BPzb0pN0btYzRP2o5MSQ5au8pdE4R+mR87gXEjwQtpjUPBUiS9j4HATH24SqhjOA+/3CwurI9J1 CQ9Ovloff/RWs0ReU4lM0f1p3SjHG6RJpIi1si45Ut4fYm4K+WE52oJeRjOlpS/FKl3G+hOtj/X1 P1c2PMwRJOswsJNOz9Rgyq6NJJFyzPtGRTeZYDiw05od++GwXgyTJAVwxjA6Y5rntNR97JN28GVu 1GSdLQl3BZqctXcRmAp9ARNqrSdba/pJdVvr/PcAaZboPiz0dwLlICPfHWLus1B+s2N4LfAqPfuD BCD0mQpcRP3UTiJLA7Sz2XvTBYlOwo3zWXko4ixKY+Ytz+5jgpd7FCSI8k16aCoAOaeb9lrLOGl0 Dd4Dk4r28Y6TB5u3Oj79FbhcYu/emhYtCH5J6bhPQnJS9esqh5kVzXreRIIx8Z3OkxfghVZGqbLz 8VHzR+UpBZM3H6hr21MT/mRJdQKKMcqZzVHO5tT4MrGH2zJ2nZObQRUkXF77Pj1HV9fm5iJwwrT+ UkZenJk955XhvsBxF/JjUNS0goNu5QVodAcIxThYuQMV8THlsTtf77c0GGs0ukRFV1cfiiX8dJpp iLSxND1WdMenu96vlp6hCCAeVzVlP9esYt7iJVrrMh9puL+XGfOukM51lV7975C+js1xt7RgxuAN 3wueJgnDg0DwrX9KC7lheq6L76YN//ZmHYgfejdA28sFj2R9l0TFll2iRXQLzYkjHttT7hL24qoK f7zz9ilug6iwIKmrP4d8qNASnMKZ8Y01UDUbOojnTeIuooG2OfSqXuBu8paB7XHz+uI9419xVZm+ B7j34SDeEd3SJkuEVgkm8pr43L04H/bpzuGHyWvYPw8OROBWZutRgyyevHN/P110s1k3v1JLmfT8 KyfuARZI6ktcNyQN33GcB80ldFFxAE+DNcHfrQTdDhmS1TFNmfmObnnyXQEkuj/1a2jMJOH7clRM 9NkOvoEsuJf+rrpqUmniZuB8laKnfpYNz67i8jnoYi1tO3Zy31Rr0NPT/UST1a1K2GBTw8ySjKX0 28pZWqWOd5eA/Psq0npGHuq+osej03KKC59HDIIHrLrIsRjT+u7bfa5Zj1UoVpDzLK4WPkt4Mi+h fnJd/O/xzt9QwXZUlG9N/qhJelSaHNH5nLpSwKjLozrubiy+As8AbZwsdoEK1ES4v94XMTrCm0Mz /9F9UxK0juniHGPgRyds+aVbhAMMHBqpaK3DS2HGUvfap2Gi+Ny2UVa4LXn+YEH8vhQa9sjSyfGf pVo/0+UQyx7ULRHl7rEzuvebcVVwAS+8BP/6/Mxvs8HUulBONOx3y59nOVQlUrAJqe0n6YJINIXr s8YkckzHLO3gyfJiNBJ0JxElFLiEqEIvrvMHy9eHJX5a6VXizmHHrIIazh3LznAXvblhr+c0UFQh YaYic+LTH1XXRHOK+qn4jmYnMVh/th2aR7BOoFGui5Ad9/5TGfGCG7l4Ol4fNasgrFgYITJO5sFC NWu+EPJGotGpkH+dmQeCqQpLFqxvOqS0uLbRopbPv7DwoVtoXNk8qckX2joiwzCumvoY4IO0aROI GFh90om3afeP+1/WfbhlfagfvJwcgK0NAX2WVCjnNmdtc+geZDZ9rAPMnH+MmdHRD/1S+zv1rh7d nCP5UY3gVilJjsv8zQaskyqf5gkw5Cb7yO/N0cQYSPw1dhmPPY3wWot8k5/LyDUSqTDtJSYhz8Wg JprVFbMYXInDG0OnHNJa7xuFJzt2VpcT+8UHySl2fAZMryGzef3bh+0zeTugW9tKm27CsB2BuUjh wycvUPu5ZpEK6vY9esXLOhEfOKQ4Z+Bpz3DwTJHtQ9gdSPelrcWkvDb+RXs7oq12/RsrQgBCPB82 1TtKffX50QvjlLPe4Dz3XnFkpZ7yz5adbDT+ghWQGf7V10pB2a98Essy5byomg8FaNcHrzKM58PO T3vHlm0yNaBho/OSURKApgXpZ6mdiXcPtMDxaoHQMGb57GjocVAZLZcQVCGSyHbIU3ECDYBilpFR SpxSzisHQqfTwJ2UB4JhnOs08yp6VW/3M7ylQZC7pwfkxCzlkR9FmRv+SnvQ4NylLf10dZ5aCQ0f f6nQRSWboc4IukYdfvux+ClJH+QSZ4/3uwX9XhCEk8xuKOE6xRCMM+VQQvalECVFQzp3awBoZHcc tTzH4UJw3FpECJoVB8422UkIuaN9yH3ClFEIR7SOVO2SfbUD602vVDuMZMRvc3np3cH1H7YOudx7 FZQZh/+f1RePAJDqq9qnKec7oG0dRJSquDjGG0EiLIxYGAQxfmLQ8IAJJQ3BzA2qqrgChEGHeWaY xZ1wboP8eyQKHfkrjrFNsW+4C9tQudK2IuN4+HaE+2l27klev+temwzc0vF60j6ekzK2YQmKHGKS Ong+zcaE8nweBiVdmFJNY35qeg8ahIzkwAeQKiKlLMEtgisn+/1cf3j35HjqqH00wArg7Yiay3z2 4JJ4dbk6LJm7zbTbTmxR9jru45a/BMFoQiwhKByM+RAokZkLDsXHBbBchAs9Dsjt1T/KgB1vurWJ XDJ2sEOmE7sUTuwwAY8Kd1prToQwwm5bnDNIjM9W4IY5X91fuOtke50fmuY43mqOYjAWylMKEnHm 6ELYz9e6ZfpTNClUrqMFBTZnJ6amYMI0nj9uZZpuEgDx55VFeCind3ReKRkgA1Vd5ZYy5AGf27dE 0Zi+c5SDmu/GFs+z7TqznaMNSoMOyGDj6cys0t7BVZeHJ0NCkq77c5nmBQD/zj4z05Fa6y8m3mag PZH85UC4vi9q74kiagbZuFrgOYR1WjyRsF8dzm/8lwNICzVmTshSGr1EBa286CYdy3z252U/gnrB 5PHoiapbnOm+jGIYpc2ozNG3n27FVN3EHv+r5/rdmy0W5doJFjLdj6c4uFyvmKeGA4N+G3ZYkLby kTSMybRnZSzlUzN2/7/YYqYLM7n64B0lx5ZIt1n6VJBoQeKTsI5WR4DkrVNoDMNo0YiJmBv+hTlc lE4/EUXCPpPp/4hqXJ91gz9zLjEqdhipZB2wQtHFD/Jd6R76dLWlgNOrjxxLzUfYiM0cfzJ8j89v qhEuuTy79GVkBqVSovaht0T53scUXIgRGuEUJd9fqOOuqVjjOx1k4gRDoZpNR4T3BcO1d9NLeFWF GkS4ReKPlUK4NGR2SYKN2r3MschNqccMDEos+EGuPg9cc88DwJ+HQ1L+AzDW7lPmgwpFv51johfX rllUXrJ7s6M62yNFNSAluO7IIsYKPk/DW8X+s78W+fubJ1rJM1MHtOBYUwzVsz5MqCGQs2PGdoao R9ojLycNiqAaogxJZNYZAfjuY3AbBiRWfG++YRc09g67pWC3uAiOvt6+b+QKAUpz2UFbekqdPlEv jSAI3LXx9Ewjx5rEZvosTj3My0mz6ZdqJ8o1pyWwwVsim9wUR4QvWn+A9Vp1i8+rk6BC929+ECmk 13bTuiqm6LWRckEjAxUH1WxyC44vsUbZa7kSJYK0idVOz9Du+q7O3f4Ahuu2J6X3pHDsDmzx9Obk XX2J3Vb8zX+B+1ONnzuUYqUA6rymjrguhod99Oi5SzNZ7l32GzvMhpPkfCCt/Zd2PGmYIo8cpyd9 K6W6xer593tt6NweirsGqEf75e0W6fZl1nnWZfLOCavr15cOcL6DKJ3eU1c+UmECf/LsuD0Z2q5S HCWHl72ByLgnC5HlPxCmloKeCjS1igF7BEPuhcY0j0ytDx1gImjS9odDdorabLmTT0ZkM/u4Oj4P E+/QD0Po49VYZ+jc91yU1zGI4aXshVh2D2YWk3HX0t2kzTHd7HwmY9R6Ex564F5XLCBSTXstAB95 hvHUhckMIgBjgAqueHZCPiE+xiVfGoeyoMDTVK2Ngy6y9JiA++0qTBE7+Fh01hP7QvMWY0zDdiUm VPTqc8fsKpmZm5j2+iX3hCVKDhB+mdnDvLkTtn3jOcnyZban9/8JCDIlJcfBbsIAX2nbBnGsU1DI AECmIDzGehWPp0uABKYDWB84VstPtV/q2K96zgCKz3NRVZHwTb9+2m+0TQxIei+ctet7Wz9OREQ5 2Bxp1pyR3/64ckt+fdlpmPGbrpF7wDtuB4cNBthQEN+eBtPZ7PIJxofobsqbOoMUQtqtzeAg+rjj T7AJks9SZkC8TfL0EWXboYsuLCWxj3WO+J2k8Op53rcBCwO/9Zmq3aq59KLzb8j0rUav+5jF89yY TZRKMznD7H94HXkWgecNKHLBdzYf6hWuOaCB4wGuaNDyjlWre8PhIIQpcKoN3RUyqqfE10+IsdPJ OQL0qSrnyHvkzVrE0IGdi7Th0qBxgf5JO3cuxHCdL/01shTgQa3lYTp7QMw7NWetqKtPApTBDhhd pfg7aVMMqBjhQOShHXfagppxPsR/k5kEDJnEEXr3MaAvjro3h0vLbKG1mJjG6BvvtLlHl3UR0mmc p8q0BOH8mnP208SIk4TvCN9eHCEjVfdOtpr02MtjUYjqg/Dy7XELmbXC5Q5wpJ/sE+WFIWjnFGV+ u/Lo61KOLLzglTWQwXHiSKBb21LogK/S8d/rDXOck8Kne64DGXrCIxp7qrV3n08ow56U7/GeaeiP dUIM45t25nRteGjWk0ZmDCT2WltEb1l2EmDyrPrzEwwiqRQHIM4o7YQSm9QX78ztn8zUgddfEL0W f3c0i6Nc7wZnS8SMM5T+Zg5bOkhFrQt2vgmrUfX9D3wYjxityFodm28KA7GHbQr4UMMUGYx7IXx4 vgRk53wPM4CweIXlAAIFoNPIC3KB45zAFSFAGTbYjMLGMUnKkJawNVcxB/0k1KdKnlG3sX5euLd5 BF4BnmV4aFPDokqCOU30UEg+hxpoWak8qVURUsFIY+QsXMLzVHYqSxyvXcYyp0QIHWm7rK67FqVO YUqfvJ+/9A2cLck6Jml9ARVdFYsC7HpwTmi2wRvuJFQDfaN5x9Qa8J+23N4loM+oEEPpZ6ab13jX H0jAY+JexZKpSiPEn9MfvYn7URvHy8AUHPbLqWxkFd6MNSaY2C+XwUdKp+ePdhPA3BVKiHSBPNZh bEpZAFrzpChNnF4ZJQWZ4kPqhEyHPFTJFNDpX9gvRfj0xpsCtAfblUspTL05RzPAGto4h+SXN9m7 4YuTAj/2TgUdvlUJUudVszWVAl1tZFF6/MylY2zSZ/URJihiBoloncvNMLkvIRBmfe9T8BNNNFiT AMmqMwKT0Db/L6TLMeXD8ce1WUg+VcMwxCQbdV7DFwfRNSc8aR5iueFoZ0TcCVmX1BcC3aqueFNE uXsmMZ/MKsWQ9ILXqrXPjI3Vz2ai7dgOyd78WoH0eKphpKYajRtNBlbBNXmGnxtf9o7Biou2s9uh Au8gJ1LVOtQYwbY65ODhf2gRzk2ZUD1iB85WSGhEe18DcWHtWO5SvmfHeSOurnb/lGx2UT8D4u6L QjIxxrICHQG0Cqu/YagquIz7Nvjr8CN3KVP9Er96Jv6sa4rbA5dHmo7tyBY0ka4NGM3sEP5KOdKu C97CkkCAuVzcCP+uqnW7Iv8QtLubUk0LPexZTyO3t6YweXHPvlkG++OjYxOWh+FTH9qoOuakT37W 1S8fF9kMkzSfnLXAWlq2Mb5DJzDGHYmu/lfqTrYGhgS3jW0Z2mXfoV+ougHy/mr2fz+ySex68ip4 Ji8+NwA3SrpNtuK6+c9BdfRRwPj9qZm0yX7VMyqeDnG9zi01P+HdMQBB+xgjX6Hmhai9d/9Odc4L z7jfaPQf4tyP62c4f0tzYTa5CDYQt9a0vorih+eSzWJpYpZ7oKuK8gv2+ZQ/Ge6ETsoWcm2Ell7f RqaV9cQt6xifjfLPUzt0EObb/QYzYYtGroEPjez6i6K/TifSR1Lnq4acon4Nf7M6FJNLOwYIxFtB MAoFxfT0PgkbLotQQXKRKvcSn/kls1IfIV7PNvmO7XFSneqbKCmeheEW1KsQ5YI93B8Yxp9typRG qiWypXfAl4lT7w8PbgO88sinQfrRx0HzrDU6PiBbLwAaEnAUJv5OAsX9ZQb/JB/DbH8K1VdztWIp ArhEaKaLbSpKjtWd0D55sUpokhHhJd9Tj0zWQdElwoPDRuZYdy0ofmU7FwSg4VWniuBmNME6+zOQ g++gzZoYNhuqUiQZYRjz0X7dshE/kjqf6dR6aHOn397EPq+4lZzwCP9fIRADuowUJbh83W+HYcPa WY1H5LuM3pmz95KYjNGlzG38zh7zMba1FAUbewmjwIHVxeiiXl/y3DKM5+DKcuCBOEx6IYNf5It1 ClXwy5haDsql2v9LXOCSBgpxbTB6k/bXn+0UgABsLXUGUSp+Me/YbubaYTw5p6lCFJN/b3A026YA wTNiKnryVjE853lOatm5TqmwRoYx5m+zkpws8MY2AHOf7rq1hNwgrdEfPiPHqp3XrCE781L4V2QL t84PMPRow2LT8TSqElJ7+DwBAxGxyEQDG9HZzawHEp1IFvuio5lRIuyb1r4SuRfidwKh0NIcFX3v JdIselk2lPv3gB9RvSD6ntoeceMjqJIwnjJF7YawoRcV+hntOnII4V0AM9xKGVW3ofx2mZqE2l2H 3esbneCTrvT5vq2RImVafI++bOL/1rP0HeVec4m7EuXHa/7SOrPjzwjmADDjhsjASWWXCI4xrryN RKLGq7q0reFAntKUTDUyCePjyzpAMCCmniQMNbfT+7+11vnXJpPzCuACCp21qok3DO9CtSKKYPSA SArid2oOAas67yVsyS7YM+o0LLASv2wv7m3D3nKyCMBOoNjv9Z0fBrLCP5VSmSO4hDfOs+Pa8f6K kf2FcL9IfwrglaDsCQnbP4bsqK5RUJY/W3YgVqfIx2WGeYTmuPV6F7Umz+bhJh9bUvcqkrFffBpe p2apqf90z6b+nXFw2tHafp9tzUM+eX4HZ3qBKiGCNmu9vp2CA9h77/w9LOQm1UPgMHkBmePQiqBu wXeGrA6lrbqTZ/W4TSrn8xxPdMM0/LfQ/PG+jqf9ZAa4kCD6Wlhgunq+00PjFJh9ubsz3pZqPZG6 YcV/DB23Tc1XU0PQEBGzCsIbCIrq7ozhKpx/Ks9NWwiJgzH3gMc2KdXiAXngmdFooGdn5iBbmhE1 BJS95hPdc+M6qZ3mL51ExcpoG+5ivhJj8yLnJ6VFxR4+9er3eSBlEffR80F3qtxXLGQS3pfxk9Bw H8OntmmPwvuB5Mb99/MsigqQs1vN4jYkvMBvVB+iTOatLXgjyz8sWniORfOonDHFb3AhWzfq3xr+ 6VbsET2Bc65bm0Hi+DP6vsFSzHtlwCBjg8yz14UBeKUKQuSlHKEodFGmxzfGhDqX70xFTnJV94mF KN776StQprle6RSm6EYOLVzxH3i4DEbpqyhdn6AVZDrwHrmTqE5YuSLgyiJLN0p+qLL7vS/D2Dgl C3Y+hTO+uiC/a2qHpmtUHxuppQn2mLHBv2k+oxLUCQ+bR+elMPlWgVBnGhTD9ycTG0rhl5KHv2Q/ ZzQivmOP5sQOHToUz44zmWRK+8FihKDl3CF0+HRIZqv6gLKbAT5wtheKZvImRaOU4P0FC5RIwLv8 1PbYF+Ju5ZVm1T9MRUj85H4WoKmsDnXkUYysriIoU+BpVMbEA04Cm7cQD0BLrBhTyGq2NyMZPeCW ViXsiSrK7oBAnPZ41vr6trltSuykLie+R3JRcA5rDGa7wHStQ6ZjQPEDY0baky+2PLuECYLwfufa C2sm8zb7mPPAHb1R39U/cnOCnRwL8wD0a97EHRHerVRRiQN6FJudx67frS2keshyEj1E71vegeTM FGuy/qNnjBwuPQVL5oeIuYDpJBWE/OvqXY+DpKhjemt00ckN40WlbUNzKJ3pUB1kL/v2lEMu8q0T ADg26n7jmYvDfYWcbBWX5dktSHpJ4/+CUsWvoje5S1uTCU7GqO8AH9E9Dytb7TzUwsxNpmyGkfE+ 02EK9axHzw6W0Jv6xsooOGwwVusSA95umr4ig8TGzIvTmCLPWtkyeBUVW6pHBfrwfQ/Af2IoOZgM /Y3x84uztsyiUE3P56Y3A6hHTRBnKhc2G3f8uS3SjBbHlMEiHE2ofmrlBuLPSvNMR5G41C4HmsiU B3GHExcOLAZtMKejT15GTmYkQW0qq6JUXFEWL4q7MNsvLgczyW6GurNTThu4tx0G6nktKqSq0oy2 7SFcRagOqV8CiVBf/EXpguuS7NMthraTGatfwg5P45b3RcmPu5WoZEUcalYG8VpyzDWj54qeV6hp 39FK9wG09FfPcZm84AXGTjpdebRBKzLKHhmew9tXpxFOf8vtsPDbNVRG7B2FGXSkpURLgN520M1p XNsN8MKI232QmEXedY7hJc8mMA5MzSfiJ7eOooW3sYovsWgfwCvik1Ciwoi5w2ZeZ8WOnTudfIvI hYBNl2uHNRPs4Ibd/keU6dEWOH3l+Z+DZ7HyDCBM01Yi8m6cN+joIB6a60rZc2uy8v1fIU6a4P1W /KrO6HOL4I4QBNOTcdbxBmgcwE+//QT5BLQYgoLpyqUCo0x/fKk/iykGWkXHJL4Qv0Zlsth65Ueb 65+wBMsA3dmCxxekkTcZG4KjKcy1gcrjIhRfbfQGzN535qzwv1ZWNHS+Eij6zTb5/gKnoYrLdu0Y MhXgUCVaN41xf9rOMf+pocORDONyEVK5dl8y6MiK0thU9M3AMe72eesO2NOow2BzTZCWtePeFjH2 tOQ+7nZ2f4SYq4dfRGQgP7NnaYuhgL7mfDQmlbtX4FqPCk8XbU+E6JXWRZwxd8tzN8wKJKJTtXpP bVWaDBrKoaevCYYBmx9gb+Jt4KQnQpc0EYjcaI6K43zFI1waP4nd9Rq/+eJn9T/6Kiz+URaaHkIN YxHdN3XbNOl0b4C0fd7Rn8ntg4ys07dvFAwj8aNRk33O8948PPdl/Ntl2tn/x6E3nKxlYhlht7Fs 2EuBMeGz/X4g5+x92sW0L+rS0qDbuvJ7sOq5+1t6wesO1KCPdu7bCMaxeYRNrx0wllaPt17xl0II zRIePcw0oS6y99AjSxVPe1fy1KIvklE7YAPnUXxgf4WiVnAaKoR102W6PPJ17537KNagpO2jgX0M a4Y6UfKy2FXdCrh8oITGtZB1UNVrzO4YqohIyP0/8PwHdAQvMGUwJAGxe4V6eep6+4WMvC1Rw83m 7Iw0+BcUIoMsBkK3GlKF32vuZZIFbOpw6Mf70JrO9KvGFNCnjXIZHJTfCz8vll/wYkLFrc4uAZ0y naSpp/VwJGy65zb1tw67sRpecpSjR4ghN19lHAgQRLr9IQscJG3yIChWXWs99Iuf2zw4haagBTGZ +MgT7ZRxlD3iyck6i6CWu9idCf6FBljprJMfvfc/+jMtIJg/e95j9ZrWkkmIIqafi+ulDGuATiDi Tk5pbyzFSEEFh72NciAgeAolJ2KJGYs0Rb7jjqE1qUu+DxjCMdCdM19LhVZmbqNkp/EemhlNNd+1 hSU0V9tGr49VvwXvD15/KJrFLFoms3tRmqbp0/0i9smlgC6KNm6fNGcFAuDXjlFSYs+IoEnb3YXj 8zOFEwMSOdSErCYGBECOHbYB2fR97tE2Lnzxv6ENrQIBldOd7fZAit+5dbYRMrdPBiFkACL02gRh swUfP2w3FfvwhczfYggYdoLNodgT5e8rR2NtaeswhEfntv41qrR98jqUFpezbHmg4YgkXICa6y8X FU1kcVYyxyBFJrhH69X+gY7MgNKbZWTxRgMMY+V6B3kO04yMkM4mlf9gPBmT3LWFraic30Drudw8 pun3iV1ZWqMZCSs8ViARf983IMBIWP4/t5YAjuE8FUCfA2Han/MwJXsQKUiFt3kzOoKg1T9qvfL3 cgjCs6nHEk5AbfXM/mHA1anrJKaNGhXrU1k77v3osUymf60hoCofjotO/SoBihlUtQStLfFvaxFU ELICnFZLFYFt3Q9UQI2PhfshqwehMpLFM7aTMrnIL92pn0UNf+Rkl5y30i6At1w0D5Xm76t1K3FO 1QVN3GcNBVnYYfu7gOJqOgKfoRywXQxaZ7/uG2ZFmk5g81OW0FGjgRvZvp0VQ6dEhheiCicpYA9X WCbhFS5Lde9N0tOSliQjcVGdsyCP0ABIYXFGAz4/aHomhNczCQvCPydLHkcTtBnSOKVWYvJtf5y1 I2qC17/bhsf3q45Dn1MXDPYi/WKIN/E5+61gWXSR2lUFrbf2CVUMUsbMl8QE3qRQ99ZwWKjNHp9P uZijX3FVp/XxzmqvOmQ+nOI90mYswxAW7RxpY092JrpWPblf9HVh9D+ujkPbTpg5BIaPtPCyr/IL xah4UUNXcyepDqrDj8/SkwNEMfVzi2HqUb4tzKW7+i9/0qxWLxI/h+K6cUKInO1lCBVuvHygR3wc xoknP5aJRoVfYYqrmMQ4Mp0MXDvYN4+5H6YyPxh/5NNlwFlfzjd7ABeTRx6byVDBaF5OKBOOJKFj pGAHVvbPF1/hzASM+ZsaYdJoTbW1sbM4PQ/B3DII4j+OmDvDrvUjZCbQI5oiMPa5EENj9NiJxTGc jNcTfACGltVfFZ1d87v+nPTwYEdbTNIW1qa5bRqM/1qnZWrh4iPWocv15Oz5oU8Bx6XMvW8Pu5+S IkaeKGqQE2lLo48F59U2mQPyZ6RTvT01ec7P02qb4mGrihKVH6fWGUHaSy3t56CiTra9bUm4AASt C8GusuCWmjyGKmsSeZky14QOPzH1991LqDuKEbJdP8vj6DHUFRokbTAk4CMm5rDpG8cSEXIZnhyF xpdsYJ6t+0EEnkWkie8CEnCU2HbpuTDl6fOvoSaw3sYI5BoVnIq0M57xYhKsueWrXqRNXhYTjjOS 8sjPSQ01d7lVV5ikbJswZh9mKozEzLkm2j8NaAk87/dHM6jRpNHMTQrCK6ME3t/wjZfV6b5gx+nx lA2LIgb+Sd6CRluMdO9kCF8/w6pseUsG2FmEV0/BdfufDdnFKEk1GWrYYNEPzAaUU/Iv33ytZeJx sXuWyB33wmcyH2d5lDORs6UOkdiVSAJkoCa8bFtQrKx2NHLEQNRrUQjClQ1pH1yskXU6fnOb1tph YexoxJqKflPaiUADGL9giCHszpW/FEcDCUIyHDkppgV6OWdQxW2eRK7o4FmmZX6+VnQeeepCnBL0 Y3kGmCTrzpQvwq6rJSJSCHybywTJsVuwGduIOyL9qeZvcKkBTX+pLWtFFl9IILS35Uq9DSSvUQgX OFhfVSF52dPGpj68EApl4fFtd94EswYjhrI6ljc4oqHM9Na1hiL7e/0avvKJ05IGsjqVCD3SjdK6 Ud6wsD1e04qgpPJ3AnznaT579VWGX6Bwf1wb+GmMMHZoiLE6/VbY+dO5h02CNytVn90A1YOfMmoo mipE9KAt+RvfQD0t6uynO/EnBCi7jWEMRJ0Owk+pYOHnmNrtYk0N0wDnrTFM4qeX3a/tQZohDaZq 6Q2JDrBi/rhnWaWddYppNHHFHcxv58KAdXtNO8Wi09o5V9FdrO549rPmVbtfiOhHq5crTatswvRy eh0brjG1HA9GGAXa9Mzn5lnf684mhbPQ5tKyYXfVVhmp0bfA7BGzdyXPWzTD3UIlkrpES3jXvugl RHH99q7xkA0MW2+1IzKQwjMR+0gWTP9uIcSa/k7nW4vVaZP4M1Efzg86BmSYH/GKBtROCygG+UiX 7qxO6zVBtX+l10Hwp8FfwlISI/F26+9SyNSLu5tj75AjfEsIA+0Cb/pMnUyOz3sU1CcQzez/8fYx rSdJn1qkIyslW4bnGToS/X7TjRmcy486Z67y8Vl39JRR53NT6WlmirJ+0kHK50arYdAZxbqXUe5m X0K4kysv4rNDAaDq6xCjn7I0trkpXxCcltbsMyf8MWSAnV2AUUkhot67icI++Ot9C2OludrdXGFm k6hus0jdhoaAB4UbgMpz2l8UTyRtQZCSjeNLdkpT/xGzIhmcglT2Kf2SfILPIpjmw/HCTUQXo+aQ 5vAA4P5fynEZMaGhRoyhaEx7P+vxExpBABS59HDSZLJZqq8geUp9ZKYP/huTrumELB8C703Y4N0/ o0LLjcDlnTiHpTM1QAZi3CL8Duv2MkYfpmcFyXdIb5F8g416KWnEQ3Nt3pOJesUtoJdgex7wYwiG fNkEkDN5WlCozBEr3V6Tt5l7D3RXQTr8ThnZGNVzjFrn62qsQfXUXwspLBCDpY04 `protect end_protected
gpl-2.0
d7301a698f41371cc9427a6d84071ccc
0.944812
1.842345
false
false
false
false
skordal/potato
src/pp_register_file.vhd
1
1,363
-- The Potato Processor - A simple processor for FPGAs -- (c) Kristian Klomsten Skordal 2014 <[email protected]> -- Report bugs and issues on <https://github.com/skordal/potato/issues> library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.pp_types.all; use work.pp_utilities.all; --! @brief 32-bit RISC-V register file. entity pp_register_file is port( clk : in std_logic; -- Read port 1: rs1_addr : in register_address; rs1_data : out std_logic_vector(31 downto 0); -- Read port 2: rs2_addr : in register_address; rs2_data : out std_logic_vector(31 downto 0); -- Write port: rd_addr : in register_address; rd_data : in std_logic_vector(31 downto 0); rd_write : in std_logic ); end entity pp_register_file; architecture behaviour of pp_register_file is --! Register array type. type regfile_array is array(0 to 31) of std_logic_vector(31 downto 0); begin regfile: process(clk) variable registers : regfile_array := (others => (others => '0')); begin if rising_edge(clk) then if rd_write = '1' and rd_addr /= b"00000" then registers(to_integer(unsigned(rd_addr))) := rd_data; end if; rs1_data <= registers(to_integer(unsigned(rs1_addr))); rs2_data <= registers(to_integer(unsigned(rs2_addr))); end if; end process regfile; end architecture behaviour;
bsd-3-clause
b663972e6427423d0e6894d00fb0d27f
0.688921
2.975983
false
false
false
false
YingcaiDong/Shunting-Model-Based-Path-Planning-Algorithm-Accelerator-Using-FPGA
System Design Source FIle/ipshared/xilinx.com/xbip_pipe_v3_0/06034905/hdl/xbip_pipe_v3_0.vhd
2
7,774
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block hIBbF+kq3oVaBXwt2/thZmZVCkqEUsrobKuL7n/Is+v4/IAZD+ZxnU18s3JR+GBgEFSO05ZDR/P/ xVqnh5V4xw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block mFrbrNN2Iy2aMgLbmjyLagVGv1BRA/A/dAXtgEZvBXH1JsdW0tbqE7CcgD/B2t/bWf/vphzdyEfE Qtz1a+CCHs4ZBStfoFAa0Kk2/N4AElHqndo2m2qkwB10dpRxHYBYIM8TsnGzjHv+Gc3MRRv5nDTU c0fluXo+oor8ssR25QE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block DijfY6YIFcLzBTBsFWeeH4jYfY8NXvmEK/hZQ9aT0hDSd3Je/Yj21LmilPHhv70y1trouG4tZlkQ XpgM4Go6KW0FBpBt0/S2a5XkCoVlqKCnoc//o4WuX1mp91+H+1sSGdHdOtSMW4j5N7yi8fdf3Mma iaJg/1V/iPoZ7fsaYSHM1whghXW3BgAg+uVNwDgKp0Xvr0+v0TXEnhMcvfJGCk7ZxZWk2u7a5iEO OrSkH1tRBHn9Qs31Uoph/IE2TzjfOAWzb9vhqVCkYjlawx+iuHQsXxJdeZzjNlXERo16SR5o2JLI GgcU9rsEUp+Hcxd2JjfSbszpWRHEU/3MaVNDkA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block XNCwdX+l36YznBeQn7kiJlUVYGKrBB2zjt1UpGWFaIdIOQWhZL6zxzyLVbRsejTTuYPFOE64n48E kMQtjnzPGZyr2W3R3SGB6KeHHUx9pNxyeNUyrOXfxd3APJdAnl9DigbPc/K+n0zkIbqWEydLVfIg khTPlA/ncexRV1pXoq4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block P4vVw8BLyUspYO28urZnMeeAl1C8jySXctEPFVvNqtg6Ihava9NSbOfscJ+47pmoPKhiyUWcqNJe xZD0jdlH7PDpmGu9W5rxevvgidGt7SMfg0iUPqEmLLxZG6dlkSkZQKHeBdCxVW1l5LVS2iogdVV9 APcLIH1Hv8ySZWwT7HFfcdud0XUs4SZwhceaZ8TiRT3yp086kneb0uW/2QIlfzI1uwVMn4U5QPIP rt59lNQNLmXYEhGyXeiqJ4sjMbP+3IG4yIeOFeDMhWUf6qgDysc+rBTvTouOsEPHvQarXNA7RtYJ afWxcLBokJDKrgHjACFrQmNY1MmJtQUikTUFnA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4016) `protect data_block Ck/D874wGfceJTuclchiTDkYHSSXc5R0Rs1EGRJKyhDggJAlIvbLKmn5Fiz2sc2oz1/LzVGxjfy+ Q3/L3ehvjzNU50x/pDC9lwmJm1SuLoqUoPWYOWduNxhK2RD0Yy3UEgg0rsRbREGDepID3tukWBlm SCxILJ58JgQ33uk4i2VsYgl0OM/o71hhXl+0Kjstnap5/vDlTKIKLZLp3FOMqLRhQwe8mBtHotM4 w29x8q/X3JAN8m2nNdYmr5RZalCdWj3WK7ggCHDpqSoYdsDF+OHTiYFTqGNmaLrRtOcKH4xBOElZ MAZF0X15Gsz/S6tCzViY55G/BQpiIBpOh/E7ybg47JHxVjWtuev6Fj9MxX4MME01joHPtKyxzxo4 deJPSDXEW/C+t+/Z1kk8pqtbcHPDNF88i8bwauhXlYW5qHEHySp69o65MYZU/qqNZHMJXTtrPFaF Jp/bWW1JkPlPrqpg5tiQETya+V18Q0ClfLkKUeQg01jVb/gPzC/LTUXofagrnQzzyVvmKSwHSjl2 Q/iBNemnqr2l6HLCr9uyRuzaAk0AgOZOnhbnxeDEvq2v6EqMWiB2Y3MogEVR+4Wyi15ktVJdHrAD NN9pWApyy6rViTENQuEso9mm8ppdh/TMokDZncXpD+erDTZXCgc+bXYPs4LK472PoRzVrmOovMCU 18sVG2D5MNJuKlLWEBXneSqlflGgrav6XMbFdTd8YlFQtqYInCygKOMycAimek0cE3CulAN/uRoh 7nDQMkWtFLmhJ+FtBnDGQlH9uUpUqQxumPzGSNeGDGefFTeOS7odpyvszRT8bcKUZ53WapbDEyL7 P6J3U7BKmLqY2Y/Q7JCiiD2wQ0SS5ZoRE5a4mO9cl2RwmrrMZX2PvEpVHduJey9UImY0x285D8BN Ju5SLqXxzC/IP7gcdEpZtpscl/dkBm1Z51skokd9yF9JTzKu9Y9jt/ewLQ0e3nrFqTXMobL4ZDsI XOiSJAYW6qVkX44EanKSVvloqveBUTYoojbQjoe8QDVnfbHPddQb4zEP3+kqSlFRfMZA5V3Gp6DX FheyCkN7TQRqnrL+n9WN3od0B2W0xzcl+QqKKvd0gAdfNsYIULryfRRAzYWCW1b/2Rzxo8+JzTqq k80s212NFbX5SVy5iJtu4lY6FE8Eam480BOrrjPgkcVY3HON8zco1fvr1Fjk4/newpHuf/xjt0E9 +fgbtW6E4PogK2g7aVopQhqkReEbfh3Ab5n3fHXvJEBdj13QFHYP9cl70Lya4NXpYEN4/sTiub9N 3qCbN2pNCrGH3heJO8Tf9iHZa/XrAaK8RYrqcvCHNNGthqT87N3jQjCIiy6QiFQjqmPo9iSCxDTW G/GGTsY+UFu0qxM6wJ3JhICBeLC+wJpxl9y+R24SyGFXam08pJpTiLWTpukBTGn3aznSlNs3p0yv WHa5iyAMcQZZE2DYc2CCp1KY3iD88oMw9HUiUpkqiNP7PAcB+hLXvZUqYY2X9P89V/8yihKuPyTA qE4BFH156DP2F4j4IsDclbi05eSaV2fO9U6NWxFycCohD6/f2udE/rvSMxZ+0Q8AENa4fNzL2NEJ KGAERceh4a/ZUMJC5HqIjXQuku5ew+XWahGB8QNc+JSlgqjtBTiCxhpjs6T7uahhCurUosXvWjia Dp7HqsAJkYUU3RW2npAiD9PSCPyB63d1j7BAQ0T6LsD9ltWBp9TyfjoKQbVy+1zQ07czfQcOg+dl BbcksOImqsevW/Ir8+YGUqY20Qq2lMKiC70lbVqCubksJHzBK+DTPkjtRK1MfhymmxgJjrAajoVY UPuqZQFTg5TNIMvvjTtRiVwAgah5oeO/7TUrFmRUidOy+xtlxNAz0GrsBToiSYBUMeOXg+3OzKUq uHFrlht8aa1cKqRr1wthY+CUrtM9MN8oZi2YdlIZYArun5rPLSfnbXok8Kt1W+fWgkONwuyHmg/C oGuTwjxTnuv0yDf/BUrsqfuFauf/hcGC9QFKFyrjvfQ7e6DHM3eYRdPpGkAX/Nf6jF7rxIZMxM47 /y0wk7nu3gdhuqP4njmbnyw5U4379rhnBI4salS+KID2dY7k3CKOrSZnNbMGj6AAmiDrcyt9oEk8 MISHw8eaIz0hCmDnDdv2jlFrIur9VYZAtWlg2Ykz98r/fxnUjYzWWvH2+tTPVvikHQniKUxLwhLB g4OC6Ps4S9w9JDUgV4dMGraKRaEYiD/bS3Ea2pkzTqIya5HXJGlOqyaAuZjpH+UUxUK+uQDahTPg Da/LjcSH0XJbyyuvxoxvwIKvXU/JOwRYKQyOSr8toT/JVNOWNv6EoNVaK+RyedO03r2pbAr1sqs3 1WIceQaVD4OJq2bADdqv0uZpUDz8Gy/mSH9Yum8smbdxQFyTu37iblB+UGyKB3W7pKU6eQxDJE16 c8p5fPd5mmWAZ61/wfkIos4QhkO4ACkpd6BcML/QuqU8MFBTf4g2KKkfo/hv9IUOV6zSqNThN0tp /uE04WchUYBv8v64c44aUymgKyxHYQVmGLPpcfUh1ja9B+zcThWh4viUnTyg4XNpV2nbMVMX1Ra0 iOXtlfGVK4JFB+PUOcULMJAhncLFHEh0pM5QffBeh/SK8b4eNNvC2y19zoersFId6ufU1JwO3k7x ZAjG26+ohoi0k8xYhhEheg/M6exCdxqMK3Ctgl9kZVxLtgpzCRSok9LO1KlTceVfdkQMUNdcrfcX 1oVWH4ZqVJaU4AveOvQt9oQULC4CHGR5ts5pQwBfUmLCEUW7y+2Pua1ta+q13IK6wDhAfYRihdVl bFN8AaQIPdQGxXJSQ1WGEesduV0UXwg33r5UiZncOkt3GA2HaY5OHOsiPVTUZI6BxF38wz8oYUNx Z5ETbX+dFn0CSzJJQjuoKLlis4NrFKhdjkQelDY3rheWhRxQqQqPmWJE2R99EEOqm6tw8KdsjIku 24MLQpZ7o8N9hTHsPsvGlyK3ZA3icgJjje0ePzLcrOjQCdi02iQ30Ji85C+EoYOi55mNL29DdMLF PN0d2ilY+SZSi0rNb3jlTdVpPbFdspZ7uhZPjeYrhw2al5rcDtNLi7PQVk9VFBwzR8+Qv/vVnsq6 mmlZUu3Req7s9O/klkzh6HkXtDkkN5Q0xf+w6P/dBkHQHDWJsmvLnXnPomxvcx7z2vyxMXf6161z cxlxEGh9RP+NiP5b+1vuJUzM+oNCGA4UIeRNX1Q091ocR/POMPt/EuGBKvM+hajMtqWxK/CMMDTY iMMz4Zw89ESscN9TdqKDWc87l8mS+bzXigm740/WSSvUGxw7EgkKyzPSthisj2LbG+qZ+QcwBG5n giSiWn22pzQ8eyvgqWa5vHtY8gbhCq/KsBmQVMuB3n7R9S2MgBGQsW6EhhmRlE/KFpzmATg5bHoh fNzJ0Pmhx36xqSTZyjO+GWnRKGQg7E6VhgxBtZxAp0ysR/1JVYWju/SfnMsfMu0lNPPdK2V4O1bQ /yud/cOnv5BWiE7fKKcbmPniVJCDOPeiThxQSYC3UroO3PjWKIeka0+dIiBAuJwebp8md53c3UgO +3NUrEHkr47/4O56SowBbJprCKpuBhCsFiJXq+kDk3nL4VsvVAH+za2OQIFM7kXIjJgUrgZWjqZY bTUfE0MqIwOrWkx8R+1fZ5RcdwRFtIjVM2H8Qps3qHUIsZHdzQ0qdvpHSax0bhRcOMqguDyqGl6b MghMLfrjdpCRUZqVJvTrS+0hAE1tY1VdKWikYQqjOt/XjqZL/lbjoKzq1oeDuiLrZ72G4XPTcZBC ev1Jntim2ZdIvokpuncv/hrR51oQHohb7qtiaqW4TFmUTWKZ/GuCpGwaUfLS7gD/XUXBkJEAdU7X zP/Mp74n32EEAVrPuSA6Yhs0oRGBYspBynx/GLu7K4mAQbHnbpPf7Wyl07hFG5AUEkVTF2QaQim0 q1ZE2lYCF/mjAmEWPs/ATGsfXSrBJVJ2P5RvKIm+GTuJuhRcAYsCitNO3/zWMlodq7Ed+4iGwXqd YxHSqOSJixet5LwqJVOY63Q/I5xrgXcyZd/2flnw70P8nOjRijSSnSsZzGVctqI0FoB1EKZOP5yc jQpXG+i41VrYBxOciNoHrC/5lKKoBFJOa6QD/OwUoApFFlrngHA800Zz588iGN+Eo0F15n0Ozr+S PFMVHlh6bYHJ8SbCsSIpfLf6+4Xbiqk1daBdk/hhspbnWYMsWVA3imihu1tdDj02N2k6lcWMZHNG 04PGSXrKARAvksy2/gGCQ+US3okU+9KugxBPIfMPkjetUqGRA4scPgb8BWPNmMXray5CK5KNxFlM 1QgWBsr+kYi8IMg3i5t9vPMLrE0NmXJE7mRlhCC69ezzR84Raq50FWQNccwp16m2tCEM5UoW1I8B d8pOdMo62DUYPndfFENvXfZundvws4nUZ/LTXsfnFc1lVi53d3cBMlhZAQ8i16SzFr1JATGdSr0a aBFLhiDr4HDQ+WmgZ92hcA/EXz7XXXGwKF/+JdXT0rCWWvrhrUCwx8CCSi2Xa/SoFE29Dji2RZP/ lVfbtXZ1CFNaH69J+Je2F1RqTaQMeJgEwsIIeHY3U4+R3Q7KurC03Cz+ipJw1GAie/oXraGRpPPQ p9zDpW726C3MKls9MGh8L+W9Ceo0OaKakJ9/3fd1xyInsI3cH48cnf2utcyepyJpy20YY1bnMhAu 2e9QYIORBkYyqUOlLOgUH7TzkpigWQ4iRrF6+ZU7Ij3/OdQBsz++WP+xyOO7JC9rMwA742SiDK0h cLbVD3xTaQFcQVQ76NsMnxZVKzkUVx25yteswpfO++xhSj4Ai8/lL+MwnzfFGJ+2UclNM+Pdx40J rUclpSKadOy6MF5jiAdSzmX0yx7hTKjQL1oXtQFLT0oAxGM8L3HAB3y8tPl+IPKnoMLNkHWOucf5 zj4vHRwTGadz5b0MDdSfC/5ADUL7IILEYJxaD0mdjvqU6500zdxkoZJmJRUvGXUP/KBowGoxu2AV tuWvYEDWMHmx3XvEG5At6FGZLXZJXV5uQY/fzeOo49Nifn/seGjaCQ5vTpsh8RMyVwkB7eI4u3yI dgWjoJe2PIfFVAWKaCH1DQKd9iv9BAAx9k9pY+HEE6zWelP7IYULgkORrLoI9yLDmBii92/5361X vh1PQ/CpIdabR0LlibDrdpGvcceOgsJZ/32u/IZn/WA8+qPNsMyDOIs4kxfhnV4I8H5WgTXvuu15 Y9OtxIjRx9n2toDxRBDvGDh9HhLbCrOSYVSR2byIu+pn624+EzhxpEVwF6WbBTe11teAen6ADXmR l/hIwowq2NXkah4MUWE/F5UXZyvSZQgPz14= `protect end_protected
mit
37db4e794eb6217cb1b2f907c950de8a
0.911757
1.937204
false
false
false
false
r2t2sdr/r2t2
fpga/modules/r2t2/adc/adc_data_channel.vhdl
1
9,240
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.NUMERIC_STD.all; LIBRARY unisim; USE unisim.vcomponents.all; ENTITY adc_data_channel IS PORT( LVDS_ADC_D0_N : IN std_logic; LVDS_ADC_D0_P : IN std_logic; LVDS_ADC_D1_N : IN std_logic; LVDS_ADC_D1_P : IN std_logic; adc_clk : IN std_logic; adc_clk_div : IN std_logic; bitslip : IN std_logic; reset : IN std_logic; adc_data : OUT std_logic_vector (15 DOWNTO 0) ); END ENTITY adc_data_channel ; ARCHITECTURE struct OF adc_data_channel IS -- Internal signal declarations SIGNAL D0_delay_const_0 : std_logic_vector(4 DOWNTO 0); SIGNAL adc_clk_n : std_logic; SIGNAL adc_d0 : std_logic; SIGNAL adc_d0_dly : std_logic; SIGNAL adc_d1 : std_logic; SIGNAL adc_d1_dly : std_logic; SIGNAL d0_n_serdes_low : std_logic; SIGNAL d0_p_serdes_low : std_logic; SIGNAL d0_par : std_logic_vector(7 DOWNTO 0); SIGNAL d1_par : std_logic_vector(7 DOWNTO 0); COMPONENT ISERDESE2 GENERIC ( DATA_RATE : string; DATA_WIDTH : integer; DYN_CLKDIV_INV_EN : string; DYN_CLK_INV_EN : string; INIT_Q1 : bit; INIT_Q2 : bit; INIT_Q3 : bit; INIT_Q4 : bit; INTERFACE_TYPE : string; IOBDELAY : string; NUM_CE : integer; OFB_USED : string; SERDES_MODE : string; SRVAL_Q1 : bit; SRVAL_Q2 : bit; SRVAL_Q3 : bit; SRVAL_Q4 : bit ); PORT ( BITSLIP : IN std_ulogic; CE1 : IN std_ulogic; CE2 : IN std_ulogic; CLK : IN std_ulogic; CLKB : IN std_ulogic; CLKDIV : IN std_ulogic; CLKDIVP : IN std_ulogic; D : IN std_ulogic; DDLY : IN std_ulogic; DYNCLKDIVSEL : IN std_ulogic; DYNCLKSEL : IN std_ulogic; OCLK : IN std_ulogic; OCLKB : IN std_ulogic; OFB : IN std_ulogic; RST : IN std_ulogic; SHIFTIN1 : IN std_ulogic; SHIFTIN2 : IN std_ulogic; O : OUT std_ulogic; Q1 : OUT std_ulogic; Q2 : OUT std_ulogic; Q3 : OUT std_ulogic; Q4 : OUT std_ulogic; Q5 : OUT std_ulogic; Q6 : OUT std_ulogic; Q7 : OUT std_ulogic; Q8 : OUT std_ulogic; SHIFTOUT1 : OUT std_ulogic; SHIFTOUT2 : OUT std_ulogic ); END COMPONENT ISERDESE2; COMPONENT IBUFDS_LVDS_25 PORT ( I : IN std_ulogic; IB : IN std_ulogic; O : OUT std_ulogic ); END COMPONENT IBUFDS_LVDS_25; COMPONENT IDELAYE2 GENERIC ( CINVCTRL_SEL : string := "FALSE"; DELAY_SRC : string := "IDATAIN"; HIGH_PERFORMANCE_MODE : string := "FALSE"; IDELAY_TYPE : string := "FIXED"; IDELAY_VALUE : integer := 0; PIPE_SEL : string := "FALSE"; REFCLK_FREQUENCY : real := 200.0; SIGNAL_PATTERN : string := "DATA" ); PORT ( C : IN std_ulogic; CE : IN std_ulogic; CINVCTRL : IN std_ulogic; CNTVALUEIN : IN std_logic_vector (4 DOWNTO 0); DATAIN : IN std_ulogic; IDATAIN : IN std_ulogic; INC : IN std_ulogic; LD : IN std_ulogic; LDPIPEEN : IN std_ulogic; REGRST : IN std_ulogic; CNTVALUEOUT : OUT std_logic_vector (4 DOWNTO 0); DATAOUT : OUT std_ulogic ); END COMPONENT IDELAYE2; BEGIN adc_data <= d1_par & d0_par; d0_n_serdes_low <= '0'; d0_p_serdes_low <= '0'; D0_delay_const_0 <= (OTHERS => '0'); D0_delay_const_0 <= (OTHERS => '0'); adc_clk_n <= NOT(adc_clk); ISERDESE2_d0 : ISERDESE2 GENERIC MAP ( DATA_RATE => "DDR", DATA_WIDTH => 8, DYN_CLKDIV_INV_EN => "FALSE", DYN_CLK_INV_EN => "FALSE", INIT_Q1 => '0', INIT_Q2 => '0', INIT_Q3 => '0', INIT_Q4 => '0', INTERFACE_TYPE => "NETWORKING", IOBDELAY => "BOTH", NUM_CE => 2, OFB_USED => "FALSE", SERDES_MODE => "MASTER", SRVAL_Q1 => '0', SRVAL_Q2 => '0', SRVAL_Q3 => '0', SRVAL_Q4 => '0' ) PORT MAP ( O => OPEN, Q1 => d0_par(0), Q2 => d0_par(1), Q3 => d0_par(2), Q4 => d0_par(3), Q5 => d0_par(4), Q6 => d0_par(5), Q7 => d0_par(6), Q8 => d0_par(7), SHIFTOUT1 => OPEN, SHIFTOUT2 => OPEN, BITSLIP => bitslip, CE1 => '1', CE2 => '1', CLK => adc_clk, CLKB => adc_clk_n, CLKDIV => adc_clk_div, CLKDIVP => d0_p_serdes_low, D => adc_d0, DDLY => adc_d0_dly, DYNCLKDIVSEL => d0_p_serdes_low, DYNCLKSEL => d0_p_serdes_low, OCLK => d0_p_serdes_low, OCLKB => d0_p_serdes_low, OFB => d0_p_serdes_low, RST => not reset, SHIFTIN1 => d0_p_serdes_low, SHIFTIN2 => d0_p_serdes_low ); ISERDESE2_d1 : ISERDESE2 GENERIC MAP ( DATA_RATE => "DDR", DATA_WIDTH => 8, DYN_CLKDIV_INV_EN => "FALSE", DYN_CLK_INV_EN => "FALSE", INIT_Q1 => '0', INIT_Q2 => '0', INIT_Q3 => '0', INIT_Q4 => '0', INTERFACE_TYPE => "NETWORKING", IOBDELAY => "BOTH", NUM_CE => 2, OFB_USED => "FALSE", SERDES_MODE => "MASTER", SRVAL_Q1 => '0', SRVAL_Q2 => '0', SRVAL_Q3 => '0', SRVAL_Q4 => '0' ) PORT MAP ( O => OPEN, Q1 => d1_par(0), Q2 => d1_par(1), Q3 => d1_par(2), Q4 => d1_par(3), Q5 => d1_par(4), Q6 => d1_par(5), Q7 => d1_par(6), Q8 => d1_par(7), SHIFTOUT1 => OPEN, SHIFTOUT2 => OPEN, BITSLIP => bitslip, CE1 => '1', CE2 => '1', CLK => adc_clk, CLKB => adc_clk_n, CLKDIV => adc_clk_div, CLKDIVP => d0_n_serdes_low, D => adc_d1, DDLY => adc_d1_dly, DYNCLKDIVSEL => d0_n_serdes_low, DYNCLKSEL => d0_n_serdes_low, OCLK => d0_n_serdes_low, OCLKB => d0_n_serdes_low, OFB => d0_n_serdes_low, RST => not reset, SHIFTIN1 => d0_n_serdes_low, SHIFTIN2 => d0_n_serdes_low ); D0_buf : IBUFDS_LVDS_25 PORT MAP ( O => adc_d0, I => LVDS_ADC_D0_P, IB => LVDS_ADC_D0_N ); D1_buf : IBUFDS_LVDS_25 PORT MAP ( O => adc_d1, I => LVDS_ADC_D1_P, IB => LVDS_ADC_D1_N ); D0_delay : IDELAYE2 GENERIC MAP ( CINVCTRL_SEL => "FALSE", DELAY_SRC => "IDATAIN", HIGH_PERFORMANCE_MODE => "FALSE", IDELAY_TYPE => "VARIABLE", IDELAY_VALUE => 0, PIPE_SEL => "FALSE", REFCLK_FREQUENCY => 200.0, SIGNAL_PATTERN => "CLOCK" ) PORT MAP ( CNTVALUEOUT => OPEN, DATAOUT => adc_d0_dly, C => adc_clk_div, CE => D0_delay_const_0(0), CINVCTRL => D0_delay_const_0(0), CNTVALUEIN => D0_delay_const_0, DATAIN => D0_delay_const_0(0), IDATAIN => adc_d0, INC => D0_delay_const_0(0), LD => D0_delay_const_0(0), LDPIPEEN => D0_delay_const_0(0), REGRST => D0_delay_const_0(0) ); D1_delay : IDELAYE2 GENERIC MAP ( CINVCTRL_SEL => "FALSE", DELAY_SRC => "IDATAIN", HIGH_PERFORMANCE_MODE => "FALSE", IDELAY_TYPE => "VARIABLE", IDELAY_VALUE => 0, PIPE_SEL => "FALSE", REFCLK_FREQUENCY => 200.0, SIGNAL_PATTERN => "CLOCK" ) PORT MAP ( CNTVALUEOUT => OPEN, DATAOUT => adc_d1_dly, C => adc_clk_div, CE => D0_delay_const_0(0), CINVCTRL => D0_delay_const_0(0), CNTVALUEIN => D0_delay_const_0, DATAIN => D0_delay_const_0(0), IDATAIN => adc_d1, INC => D0_delay_const_0(0), LD => D0_delay_const_0(0), LDPIPEEN => D0_delay_const_0(0), REGRST => D0_delay_const_0(0) ); END ARCHITECTURE struct;
gpl-3.0
5b0870d06a6279e243188f13ebd9da0b
0.431277
3.169811
false
false
false
false
keith-epidev/VHDL-lib
src/components/audio_spi_drv/audio_spi_drv.vhd
1
2,719
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.ALL; use work.VHDL_lib.all; entity audio_spi_drv is port( clk: in std_logic; data: out std_logic_vector(31 downto 0); ready: in std_logic; valid: out std_logic ); end audio_spi_drv; architecture Behavioral of audio_spi_drv is type states is (startup, idle, deliver, accepted, stall, complete); --type of state machine. signal state : states; signal payload : std_logic_vector(31 downto 0); signal delay : std_logic_vector(log2(1000) downto 0) := (others=>'0'); signal index: integer := 0; signal cclkb: std_logic; type instruction_list is array (0 to 20) of std_logic_vector(31 downto 0); constant instructions : instruction_list := ( X"00400007", X"00400007", X"00400007", X"00400007", X"00401500", X"00401601", X"00401700", X"00401800", X"00401C21", X"00401E41", X"00402003", X"00402109", X"004025FE", X"004026FE", X"00402903", X"00402A03", X"00402B00", X"00402C00", X"0040F201", X"0040F97F", X"0040FA01"); begin data <= payload; process(clk) begin if(clk'event and clk = '0')then case state is when startup=> delay <= delay + 1; if(delay > 1000)then state <= idle; end if; when idle=> delay <= (others=>'0'); valid <= '0'; if(ready = '1')then state <= deliver; end if; when deliver=> payload <= instructions(index); valid <= '1'; index <= index + 1; state <= accepted; when accepted=> if(ready = '0')then state <= stall; valid <= '0'; end if; when stall=> if(ready = '1')then delay <= delay + 1; if(delay > 20)then if( index <= 20 )then state <= idle; else state <= complete; end if; end if; end if; when complete=> valid <= '0'; end case; end if; end process; end Behavioral;
gpl-2.0
8ae84f95f89712126edf114c1b36509d
0.427363
4.235202
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_to_flt_conv/flt_to_flt_conv_exp.vhd
3
28,610
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Un7ltTYolB8Jdpc1piMiqB9fjB21NuT52z4yiw/yh43AqN2BcvpsokG2fSNL91M563lXE9uzCsAq I7BjQLEnyg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block XWwowkgAz73aMkoOXpWBzHVDot+mz0DG7FuErhIZAph/JWP21ARe/7Kvhm8tjiC+s/wgMLuC+Sy2 8Q0oMU++rCzzKrJrdDzQv0h8qQXeiQ5msl6vFaKOW+GynXijiu+mW8Cp+n6PiVjcjDBntx/HmbVE 9qBTwUsq2aGG6IMNf+8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block j6vNxB4JkqdKS8RrcDH1x4abPaQRb09AemthIR9Lygv2sWLiXpks+tPlgx5gkdGwNdstflq1ohP0 /wXT7qR7aeUBp50eJUaghrlho77TBpOO8f7SH9iX76VHkW3Rnp186gXE7+nP5ZOarzPIDVyw+RPG S8LN354iZeSaBMc/Ns1s0GI0Die5KmIqIPPj6CorfrPr0+uO5VJnjEZt10iyRGzeVtTnBIY3cVos LAqdSmcp6XEEE3n8TujPRAiCcIk/FQGw0w5Pr7/6VKnhJpSYeiabA8RxKvSMolMi5sziA5AwyoTi dlgipu/7lfuYrxy167bGNjqLQlt5SxYFF0IeuA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block wiu5GUPsCm86ya6CgVqvvD/1rNqe8ObDlN6xn+25ucL5qYqiRTYWFgABnLsQswJqyS3xR9fTQA26 MeKMPHTF1R6iGJUpAFU/rI/SHumIND1goGmAOanmgTpHZ1Fs5+9/Ele5yewHd57wgC136ucEOyJL fOY4CBRX69VqCXJ+/Wk= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block niiSrzUUQn6rnOgRNOUFnKgRXi2qhBj2P3FfeRenr+sOg4CBPDkVVsuWYDRKClfA9o8XuG5jI900 uWWP+d9QEzt1sJeMW7PDqi+3Sxu/6P+sBsaHylQcBHMeAGwWOjdGjQHqOPtYzU+hsdhyTh9KNjSZ SSeZ+o7p9Kw8ZDomeRFR+T6wMZLoXiCTBiPCdzha7KA8Cz2P/15ger+Ssu0L0BNYjeFzWZVTYdfP 7iY/AdoE73Sd4/fys278OJTbRmqEQqWwHIgUW2YHzEN9GuUT96yfBT7STFmCLdZem6oTO+uex3mv nmXvuG9TlegDWW9BAj8xx+Qml+MhALambjNsUA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 19440) `protect data_block KuoGa1AvyDt57v9cR0rcl0OIYxpaUvNFaJeSbTcYhCGQbaPrR2nrxJhSusolYT5BMuFciqDEhfg6 72PsfNNuw0yih11sPo92gR8+hPs64i5Myaxj2XEYWHOIqzDtgxmBiyBDGgiOY6oKYe6qIoH55/dI DBpoRfFBQnNFLCguVaC/QDmGIknWSScCh/tChLrpdomIibALJnDXzZYfoBO/WNg8bzrwHC33iJKX WH8GbKjGiXdNKwf7mzrh43a2WZbD8K8x86UEPuyCVTdTeghKUlKiGwXQyGa2CHvHGW/wRAxcBsXC kA+p4ZRymYkBZ9SyHx08req0ZhDbPsgxw4OY0U2amLem+E0etRTX4t+njd8ojDC3GoGW5qB/eBmn k9U4fVfKxt8Dv9V/ZTY1NYx2n1CjayWUwyeM6LCBvM3i+M8/7ASQ4nRlngd89R42pXM7xxXvduFJ +Wv2+QZ1f4cdaZexKK5VYSaEfm6Cayu7yEtjLpYx90J8ahDpLyPRfSqX0dkH0lFGoZBz30uuFfSl P5pHm81mgOr4lZxFxpu943YUB8Nyx/UAnU7ez2nbLAP09HJxyHJXBZcK+vsSjCRwIqnsvmPZbCvi bfD9wTLO4Qo8r2/8CybdqQzY9puWMuKc7SoBwrVrkrfzEM2banEyafuGyZVAyvj8zTxYyPiKxSGF RxzbH2/LBV/AmKF2ykxxJK/bXTE3fn2TJ3ceDV+55/TmCfebVVKHUku5unKf7ClWWWxoCrYQLk7T 26cBqE9Ioc2aiwXB416ilOo6+uZj+uXERYTitVUjMNRlAvtq09fNPAbmAtAFvohZxyzWwUYh21xZ EpQ5LE/2fzYHElESPJ0QNHE7sLI9gTKgABmmjpX9+eTHvU9lJ8uv8E1WC0pVmjASq/6fN15mhmkB PxN1qJO4Uv7pDFgcN/RamcLlgicsILlKBUvocPmEFnyt+WtHbB7cUDWwErbEaJMb5j8KVxuTSZNR C4sYs7r9td+y07pvAmmlk5+kZMyUeYD7UryOFHNMZYm6REILcUP39IzmQGWXx29f87IbeGsdKAJq ML8I7Zvd/oyFavwvLuQRSSp0GZJgiPGCYDm3Uk1OTt8QPF0DSeQ4hzjK09WjLNpxPuH5axCjm/R0 TMMF21R4AL0ZLV22dR3I5ucSC2Z27OPwytW2hzpC4ntFS331P04a1YbTSf4Xybfjbgys4yMl8X4K uQ9mF5rHn0z0BJB7IiyeWk7UNmQnm4UVp2U9g9aZFZVq0J74Z8VBGGzEMqV2+oQN0jEV9xQUFKob CH1jTU3rZ+80Yax4fVwAAk3NjeBnVjfv3mQtedk7qmyue+uJsTb2x6pgLzyp4k7TVpfKDgDo9Q6a DtS/NZEUQl4J48WKXVgcH8heQZpZCa6KVB1goq0zXNRCBVNvSaUm8xTfp5suStrqjeoU01eeVvsb dXIR0J1FcmvjMd99yVSqQgacFEmCfk4lehFpX7uRsaktTNKkNrX03/eAJ0zyI8l6bjqQgWJjc/5Y JCuEEowY7JI6gv8gaWsQRAuQfsBdi7lLcECdJgzxmOTrVeb0ipg1VJrX34QzoK1W571eaMDI4BQV 9HHCNG15u2zBvr2ejqaxbI4jQ3aBkvhfPsFVwpUTy/gfvQMiEtDyzk0zcTnOE0/Gcn4sctkwxrPt yIwtTX2uU4gcm1zaQboxeLLHoJnW8ExId1QCv+R/rZ03Ddgxs+9LTaN97WyZQSt1YXZyweRiHF8M o7NYdpjuLNgixuMShP/jDldyXf0sJz4G/5rYVEQDstfBP5V6OPg/hGmJzL9U2vWIA5UtCRfWqY+E jqDbbLy8lcWJpz+Ex3hp0yUfq4rrAn8xZo6b9+2qFCOH+jnKSrivSr98aHWCrxKuEMTuCylWAkzn QzRLMMFEc1N+5xaH9XnIlCMXPfuoT2mhwm+J4AgDTPEsvHXNl4EESpgrBHwa+ajoIszXd1Xp192H d15garBSwsmV5pkFW5N8oOfagCHXDn6qqm85tyyyzXCe6tB3lui6sOfrcCxRZNMlc2LCkzwYtoi7 t8bfolZBLYy277jIEyusbzoHLuk+IQKBK/qnXEtr4K0isIV8eY3HwGVqT/ZsnQKg1PO5uVM+I8AN xq6VtFjXfZqQ5LMbxSnaA3G4ZRcpw3tZHU37o8maOPcb/lXAmum6MpV06TswUmR+dCQ2FF7//YOt IWCH068UTS0OoArjrbbZBi7qd1uBOCIC6nDhHAobIa6vRd44I2SNbFAU/CIGCXCoC9mi8tD/w+JD Cr2ZSUKsvwqxbYo6aX6RdHh2ydTJBCOcgisOfJu7nALWhP0oHCHlZqaiGRlSS1BdFvWJx92ycrcL yjWa+Qniv2P7DnzwlIg1mcB2CWF3VIIHL+M5Wdv2/v1a/x9kkriyyOCFLggRMQOZ6/bNDA8tQQ6a rSey8Yn9oMkVhChyw+P4qEnAvsKATRFDMSBaqju1mbq1qwbpc4oSO/u2w0t6RsLUGKfdKQiC55Ea cOxZ3ZllwS7pMBPjjMGKzq6PZbjcjGvZwmlcJFGDkxAq9mstK0Hhe/zRgv67IBfj7gTejfmZ0mvp eiByZxj7S8dmmAS4JtydReYPUVJeA/aT+NjKuKHo4uvk9RfTQsxtPY6diNJ1xWJQtJp07MP0pVwm ZrA8hvJj/PS9nK0s1naoJqfFtNmFDRC6adv67NFmyI53uV6P2DcXHbAKS+Zhs/ZZQfE5usuuuaJP wHnFUyyCLx9Xls+aWjDySeYCtH42XUDgbVXaFGNmbeAk8GY+Gaj/lyOUQfrskUudpqwcXWY9XVen H/2tpGGEGU1Nd7MRBRXx8zuJemAICD0Qxvm1cJ2GozwQMtXcsS1ZUAy1OFQDDHrCyMnKLvyaO6nv ZlqkjrJg2x+bxH0XB0MwRsEkNxuyvkSTQjSvmh7TusA3mYAOz3lnZc8tE/NVvjstsIdA+hB2Yj2b QQp5urdiShzis9KgeIuKeY/wivn7r8gOusuJClq+El/1NyNNnzAOyjPyseBSzL4esiYNPTwJMePb b0kSgM1OrwSJSoJPhnym/v7bJpVol3ogPcVeiisedw8Pi507TdpEDnKZXw/3n+hjEQTUQ3szu7kx Q+Y4yHKrk0ZABEbDhSGyoeqFbNUMGhIaflRrafwFa0owqrg+xw/2Nt55EHtl6dDNpKC9JORgbbQQ d+MQa9MePEsOonAK96gVpq7fDSJQ7fAJZYNgw4dbkn8gycvGtfXnxts36Tldc2x6PsX/90z+LJNF OvjB40te1liKtPBh1BP48kFi5lqtNp2GBxfZZHdeUKmViHsfsOu9EgOkACD+1Bte3fo3TfAk/6BU XApPCchDFMLSovj+u1vH9e8v3YCcuw5NucV062Npb357TnSVOVS/wAb+KY/2H04J7eP4MHON1G0x h7P1oYnGyf62cq0j1jDqRtcdMN4OAVUQbrlEvDWkF8B4q4dR7t8Giuoi8rUCKSuZpIPU0bClVPyx HKKTaUOi79YvtlKdhlx7/lQar1sRnUYcFOcUo0GINHvNUi6eQ/SswbC0JKwsNlh2cMKTli1DSHNg vyr9t6mdqPrG7+KNgfg7m86SiUSIy3+JbaJAAbu+kN3QAhuB6VXbTBFXTL5yFJCJgNv7ASVcEMXV fMTCmlHLX3qnDiLwMAAfw1TfqxE0HOuvRguX14A9gBIM2cytTloqSZ8qvchucwMEuKoSWSys4N/4 u7n7b3YW11XFj6uyQVEY8LY4H7Zz2sEYkfkOtU5qJE4i5es3onthbvg/u/LtgpEOOHeurN8qv2KU 8v3yjfrUwVRYTuvk7/ghbiBtzM+V9ZklyxSHeaVthooch5Cg7rLevIYPZZce5Njzjpeq0Q6QJax4 ygxIYpXbJcZw5rG7Mf/mVSz8yWd3cJo9v5qdGSv+tFGgOi4uZ2yn5TAaNC54Kq3BlU3hR9R46Zh8 f+WIDve642NA1PiUQDpUGXCO2AoAdWwIrlzb2OUVHxS166bv0PKXyR9sCrVssDKJpwn+jCm6tv22 +TDbtf8qteDuw/Y5D2nQoC+6fNm9J1+6Lky2nCboeIhm/SoRz0INYbLAKy1yvOm0TzQC0xbH/hKT W8iOrOFlu3HUAvdLGYZ2PZp0wm/WGwQ+BhscE5x7fbez86NLQ4YMVo0LURXXABrlP/4Jny6J+sVT +4CJ5JVsAQr6T++vaOV5BYH28DnEIiNQRxhnPjxwJBisiiMETA+n+hu4fgtGLzigfU4bhzwb9GUP JdepRnZZFgErm7koRtBpBFLcboNDU1ub4O6dJQdRVHH283XjCB/nKTFFIB4YRv0BUPRxyG06FMs4 9IsZvlUqGD/JpDpIUz4fxMALeJMjaJucBRPDPTQ72hF/TyyIAaXTth3DqkFTbGjXZmSF2jn7b7DQ QE3Yfzr7GuTMlC/96Xwj+snQu55Aju+DegMvhZdcDxNCEW9EhqOpGpkUJH1HgQkJOPyIyJNpukWz cGtYk4vS3r44V+y1SCmK7yKKBpBDXa3U4ExRC8fTin5BjAwf/Hs+OfEtM3i4wbxmWESWpgSNnMwj nBlI9TcXP+LiEP6SMfCqQ40DzO0IMif8WpW3XU4ViliuNhWts+SYtU+rCkaop8QrceKcCx2gzY1u lIRRQss7jm7DMIH1q++ooD0aHAePN1xJh9FdMov2SXxSVa9Y47FlZGCoCYT9u8oQfkU9NxS/QJ8Q EqEaJ5m5Eg4Kj0McrKxM5q0yiAiYoNCov2aSKMICqMW1ZhLJ/EbRAwCNFt1qNjoAmFSAYF8PpSq9 Q/GoOzsRrx8DMvkhTpF9+Mj6YOEimQOOV64pQndiW86PoBZOBtPDO+UO4KDAJp1s+vULkHHXoLdk gxyEXQZ3To1afQygLi3kXC9xDo9p/X8RANaMIQPE8pMjG+Wa6a0nORHkhn8yGB6omstyKkESfIHS voSeQ4lgC8dWecPDiUVz2nOXW2apDBiI+EUF4mOPtbrmSDWH7aS3DRDx6ekSHoqtHDsdJcePxFpB tUSy3UVL+ukL4flRarUpvy7HldTL7FQFEjZgekwed6wpPq+AXBRZj2IlX7ppVuaN29M5QhZj0UUn XwdTOcF/tm8iDq2ru+QTr/IEw/dA56EkZYYSbPEfCvBAndgz1t8hdQlHTe4OcqFgvOylUnrEvr0r 7WkURalplfTbT22UbmALIpEZWtAV0fP+DL/rttB3WSct0Txjmzc54UOhHrdtxwBNBIBMi+fYn9Tb w1eTEdEtaucOxDFmLEjMZ3HHFuTUjhcbldvCeE3PRBO+p5Pguh/plPzBzOhL3VR8M1tYLrD/6sKH /H9aKbeEpfgcjXwvHZsxQaFVDcWEYyIOxCYXje0U2lQlF8ICP3mmuF4idiAW/lHcaS7bbkBUDesx XfFVtd38EJRULAJUC8dKtLOz4S7+iTUPPgyldKDjJht3ooHBpJgsOFSOQqTFkwAk7wHkVdzHOrvT 77POyC62VzOfdyt6LBM2O9dug5/8aJaC/n2b6YQSOkPL7YcNWtJR7DKb6xCtc5DRCIkfFf+EnXew 0oSFvlDP3t0jWDJ1goX5ukGRESdf9q2DBvJjO8VxuQ3sII6OlIeEWZYKbIsdfd2sUcTiiRWsxO8N sw+aZy3AczGrMwuV0Z/VycAA4PX0AOHeJ52nouTJ13ZGIpmIIBZiWBYS/vgyvgOCtoDpoz7E/4Cs Eux5L5t0KLK0lLd7k32Sqv6gb+z0IooIchs+SCPAPrBjIaIyk+BMVGAieXlOwcGwsUGoJvHHMS+Z 5Gqmtc6hEL/3HKMgp1F4rp7WKXLC/Taj9DkLOVmwZZHsokMO+io926uDwVYONEVMEOsFKjYwufdm orNjHA5SI+i1njvVTmWjjJiGyGLX05r4Q7qmS/e7tY/ZpI4+IC2XizVm3cYrslduc9zbvPmRgJL8 S8EF48/PsDXte4rbNh4/CQwypHegHyQr8l7XH2W2CyL6lc3FU+DJkW2/clwYf3Z2tqTz0j4m5I0z Kf5BW3GyFIi3TDSxrWuCZFmCHTmGsLVQh+NDmdQ0V3LQAjHyJuY49O2Lw1nasaVqx2stXv7EnPzt XQq7YtaSWDaWzXlebEuNg0Ae2aSzMWeAg3nBK2CudeS3M2XukdkJBT/wbMmH8NGg1ghbXBH1WnX+ frJoezTXoXFFuQ4dfnVvIvo4kiOJ0O9ELv+s8weD5bR1JFGsQLCUZolGThM72JUvKTUD8SSwYJ5i gMPSPMt70LoW2BTE5iG975fQaOTXo3WsdRX+g8RCEKllLCsLEbPxr3l+BcpOLLuw8udpfq6iY256 RBD2fy5hqGrCZtVl5NiitqZ5qoZJbvraBaM+5BnBgaUDXOPPMGarsrFPhsfVXIfCG/VpQs1xz+lY 75vIdLjw19rRDOnzOQGbgL4UYGCxHMY49nIqkxXOpSF85lC3GkBs24cwnWP7jTX6HSU3a9IoD3nF Q6dlwU898i+G6ZrJ4dNnibfVnfeVuHSo8o5Qp78uwoFhtK0i3Ng/g4GlTM5wXoAlJDGHn3407Cyi kytGaRJAlQRQG9+N4/x2hGRq8YFzubUyWovt8X/hNrbC+pPF5/9Rstw+zfDana2y2HBNV1SBOame ruYmOUUrxFTQAiIRnqK4dBy2UDmSrnbFXVEuesanr4W5hOGOzOoR1/C2KpKG2G7Z6T7duLnT0qNQ g601IWIKOGon+c4K/95rV6c16IfNm6EL7nJPKkZrKV75ieNAwKzUC4cFAnvMAVi5Zh0oAJaDoiD6 uuR/aqpIjq0TMtV016IBV+IHHaS3jZ0Yzxabrmp/QiByCM5reZx72R3HJR3epwHCLTy8EWcrVsm5 FobIOPltxW4OwD7ci5wwcm0i1yYN7rkSXAO/aDA276iTU+OpAAxXkClup59XZrDfey3jtRW4vMzl W7lko8vrKyW4uUJEV3Qem0k3Xkb3SS1dD9MdAbD+KgmlBmyyh5yI2q3fYi9Jh/UyzdoVhVagzD0e EfUPpNLZRS4oIXX3USShRpTTQMJjWlxQr9K8A/yAMwtCTCpdNEpejogLF0Ibcz9yiur3Ke0l6sLu 3IaMuR7rld0LPk+eFpYgGtOVMWuguup+CkeK87lcAGrpsUoJG7LdytIjpeTBMgwRp59sG8+3reQo hxR+TmWMUb8yKbY1bGc3ZhzVTNgwYuZK7NvT+aFDJ8tshQ06kGa4UQ9SZ+NL+UWFwW9pj6maZHhR Y5jfgfZo/feG+rjK2giU49kX9zqR5NVbkZbcfbwDKUSMa/4y1ej/7fjAUkr5kgJte9Vy/ELhNdkq vN6ZSMEEn0vNLlqY9PacWf8GyguYhQHUgerPdQT6PU38/jwtaUNeF3CPkKF5t2e4FAGeWGaiHV8U QgN9KwkeU51H+ZuRqX0hTwsHo3Zu4My7QAExw++pxfSwY4ybs3HUsE7LLLyLlT8lItpRLWsKJ6QM V2iSse/BSkE+s0SGCNf+lQ9RUc1xHhnpp1KQMRHfGg1BqFzsmT9hK0CKIkZNvK8gNT5ok0TQKyjm D2pIVc8XbrzvL88jqI3UmCMfCUCj0o0z8JpzVP2cO4ntRjyGJr505ctEgmKjHyLP8Cir/qMR3fqd U7fzQDJnHS1PpVqlx4tdomCL1RyOz8EN6apdA9SEKAv2AJOZkbOWbfMtDBEcE2T/9ty6ZZOOOKA2 zz7Aq5GGkv0h65r8tu/eGy05XNcAf9RpbC2pTSvYHySyOnleEZ5jIQqAvnUqPdvZ6LOlUqlgreCj u3W/LRfEY90KnZcNqsXwkbv2OMYpTPBQfMtWI7MCXTp+DX154SnPU6wX0uOJ16XCb78EVvsP7rLC K/c5T3a9O5CE8s2M4ShFSp49E24hR2aFPs1mKR77XMyEdjFPk/e0xQu7Zz/6Qxb86nt0JyNKVFff X0I/K09nL72w0HI5wkNEWWSQl+K+wBdO5hy8jTNyAkbUqRNB+t9PRp+KHwa/VJVOIhoKE+eO05DI 16DRIIT/TpV7nJViSgq7NhrnB7w4HJ/IE5+0fP60PtYRGgeaV+MGMA4rh+siRIa4lrZoxl25kaCF /6O3xHaB6Kn12RgnKjRSU0cHygbn3Zyni592JoHkdJb08nxZ7glpYqdNK4US6fGXpKjoxkarCwCA NjZKqOt+pH349uLUtxC7hRtnzGSf7BBfmZZyMTnzZ3+MAPYUKxbUCOPHLJTTVXbJDvYSmSdXkx/k Rf87mhJGkszUrNWD6u/hpRJx7070j1fmheLguzB773BBlNnCpTYu+nO64MGoNsUqO3n+hbTl/GDR XT2m7ibatgze9knKHcsiWAmkBe9b8HUEZdB9yfFc9Wi4x1GPPM+PQ5iYzGS8twIjirqgJE+cRzIM 5knLhuPM+HQpO3NIM7UXCMg27QELmLsSXtvnLF7O6/Fq0odg2U5ZYIMHLXoRMGDA1YM/vcDEDhUW 2Fd2Ym9jrr7N9w1kRsT7B0N5YNZ7uGqpmxLaSAu+A77rUXpxho2yF/iysc9ljXerWg3L4N/S/OH+ RB0XktnYJTjCoTMHNnS3kuPEF1E8JgoYdDbAnydsz/0HCrJxiWTdYclMZETpV+y0Q6WUNIc8sp34 7jJcHGG1G1saEqo1behnyRz/1E1UmVuafWMGHKqi/5CAX0iz2Q5adOvp5uGOtD5/9XkQGbLOVUxc av1jKPW71XWHdCouaHROhLoufTTM+RvwmYnZ1/PV1c4vPiqH1dmQz7EARB8juUX1hJ+o9CFm60lI lMHSZ2DpD66/UG05Y6BXosRWYH1DzBRS0ih8D81VBcgyLUi0M17a2PojntNCwkO7Td/ijKP+fZiC aI1nyi+crOTmaJUKV+G7qfMRUHjXIpMIneLMKpsLOHyseX3Rzf1Udur647m4I/oJ4M6v3qXEamDt hHg4D/VvQu2mt89K/buZAnrVXZVzJa0QzFVhYaKHd7FL6r+kF4KT6obTieOO4VekRL1pRC+IIjKZ roaBo6/oPWM23RirSM5LwPubcN1Uv5ESNCpQNNCrYUjHen6hejQt7iI+wKZbWVLV93tVHbZoF4gN uCSlP62dzzkyK0wLfqyw9v+N+4U+8EqihyGDQpstem1kBMVnDoNuxemiFh8jvGSt6WFXPdumg8HH K84uVZ/SJIm667qZWamzqjJrDEeXfRZHbF0hF8GjRKSS4SKOQ2IwbyHIrnLzgqlutDKWTFYxx6qU iz9Lnxsw83CRiJkBNQFfi3DhzjqH1uSjoPjRu2vX/NYKJaB7DtHDjWQeq6VyjNOcDcHnIg9scIdi tsp7WhG+zTado11f2+RcHr2jPkpaN17TlsBJpDnx5tvETPw3I2uHvk53bz6fxFT11rgauhDFc8X8 YeszDjl80S9OAM9hp6kp1gAZ+Qokl46tkHR+8lpcXF6KAa5b8QlWpEgXyBLSCDmlh7ziR8Khnnq1 bMTjQh9fNoK26yrxiwYOnne4Eb8TF6jLPQslCobsyiBHLhWPobk5jCiXM6klWm3ebSluOZg0MzzR TKrNmWBtWc0XPL1tagiph8JXeQ01kmyQVBpuAH+pR/IzV8WRzNfr+KHleL6gF7IyWpLSil7cPz98 sXTKy4jSwhzEXM2pliusvx5Mgqjlxr3mnA41QUmAeHMnOtLp/q092Vd8ZSPF1FxFpfqYLXVr6KW9 OE3FoubrmIZ8y8qMcscWXSZGURHNfPwPEkQqcRO776Nu3pl+NdhbNaWYtgTLs2HAQG2SxIe7eQ6A S+mT2HUdemuaJuaT61bzQR5L623uQtI164lIZG/hZYYtrXuDZqPcAgXY6fq/YZsvo+oI1kMS8KI7 BYWF5+Fr6Ygii5DpKMlrKbyOQ8gQngyWYeSIn7HaiNbY0ZtMIia4didqj8JBR0qtvYPfwBNxquc+ 2J8FCRtMr1Ghg5h3V1UJnjtvl5JvcgY+YpuGdG4WK9HV253jd4bFqEUgUjsfD1d0oCtGqBDTodmj EFr89HWMi3o0PVNqYzdE+MTZbK6HTdF1Ou3sxVaV2tepLT2nSmpzX+/aTfRV5GWV1POVNxyTdxhd 3gqLYz/ikXvuHus+KKR6XFjfWQOowGax5qWAWDC9PYaKXvton3LzKeYtgbzsXP3klBRG0eyS8yvN Carjv7dA/ZTJ1XByCckuJTGzrWiO6it+fkd++32UgThReIQ2B8wgGAolOGIsFMGv+o7ODEcZoqVU Xa+J0JmjaHzidTM6OqIZxP6Rl2QfjLWNms3biePNmSFt1yh7oHXbUWygBvEPAJChY63OyGbCvZwE /RzMBn1tTbO5fvgffkRqv0yKKlQ4DYgYOa/aHuZ807vx1f83dyIYqX5EHNoEcF2EccQg+g1UGkEC mqt7JlwRdBrX3Ic1DTJjcmtPU0rEp1Wp+Q9VgG4w/LWi9FgdecnFT++izxNOx7TIqPsK4LouVEU4 Y9s3DYq3oSAEMSV/5xRcrGvEppL4FNiNUJYQvERuyAJQfMiU1O2giGlkz/PD4yMdh9VW+JHP6vZy kj6uHfNFoTTRWA1UXL5XIn3Z/XKQ7xVJx6BNBPXdOv8ZVQe6f/n2qjohaT4vSmjprVgEUyBXEEEo G4orrkb8rcIxncXprPW2ZYq8uxdYAH2lfOOAhNH3DxBGIsJnpbL7MA+WomAigbkD7PXE4KSHRXrp hfc3neq+dutOLwxlOA4bHRo48LoHDr2UisgniZfv3D+Ocel+V3thezjKAl0iM/TCMkbd8zNRN+sX yaqo/jhTktGvd2d/BPObrU4u1M6/PpmFp4sDD3FjdyGA/x5R89iBujbIORwHh89io6YHsAFse1IC HgXRa6UeHORW5Ot4iCh+KLVbTAcsgCg8kI3tHUhOe7kVyiZT/3k/UQgWWwEinEh5+yh1TyChPIpm zjTPSiM0X2D3rXy5qlzWHH0tveJLgEsFsr3NDggLcLfnt96ddX3hAMD2qAITHBxkDq3b3IqP2FDh 8KNk2zF9ab2/85rMoss96eJyFx7FiKhPzPhK54dMXcZ9PQfHAi2p6epNGPGWf24tfWKK5zo3iXqv pzGCGkAtwEqmD6Vse4eXQ3tKe1M3YnG5t4tCskA/cwavyizhvAs1bXaGTsr48gMsyNwNYHid/0NS pyigbgdp4hKXb3E8/22jSxu9nBB8aDO8hMMe+Xprs/kkbiNBo9OSUeU9KjndiGqguzWb7RBvpQ8a APMA7cTdm398PJ2Lu7QyI74ueVwobJee/2NrBDsLs3okx+5I86RzfJmQcZp4elAAM5DHhG8b2Up1 KtOLpjDWaaEbVzYM6616u+gRRyBsK/SwK7NAAp/p4JPfoMsBN/qSZsrjz2PM2Agk/Lr50VqKKZys T2TMm3hC8yHbYhwPr5REpEK0eUqtDuPAXGOfWu8HOQZwJxkLcUUoV+VMUpNKhn/alnXTDETnqhvi hQg/tv82uvg3B28IGuUcyh3ch2bqx2QYtjsdClvuFBK3nD5bDClsfVS3j5XuSNHUUCLVXeEa2S7U Uq0Xd5iBRI5CrfBH91WOIo8tfoB7OkY4gVk2YaHSgoYAhctRsGULD6KJdItlyCW0uYXntU4uY0GU I+mMByjfy3KJ0WYVBvwXqFiDIrKPD7IYZn3Jpm41q2/nUXbV80QqG0PpqeRlJMr6wi/Llh2FbvJV wz0aLa8ROkBryv/sCbfub42m3K/W++SteiXPo8ZgouVJAlF0HArEM1fEjJxJK5cBEU+WPvm1oebj euuw+LWL5Q6DbTaO1OrAr9PdqRnCCcdegMVlGYbKvcVbuXna6Kop0iQ2TdRMNUr/D5DKHDaMQcve 90B1d3J9Qtl5pZvQ2+6vIjCF4ItM46g9E7rburj7liXKYU1mV05+yxu9QRP3bUkTuK0QRYRwhAVl j1GezGuTqrgSD/DTkXJT36j9TidNg/vwMIWmjcirSW31T7FSj1HVE2nzY1QecR73aijbylLiDbYW VbUYR8IY2w0IWbDB/jhS0B3VhAs9fT4paziSX3vaZYb36lA3nHa+S7lYOe9YaskHe4zmDdzB/6gT OUalcZg4VMUIkweM91SJIQBrq3hmlBJflBEiCVvbY7Ha0kh7ZVzSrI56melp3rRkkhI0H2KF9zWm eFuXGmCXA5l8H2CQITjSKuXTue8gST2aRf+XiCGQU5c+Td1vKzWyYenW0xaBN4YtHn3KcCjkjTMo +toUxjZ1qFJEIliRL0trenediGxAZZXqSBa4f6DNRV8i8K0Ehuo2KASZDtbgOaU1PkX2K31ydOWN vAwUERXNJbEnIrlddtb1kxHR0GEw42n9wL5K6fnLCdA/5EGa6SEgAvWmyW/HtVlv8ERUBpajP8U+ JNAMiIXPyLCl07P1wpHwsGfX7G30aPZzEOsFvfozJST/sPwUccQicm6l3A+VMVKdoMdWpPHxADPa IA8Y+nzZQUyUZ6cK6huBhwsFSerBIR8pwlYYLoByxUAQA5zED8lYTCs/CBoPqzEfPGq6Vk14E4Ef nx2SKJ2kukZKLj8ZiNoGGwWSPkoU0CaqrhN6pW7QBh50JuZVEybgqVpnctbE8DsyabTbBPjsGfo3 NxzzQlGZw37lUA0pDV5lvT2Lfd+i+++hKmXY0O024kdH0gARPuTshY6GQ67GGWPF3ruqF/cGosbe KF9VCon3xnYs6ovtShgUdiawQaF0FlO+0pWNTSJGzYra/BYXlBjTy70fPSgfv17TR7FLer5Ut46n 5/Q/J65kzNKu0HyNLz/uT7TPmkRW3Ego/R8oB1Vy9ASAgPSVJ5jSYVDuWjevDL+0IzbVJ4/rnGy0 8hRn/a3O/dhcYUzaRVW47uOHJPhRp7gKf0NEUOFvsKsjydV/ooPyJyK3768YtWCkvdCD0stoJX+u ccdmrq4psf7u6y8Z/QxgB+rGkGvpnPJK61stUQyRAPFggfWmZRlcpAdzy+7vItyNPd0uBa3nX23t l8p8/iN19qxzPhJKP5rAnE7qXId1cWYo+f74HarDw+of9SfaUtx4BEPd243T1rHFLrGoRGx6QMdd MaBkLATP4Vd4J0V1QKzH/2aQyQ79u6cbdtwG1fC0JMUL4VL2+7rqQWOyauLqt+f3w7M5lBU8u/Mi RtXCX7CB0q8wZiekPdt48ERPACACndeA2gFLZgIibPzKg+YO+y0hVbgoSg4XMWF9fIQYguuscdXm uZ768Y1cwcUT4PSw3izLbIejSaqYar/cNAMbnHHlj9WPEmifgUm8nGCMqAoqcMq4G/t5Kog78bEY zIS2ZBAkbHMjYRQc1untVy2CyCAkqEiDIkXoSwAOXQf2hSh65Ot2OdvfxU/6dLFuC8UQw28/0Izq evdAkefI7z/arWj7nLcy6PyqatrClDjVtLMZ9Wwrfi+QBK7FnWc0iyIMzuxNYI4dCewUkg88GkML gdkVyDvJSR1YC2auKUcHIJMgixKuNCO2SG8PUUyg1mY2FB8CKMv5tbrMxhYqTToLHDNk/simgtvB qFBgqnRnjThDUAFl9mQt9w3sRKIo2qS2XhcLX+2Q0n+voE4wjGXOejIw60zTL/jD+dz1x8SFkXJn DW/vqHfLyiHHd9VypqQOoj81w+nDdsWZEwtMNchMSdoHRrVd9BOzLB/HkREG5kJUpN0+7RgE6KZc IAcCFanuR6SJXL3TeIiz/dcyjYQTmy59qSMHMll/LKjyUDBGjrnLpBPrtvyAqeTfUsqcOEmz5Xg2 Md1IdS+0vpbXUkdP43l++shJfcr0U+Bpulyww4RFEjlc6jJj69ZzhVdGDDlqjGdE1I1sHx+7suwh 0DU2Yc0BbSnpOnPPqHSkCpuFi+yqm1FjvXacNGFJNqlev2Bg8GjE1AchaTcg0laTzpJrI0UqilH/ T+Tronm96FoOkTTTkn1uVfSHtnAzbusx35ar9YnxeS/Z3hQke6yW7ASd5fhdmNx2yXY2c25V4AI9 t454tLgLV+SC/0BVxqFjwZ5WFZwWAPhFcjlcl3mkR/ow745PlPRredPUzPRzJQpVIUuimJGVF+5n oMe5ZDxPkmj+M9tUEJ6F2BVnVaqZvZ9F8Jr7KL3DStLX/uqL18uOVlp01H4HaFiLZ4rw1vAij+Yw wCpPYMgfsDz+Xsn6d+9Pxdqufj57LzKz3s1BmZ+ULlM8817+rKLWGjgcvZ5bjCJsqGgIe84S5bZT YYSPEBF1h8H/+RWqDM8R3yOdbz5j/KpBmb/8H/MwPC6icHO2XmbZJULWuyGHZ6g3qBbDzT58jTDC 2LDtMH4Mm1f3GEbPtD4M/WNMjORY6fMMutZorOsTofKxshDccC9wcJ2qjIOop9iB35VGmAw3sXxr zrTyYzXioPdlJNBxV6wmKGi2dG4eNv+KWIuiCfUipueMHBEm4VaOI5435Oa+nM0n8530+NpSNI01 jZ8H3u64uuJQE6zKeOJVpLMJW/R913HH4O7/IEgatshE0aoLgaKn9XkheoIKFgKWk4FpWmFnQCQ9 L0OyxBfB/FLo3eyBEOBNfnk4UPOxAQVl5PMfH3mvilF1FFbG+ANZtkhM/0ILCrXc0n1H6X3OMxv2 jnp1QP4iSTSPKBMRLW2/aoH31ul3w3OBjwvFazflKZR7Vii2pg/VxzAo0FtjSxR0VII4+UqLgTzN SvtiBoYeBJI5jOYFUsBF0cwdFEOhANXcdVBs4cJcKOE4cHLaQwPLgbV+4HfPzYST9jSMsitGsPch glqUwNdQyAt+rNBI7Zz8lc4mCrKUeRIqnc9uA+WDRay31XsRzfb+SiIxSq3h3coiNUqEutqA8Koj KsYQLDmGrTwssK3Q1Tg5h+AZybSv9tdRKJ2P+TSdZH19tE9x7w4K7SWcwhUTYA/oXYXBjk8YoI1+ N12ZltpJCCI4nlbO55t58RqFPofulgftl2eLMSEsT2qkJWFa7HANtjNtpuDzBo+xA9K/+HL0dboL jo3/tyjYkT/3HaxXcvPOUeYuphNyrUZ4vGBmwR419fVfcBzKBgjJvj9IkJWvz/UpA81q6BTEkEC3 O96rKPNzIX1ajuCgT9brCd/p8Bl+Efege9MqFpt3lN7i90ARy2InBuwNjIUvmPisUf1GlAKB177a oWVaKL7TCngxTyG5WeatbXePBR4wKmWNRHyZr5e5s45y75AOQBCHk0TPpSKIOMimf9Blo924ZTY8 btd+6V3Qcnr+LhLTreXeM405d3i1d+iQwjMH2JKkXU/rXOVFpA1gr4yQPBhGHNpBmHBK/1ouZgMY SvEZOFORPb5yp9ul6wugYsowcZaDPrvvCGFPKEZyMVVLkzHTTk4GVvr1NM0RK11c2fSX3KdFeJqG UyT/elrhSPlMf5DylXFXKmy62RgYx7p6l5FYVr1kMfuFwoOjBTb6Raeq0/hSltqTu2Qp+lMFcC6N A2K9tBAi5t+/vLjW6Yh1dLtpJY0aLmqgb/Xa+r9vFvbLo8GtqIYzYfeBn6WXVZsjWnTIMPvqhlom DPfH8Ao684FAeBk6CbJvnZLJBpmmJ0TzccJ2XRHMm7e7+jgOror4i+UzCPh8a+bB9YquWEptLgoL DB4e62DVjtiLtXUKd6XOzODCCrl8pAUUAK2DEiCurRtle6m7WirgRZvdlpkaeZMv6Jf4YoQxQ28g AxAi40t1o2Y/LcAfbdWyBQ53NkVsWUf508DRycEo6d/BSFwx7l77uAcDo6VhuvGEHqSG2qzuVcb6 /9hbKVtE3UFJ4bdGMmM7OoRcAfo4gtYBNlniAN6yWe6Aj0WhVzUTVMAV8lfHw5jt0OUWz/DKSHfB kqjUtUKH0Q2Oj2j2cLZUx6To4eyZYE4l5dVonK2ikcCMg3gEKi30PJmb3h3n0oRgOLiG73AH1Iqd x9MrnuCBBii57/hGdVwhiBsYF0RwTf58ZmRyQxksXK3oPGqKX0CyUirDgwpCF67seoTPajA55Fzk bnx/+FPakaIflmCc3yZszxxFZMhHCtW9eDe9jfsLoywXS+7Q0nbPnswwsP443KHDrk2qjJrB1iBr ifn1iS/kXUp7BTmpmwc+Cs6z4aHJyNHyNMbk1HLBwGAshaoBuTtkkbu0n9yIO0JgichFgTLA4H89 VqeF8iPl5TxrGqHv3Cnkf9Hu8K7lHlZdHj+Qi9X2iCt7aU3VEN0bNGWqr6o5LrbtIdjs6TJkTBpw 55aygyh7DS/jSRQszDrNXxzct2zVvxOuA0o2H2ib7w804UP1PsR68JrdTUpg9qUGr/wp/eUQpEdi 7SM1cLHcZ0J3jSywHjTdq4DZw+vHb/hhNr+aEFHO1IZHuu82hQfT1l5q2u/l2k5K7FYnM/pUuJjD NE02scgz0vAXJZuFprbvEdiZYBR8A6udWpMmFFEmNoIdoEdt2Fd8ypiqrp0guA5o7MZIBSKkCemx 2uEYTYp5zNw7sbGsEd2zfD/7M8wPzI+OyYiGym3TK8t4nXAyGUTn4+5FbZVPqnGmYHKKpgPKMdah 03Aurg4HaArFZkOc4ACJJhAa5mJkCYbQGmMGsizXcGPMq4j78JqJ3ypCdWd+5DMkYU27LbUPCAjv pc8iH0gaJB68PB123XorLu3gAizPZBqt29ZCSRz4EaqlPeKqmLBCWxONgZtCm1CaxAdCv0BzDo3G /yOaG/vbPexw+FP1btbl5XbdpnW7+SAacWKwLzVZFkRChP6r9J+IeP+YoAXi0b4uO2mhGl5k9Pas vMR6C3RQD+Ew3Pj3Ioe2aSo732dwucR51sn5xiFAMwLqf5U2dmhSzRBgbJmIiIRbr3yqmIl93Va3 Fyu05smfTDPlm1WnhDc+f56izD/dvKyA17XL4zQywUsiVfpCUbolJLxTZc+b4Ate9oPp8xkDdchc OyDuWp3M5pZFP/qhnLnsC+pPv2oSDjIvIgEdqwpqFPoVVlSbJtnicapr3ZH+E5V9CUMj4uU7v4Qm vkXitjUBqAE8mkTEBVxzBdhwEPjr8XsZTYMRaQM9Ee4uj7ONTLiYK6h/eVfQ0azC1JbhflOdBkCQ NgImoZx2+cfwbMxOo4YW66WHcPbTZ6kK7msiQniXVDswlbDlfL2jfLiBJFWAltR5AFObVAXbUw4u H0dwHAD8BZO+oyjxfo3+Xu2xJB830TVdoe11A4tzKM5h46Bhr455lKZZhKfP8E88o2jQLggsun72 Xz3Y6COkFHhSrZyjasrjhqFyZHCIvs6JYbVdGPVeBhCQPJryN8fCUPn1/Eu11u3BOWHE90zrDk70 qZzDIcF5LiqqEaJtzBr9vMk/g7JSQcr/yNLfqXGqn489WJPJHTyxOckeW6ji1bCAJEWDPutpVUOC unlkD79jmSnCCrVX29enCAeub7Jbvz9cB274uIUsSleqEvXQcWE/gMTYUf/se0CDuBwVWMECw1NA dYJQGPe9gszucRbMSHRqP/Z8sX2iwkEjBRF+2yKn7wtq1EBee4xb0bs7239YwYsuF/QIQM88rKUZ SMiNQQJmJrLZRo1+R6SMfQDy1Tcsx/NSoHeM8OjwW1I4HkUKQsI3/uywi8KmYcOvxYU2Up3De0Zf BbwT7vOym01ETf3uddyfmIZ64mPak+j+/lAdkXLuvtZ4cUoZrrIRBKiUUkKFj4aXEfzPZojJvUj8 0Hfq+imfSCNMogjetxWlihonSsQZrehFCoFavZX5O2Jk9/MLmxQ/Gb3v9IIHhQbUc1Ek4UhXDJXC osJcgL0qYPzNKR5m3xPLdiQ6rVLgpXWu7JG0s1oinLrjbOXG2SnjZXQOoQ9WCOhB6SgkLt1CGFIt 8dJUzHtiTwjXg45EgvRy0a9zkcWBQgpgMr0rU94kWnZxo+2kXBzF6F9juVylKzrzO7qTge9HLEwI dpwZko9BklGyo2T1SxDkv75whXBWFu3rlOdmdDvtvot8erHqL14jeotgJXnVskOV7PehSUZ4dzC5 GoNAFwoWkEyPvNg3BUg0Vo5mOXE0g/PJPhLNjdazXC2atuPJFwrEcBSBfAlkdNjNWSTpawizdwSQ Q7MVK8n80wg2n3ECPnFBsn+dDqWG0WGlr0wEGHqTu2DEL2knX3TDfl7fgG2K5P7jnIgM3sYvDYo6 ulkhonXIc94pJkoquDrk5ArxfmaLWbU7KSaEArk5b+hBDsuR1TkG6aFqzmime/ItA/0pSptWmq8s DRoowP5F2car9g3d+XJPQ1jaic8LEvlHKeEMg/yV/kcWW0vAKWmLyzb2gUStcmU1+TTppQppr8jU 8k/NlAtORsPqmtqDWlr5z0PjoNbnNbc5M+8f20nidxPdDF1zNJPET4D750ugR2++EZGKHGptL3w8 ctO9YyxTpZ5guqkwBc/arC6ZuL4D4bg+Y+24+kTm3P3moHxSdf2w1BzIJ8KP+DcuQHHoIYyM4AMY PeZN0lwyf9ei8JC9aWh/MsPWmXViThJxAQbpbeWjBi6SaDct2m0AucxR60C7+PmczfehaKtchJOv fu6zgyTNFyFjOz0GBKGERDqhDsErxhJhFQeMgmdHY4yccRvgLkot8ZMbRZ2yLo3TsPLOEtuKYpVl my3AEBELCwpEn0JWpR8LxV/wedNrOfXazO3/KMIswMAnKs7eH3RyayLuVtaDadi/xnkAnNc2lYwx oqqLAL0QEYT67rxU/rdyGjwiO65iCyL9uyaJfaNaNGlH601VScCV6Zx2EHZjZ1tSyhVgAH6hwu1c VQRjaBckaC6+5aHSsKjxg1KlQ85mwdRMLdFp/S135G1JNQePMRBkeP+cKuMpCADg8722a+mZty26 Kg/CGhZ8GWBUrsA9mea1OJaRQBoc0JQPNkdFG5kLUYh13s4PWSoXcPvQIpi0pUi2sS8anfiZz30i 2ZMTK2uHbdmbbWHoJTyRVZuCItsa9YDmzrqHiWuplKsBZdZRKuUG/7GEMy51HeC1gHHbk+RzL+2G Jw7eFJFYia30l3movTr4EAn6RwUGVcv5rd+O5kL7Tmy6yKkrkiqgC33FjDkNBo/ialSrRx7i77pr rRyZtVNYIvvsTC4lMdZjcuyU1NpctuAQDlxUIF4Jf1lc/SEKOyUiBnnBQ4w/NL1h+ClV6faeRpYl IXXN4yYnge8wA/PsPG1DSJIyi0SuN+1x8Kxf+h+UUYton1iNSoPLb00NRvVvDPPmg++lxMqGW4J0 hfh+KW4wagh+gjfWmWB48ffmpqBbrRozXmP87Yp8+lAiXGT77u27i6LnWfifyvmmcJrsSC3bJfld kqO+t0dSjAYyVFMfj99ej9zCY/nTfDxUeXO4kR/yS22AjA/W5/QYdXfvgmvB6fXdjYv4uFK+Uk+u vIKVV00jahjAmfvQ0R5ijOEY0cnYug7J7cTanLtqdQP+Ue1PPpV1zEH0c0rMW4pYk836VJN8vQ0n rOIHZEL5sMksbOEEmf97aY9j89/9YpdZg4VAMldbDhlrjTzINJFsdakSGeE4xcseRpvPDKKrHwWv k2fOUYP4lVGYWmKHaB0cml68IHsZO8HT8D/8l0SViYfzUtlWhZhAjv/wFNd7ntWKgNkQetbIDrNI KbYc0AACbrlxLyNeabnqd3tB26wZRtyLi5DxamzuL6aQUTOrCHdHVeId/nWRu1s82v1QkzAo+c3n ndZKUaaQ5b8qIfyD3aFfa7l7doT6I3OEQDPMYxjaVSTed+rwIFvUy6Eta2yzJcfeD5rt9vwIIByi f/pTng8OFmP/tuNFkAXSDasSfwJppr2bxpVVdpjTMChG8iHEZO8OpEn97xNRJ8Gfd6Eem21lYy7t BXaDI9dtYIj1mYJr2mIpz1MNlLNeLC4Y/DGckSr4w76kMrqEOsiiJNOn3VmP50B1ow09XkPIde6I VN1WtrQ2la4UCwT7UWj/VH3BB6BI/KoekkYc3ervSNOc3Sl7vVFjZn57BTreEqD+Z3/thN+iYnw/ rpOdipLeEzTDL74tXbmREeT7rOxJJQeaT1LGAYYpQIdPXLJZjJFwAYbcOTTOlyzlmP6dtWL8Dt55 099crpI24v8r79f6nz6xXvuKXeLA6Tufa7mWMDJfGt5vZRA7njhHG5v9RwF+jxcuJ6xyzkqEmdqt q6wssbHTZR0fMLoETZqKcpa8hpAdXbw84tbjvYWjGoeD2UDaFHq0BPMntylKqfTRoKiWxMs8wkf3 BHp+6PmqlkrLHAubF7hS6aX1TgE5nbqnwilVj1tyM1sqAPiTO6dUHGqBuS4ACr1TTPwfmIQiNW68 8yIePWyNo5azzt4LOsGLOVEWdO1yIx0zhOXYVqPJ8RtbtjL7FP3CfHdvirH1PdvXS0e0RmvIKIG7 htHlyQ20jGkURJwqa2Jerg9CbZyw1ue6VeNw5Gz/ckMFTYba1xtgH73iKq6qf0V0ihYCHkuvLRCK jYH55LxpGPECp79aYGsStfBXFZhIcaov8WYr65KN4vD3rt2QPR0neQ2gOaYWoF3Z1ohX69VBXK6L 1jD8sYpzY3TUQjCe/kEh1e9AqAmzAPwugdS7tjCygW9kIvmL6f1NZMLV+JGQ59AMeiRe6nDyMvdC nvjEuMePHTq86u0fUAD7S2SEvWh7j2iUSA5F5YA9AF2Fo53hcopHZFOBBabmBkuHO9CxnC9W6h1Y uPhsslpdV3ftDChfjx9RPbetq72vttrFIdT7TwVi/iRQ5HFXkSReBxkq0fDB6zK+ZWPSKPznxTYs X8J9Twta+5VmcMlMb5h6DcsIDVsx4dzOsGiexxcw2DTfDVnrDAu62bZ82/uTA5E7pmWtelLLYNlJ sGd1z7qO4XSDqHZqg/dosszWBYHG7MbymKYCqZKoRDilcXsau5OUNm1zpYUZIP30A16c4V9ZoUbG a7NgKlfDIxoQfGRNlnn9fd3SQI8DxGnnzoNTA1ijhl+/FoB8FwixbzZrSKiXc7s1g2XEHDNbyevK 2mBWDIAiqo1RN3GvcveDwc5ZBta4RyCMF0ELk30cHG3/Xk4i3BazvK4ZAyRWVPD5d8cjjC2NfDMW k+fb3xI/V3NLNKUtp/rtbjlCAgssR7FsTzpv3T0uFRy5dGaYcYnFITkC78J5pM3JPBnnxEzPJ8c5 pHXNYsuwxch6yZMukfwSK3QFuQakNPGsDl8gI6vRwyLBqcoeN+DjZPmoKVIdMDs9wBOaVOEwkmEj wpgtmRWh+Ld1Erby11+aHA7GRd/3D1Nl4Jcwz5lvGleyK0xPP3cSLh75BTXwImfwogTdMrM1bzXM Rq9NX4WYFNtATZj2pwL99o0tks1DX6AqgRMdHBfS3kHDDzG5AWupaiWVNSppISr6HoPdEmpEpSgT k3bxFsSWArvq+zUFyvPRTm3PVZRs9QauHC/l7KAZj6wfPt642rae2CBRCm3ZbjW8IsmktB1o4HVB cvKMqNkR4/az2e1SubPXAOvYKLvXXO1Dzcux0VMpHZiWej+xmHRpJXYNMhWC3FsQLmwgklQMEoz1 6fsYkS71lMXaILIYVcpUMNU2LCblyV+nvC9zMHaTL01DwIdaLdkO4oVfNQ8WQ+VM9uldDieN24gH CZ9kXZfSjfsnoPUfZ1T2UDU3u7LOsTdcYvxv4+0fvwJ8hriCKTr9xnWWtewvqnq1xfEFs4AoLVwx A9EFnydG3vRW33FlvFiN1csDNqRIV4EtaUfFJ/egwU2cU3hEfb6dnBchILdEzZ2nINM5v3hw8ZEd UzKV87bwQ8h2yzum3UJqUPhDZ4MpJVkDFXZ6+VykRQf87tm4W5RqG56X+kpnmxhctcY5suPiwogy G5dobur0Xj8D1M7ZC4/u8rq+ZxZ6NDHu0uMP5Wsk+JDq8QTAs+7t2Zf8/XEUJhRjRXCZy41zPPX3 J10Ax0Z9RzAO0XQk9A/TohiB/73ukh3LhYS1vZIsV2y4bBhCh9zSCsahNGzYd1v1QgRQskVUb3B4 3fo+ZR06koEhfBL9LVpWX+sMNixO0lU3L2Ae0asUVr7dS5+u5R4gGAl3FfIRKNCIv5HgmUCwRqh0 u1ewsyHGLzYNXau2/JsxKyTnZn35zPZ3b7EUxCjaLSXqgy1itNCTxDLY8tIMOQjaBDKRz4Bn7T/s mYdvWadbuQmvXCItbdY+COc5iGrIek11BOgiPP6U79Rdo0v/6FPJUAI03E4NtBYwCzD443SHGZLW wlFc8JavqbQ6laIofnTJJlJbcDgkCByMh8EjzQ/R92Rp9+wSNSYQDY6L2QT3s7rTeDZZWXVOM9OK qkYy4Fpfn7BcSkWfcAhomrVZrNl2SxlHysnJTh4brxhz8uUPbv4TSNhDCMQRLnKGabypURehMn1m zgn+OtXCV7vkAAxSgmKXCdZ+veUTTp4xSb5tYOaeF8dOynosTBO85VReer91zm6bRIn+APpRWXoh w8jHpUXm2FcuG97fl4GoMS+m7jm3vtOcv5zg/G6sRJLqQ59PC/SQr4tlulLSP99PPno0TeEEbzNO jQvszgQATzggEJtabAXEI1h6jf/QHEvalcD2OoWrKXdM9EKU1brnefPZc/RK9qikj3rFC1PlH6LW alE1v0BGQg7KWiyQA3SY9iFtBWGcuCNJYnHChmdwMv/yN1tIanW7SbkNrc9CmxxmBkUfC9vwlb40 vlW+3gkG4iZaQqiRmnzm5GZ2Rv5hZWfepctpeB1IKr5X3fT59IJGTwxJ6t6Ca1YcOncvExcKpN2B VSqVxzNCE2P35w1JnlhndBDntwF0ssqfpCb800gQ2pEH61YMGE3g70NWySNoop1LCAl7EdRxju1q 7WViY7AIQ5MVd4EasiOzFBe5DZIwQ7eltV25K7UdwC+GjYycISJHaM0kBycq8zCy/f8D6IZ1L9Bw CI76Ul5VPmsSGzOps/gUf59qSMI7dD4U1UJmHjmbWEnu/sPn9O2LqgRvirsjbUC7WgAp8AcM5Xj7 gOfDScdZNs0oaQ2JTHE+i90JHQVTJQS6PMrfn35wxGp1m1+IzVukdBZUCtA3gjQhn/Q/L1JDLwA+ VNSyvOs1UYAYEmdReZSCW9/F7PzN6hB7CXvBhvSiq6PH+qVAmNUVo1g/gSRtc62PI7I8bCvX7K2O 4StXI/ZebMhyakp+IR7N4Rf9uAN9IRXEA37I442n/xlvZXjpx0suCUZi5XUhx22UIzuA444s6P2N FOpHrw656waxSXvBQhl8RErI5NJFbRfELH0iQ+H0vfK9GRli9ta1G47k6caPylJPK8wAanVJq3F5 RkjXrDvFdErSpYtQHECzUxPN6Oq4wMan/0aM4mvqjWWMckbRwcaPsTU6iAA7cTpmKtQ4G6H0GdMC 1aNSVJaFx7ZVrPOXi1AGHLfsqsogFuThZC73fJVyoM3v1RzkrzoKJ5Fxl9Hbhx77jxpXrvk9vjS7 fo1EFKsEkwOGIv3gptZaokCY07/qFOjqha2PirTgYeCEL4b9Uwgw2bwYEG8qfXLLdTjjgMd+PLIt PSolUVGZA3mQF1RjrVwsVLm7j+i+lVArQoCWUVUi4PocoxtC6k3HpqvSy1hCwMEd/M3oeslWJt1A 7zJx5uGgq7fnTlYKbN4tD5YLxro4XT6yRIFH40sJxdBbHx1ezLaW2YDBh85o+FNMfj+fcLPcTpq/ EBHT53LJZAmq50LttYGpXiE/73U7zNV0BnfvT3lCzxrYvYXg/DfnOoadXVzLIR0xi27/30vVzsTc hIATS8CcfPylK/c0h20hPqRtpPpK3w30cHzK6FSQqLeER6jLDnU1ycY0zOgayVfujB8hGuzGWA3d 8W/Dt6wxi1h+vXfPvfEjzwxtoUGThpjVK1yYwRXqzJN/DktcZCI+jmel8fsXwMNjD1FqA8JzhK/R p3RfCymxGG8mIXJFNTZaiwljiRjIQ6X1NMmUNLr6ha9Xp8+ZRFDiAu4+LTOWv2r19e3xan4cREkm ODOPtWVUX8/scODNl0fA2uYXYPyLUzhjkIO/4iZQXyaFh8TWn3nL51txL8FyNVVx8iFp4G9Wb7ZR 2EwU6qOlRKAK+5flFKeAqm4TacE3zQIk7T5spflrdNdL1sG2nPF7OKdcdd6etHMmKoE43ny8+ov/ OPJfiECQVOwomNc2LTwbOsqvH3pEk8GvhFw+vdm13Sle3pRUMiNquXh4WHOV5DwWhy0z+ZuCysRQ xxnN54Ql4MKN5RXn4wC+hwVdWOVRE1D3qUWW8IUig0WOtIUJlirbc1IBYy/Tapipd4MFmkRd2HEg rzerYObM+4dFtryp11A92i5UE2HdOv0xMdRQLXAU4KkEYdvFNfyPwF1dJM4fcMczrWtJtgUWcaBi mJH2x9BbMsp/RQhr7ZYvAOkF4AkXcQCroIkQzF7HOg80d7GjTjPC+dpLXJOTPnzcfgjbhjraLrWU kA72yJKGkE+TCYgrTcTDmNjbv6Hi9TxyRuhDTJdQjeVmo+4cGyeNStspl5F8C9Mb3Mn+WXrmGAJ4 K46L6IMNqiNrzdtJPhdAhhXX0xPqxUH6JtBgqZHUwMgB2htbUnwXCByDixTvnSlapgBhZqDfTEol CBmgzZeerTodxnAmvcriRcXOfEvpLUNAp+zuEGV7RHwWTpZSJLwuw/JyPCcZcTeO62a6NFUrmdkk j3A4GhDj2qxREicxAm9i1OKmo2gCWpVBz0VkobMFNbAXuzyx7lURL9zsseh73MtXYT4CxvHBufo/ rRa0Pn/XpbBPm4G1PpUanOMyo3MP0QSX7I9yfbS++8bjGx8QzHT6OtUeiTvQtxIkbpQrksb3/Vg1 yaWCXKkv+W/dQZMZ8b2yxA+HLr5KAuUAXYc9VEqyWBnJpnLwk0Fum0g0NeKznxeNPWy+UKc5hVN7 LC0k7EoHsQaHRqi4WSU710ycKai7kUMstkaGojZ1BPD2yyA4fncNwsht5RuC7YJOc4ce2ls9aFP1 0uWBC2Fwznr52U7wVRmC1KOjzCfxteJo9qcQcnWJhlOYecvPn6feEMNhX9mgJRF/+cINq/Ghe7AQ gna0R+PR/tpYC3aucRoQELmhWLFKv8MXA3DrL51+9nnDz5XRjdjf5/3n0+Bnq6aeQCTC47D2Glxv 4qVN9VQGg5WDXgc/O4kG9E4+X7/4GJhgeOx7WQki/iuRLRR12z3IIkCv474FNGAjVCaY+E7T7aQZ VY2AHHjAm46sMjt7s1CR0RZh1LqbGJLIeQ+UwlA/maU/y8PWVk583cteax9cSLPJcJ23W84WutC0 E9IiQ1u21HYOs/y1uzprFEImpcTIyFzCSR7KB07kciEFUNoklUjcTpNquj+TZZir8QXSy89Tzda3 LBE5+RyPgMTtMYU/txWviykC731VmZxCqEqZhMkBVPZaKmPKdBxQzsOuG5tBXy2by/1meRSbAcoa DZv66FMr9nIQa60C8G26dfwk/24L5B0oiARnvfv/1c+sqjIOYPIDxV3Z8yNg3cHussqpFCxbmeD6 R3I+smsjvEBK3YoPlx92Wi8DDeiaQXKkJmw8GT1BaErl7WFjf6Hnl7hMmiugmXBwd+nzjj6gj5fs gwNPMH4IWZ7aA0Av8aWfWJ9wB9vX0xOSMC81km2z4uNqg0fWSoCFkpTV75QDVL3TgporUKtFXXGn cF4ETbbarv7hQQKkvOKF6zCKHYiGmaFJbuB2y/gOfFXjdpqkjFbHL3GsJixEEAB5RDhSPgNR+tOi J2PSa5IQ8NLXHXmEaQhMHSLBQEpDs7ToSw5O8eW4MrCFl2SVWGDFNaOGAqFB/OFrIaU75EObAkkP /c5A01cDjlY8JXngzNXRDSEithGJEiXvyJfAcaRGQiHKZym1/6uuRwx01CKb5PhNryxtM4qgGr1k d2og6FXY34TV8rDNGnLKuBbK1q6sAqe/1OIKONupOnYW8wFS0KP2YJGShgZHBYUZwla8p2zAl9h2 viCuAUnQs2kN67KHg3QNix9W/Dr7p1ZcPcTenSN4Nu7ubsyFZGOdXmX8bE9Q1wpuGgQRP+nNDrRn IvisF3+csik4w1L/efUWQcRSPu+i/BSQjiUn71H3Q0YbTYtBB4HHdTQ2unaGDAtDES85BxZfzwm4 N6wI `protect end_protected
gpl-2.0
db09667c424ee3178150bd0873c7872a
0.945264
1.834798
false
false
false
false
fafaldo/ethernet
ethernet4b/ipcore_dir/blk_mem_gen_v7_3/simulation/blk_mem_gen_v7_3_tb.vhd
1
4,565
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Top File for the Example Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- Filename: blk_mem_gen_v7_3_tb.vhd -- Description: -- Testbench Top -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY blk_mem_gen_v7_3_tb IS END ENTITY; ARCHITECTURE blk_mem_gen_v7_3_tb_ARCH OF blk_mem_gen_v7_3_tb IS SIGNAL STATUS : STD_LOGIC_VECTOR(8 DOWNTO 0); SIGNAL CLK : STD_LOGIC := '1'; SIGNAL CLKB : STD_LOGIC := '1'; SIGNAL RESET : STD_LOGIC; BEGIN CLK_GEN: PROCESS BEGIN CLK <= NOT CLK; WAIT FOR 100 NS; CLK <= NOT CLK; WAIT FOR 100 NS; END PROCESS; CLKB_GEN: PROCESS BEGIN CLKB <= NOT CLKB; WAIT FOR 100 NS; CLKB <= NOT CLKB; WAIT FOR 100 NS; END PROCESS; RST_GEN: PROCESS BEGIN RESET <= '1'; WAIT FOR 1000 NS; RESET <= '0'; WAIT; END PROCESS; --STOP_SIM: PROCESS BEGIN -- WAIT FOR 200 US; -- STOP SIMULATION AFTER 1 MS -- ASSERT FALSE -- REPORT "END SIMULATION TIME REACHED" -- SEVERITY FAILURE; --END PROCESS; -- PROCESS BEGIN WAIT UNTIL STATUS(8)='1'; IF( STATUS(7 downto 0)/="0") THEN ASSERT false REPORT "Test Completed Successfully" SEVERITY NOTE; REPORT "Simulation Failed" SEVERITY FAILURE; ELSE ASSERT false REPORT "TEST PASS" SEVERITY NOTE; REPORT "Test Completed Successfully" SEVERITY FAILURE; END IF; END PROCESS; blk_mem_gen_v7_3_synth_inst:ENTITY work.blk_mem_gen_v7_3_synth PORT MAP( CLK_IN => CLK, CLKB_IN => CLK, RESET_IN => RESET, STATUS => STATUS ); END ARCHITECTURE;
apache-2.0
65b2f8f3ef26f5e8327f918501fa40c9
0.615334
4.440661
false
false
false
false
keith-epidev/VHDL-lib
top/lab_1/part_5/ip/clk_base/clk_base.vhd
2
4,560
-- file: clk_base.vhd -- -- (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- Output Output Phase Duty Cycle Pk-to-Pk Phase -- Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps) ------------------------------------------------------------------------------ -- CLK_OUT1___250.000______0.000______50.0______110.209_____98.575 -- ------------------------------------------------------------------------------ -- Input Clock Freq (MHz) Input Jitter (UI) ------------------------------------------------------------------------------ -- __primary_________100.000____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_base is port (-- Clock in ports clk_100MHz : in std_logic; -- Clock out ports clk_250MHz : out std_logic; -- Status and control signals locked : out std_logic ); end clk_base; architecture xilinx of clk_base is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_base,clk_wiz_v5_1,{component_name=clk_base,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,enable_axi=0,feedback_source=FDBK_AUTO,PRIMITIVE=MMCM,num_out_clk=1,clkin1_period=10.0,clkin2_period=10.0,use_power_down=false,use_reset=false,use_locked=true,use_inclk_stopped=false,feedback_type=SINGLE,CLOCK_MGR_TYPE=NA,manual_override=false}"; component clk_base_clk_wiz port (-- Clock in ports clk_100MHz : in std_logic; -- Clock out ports clk_250MHz : out std_logic; -- Status and control signals locked : out std_logic ); end component; begin U0: clk_base_clk_wiz port map ( -- Clock in ports clk_100MHz => clk_100MHz, -- Clock out ports clk_250MHz => clk_250MHz, -- Status and control signals locked => locked ); end xilinx;
gpl-2.0
19a60656c1391bb24d9dd94eb943e212
0.633553
4.206642
false
false
false
false