content
stringlengths 1
1.04M
⌀ |
---|
-------------------------------------------------------------------------------
-- Moving average filter
--
-- n=0 "00" no averaging
-- n=1 "01" 2 samples
-- n=2 "10" 4 samples
-- n=3 "11" undefined
--
-- TODO: adapt to incoming signal length
-- TODO: carry correct overflow bits to result
--
-- Author: Peter Würtz, TU Kaiserslautern (2016)
-- Distributed under the terms of the GNU General Public License Version 3.
-- The full license is in the file COPYING.txt, distributed with this software.
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.sampling_pkg.all;
entity sample_average is
port (
clk: in std_logic;
n: in std_logic_vector(1 downto 0);
samples_a_in: in adc_samples_t(0 to 1);
samples_a_out: out adc_samples_t(0 to 1)
);
end sample_average;
architecture sample_average_arch of sample_average is
type samples_t is array (integer range <>) of signed(ADC_SAMPLE_BITS-1 downto 0);
signal s0_samples: samples_t(0 to 4);
signal s1_samples: samples_t(0 to 3);
signal s2_samples: samples_t(0 to 1);
begin
stage0: process(clk)
begin
if rising_edge(clk) then
-- shift buffer
s0_samples(0 to 2) <= s0_samples(2 to 4);
-- add new samples
s0_samples(3) <= signed(samples_a_in(0).data);
s0_samples(4) <= signed(samples_a_in(1).data);
end if;
end process;
stage1: process(clk)
variable a2, a3: signed(ADC_SAMPLE_BITS downto 0);
begin
if rising_edge(clk) then
-- shift buffer
s1_samples(0 to 1) <= s1_samples(2 to 3);
-- calculate new averages
a2 := resize(s0_samples(2), ADC_SAMPLE_BITS+1) + resize(s0_samples(3), ADC_SAMPLE_BITS+1);
a3 := resize(s0_samples(3), ADC_SAMPLE_BITS+1) + resize(s0_samples(4), ADC_SAMPLE_BITS+1);
s1_samples(2) <= a2(ADC_SAMPLE_BITS downto 1);
s1_samples(3) <= a3(ADC_SAMPLE_BITS downto 1);
end if;
end process;
stage2: process(clk)
variable a0, a1: signed(ADC_SAMPLE_BITS downto 0);
begin
if rising_edge(clk) then
-- calculate new averages
a0 := resize(s1_samples(0), ADC_SAMPLE_BITS+1) + resize(s1_samples(2), ADC_SAMPLE_BITS+1);
a1 := resize(s1_samples(1), ADC_SAMPLE_BITS+1) + resize(s1_samples(3), ADC_SAMPLE_BITS+1);
s2_samples(0) <= a0(ADC_SAMPLE_BITS downto 1);
s2_samples(1) <= a1(ADC_SAMPLE_BITS downto 1);
end if;
end process;
output_selection: process(n, samples_a_in, s1_samples, s2_samples)
begin
samples_a_out(0).ovfl <= samples_a_in(0).ovfl;
samples_a_out(1).ovfl <= samples_a_in(1).ovfl;
case n is
when "00" =>
samples_a_out(0).data <= samples_a_in(0).data;
samples_a_out(1).data <= samples_a_in(1).data;
when "01" =>
samples_a_out(0).data <= signed(s1_samples(2));
samples_a_out(1).data <= signed(s1_samples(3));
when "10" =>
samples_a_out(0).data <= signed(s2_samples(0));
samples_a_out(1).data <= signed(s2_samples(1));
when others =>
samples_a_out(0).data <= (others => '-');
samples_a_out(1).data <= (others => '-');
end case;
end process;
end sample_average_arch;
|
--================================================================================================================================
-- Copyright 2020 Bitvis
-- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT.
--
-- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on
-- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and limitations under the License.
--================================================================================================================================
-- Note : Any functionality not explicitly described in the documentation is subject to change at any time
----------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library uvvm_util;
context uvvm_util.uvvm_util_context;
library uvvm_vvc_framework;
use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all;
library bitvis_vip_scoreboard;
use bitvis_vip_scoreboard.generic_sb_support_pkg.all;
library work;
use work.axi_sb_pkg.all;
use work.axi_bfm_pkg.all;
use work.vvc_cmd_pkg.all;
use work.td_target_support_pkg.all;
use work.transaction_pkg.all;
--=================================================================================================
--=================================================================================================
--=================================================================================================
package vvc_methods_pkg is
--===============================================================================================
-- Types and constants for the AXI VVC
--===============================================================================================
constant C_VVC_NAME : string := "AXI_VVC";
signal AXI_VVCT : t_vvc_target_record := set_vvc_target_defaults(C_VVC_NAME);
alias THIS_VVCT : t_vvc_target_record is AXI_VVCT;
alias t_bfm_config is t_axi_bfm_config;
type t_executor_result is record
cmd_idx : natural; -- from UVVM handshake mechanism
data : std_logic_vector(127 downto 0);
value_is_new : boolean; -- turn true/false for put/fetch
fetch_is_accepted : boolean;
end record;
type t_executor_result_array is array (natural range <>) of t_executor_result;
-- Type found in UVVM-Util types_pkg
constant C_AXI_INTER_BFM_DELAY_DEFAULT : t_inter_bfm_delay := (
delay_type => NO_DELAY,
delay_in_time => 0 ns,
inter_bfm_delay_violation_severity => WARNING
);
type t_vvc_config is record
inter_bfm_delay : t_inter_bfm_delay; -- Minimum delay between BFM accesses from the VVC. If parameter delay_type is set to NO_DELAY, BFM accesses will be back to back, i.e. no delay.
cmd_queue_count_max : natural; -- Maximum pending number in command queue before queue is full. Adding additional commands will result in an ERROR.
cmd_queue_count_threshold : natural; -- An alert with severity 'cmd_queue_count_threshold_severity' will be issued if command queue exceeds this count. Used for early warning if command queue is almost full. Will be ignored if set to 0.
cmd_queue_count_threshold_severity : t_alert_level; -- Severity of alert to be initiated if exceeding cmd_queue_count_threshold
result_queue_count_max : natural; -- Maximum number of unfetched results before result_queue is full.
result_queue_count_threshold_severity : t_alert_level; -- An alert with severity 'result_queue_count_threshold_severity' will be issued if command queue exceeds this count. Used for early warning if result queue is almost full. Will be ignored if set to 0.
result_queue_count_threshold : natural; -- Severity of alert to be initiated if exceeding result_queue_count_threshold
bfm_config : t_axi_bfm_config; -- Configuration for AXI4 BFM. See quick reference for AXI4 BFM
msg_id_panel : t_msg_id_panel; -- VVC dedicated message ID panel
parent_msg_id_panel : t_msg_id_panel; -- UVVM: temporary fix for HVVC, remove in v3.0
force_single_pending_transaction : boolean; -- Waits until the previous transaction is completed before starting the next one
end record;
type t_vvc_config_array is array (natural range <>) of t_vvc_config;
constant C_AXI_VVC_CONFIG_DEFAULT : t_vvc_config := (
inter_bfm_delay => C_AXI_INTER_BFM_DELAY_DEFAULT,
cmd_queue_count_max => C_CMD_QUEUE_COUNT_MAX,
cmd_queue_count_threshold => C_CMD_QUEUE_COUNT_THRESHOLD,
cmd_queue_count_threshold_severity => C_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY,
result_queue_count_max => C_RESULT_QUEUE_COUNT_MAX,
result_queue_count_threshold_severity => C_RESULT_QUEUE_COUNT_THRESHOLD_SEVERITY,
result_queue_count_threshold => C_RESULT_QUEUE_COUNT_THRESHOLD,
bfm_config => C_AXI_BFM_CONFIG_DEFAULT,
msg_id_panel => C_VVC_MSG_ID_PANEL_DEFAULT,
parent_msg_id_panel => C_VVC_MSG_ID_PANEL_DEFAULT,
force_single_pending_transaction => false
);
type t_vvc_status is record
current_cmd_idx : natural;
previous_cmd_idx : natural;
pending_cmd_cnt : natural;
end record;
type t_vvc_status_array is array (natural range <>) of t_vvc_status;
constant C_VVC_STATUS_DEFAULT : t_vvc_status := (
current_cmd_idx => 0,
previous_cmd_idx => 0,
pending_cmd_cnt => 0
);
-- Transaction information for the wave view during simulation
type t_transaction_info is record
operation : t_operation;
addr : unsigned(C_VVC_CMD_ADDR_MAX_LENGTH-1 downto 0);
data : std_logic_vector(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0);
byte_enable : std_logic_vector(C_VVC_CMD_BYTE_ENABLE_MAX_LENGTH-1 downto 0);
msg : string(1 to C_VVC_CMD_STRING_MAX_LENGTH);
end record;
shared variable shared_axi_vvc_config : t_vvc_config_array(0 to C_MAX_VVC_INSTANCE_NUM-1) := (others => C_AXI_VVC_CONFIG_DEFAULT);
shared variable shared_axi_vvc_status : t_vvc_status_array(0 to C_MAX_VVC_INSTANCE_NUM-1) := (others => C_VVC_STATUS_DEFAULT);
-- Scoreboard
shared variable AXI_VVC_SB : t_generic_sb;
--==========================================================================================
-- Methods dedicated to this VVC
-- - These procedures are called from the testbench in order for the VVC to execute
-- BFM calls towards the given interface. The VVC interpreter will queue these calls
-- and then the VVC executor will fetch the commands from the queue and handle the
-- actual BFM execution.
-- For details on how the BFM procedures work, see the QuickRef.
--==========================================================================================
procedure axi_write(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant awid : in std_logic_vector := "";
constant awaddr : in unsigned;
constant awlen : in unsigned(7 downto 0) := (others=>'0');
constant awsize : in integer range 1 to 128 := 4;
constant awburst : in t_axburst := INCR;
constant awlock : in t_axlock := NORMAL;
constant awcache : in std_logic_vector(3 downto 0) := (others=>'0');
constant awprot : in t_axprot := UNPRIVILEGED_NONSECURE_DATA;
constant awqos : in std_logic_vector(3 downto 0) := (others=>'0');
constant awregion : in std_logic_vector(3 downto 0) := (others=>'0');
constant awuser : in std_logic_vector := "";
constant wdata : in t_slv_array;
constant wstrb : in t_slv_array := C_EMPTY_SLV_ARRAY;
constant wuser : in t_slv_array := C_EMPTY_SLV_ARRAY;
constant bresp_exp : in t_xresp := OKAY;
constant buser_exp : in std_logic_vector := "";
constant msg : in string;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
);
procedure axi_read(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant arid : in std_logic_vector := "";
constant araddr : in unsigned;
constant arlen : in unsigned(7 downto 0) := (others=>'0');
constant arsize : in integer range 1 to 128 := 4;
constant arburst : in t_axburst := INCR;
constant arlock : in t_axlock := NORMAL;
constant arcache : in std_logic_vector(3 downto 0) := (others=>'0');
constant arprot : in t_axprot := UNPRIVILEGED_NONSECURE_DATA;
constant arqos : in std_logic_vector(3 downto 0) := (others=>'0');
constant arregion : in std_logic_vector(3 downto 0) := (others=>'0');
constant aruser : in std_logic_vector := "";
constant data_routing : in t_data_routing;
constant msg : in string;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
);
procedure axi_check(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant arid : in std_logic_vector := "";
constant araddr : in unsigned;
constant arlen : in unsigned(7 downto 0) := (others=>'0');
constant arsize : in integer range 1 to 128 := 4;
constant arburst : in t_axburst := INCR;
constant arlock : in t_axlock := NORMAL;
constant arcache : in std_logic_vector(3 downto 0) := (others=>'0');
constant arprot : in t_axprot := UNPRIVILEGED_NONSECURE_DATA;
constant arqos : in std_logic_vector(3 downto 0) := (others=>'0');
constant arregion : in std_logic_vector(3 downto 0) := (others=>'0');
constant aruser : in std_logic_vector := "";
constant rdata_exp : in t_slv_array;
constant rresp_exp : in t_xresp_array := C_EMPTY_XRESP_ARRAY;
constant ruser_exp : in t_slv_array := C_EMPTY_SLV_ARRAY;
constant msg : in string;
constant alert_level : in t_alert_level := ERROR;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
);
--==============================================================================
-- Transaction info methods
--==============================================================================
procedure set_global_vvc_transaction_info(
signal vvc_transaction_info_trigger : inout std_logic;
variable vvc_transaction_info_group : inout t_transaction_group;
constant vvc_cmd : in t_vvc_cmd_record;
constant vvc_config : in t_vvc_config;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT
);
procedure set_arw_vvc_transaction_info(
signal vvc_transaction_info_trigger : inout std_logic;
variable vvc_transaction_info_group : inout t_transaction_group;
constant vvc_cmd : in t_vvc_cmd_record;
constant vvc_config : in t_vvc_config;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT
);
procedure set_w_vvc_transaction_info(
signal vvc_transaction_info_trigger : inout std_logic;
variable vvc_transaction_info_group : inout t_transaction_group;
constant vvc_cmd : in t_vvc_cmd_record;
constant vvc_config : in t_vvc_config;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT
);
procedure set_b_vvc_transaction_info(
signal vvc_transaction_info_trigger : inout std_logic;
variable vvc_transaction_info_group : inout t_transaction_group;
constant vvc_cmd : in t_vvc_cmd_record;
constant vvc_config : in t_vvc_config;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT
);
procedure set_r_vvc_transaction_info(
signal vvc_transaction_info_trigger : inout std_logic;
variable vvc_transaction_info_group : inout t_transaction_group;
constant vvc_cmd : in t_vvc_cmd_record;
constant vvc_config : in t_vvc_config;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT
);
procedure reset_vvc_transaction_info(
variable vvc_transaction_info_group : inout t_transaction_group;
constant vvc_cmd : in t_vvc_cmd_record
);
procedure reset_arw_vvc_transaction_info(
variable vvc_transaction_info_group : inout t_transaction_group;
constant vvc_cmd : in t_vvc_cmd_record
);
procedure reset_w_vvc_transaction_info(
variable vvc_transaction_info_group : inout t_transaction_group
);
procedure reset_b_vvc_transaction_info(
variable vvc_transaction_info_group : inout t_transaction_group
);
procedure reset_r_vvc_transaction_info(
variable vvc_transaction_info_group : inout t_transaction_group
);
--==============================================================================
-- VVC Activity
--==============================================================================
procedure update_vvc_activity_register( signal global_trigger_vvc_activity_register : inout std_logic;
variable vvc_status : inout t_vvc_status;
constant activity : in t_activity;
constant entry_num_in_vvc_activity_register : in integer;
constant last_cmd_idx_executed : in natural;
constant command_queue_is_empty : in boolean;
constant scope : in string := C_VVC_NAME);
end package vvc_methods_pkg;
package body vvc_methods_pkg is
--==============================================================================
-- Methods dedicated to this VVC
-- Notes:
-- - shared_vvc_cmd is initialised to C_VVC_CMD_DEFAULT, and also reset to this after every command
--==============================================================================
procedure axi_write(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant awid : in std_logic_vector := "";
constant awaddr : in unsigned;
constant awlen : in unsigned(7 downto 0) := (others=>'0');
constant awsize : in integer range 1 to 128 := 4;
constant awburst : in t_axburst := INCR;
constant awlock : in t_axlock := NORMAL;
constant awcache : in std_logic_vector(3 downto 0) := (others=>'0');
constant awprot : in t_axprot := UNPRIVILEGED_NONSECURE_DATA;
constant awqos : in std_logic_vector(3 downto 0) := (others=>'0');
constant awregion : in std_logic_vector(3 downto 0) := (others=>'0');
constant awuser : in std_logic_vector := "";
constant wdata : in t_slv_array;
constant wstrb : in t_slv_array := C_EMPTY_SLV_ARRAY;
constant wuser : in t_slv_array := C_EMPTY_SLV_ARRAY;
constant bresp_exp : in t_xresp := OKAY;
constant buser_exp : in std_logic_vector := "";
constant msg : in string;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
) is
constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name);
constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) -- First part common for all
& ", " & to_string(awaddr, HEX, AS_IS, INCL_RADIX) & ")";
variable v_normalised_awid : std_logic_vector(shared_vvc_cmd.id'length-1 downto 0);
variable v_normalised_awaddr : unsigned(shared_vvc_cmd.addr'length-1 downto 0);
variable v_normalised_awuser : std_logic_vector(shared_vvc_cmd.auser'length-1 downto 0);
variable v_normalised_wdata : t_slv_array(0 to shared_vvc_cmd.data_array'length-1)(shared_vvc_cmd.data_array(0)'length-1 downto 0);
variable v_normalised_wstrb : t_slv_array(0 to shared_vvc_cmd.strb_array'length-1)(shared_vvc_cmd.strb_array(0)'length-1 downto 0);
variable v_normalised_wuser : t_slv_array(0 to shared_vvc_cmd.user_array'length-1)(shared_vvc_cmd.user_array(0)'length-1 downto 0);
variable v_normalised_buser_exp : std_logic_vector(shared_vvc_cmd.user'length-1 downto 0);
variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel;
begin
-- Normalizing inputs to the command record
if awid'length = 0 then
v_normalised_awid := C_VVC_CMD_DEFAULT.id;
else
v_normalised_awid := normalize_and_check(awid, shared_vvc_cmd.id, ALLOW_WIDER_NARROWER, "awid", "shared_vvc_cmd.id", "Normalizing awid. " & add_msg_delimiter(msg));
end if;
v_normalised_awaddr := normalize_and_check(awaddr, shared_vvc_cmd.addr, ALLOW_WIDER_NARROWER, "awaddr", "shared_vvc_cmd.addr", "Normalizing awaddr. " & add_msg_delimiter(msg));
if awuser'length = 0 then
v_normalised_awuser := C_VVC_CMD_DEFAULT.auser;
else
v_normalised_awuser := normalize_and_check(awuser, shared_vvc_cmd.auser, ALLOW_WIDER_NARROWER, "awuser", "shared_vvc_cmd.auser", "Normalizing awuser. " & add_msg_delimiter(msg));
end if;
v_normalised_wdata := normalize_and_check(wdata, shared_vvc_cmd.data_array, ALLOW_WIDER_NARROWER, "wdata", "shared_vvc_cmd.data_array", "Normalizing wdata. " & add_msg_delimiter(msg));
if wstrb'length = 1 and wstrb(0)'length = 1 and wstrb(0) = "U" then
v_normalised_wstrb := C_VVC_CMD_DEFAULT.strb_array;
else
v_normalised_wstrb := normalize_and_check(wstrb, shared_vvc_cmd.strb_array, ALLOW_WIDER_NARROWER, "wstrb", "shared_vvc_cmd.strb_array", "Normalizing wstrb. " & add_msg_delimiter(msg));
end if;
if wuser'length = 1 and wuser(0)'length = 1 and wuser(0) = "U" then
v_normalised_wuser := C_VVC_CMD_DEFAULT.user_array;
else
v_normalised_wuser := normalize_and_check(wuser, shared_vvc_cmd.user_array, ALLOW_WIDER_NARROWER, "wuser", "shared_vvc_cmd.user_array", "Normalizing wuser. " & add_msg_delimiter(msg));
end if;
if buser_exp'length = 0 then
v_normalised_buser_exp := C_VVC_CMD_DEFAULT.user;
else
v_normalised_buser_exp := normalize_and_check(buser_exp, shared_vvc_cmd.user, ALLOW_WIDER_NARROWER, "buser_exp", "shared_vvc_cmd.user", "Normalizing buser. " & add_msg_delimiter(msg));
end if;
-- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record
-- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd
-- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC
set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, WRITE);
shared_vvc_cmd.id := v_normalised_awid;
shared_vvc_cmd.addr := v_normalised_awaddr;
shared_vvc_cmd.len := awlen;
shared_vvc_cmd.size := awsize;
shared_vvc_cmd.burst := awburst;
shared_vvc_cmd.lock := awlock;
shared_vvc_cmd.cache := awcache;
shared_vvc_cmd.prot := awprot;
shared_vvc_cmd.qos := awqos;
shared_vvc_cmd.region := awregion;
shared_vvc_cmd.resp := bresp_exp;
shared_vvc_cmd.auser := v_normalised_awuser;
shared_vvc_cmd.user := v_normalised_buser_exp;
shared_vvc_cmd.data_array := v_normalised_wdata;
shared_vvc_cmd.strb_array := v_normalised_wstrb;
shared_vvc_cmd.user_array := v_normalised_wuser;
shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel;
if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then
v_msg_id_panel := parent_msg_id_panel;
end if;
send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel);
end procedure;
procedure axi_read(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant arid : in std_logic_vector := "";
constant araddr : in unsigned;
constant arlen : in unsigned(7 downto 0) := (others=>'0');
constant arsize : in integer range 1 to 128 := 4;
constant arburst : in t_axburst := INCR;
constant arlock : in t_axlock := NORMAL;
constant arcache : in std_logic_vector(3 downto 0) := (others=>'0');
constant arprot : in t_axprot := UNPRIVILEGED_NONSECURE_DATA;
constant arqos : in std_logic_vector(3 downto 0) := (others=>'0');
constant arregion : in std_logic_vector(3 downto 0) := (others=>'0');
constant aruser : in std_logic_vector := "";
constant data_routing : in t_data_routing;
constant msg : in string;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
) is
constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name);
constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) -- First part common for all
& ", " & to_string(araddr, HEX, AS_IS, INCL_RADIX) & ")";
variable v_normalised_arid : std_logic_vector(shared_vvc_cmd.id'length-1 downto 0);
variable v_normalised_araddr : unsigned(shared_vvc_cmd.addr'length-1 downto 0);
variable v_normalised_aruser : std_logic_vector(shared_vvc_cmd.user'length-1 downto 0);
variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel;
begin
-- Normalizing inputs to the command record
if arid'length = 0 then
v_normalised_arid := C_VVC_CMD_DEFAULT.id;
else
v_normalised_arid := normalize_and_check(arid, shared_vvc_cmd.id, ALLOW_WIDER_NARROWER, "arid", "shared_vvc_cmd.id", "Normalizing arid. " & add_msg_delimiter(msg));
end if;
v_normalised_araddr := normalize_and_check(araddr, shared_vvc_cmd.addr, ALLOW_WIDER_NARROWER, "araddr", "shared_vvc_cmd.addr", msg);
if aruser'length = 0 then
v_normalised_aruser := C_VVC_CMD_DEFAULT.auser;
else
v_normalised_aruser := normalize_and_check(aruser, shared_vvc_cmd.user, ALLOW_WIDER_NARROWER, "aruser", "shared_vvc_cmd.auser", "Normalizing aruser. " & add_msg_delimiter(msg));
end if;
-- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record
-- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd
-- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC
set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, READ);
shared_vvc_cmd.id := v_normalised_arid;
shared_vvc_cmd.addr := v_normalised_araddr;
shared_vvc_cmd.len := arlen;
shared_vvc_cmd.size := arsize;
shared_vvc_cmd.burst := arburst;
shared_vvc_cmd.lock := arlock;
shared_vvc_cmd.cache := arcache;
shared_vvc_cmd.prot := arprot;
shared_vvc_cmd.qos := arqos;
shared_vvc_cmd.region := arregion;
shared_vvc_cmd.auser := v_normalised_aruser;
shared_vvc_cmd.data_routing := data_routing;
shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel;
if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then
v_msg_id_panel := parent_msg_id_panel;
end if;
send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel);
end procedure;
procedure axi_check(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant arid : in std_logic_vector := "";
constant araddr : in unsigned;
constant arlen : in unsigned(7 downto 0) := (others=>'0');
constant arsize : in integer range 1 to 128 := 4;
constant arburst : in t_axburst := INCR;
constant arlock : in t_axlock := NORMAL;
constant arcache : in std_logic_vector(3 downto 0) := (others=>'0');
constant arprot : in t_axprot := UNPRIVILEGED_NONSECURE_DATA;
constant arqos : in std_logic_vector(3 downto 0) := (others=>'0');
constant arregion : in std_logic_vector(3 downto 0) := (others=>'0');
constant aruser : in std_logic_vector := "";
constant rdata_exp : in t_slv_array;
constant rresp_exp : in t_xresp_array := C_EMPTY_XRESP_ARRAY;
constant ruser_exp : in t_slv_array := C_EMPTY_SLV_ARRAY;
constant msg : in string;
constant alert_level : in t_alert_level := ERROR;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
) is
constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name);
constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) -- First part common for all
& ", " & to_string(araddr, HEX, AS_IS, INCL_RADIX) & ")";
variable v_normalised_arid : std_logic_vector(shared_vvc_cmd.id'length-1 downto 0);
variable v_normalised_araddr : unsigned(shared_vvc_cmd.addr'length-1 downto 0);
variable v_normalised_aruser : std_logic_vector(shared_vvc_cmd.auser'length-1 downto 0);
variable v_normalised_rdata : t_slv_array(0 to shared_vvc_cmd.data_array'length-1)(shared_vvc_cmd.data_array(0)'length-1 downto 0);
variable v_normalised_rresp : t_xresp_array(0 to shared_vvc_cmd.data_array'length-1) := (others=>ILLEGAL);
variable v_normalised_ruser : t_slv_array(0 to shared_vvc_cmd.user_array'length-1)(shared_vvc_cmd.user_array(0)'length-1 downto 0);
variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel;
begin
-- Normalizing inputs to the command record
if arid'length = 0 then
v_normalised_arid := C_VVC_CMD_DEFAULT.id;
else
v_normalised_arid := normalize_and_check(arid, shared_vvc_cmd.id, ALLOW_WIDER_NARROWER, "arid", "shared_vvc_cmd.id", "Normalizing arid. " & add_msg_delimiter(msg));
end if;
v_normalised_araddr := normalize_and_check(araddr, shared_vvc_cmd.addr, ALLOW_WIDER_NARROWER, "araddr", "shared_vvc_cmd.addr", msg);
if aruser'length = 0 then
v_normalised_aruser := C_VVC_CMD_DEFAULT.auser;
else
v_normalised_aruser := normalize_and_check(aruser, shared_vvc_cmd.user, ALLOW_WIDER_NARROWER, "aruser", "shared_vvc_cmd.user", "Normalizing aruser. " & add_msg_delimiter(msg));
end if;
v_normalised_rdata := normalize_and_check(rdata_exp, shared_vvc_cmd.data_array, ALLOW_WIDER_NARROWER, "rdata_exp", "shared_vvc_cmd.data_array", "Normalizing rdata. " & add_msg_delimiter(msg));
if rresp_exp'length = 1 and rresp_exp(0) = ILLEGAL then
v_normalised_rresp := C_VVC_CMD_DEFAULT.resp_array;
else
if not rresp_exp'ascending then
tb_error("The array rresp_exp is instantiated as 'downto', but only 'to' is supported" & add_msg_delimiter(msg), scope);
else
for i in 0 to minimum(rresp_exp'length, shared_vvc_cmd.resp_array'length) - 1 loop
v_normalised_rresp(i) := rresp_exp(i);
end loop;
end if;
end if;
if ruser_exp'length = 1 and ruser_exp(0)'length = 1 and ruser_exp(0) = "U" then
v_normalised_ruser := C_VVC_CMD_DEFAULT.user_array;
else
v_normalised_ruser := normalize_and_check(ruser_exp, shared_vvc_cmd.user_array, ALLOW_WIDER_NARROWER, "ruser_exp", "shared_vvc_cmd.user_array", "Normalizing ruser. " & add_msg_delimiter(msg));
end if;
-- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record
-- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd
-- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC
set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, CHECK);
shared_vvc_cmd.id := v_normalised_arid;
shared_vvc_cmd.addr := v_normalised_araddr;
shared_vvc_cmd.len := arlen;
shared_vvc_cmd.size := arsize;
shared_vvc_cmd.burst := arburst;
shared_vvc_cmd.lock := arlock;
shared_vvc_cmd.cache := arcache;
shared_vvc_cmd.prot := arprot;
shared_vvc_cmd.qos := arqos;
shared_vvc_cmd.region := arregion;
shared_vvc_cmd.auser := v_normalised_aruser;
shared_vvc_cmd.data_array := v_normalised_rdata;
shared_vvc_cmd.resp_array := v_normalised_rresp;
shared_vvc_cmd.user_array := v_normalised_ruser;
shared_vvc_cmd.alert_level := alert_level;
shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel;
if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then
v_msg_id_panel := parent_msg_id_panel;
end if;
send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel);
end procedure;
--==============================================================================
-- Transaction info methods
--==============================================================================
procedure set_global_vvc_transaction_info(
signal vvc_transaction_info_trigger : inout std_logic;
variable vvc_transaction_info_group : inout t_transaction_group;
constant vvc_cmd : in t_vvc_cmd_record;
constant vvc_config : in t_vvc_config;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT
) is
begin
case vvc_cmd.operation is
when WRITE =>
vvc_transaction_info_group.bt_wr.operation := vvc_cmd.operation;
vvc_transaction_info_group.bt_wr.vvc_meta.msg(1 to vvc_cmd.msg'length) := vvc_cmd.msg;
vvc_transaction_info_group.bt_wr.vvc_meta.cmd_idx := vvc_cmd.cmd_idx;
vvc_transaction_info_group.bt_wr.transaction_status := IN_PROGRESS;
when READ | CHECK =>
vvc_transaction_info_group.bt_rd.operation := vvc_cmd.operation;
vvc_transaction_info_group.bt_rd.vvc_meta.msg(1 to vvc_cmd.msg'length) := vvc_cmd.msg;
vvc_transaction_info_group.bt_rd.vvc_meta.cmd_idx := vvc_cmd.cmd_idx;
vvc_transaction_info_group.bt_rd.transaction_status := IN_PROGRESS;
when others =>
alert(TB_ERROR, "VVC operation not recognized");
end case;
gen_pulse(vvc_transaction_info_trigger, 0 ns, "pulsing global vvc transaction info trigger", scope, ID_NEVER);
wait for 0 ns;
end procedure set_global_vvc_transaction_info;
procedure set_arw_vvc_transaction_info(
signal vvc_transaction_info_trigger : inout std_logic;
variable vvc_transaction_info_group : inout t_transaction_group;
constant vvc_cmd : in t_vvc_cmd_record;
constant vvc_config : in t_vvc_config;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT
) is
begin
case vvc_cmd.operation is
when WRITE =>
vvc_transaction_info_group.st_aw.operation := vvc_cmd.operation;
vvc_transaction_info_group.st_aw.arwid := vvc_cmd.aid;
vvc_transaction_info_group.st_aw.arwaddr := vvc_cmd.addr;
vvc_transaction_info_group.st_aw.arwlen := vvc_cmd.len;
vvc_transaction_info_group.st_aw.arwsize := vvc_cmd.size;
vvc_transaction_info_group.st_aw.arwburst := vvc_cmd.burst;
vvc_transaction_info_group.st_aw.arwlock := vvc_cmd.lock;
vvc_transaction_info_group.st_aw.arwcache := vvc_cmd.cache;
vvc_transaction_info_group.st_aw.arwprot := vvc_cmd.prot;
vvc_transaction_info_group.st_aw.arwqos := vvc_cmd.qos;
vvc_transaction_info_group.st_aw.arwregion := vvc_cmd.region;
vvc_transaction_info_group.st_aw.arwuser := vvc_cmd.auser;
vvc_transaction_info_group.st_aw.vvc_meta.msg(1 to vvc_cmd.msg'length) := vvc_cmd.msg;
vvc_transaction_info_group.st_aw.vvc_meta.cmd_idx := vvc_cmd.cmd_idx;
vvc_transaction_info_group.st_aw.transaction_status := IN_PROGRESS;
when READ | CHECK =>
vvc_transaction_info_group.st_ar.operation := vvc_cmd.operation;
vvc_transaction_info_group.st_ar.arwid := vvc_cmd.aid;
vvc_transaction_info_group.st_ar.arwaddr := vvc_cmd.addr;
vvc_transaction_info_group.st_ar.arwlen := vvc_cmd.len;
vvc_transaction_info_group.st_ar.arwsize := vvc_cmd.size;
vvc_transaction_info_group.st_ar.arwburst := vvc_cmd.burst;
vvc_transaction_info_group.st_ar.arwlock := vvc_cmd.lock;
vvc_transaction_info_group.st_ar.arwcache := vvc_cmd.cache;
vvc_transaction_info_group.st_ar.arwprot := vvc_cmd.prot;
vvc_transaction_info_group.st_ar.arwqos := vvc_cmd.qos;
vvc_transaction_info_group.st_ar.arwregion := vvc_cmd.region;
vvc_transaction_info_group.st_ar.arwuser := vvc_cmd.auser;
vvc_transaction_info_group.st_ar.vvc_meta.msg(1 to vvc_cmd.msg'length) := vvc_cmd.msg;
vvc_transaction_info_group.st_ar.vvc_meta.cmd_idx := vvc_cmd.cmd_idx;
vvc_transaction_info_group.st_ar.transaction_status := IN_PROGRESS;
when others =>
alert(TB_ERROR, "VVC operation not recognized");
end case;
gen_pulse(vvc_transaction_info_trigger, 0 ns, "pulsing global vvc transaction info trigger", scope, ID_NEVER);
wait for 0 ns;
end procedure set_arw_vvc_transaction_info;
procedure set_w_vvc_transaction_info(
signal vvc_transaction_info_trigger : inout std_logic;
variable vvc_transaction_info_group : inout t_transaction_group;
constant vvc_cmd : in t_vvc_cmd_record;
constant vvc_config : in t_vvc_config;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT
) is
begin
vvc_transaction_info_group.st_w.operation := vvc_cmd.operation;
vvc_transaction_info_group.st_w.wdata := vvc_cmd.data_array;
vvc_transaction_info_group.st_w.wstrb := vvc_cmd.strb_array;
vvc_transaction_info_group.st_w.wuser := vvc_cmd.user_array;
vvc_transaction_info_group.st_w.vvc_meta.msg(1 to vvc_cmd.msg'length) := vvc_cmd.msg;
vvc_transaction_info_group.st_w.vvc_meta.cmd_idx := vvc_cmd.cmd_idx;
vvc_transaction_info_group.st_w.transaction_status := IN_PROGRESS;
gen_pulse(vvc_transaction_info_trigger, 0 ns, "pulsing global vvc transaction info trigger", scope, ID_NEVER);
wait for 0 ns;
end procedure set_w_vvc_transaction_info;
procedure set_b_vvc_transaction_info(
signal vvc_transaction_info_trigger : inout std_logic;
variable vvc_transaction_info_group : inout t_transaction_group;
constant vvc_cmd : in t_vvc_cmd_record;
constant vvc_config : in t_vvc_config;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT
) is
begin
vvc_transaction_info_group.st_b.operation := vvc_cmd.operation;
vvc_transaction_info_group.st_b.bid := vvc_cmd.id;
vvc_transaction_info_group.st_b.bresp := vvc_cmd.resp;
vvc_transaction_info_group.st_b.buser := vvc_cmd.user;
vvc_transaction_info_group.st_b.vvc_meta.msg(1 to vvc_cmd.msg'length) := vvc_cmd.msg;
vvc_transaction_info_group.st_b.vvc_meta.cmd_idx := vvc_cmd.cmd_idx;
vvc_transaction_info_group.st_b.transaction_status := IN_PROGRESS;
gen_pulse(vvc_transaction_info_trigger, 0 ns, "pulsing global vvc transaction info trigger", scope, ID_NEVER);
wait for 0 ns;
end procedure set_b_vvc_transaction_info;
procedure set_r_vvc_transaction_info(
signal vvc_transaction_info_trigger : inout std_logic;
variable vvc_transaction_info_group : inout t_transaction_group;
constant vvc_cmd : in t_vvc_cmd_record;
constant vvc_config : in t_vvc_config;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT
) is
begin
vvc_transaction_info_group.st_r.operation := vvc_cmd.operation;
vvc_transaction_info_group.st_r.rid := vvc_cmd.id;
vvc_transaction_info_group.st_r.rdata := vvc_cmd.data_array;
vvc_transaction_info_group.st_r.rresp := vvc_cmd.resp_array;
vvc_transaction_info_group.st_r.ruser := vvc_cmd.user_array;
vvc_transaction_info_group.st_r.vvc_meta.msg(1 to vvc_cmd.msg'length) := vvc_cmd.msg;
vvc_transaction_info_group.st_r.vvc_meta.cmd_idx := vvc_cmd.cmd_idx;
vvc_transaction_info_group.st_r.transaction_status := IN_PROGRESS;
gen_pulse(vvc_transaction_info_trigger, 0 ns, "pulsing global vvc transaction info trigger", scope, ID_NEVER);
wait for 0 ns;
end procedure set_r_vvc_transaction_info;
procedure reset_vvc_transaction_info(
variable vvc_transaction_info_group : inout t_transaction_group;
constant vvc_cmd : in t_vvc_cmd_record
) is
begin
case vvc_cmd.operation is
when WRITE =>
if vvc_cmd.cmd_idx = vvc_transaction_info_group.bt_wr.vvc_meta.cmd_idx then
vvc_transaction_info_group.bt_wr := C_BASE_TRANSACTION_SET_DEFAULT;
end if;
when READ | CHECK =>
if vvc_cmd.cmd_idx = vvc_transaction_info_group.bt_rd.vvc_meta.cmd_idx then
vvc_transaction_info_group.bt_rd := C_BASE_TRANSACTION_SET_DEFAULT;
end if;
when others =>
null;
end case;
wait for 0 ns;
end procedure reset_vvc_transaction_info;
procedure reset_arw_vvc_transaction_info(
variable vvc_transaction_info_group : inout t_transaction_group;
constant vvc_cmd : in t_vvc_cmd_record
) is
begin
case vvc_cmd.operation is
when WRITE =>
vvc_transaction_info_group.st_aw := C_ARW_TRANSACTION_DEFAULT;
when READ | CHECK =>
vvc_transaction_info_group.st_ar := C_ARW_TRANSACTION_DEFAULT;
when others =>
null;
end case;
wait for 0 ns;
end procedure reset_arw_vvc_transaction_info;
procedure reset_w_vvc_transaction_info(
variable vvc_transaction_info_group : inout t_transaction_group
) is
begin
vvc_transaction_info_group.st_w := C_W_TRANSACTION_DEFAULT;
end procedure reset_w_vvc_transaction_info;
procedure reset_b_vvc_transaction_info(
variable vvc_transaction_info_group : inout t_transaction_group
) is
begin
vvc_transaction_info_group.st_b := C_B_TRANSACTION_DEFAULT;
end procedure reset_b_vvc_transaction_info;
procedure reset_r_vvc_transaction_info(
variable vvc_transaction_info_group : inout t_transaction_group
) is
begin
vvc_transaction_info_group.st_r := C_R_TRANSACTION_DEFAULT;
end procedure reset_r_vvc_transaction_info;
--==============================================================================
-- VVC Activity
--==============================================================================
procedure update_vvc_activity_register( signal global_trigger_vvc_activity_register : inout std_logic;
variable vvc_status : inout t_vvc_status;
constant activity : in t_activity;
constant entry_num_in_vvc_activity_register : in integer;
constant last_cmd_idx_executed : in natural;
constant command_queue_is_empty : in boolean;
constant scope : in string := C_VVC_NAME) is
variable v_activity : t_activity := activity;
begin
-- Update vvc_status after a command has finished (during same delta cycle the activity register is updated)
if activity = INACTIVE then
vvc_status.previous_cmd_idx := last_cmd_idx_executed;
vvc_status.current_cmd_idx := 0;
end if;
if v_activity = INACTIVE and not(command_queue_is_empty) then
v_activity := ACTIVE;
end if;
shared_vvc_activity_register.priv_report_vvc_activity(vvc_idx => entry_num_in_vvc_activity_register,
activity => v_activity,
last_cmd_idx_executed => last_cmd_idx_executed);
if global_trigger_vvc_activity_register /= 'L' then
wait until global_trigger_vvc_activity_register = 'L';
end if;
gen_pulse(global_trigger_vvc_activity_register, 0 ns, "pulsing global trigger for vvc activity register", scope, ID_NEVER);
end procedure;
end package body vvc_methods_pkg;
|
-- Libraries imports
library ieee;
use ieee.std_logic_1164.all;
-- Module interface description
entity my_module is
port(
clk : in std_logic;
o : out std_logic
);
end entity;
-- Module architecture description
architecture rtl of my_module is
signal d : std_logic;
signal q : std_logic;
begin
-- Combinatorial logic
o <= q;
d <= not q;
-- Synchronous logic
process(clk)
begin
if rising_edge(clk) then
d <= q
end if;
end process
end rtl;
|
--Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
----------------------------------------------------------------------------------
--Tool Version: Vivado v.2016.4 (win64) Build 1756540 Mon Jan 23 19:11:23 MST 2017
--Date : Mon Mar 20 20:54:09 2017
--Host : N73-PC running 64-bit major release (build 9200)
--Command : generate_target system_wrapper.bd
--Design : system_wrapper
--Purpose : IP block netlist
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_wrapper is
port (
DDR3_addr : out STD_LOGIC_VECTOR ( 13 downto 0 );
DDR3_ba : out STD_LOGIC_VECTOR ( 2 downto 0 );
DDR3_cas_n : out STD_LOGIC;
DDR3_ck_n : out STD_LOGIC_VECTOR ( 0 to 0 );
DDR3_ck_p : out STD_LOGIC_VECTOR ( 0 to 0 );
DDR3_cke : out STD_LOGIC_VECTOR ( 0 to 0 );
DDR3_cs_n : out STD_LOGIC_VECTOR ( 0 to 0 );
DDR3_dm : out STD_LOGIC_VECTOR ( 1 downto 0 );
DDR3_dq : inout STD_LOGIC_VECTOR ( 15 downto 0 );
DDR3_dqs_n : inout STD_LOGIC_VECTOR ( 1 downto 0 );
DDR3_dqs_p : inout STD_LOGIC_VECTOR ( 1 downto 0 );
DDR3_odt : out STD_LOGIC_VECTOR ( 0 to 0 );
DDR3_ras_n : out STD_LOGIC;
DDR3_reset_n : out STD_LOGIC;
DDR3_we_n : out STD_LOGIC;
Vaux0_v_n : in STD_LOGIC;
Vaux0_v_p : in STD_LOGIC;
Vaux10_v_n : in STD_LOGIC;
Vaux10_v_p : in STD_LOGIC;
Vaux12_v_n : in STD_LOGIC;
Vaux12_v_p : in STD_LOGIC;
Vaux13_v_n : in STD_LOGIC;
Vaux13_v_p : in STD_LOGIC;
Vaux14_v_n : in STD_LOGIC;
Vaux14_v_p : in STD_LOGIC;
Vaux15_v_n : in STD_LOGIC;
Vaux15_v_p : in STD_LOGIC;
Vaux1_v_n : in STD_LOGIC;
Vaux1_v_p : in STD_LOGIC;
Vaux2_v_n : in STD_LOGIC;
Vaux2_v_p : in STD_LOGIC;
Vaux4_v_n : in STD_LOGIC;
Vaux4_v_p : in STD_LOGIC;
Vaux5_v_n : in STD_LOGIC;
Vaux5_v_p : in STD_LOGIC;
Vaux6_v_n : in STD_LOGIC;
Vaux6_v_p : in STD_LOGIC;
Vaux7_v_n : in STD_LOGIC;
Vaux7_v_p : in STD_LOGIC;
Vaux9_v_n : in STD_LOGIC;
Vaux9_v_p : in STD_LOGIC;
Vp_Vn_v_n : in STD_LOGIC;
Vp_Vn_v_p : in STD_LOGIC;
dip_switches_4bits_tri_i : in STD_LOGIC_VECTOR ( 3 downto 0 );
eth_mdio_mdc_mdc : out STD_LOGIC;
eth_mdio_mdc_mdio_io : inout STD_LOGIC;
eth_mii_col : in STD_LOGIC;
eth_mii_crs : in STD_LOGIC;
eth_mii_rst_n : out STD_LOGIC;
eth_mii_rx_clk : in STD_LOGIC;
eth_mii_rx_dv : in STD_LOGIC;
eth_mii_rx_er : in STD_LOGIC;
eth_mii_rxd : in STD_LOGIC_VECTOR ( 3 downto 0 );
eth_mii_tx_clk : in STD_LOGIC;
eth_mii_tx_en : out STD_LOGIC;
eth_mii_txd : out STD_LOGIC_VECTOR ( 3 downto 0 );
eth_ref_clk : out STD_LOGIC;
i2c_pullups_tri_io : inout STD_LOGIC_VECTOR ( 1 downto 0 );
i2c_scl_io : inout STD_LOGIC;
i2c_sda_io : inout STD_LOGIC;
led_4bits_tri_io : inout STD_LOGIC_VECTOR ( 3 downto 0 );
push_buttons_4bits_tri_i : in STD_LOGIC_VECTOR ( 3 downto 0 );
qspi_flash_io0_io : inout STD_LOGIC;
qspi_flash_io1_io : inout STD_LOGIC;
qspi_flash_io2_io : inout STD_LOGIC;
qspi_flash_io3_io : inout STD_LOGIC;
qspi_flash_sck_io : inout STD_LOGIC;
qspi_flash_ss_io : inout STD_LOGIC;
reset : in STD_LOGIC;
rgb_led_tri_io : inout STD_LOGIC_VECTOR ( 11 downto 0 );
shield_dp0_dp19_tri_io : inout STD_LOGIC_VECTOR ( 19 downto 0 );
shield_dp26_dp41_tri_io : inout STD_LOGIC_VECTOR ( 15 downto 0 );
spi_io0_io : inout STD_LOGIC;
spi_io1_io : inout STD_LOGIC;
spi_sck_io : inout STD_LOGIC;
spi_ss_io : inout STD_LOGIC;
sys_clock : in STD_LOGIC;
usb_uart_rxd : in STD_LOGIC;
usb_uart_txd : out STD_LOGIC
);
end system_wrapper;
architecture STRUCTURE of system_wrapper is
component system is
port (
DDR3_dq : inout STD_LOGIC_VECTOR ( 15 downto 0 );
DDR3_dqs_p : inout STD_LOGIC_VECTOR ( 1 downto 0 );
DDR3_dqs_n : inout STD_LOGIC_VECTOR ( 1 downto 0 );
DDR3_addr : out STD_LOGIC_VECTOR ( 13 downto 0 );
DDR3_ba : out STD_LOGIC_VECTOR ( 2 downto 0 );
DDR3_ras_n : out STD_LOGIC;
DDR3_cas_n : out STD_LOGIC;
DDR3_we_n : out STD_LOGIC;
DDR3_reset_n : out STD_LOGIC;
DDR3_ck_p : out STD_LOGIC_VECTOR ( 0 to 0 );
DDR3_ck_n : out STD_LOGIC_VECTOR ( 0 to 0 );
DDR3_cke : out STD_LOGIC_VECTOR ( 0 to 0 );
DDR3_cs_n : out STD_LOGIC_VECTOR ( 0 to 0 );
DDR3_dm : out STD_LOGIC_VECTOR ( 1 downto 0 );
DDR3_odt : out STD_LOGIC_VECTOR ( 0 to 0 );
Vaux0_v_n : in STD_LOGIC;
Vaux0_v_p : in STD_LOGIC;
Vaux1_v_n : in STD_LOGIC;
Vaux1_v_p : in STD_LOGIC;
Vaux2_v_n : in STD_LOGIC;
Vaux2_v_p : in STD_LOGIC;
Vaux4_v_n : in STD_LOGIC;
Vaux4_v_p : in STD_LOGIC;
Vaux5_v_n : in STD_LOGIC;
Vaux5_v_p : in STD_LOGIC;
Vaux6_v_n : in STD_LOGIC;
Vaux6_v_p : in STD_LOGIC;
Vaux7_v_n : in STD_LOGIC;
Vaux7_v_p : in STD_LOGIC;
Vaux9_v_n : in STD_LOGIC;
Vaux9_v_p : in STD_LOGIC;
Vaux10_v_n : in STD_LOGIC;
Vaux10_v_p : in STD_LOGIC;
Vaux12_v_n : in STD_LOGIC;
Vaux12_v_p : in STD_LOGIC;
Vaux13_v_n : in STD_LOGIC;
Vaux13_v_p : in STD_LOGIC;
Vaux14_v_n : in STD_LOGIC;
Vaux14_v_p : in STD_LOGIC;
Vaux15_v_n : in STD_LOGIC;
Vaux15_v_p : in STD_LOGIC;
Vp_Vn_v_n : in STD_LOGIC;
Vp_Vn_v_p : in STD_LOGIC;
dip_switches_4bits_tri_i : in STD_LOGIC_VECTOR ( 3 downto 0 );
eth_mdio_mdc_mdc : out STD_LOGIC;
eth_mdio_mdc_mdio_i : in STD_LOGIC;
eth_mdio_mdc_mdio_o : out STD_LOGIC;
eth_mdio_mdc_mdio_t : out STD_LOGIC;
eth_mii_col : in STD_LOGIC;
eth_mii_crs : in STD_LOGIC;
eth_mii_rst_n : out STD_LOGIC;
eth_mii_rx_clk : in STD_LOGIC;
eth_mii_rx_dv : in STD_LOGIC;
eth_mii_rx_er : in STD_LOGIC;
eth_mii_rxd : in STD_LOGIC_VECTOR ( 3 downto 0 );
eth_mii_tx_clk : in STD_LOGIC;
eth_mii_tx_en : out STD_LOGIC;
eth_mii_txd : out STD_LOGIC_VECTOR ( 3 downto 0 );
i2c_scl_i : in STD_LOGIC;
i2c_scl_o : out STD_LOGIC;
i2c_scl_t : out STD_LOGIC;
i2c_sda_i : in STD_LOGIC;
i2c_sda_o : out STD_LOGIC;
i2c_sda_t : out STD_LOGIC;
i2c_pullups_tri_i : in STD_LOGIC_VECTOR ( 1 downto 0 );
i2c_pullups_tri_o : out STD_LOGIC_VECTOR ( 1 downto 0 );
i2c_pullups_tri_t : out STD_LOGIC_VECTOR ( 1 downto 0 );
led_4bits_tri_i : in STD_LOGIC_VECTOR ( 3 downto 0 );
led_4bits_tri_o : out STD_LOGIC_VECTOR ( 3 downto 0 );
led_4bits_tri_t : out STD_LOGIC_VECTOR ( 3 downto 0 );
push_buttons_4bits_tri_i : in STD_LOGIC_VECTOR ( 3 downto 0 );
qspi_flash_io0_i : in STD_LOGIC;
qspi_flash_io0_o : out STD_LOGIC;
qspi_flash_io0_t : out STD_LOGIC;
qspi_flash_io1_i : in STD_LOGIC;
qspi_flash_io1_o : out STD_LOGIC;
qspi_flash_io1_t : out STD_LOGIC;
qspi_flash_io2_i : in STD_LOGIC;
qspi_flash_io2_o : out STD_LOGIC;
qspi_flash_io2_t : out STD_LOGIC;
qspi_flash_io3_i : in STD_LOGIC;
qspi_flash_io3_o : out STD_LOGIC;
qspi_flash_io3_t : out STD_LOGIC;
qspi_flash_sck_i : in STD_LOGIC;
qspi_flash_sck_o : out STD_LOGIC;
qspi_flash_sck_t : out STD_LOGIC;
qspi_flash_ss_i : in STD_LOGIC;
qspi_flash_ss_o : out STD_LOGIC;
qspi_flash_ss_t : out STD_LOGIC;
rgb_led_tri_i : in STD_LOGIC_VECTOR ( 11 downto 0 );
rgb_led_tri_o : out STD_LOGIC_VECTOR ( 11 downto 0 );
rgb_led_tri_t : out STD_LOGIC_VECTOR ( 11 downto 0 );
shield_dp0_dp19_tri_i : in STD_LOGIC_VECTOR ( 19 downto 0 );
shield_dp0_dp19_tri_o : out STD_LOGIC_VECTOR ( 19 downto 0 );
shield_dp0_dp19_tri_t : out STD_LOGIC_VECTOR ( 19 downto 0 );
shield_dp26_dp41_tri_i : in STD_LOGIC_VECTOR ( 15 downto 0 );
shield_dp26_dp41_tri_o : out STD_LOGIC_VECTOR ( 15 downto 0 );
shield_dp26_dp41_tri_t : out STD_LOGIC_VECTOR ( 15 downto 0 );
spi_io0_i : in STD_LOGIC;
spi_io0_o : out STD_LOGIC;
spi_io0_t : out STD_LOGIC;
spi_io1_i : in STD_LOGIC;
spi_io1_o : out STD_LOGIC;
spi_io1_t : out STD_LOGIC;
spi_sck_i : in STD_LOGIC;
spi_sck_o : out STD_LOGIC;
spi_sck_t : out STD_LOGIC;
spi_ss_i : in STD_LOGIC;
spi_ss_o : out STD_LOGIC;
spi_ss_t : out STD_LOGIC;
usb_uart_rxd : in STD_LOGIC;
usb_uart_txd : out STD_LOGIC;
eth_ref_clk : out STD_LOGIC;
reset : in STD_LOGIC;
sys_clock : in STD_LOGIC
);
end component system;
component IOBUF is
port (
I : in STD_LOGIC;
O : out STD_LOGIC;
T : in STD_LOGIC;
IO : inout STD_LOGIC
);
end component IOBUF;
signal eth_mdio_mdc_mdio_i : STD_LOGIC;
signal eth_mdio_mdc_mdio_o : STD_LOGIC;
signal eth_mdio_mdc_mdio_t : STD_LOGIC;
signal i2c_pullups_tri_i_0 : STD_LOGIC_VECTOR ( 0 to 0 );
signal i2c_pullups_tri_i_1 : STD_LOGIC_VECTOR ( 1 to 1 );
signal i2c_pullups_tri_io_0 : STD_LOGIC_VECTOR ( 0 to 0 );
signal i2c_pullups_tri_io_1 : STD_LOGIC_VECTOR ( 1 to 1 );
signal i2c_pullups_tri_o_0 : STD_LOGIC_VECTOR ( 0 to 0 );
signal i2c_pullups_tri_o_1 : STD_LOGIC_VECTOR ( 1 to 1 );
signal i2c_pullups_tri_t_0 : STD_LOGIC_VECTOR ( 0 to 0 );
signal i2c_pullups_tri_t_1 : STD_LOGIC_VECTOR ( 1 to 1 );
signal i2c_scl_i : STD_LOGIC;
signal i2c_scl_o : STD_LOGIC;
signal i2c_scl_t : STD_LOGIC;
signal i2c_sda_i : STD_LOGIC;
signal i2c_sda_o : STD_LOGIC;
signal i2c_sda_t : STD_LOGIC;
signal led_4bits_tri_i_0 : STD_LOGIC_VECTOR ( 0 to 0 );
signal led_4bits_tri_i_1 : STD_LOGIC_VECTOR ( 1 to 1 );
signal led_4bits_tri_i_2 : STD_LOGIC_VECTOR ( 2 to 2 );
signal led_4bits_tri_i_3 : STD_LOGIC_VECTOR ( 3 to 3 );
signal led_4bits_tri_io_0 : STD_LOGIC_VECTOR ( 0 to 0 );
signal led_4bits_tri_io_1 : STD_LOGIC_VECTOR ( 1 to 1 );
signal led_4bits_tri_io_2 : STD_LOGIC_VECTOR ( 2 to 2 );
signal led_4bits_tri_io_3 : STD_LOGIC_VECTOR ( 3 to 3 );
signal led_4bits_tri_o_0 : STD_LOGIC_VECTOR ( 0 to 0 );
signal led_4bits_tri_o_1 : STD_LOGIC_VECTOR ( 1 to 1 );
signal led_4bits_tri_o_2 : STD_LOGIC_VECTOR ( 2 to 2 );
signal led_4bits_tri_o_3 : STD_LOGIC_VECTOR ( 3 to 3 );
signal led_4bits_tri_t_0 : STD_LOGIC_VECTOR ( 0 to 0 );
signal led_4bits_tri_t_1 : STD_LOGIC_VECTOR ( 1 to 1 );
signal led_4bits_tri_t_2 : STD_LOGIC_VECTOR ( 2 to 2 );
signal led_4bits_tri_t_3 : STD_LOGIC_VECTOR ( 3 to 3 );
signal qspi_flash_io0_i : STD_LOGIC;
signal qspi_flash_io0_o : STD_LOGIC;
signal qspi_flash_io0_t : STD_LOGIC;
signal qspi_flash_io1_i : STD_LOGIC;
signal qspi_flash_io1_o : STD_LOGIC;
signal qspi_flash_io1_t : STD_LOGIC;
signal qspi_flash_io2_i : STD_LOGIC;
signal qspi_flash_io2_o : STD_LOGIC;
signal qspi_flash_io2_t : STD_LOGIC;
signal qspi_flash_io3_i : STD_LOGIC;
signal qspi_flash_io3_o : STD_LOGIC;
signal qspi_flash_io3_t : STD_LOGIC;
signal qspi_flash_sck_i : STD_LOGIC;
signal qspi_flash_sck_o : STD_LOGIC;
signal qspi_flash_sck_t : STD_LOGIC;
signal qspi_flash_ss_i : STD_LOGIC;
signal qspi_flash_ss_o : STD_LOGIC;
signal qspi_flash_ss_t : STD_LOGIC;
signal rgb_led_tri_i_0 : STD_LOGIC_VECTOR ( 0 to 0 );
signal rgb_led_tri_i_1 : STD_LOGIC_VECTOR ( 1 to 1 );
signal rgb_led_tri_i_10 : STD_LOGIC_VECTOR ( 10 to 10 );
signal rgb_led_tri_i_11 : STD_LOGIC_VECTOR ( 11 to 11 );
signal rgb_led_tri_i_2 : STD_LOGIC_VECTOR ( 2 to 2 );
signal rgb_led_tri_i_3 : STD_LOGIC_VECTOR ( 3 to 3 );
signal rgb_led_tri_i_4 : STD_LOGIC_VECTOR ( 4 to 4 );
signal rgb_led_tri_i_5 : STD_LOGIC_VECTOR ( 5 to 5 );
signal rgb_led_tri_i_6 : STD_LOGIC_VECTOR ( 6 to 6 );
signal rgb_led_tri_i_7 : STD_LOGIC_VECTOR ( 7 to 7 );
signal rgb_led_tri_i_8 : STD_LOGIC_VECTOR ( 8 to 8 );
signal rgb_led_tri_i_9 : STD_LOGIC_VECTOR ( 9 to 9 );
signal rgb_led_tri_io_0 : STD_LOGIC_VECTOR ( 0 to 0 );
signal rgb_led_tri_io_1 : STD_LOGIC_VECTOR ( 1 to 1 );
signal rgb_led_tri_io_10 : STD_LOGIC_VECTOR ( 10 to 10 );
signal rgb_led_tri_io_11 : STD_LOGIC_VECTOR ( 11 to 11 );
signal rgb_led_tri_io_2 : STD_LOGIC_VECTOR ( 2 to 2 );
signal rgb_led_tri_io_3 : STD_LOGIC_VECTOR ( 3 to 3 );
signal rgb_led_tri_io_4 : STD_LOGIC_VECTOR ( 4 to 4 );
signal rgb_led_tri_io_5 : STD_LOGIC_VECTOR ( 5 to 5 );
signal rgb_led_tri_io_6 : STD_LOGIC_VECTOR ( 6 to 6 );
signal rgb_led_tri_io_7 : STD_LOGIC_VECTOR ( 7 to 7 );
signal rgb_led_tri_io_8 : STD_LOGIC_VECTOR ( 8 to 8 );
signal rgb_led_tri_io_9 : STD_LOGIC_VECTOR ( 9 to 9 );
signal rgb_led_tri_o_0 : STD_LOGIC_VECTOR ( 0 to 0 );
signal rgb_led_tri_o_1 : STD_LOGIC_VECTOR ( 1 to 1 );
signal rgb_led_tri_o_10 : STD_LOGIC_VECTOR ( 10 to 10 );
signal rgb_led_tri_o_11 : STD_LOGIC_VECTOR ( 11 to 11 );
signal rgb_led_tri_o_2 : STD_LOGIC_VECTOR ( 2 to 2 );
signal rgb_led_tri_o_3 : STD_LOGIC_VECTOR ( 3 to 3 );
signal rgb_led_tri_o_4 : STD_LOGIC_VECTOR ( 4 to 4 );
signal rgb_led_tri_o_5 : STD_LOGIC_VECTOR ( 5 to 5 );
signal rgb_led_tri_o_6 : STD_LOGIC_VECTOR ( 6 to 6 );
signal rgb_led_tri_o_7 : STD_LOGIC_VECTOR ( 7 to 7 );
signal rgb_led_tri_o_8 : STD_LOGIC_VECTOR ( 8 to 8 );
signal rgb_led_tri_o_9 : STD_LOGIC_VECTOR ( 9 to 9 );
signal rgb_led_tri_t_0 : STD_LOGIC_VECTOR ( 0 to 0 );
signal rgb_led_tri_t_1 : STD_LOGIC_VECTOR ( 1 to 1 );
signal rgb_led_tri_t_10 : STD_LOGIC_VECTOR ( 10 to 10 );
signal rgb_led_tri_t_11 : STD_LOGIC_VECTOR ( 11 to 11 );
signal rgb_led_tri_t_2 : STD_LOGIC_VECTOR ( 2 to 2 );
signal rgb_led_tri_t_3 : STD_LOGIC_VECTOR ( 3 to 3 );
signal rgb_led_tri_t_4 : STD_LOGIC_VECTOR ( 4 to 4 );
signal rgb_led_tri_t_5 : STD_LOGIC_VECTOR ( 5 to 5 );
signal rgb_led_tri_t_6 : STD_LOGIC_VECTOR ( 6 to 6 );
signal rgb_led_tri_t_7 : STD_LOGIC_VECTOR ( 7 to 7 );
signal rgb_led_tri_t_8 : STD_LOGIC_VECTOR ( 8 to 8 );
signal rgb_led_tri_t_9 : STD_LOGIC_VECTOR ( 9 to 9 );
signal shield_dp0_dp19_tri_i_0 : STD_LOGIC_VECTOR ( 0 to 0 );
signal shield_dp0_dp19_tri_i_1 : STD_LOGIC_VECTOR ( 1 to 1 );
signal shield_dp0_dp19_tri_i_10 : STD_LOGIC_VECTOR ( 10 to 10 );
signal shield_dp0_dp19_tri_i_11 : STD_LOGIC_VECTOR ( 11 to 11 );
signal shield_dp0_dp19_tri_i_12 : STD_LOGIC_VECTOR ( 12 to 12 );
signal shield_dp0_dp19_tri_i_13 : STD_LOGIC_VECTOR ( 13 to 13 );
signal shield_dp0_dp19_tri_i_14 : STD_LOGIC_VECTOR ( 14 to 14 );
signal shield_dp0_dp19_tri_i_15 : STD_LOGIC_VECTOR ( 15 to 15 );
signal shield_dp0_dp19_tri_i_16 : STD_LOGIC_VECTOR ( 16 to 16 );
signal shield_dp0_dp19_tri_i_17 : STD_LOGIC_VECTOR ( 17 to 17 );
signal shield_dp0_dp19_tri_i_18 : STD_LOGIC_VECTOR ( 18 to 18 );
signal shield_dp0_dp19_tri_i_19 : STD_LOGIC_VECTOR ( 19 to 19 );
signal shield_dp0_dp19_tri_i_2 : STD_LOGIC_VECTOR ( 2 to 2 );
signal shield_dp0_dp19_tri_i_3 : STD_LOGIC_VECTOR ( 3 to 3 );
signal shield_dp0_dp19_tri_i_4 : STD_LOGIC_VECTOR ( 4 to 4 );
signal shield_dp0_dp19_tri_i_5 : STD_LOGIC_VECTOR ( 5 to 5 );
signal shield_dp0_dp19_tri_i_6 : STD_LOGIC_VECTOR ( 6 to 6 );
signal shield_dp0_dp19_tri_i_7 : STD_LOGIC_VECTOR ( 7 to 7 );
signal shield_dp0_dp19_tri_i_8 : STD_LOGIC_VECTOR ( 8 to 8 );
signal shield_dp0_dp19_tri_i_9 : STD_LOGIC_VECTOR ( 9 to 9 );
signal shield_dp0_dp19_tri_io_0 : STD_LOGIC_VECTOR ( 0 to 0 );
signal shield_dp0_dp19_tri_io_1 : STD_LOGIC_VECTOR ( 1 to 1 );
signal shield_dp0_dp19_tri_io_10 : STD_LOGIC_VECTOR ( 10 to 10 );
signal shield_dp0_dp19_tri_io_11 : STD_LOGIC_VECTOR ( 11 to 11 );
signal shield_dp0_dp19_tri_io_12 : STD_LOGIC_VECTOR ( 12 to 12 );
signal shield_dp0_dp19_tri_io_13 : STD_LOGIC_VECTOR ( 13 to 13 );
signal shield_dp0_dp19_tri_io_14 : STD_LOGIC_VECTOR ( 14 to 14 );
signal shield_dp0_dp19_tri_io_15 : STD_LOGIC_VECTOR ( 15 to 15 );
signal shield_dp0_dp19_tri_io_16 : STD_LOGIC_VECTOR ( 16 to 16 );
signal shield_dp0_dp19_tri_io_17 : STD_LOGIC_VECTOR ( 17 to 17 );
signal shield_dp0_dp19_tri_io_18 : STD_LOGIC_VECTOR ( 18 to 18 );
signal shield_dp0_dp19_tri_io_19 : STD_LOGIC_VECTOR ( 19 to 19 );
signal shield_dp0_dp19_tri_io_2 : STD_LOGIC_VECTOR ( 2 to 2 );
signal shield_dp0_dp19_tri_io_3 : STD_LOGIC_VECTOR ( 3 to 3 );
signal shield_dp0_dp19_tri_io_4 : STD_LOGIC_VECTOR ( 4 to 4 );
signal shield_dp0_dp19_tri_io_5 : STD_LOGIC_VECTOR ( 5 to 5 );
signal shield_dp0_dp19_tri_io_6 : STD_LOGIC_VECTOR ( 6 to 6 );
signal shield_dp0_dp19_tri_io_7 : STD_LOGIC_VECTOR ( 7 to 7 );
signal shield_dp0_dp19_tri_io_8 : STD_LOGIC_VECTOR ( 8 to 8 );
signal shield_dp0_dp19_tri_io_9 : STD_LOGIC_VECTOR ( 9 to 9 );
signal shield_dp0_dp19_tri_o_0 : STD_LOGIC_VECTOR ( 0 to 0 );
signal shield_dp0_dp19_tri_o_1 : STD_LOGIC_VECTOR ( 1 to 1 );
signal shield_dp0_dp19_tri_o_10 : STD_LOGIC_VECTOR ( 10 to 10 );
signal shield_dp0_dp19_tri_o_11 : STD_LOGIC_VECTOR ( 11 to 11 );
signal shield_dp0_dp19_tri_o_12 : STD_LOGIC_VECTOR ( 12 to 12 );
signal shield_dp0_dp19_tri_o_13 : STD_LOGIC_VECTOR ( 13 to 13 );
signal shield_dp0_dp19_tri_o_14 : STD_LOGIC_VECTOR ( 14 to 14 );
signal shield_dp0_dp19_tri_o_15 : STD_LOGIC_VECTOR ( 15 to 15 );
signal shield_dp0_dp19_tri_o_16 : STD_LOGIC_VECTOR ( 16 to 16 );
signal shield_dp0_dp19_tri_o_17 : STD_LOGIC_VECTOR ( 17 to 17 );
signal shield_dp0_dp19_tri_o_18 : STD_LOGIC_VECTOR ( 18 to 18 );
signal shield_dp0_dp19_tri_o_19 : STD_LOGIC_VECTOR ( 19 to 19 );
signal shield_dp0_dp19_tri_o_2 : STD_LOGIC_VECTOR ( 2 to 2 );
signal shield_dp0_dp19_tri_o_3 : STD_LOGIC_VECTOR ( 3 to 3 );
signal shield_dp0_dp19_tri_o_4 : STD_LOGIC_VECTOR ( 4 to 4 );
signal shield_dp0_dp19_tri_o_5 : STD_LOGIC_VECTOR ( 5 to 5 );
signal shield_dp0_dp19_tri_o_6 : STD_LOGIC_VECTOR ( 6 to 6 );
signal shield_dp0_dp19_tri_o_7 : STD_LOGIC_VECTOR ( 7 to 7 );
signal shield_dp0_dp19_tri_o_8 : STD_LOGIC_VECTOR ( 8 to 8 );
signal shield_dp0_dp19_tri_o_9 : STD_LOGIC_VECTOR ( 9 to 9 );
signal shield_dp0_dp19_tri_t_0 : STD_LOGIC_VECTOR ( 0 to 0 );
signal shield_dp0_dp19_tri_t_1 : STD_LOGIC_VECTOR ( 1 to 1 );
signal shield_dp0_dp19_tri_t_10 : STD_LOGIC_VECTOR ( 10 to 10 );
signal shield_dp0_dp19_tri_t_11 : STD_LOGIC_VECTOR ( 11 to 11 );
signal shield_dp0_dp19_tri_t_12 : STD_LOGIC_VECTOR ( 12 to 12 );
signal shield_dp0_dp19_tri_t_13 : STD_LOGIC_VECTOR ( 13 to 13 );
signal shield_dp0_dp19_tri_t_14 : STD_LOGIC_VECTOR ( 14 to 14 );
signal shield_dp0_dp19_tri_t_15 : STD_LOGIC_VECTOR ( 15 to 15 );
signal shield_dp0_dp19_tri_t_16 : STD_LOGIC_VECTOR ( 16 to 16 );
signal shield_dp0_dp19_tri_t_17 : STD_LOGIC_VECTOR ( 17 to 17 );
signal shield_dp0_dp19_tri_t_18 : STD_LOGIC_VECTOR ( 18 to 18 );
signal shield_dp0_dp19_tri_t_19 : STD_LOGIC_VECTOR ( 19 to 19 );
signal shield_dp0_dp19_tri_t_2 : STD_LOGIC_VECTOR ( 2 to 2 );
signal shield_dp0_dp19_tri_t_3 : STD_LOGIC_VECTOR ( 3 to 3 );
signal shield_dp0_dp19_tri_t_4 : STD_LOGIC_VECTOR ( 4 to 4 );
signal shield_dp0_dp19_tri_t_5 : STD_LOGIC_VECTOR ( 5 to 5 );
signal shield_dp0_dp19_tri_t_6 : STD_LOGIC_VECTOR ( 6 to 6 );
signal shield_dp0_dp19_tri_t_7 : STD_LOGIC_VECTOR ( 7 to 7 );
signal shield_dp0_dp19_tri_t_8 : STD_LOGIC_VECTOR ( 8 to 8 );
signal shield_dp0_dp19_tri_t_9 : STD_LOGIC_VECTOR ( 9 to 9 );
signal shield_dp26_dp41_tri_i_0 : STD_LOGIC_VECTOR ( 0 to 0 );
signal shield_dp26_dp41_tri_i_1 : STD_LOGIC_VECTOR ( 1 to 1 );
signal shield_dp26_dp41_tri_i_10 : STD_LOGIC_VECTOR ( 10 to 10 );
signal shield_dp26_dp41_tri_i_11 : STD_LOGIC_VECTOR ( 11 to 11 );
signal shield_dp26_dp41_tri_i_12 : STD_LOGIC_VECTOR ( 12 to 12 );
signal shield_dp26_dp41_tri_i_13 : STD_LOGIC_VECTOR ( 13 to 13 );
signal shield_dp26_dp41_tri_i_14 : STD_LOGIC_VECTOR ( 14 to 14 );
signal shield_dp26_dp41_tri_i_15 : STD_LOGIC_VECTOR ( 15 to 15 );
signal shield_dp26_dp41_tri_i_2 : STD_LOGIC_VECTOR ( 2 to 2 );
signal shield_dp26_dp41_tri_i_3 : STD_LOGIC_VECTOR ( 3 to 3 );
signal shield_dp26_dp41_tri_i_4 : STD_LOGIC_VECTOR ( 4 to 4 );
signal shield_dp26_dp41_tri_i_5 : STD_LOGIC_VECTOR ( 5 to 5 );
signal shield_dp26_dp41_tri_i_6 : STD_LOGIC_VECTOR ( 6 to 6 );
signal shield_dp26_dp41_tri_i_7 : STD_LOGIC_VECTOR ( 7 to 7 );
signal shield_dp26_dp41_tri_i_8 : STD_LOGIC_VECTOR ( 8 to 8 );
signal shield_dp26_dp41_tri_i_9 : STD_LOGIC_VECTOR ( 9 to 9 );
signal shield_dp26_dp41_tri_io_0 : STD_LOGIC_VECTOR ( 0 to 0 );
signal shield_dp26_dp41_tri_io_1 : STD_LOGIC_VECTOR ( 1 to 1 );
signal shield_dp26_dp41_tri_io_10 : STD_LOGIC_VECTOR ( 10 to 10 );
signal shield_dp26_dp41_tri_io_11 : STD_LOGIC_VECTOR ( 11 to 11 );
signal shield_dp26_dp41_tri_io_12 : STD_LOGIC_VECTOR ( 12 to 12 );
signal shield_dp26_dp41_tri_io_13 : STD_LOGIC_VECTOR ( 13 to 13 );
signal shield_dp26_dp41_tri_io_14 : STD_LOGIC_VECTOR ( 14 to 14 );
signal shield_dp26_dp41_tri_io_15 : STD_LOGIC_VECTOR ( 15 to 15 );
signal shield_dp26_dp41_tri_io_2 : STD_LOGIC_VECTOR ( 2 to 2 );
signal shield_dp26_dp41_tri_io_3 : STD_LOGIC_VECTOR ( 3 to 3 );
signal shield_dp26_dp41_tri_io_4 : STD_LOGIC_VECTOR ( 4 to 4 );
signal shield_dp26_dp41_tri_io_5 : STD_LOGIC_VECTOR ( 5 to 5 );
signal shield_dp26_dp41_tri_io_6 : STD_LOGIC_VECTOR ( 6 to 6 );
signal shield_dp26_dp41_tri_io_7 : STD_LOGIC_VECTOR ( 7 to 7 );
signal shield_dp26_dp41_tri_io_8 : STD_LOGIC_VECTOR ( 8 to 8 );
signal shield_dp26_dp41_tri_io_9 : STD_LOGIC_VECTOR ( 9 to 9 );
signal shield_dp26_dp41_tri_o_0 : STD_LOGIC_VECTOR ( 0 to 0 );
signal shield_dp26_dp41_tri_o_1 : STD_LOGIC_VECTOR ( 1 to 1 );
signal shield_dp26_dp41_tri_o_10 : STD_LOGIC_VECTOR ( 10 to 10 );
signal shield_dp26_dp41_tri_o_11 : STD_LOGIC_VECTOR ( 11 to 11 );
signal shield_dp26_dp41_tri_o_12 : STD_LOGIC_VECTOR ( 12 to 12 );
signal shield_dp26_dp41_tri_o_13 : STD_LOGIC_VECTOR ( 13 to 13 );
signal shield_dp26_dp41_tri_o_14 : STD_LOGIC_VECTOR ( 14 to 14 );
signal shield_dp26_dp41_tri_o_15 : STD_LOGIC_VECTOR ( 15 to 15 );
signal shield_dp26_dp41_tri_o_2 : STD_LOGIC_VECTOR ( 2 to 2 );
signal shield_dp26_dp41_tri_o_3 : STD_LOGIC_VECTOR ( 3 to 3 );
signal shield_dp26_dp41_tri_o_4 : STD_LOGIC_VECTOR ( 4 to 4 );
signal shield_dp26_dp41_tri_o_5 : STD_LOGIC_VECTOR ( 5 to 5 );
signal shield_dp26_dp41_tri_o_6 : STD_LOGIC_VECTOR ( 6 to 6 );
signal shield_dp26_dp41_tri_o_7 : STD_LOGIC_VECTOR ( 7 to 7 );
signal shield_dp26_dp41_tri_o_8 : STD_LOGIC_VECTOR ( 8 to 8 );
signal shield_dp26_dp41_tri_o_9 : STD_LOGIC_VECTOR ( 9 to 9 );
signal shield_dp26_dp41_tri_t_0 : STD_LOGIC_VECTOR ( 0 to 0 );
signal shield_dp26_dp41_tri_t_1 : STD_LOGIC_VECTOR ( 1 to 1 );
signal shield_dp26_dp41_tri_t_10 : STD_LOGIC_VECTOR ( 10 to 10 );
signal shield_dp26_dp41_tri_t_11 : STD_LOGIC_VECTOR ( 11 to 11 );
signal shield_dp26_dp41_tri_t_12 : STD_LOGIC_VECTOR ( 12 to 12 );
signal shield_dp26_dp41_tri_t_13 : STD_LOGIC_VECTOR ( 13 to 13 );
signal shield_dp26_dp41_tri_t_14 : STD_LOGIC_VECTOR ( 14 to 14 );
signal shield_dp26_dp41_tri_t_15 : STD_LOGIC_VECTOR ( 15 to 15 );
signal shield_dp26_dp41_tri_t_2 : STD_LOGIC_VECTOR ( 2 to 2 );
signal shield_dp26_dp41_tri_t_3 : STD_LOGIC_VECTOR ( 3 to 3 );
signal shield_dp26_dp41_tri_t_4 : STD_LOGIC_VECTOR ( 4 to 4 );
signal shield_dp26_dp41_tri_t_5 : STD_LOGIC_VECTOR ( 5 to 5 );
signal shield_dp26_dp41_tri_t_6 : STD_LOGIC_VECTOR ( 6 to 6 );
signal shield_dp26_dp41_tri_t_7 : STD_LOGIC_VECTOR ( 7 to 7 );
signal shield_dp26_dp41_tri_t_8 : STD_LOGIC_VECTOR ( 8 to 8 );
signal shield_dp26_dp41_tri_t_9 : STD_LOGIC_VECTOR ( 9 to 9 );
signal spi_io0_i : STD_LOGIC;
signal spi_io0_o : STD_LOGIC;
signal spi_io0_t : STD_LOGIC;
signal spi_io1_i : STD_LOGIC;
signal spi_io1_o : STD_LOGIC;
signal spi_io1_t : STD_LOGIC;
signal spi_sck_i : STD_LOGIC;
signal spi_sck_o : STD_LOGIC;
signal spi_sck_t : STD_LOGIC;
signal spi_ss_i : STD_LOGIC;
signal spi_ss_o : STD_LOGIC;
signal spi_ss_t : STD_LOGIC;
begin
eth_mdio_mdc_mdio_iobuf: component IOBUF
port map (
I => eth_mdio_mdc_mdio_o,
IO => eth_mdio_mdc_mdio_io,
O => eth_mdio_mdc_mdio_i,
T => eth_mdio_mdc_mdio_t
);
i2c_pullups_tri_iobuf_0: component IOBUF
port map (
I => i2c_pullups_tri_o_0(0),
IO => i2c_pullups_tri_io(0),
O => i2c_pullups_tri_i_0(0),
T => i2c_pullups_tri_t_0(0)
);
i2c_pullups_tri_iobuf_1: component IOBUF
port map (
I => i2c_pullups_tri_o_1(1),
IO => i2c_pullups_tri_io(1),
O => i2c_pullups_tri_i_1(1),
T => i2c_pullups_tri_t_1(1)
);
i2c_scl_iobuf: component IOBUF
port map (
I => i2c_scl_o,
IO => i2c_scl_io,
O => i2c_scl_i,
T => i2c_scl_t
);
i2c_sda_iobuf: component IOBUF
port map (
I => i2c_sda_o,
IO => i2c_sda_io,
O => i2c_sda_i,
T => i2c_sda_t
);
led_4bits_tri_iobuf_0: component IOBUF
port map (
I => led_4bits_tri_o_0(0),
IO => led_4bits_tri_io(0),
O => led_4bits_tri_i_0(0),
T => led_4bits_tri_t_0(0)
);
led_4bits_tri_iobuf_1: component IOBUF
port map (
I => led_4bits_tri_o_1(1),
IO => led_4bits_tri_io(1),
O => led_4bits_tri_i_1(1),
T => led_4bits_tri_t_1(1)
);
led_4bits_tri_iobuf_2: component IOBUF
port map (
I => led_4bits_tri_o_2(2),
IO => led_4bits_tri_io(2),
O => led_4bits_tri_i_2(2),
T => led_4bits_tri_t_2(2)
);
led_4bits_tri_iobuf_3: component IOBUF
port map (
I => led_4bits_tri_o_3(3),
IO => led_4bits_tri_io(3),
O => led_4bits_tri_i_3(3),
T => led_4bits_tri_t_3(3)
);
qspi_flash_io0_iobuf: component IOBUF
port map (
I => qspi_flash_io0_o,
IO => qspi_flash_io0_io,
O => qspi_flash_io0_i,
T => qspi_flash_io0_t
);
qspi_flash_io1_iobuf: component IOBUF
port map (
I => qspi_flash_io1_o,
IO => qspi_flash_io1_io,
O => qspi_flash_io1_i,
T => qspi_flash_io1_t
);
qspi_flash_io2_iobuf: component IOBUF
port map (
I => qspi_flash_io2_o,
IO => qspi_flash_io2_io,
O => qspi_flash_io2_i,
T => qspi_flash_io2_t
);
qspi_flash_io3_iobuf: component IOBUF
port map (
I => qspi_flash_io3_o,
IO => qspi_flash_io3_io,
O => qspi_flash_io3_i,
T => qspi_flash_io3_t
);
qspi_flash_sck_iobuf: component IOBUF
port map (
I => qspi_flash_sck_o,
IO => qspi_flash_sck_io,
O => qspi_flash_sck_i,
T => qspi_flash_sck_t
);
qspi_flash_ss_iobuf: component IOBUF
port map (
I => qspi_flash_ss_o,
IO => qspi_flash_ss_io,
O => qspi_flash_ss_i,
T => qspi_flash_ss_t
);
rgb_led_tri_iobuf_0: component IOBUF
port map (
I => rgb_led_tri_o_0(0),
IO => rgb_led_tri_io(0),
O => rgb_led_tri_i_0(0),
T => rgb_led_tri_t_0(0)
);
rgb_led_tri_iobuf_1: component IOBUF
port map (
I => rgb_led_tri_o_1(1),
IO => rgb_led_tri_io(1),
O => rgb_led_tri_i_1(1),
T => rgb_led_tri_t_1(1)
);
rgb_led_tri_iobuf_10: component IOBUF
port map (
I => rgb_led_tri_o_10(10),
IO => rgb_led_tri_io(10),
O => rgb_led_tri_i_10(10),
T => rgb_led_tri_t_10(10)
);
rgb_led_tri_iobuf_11: component IOBUF
port map (
I => rgb_led_tri_o_11(11),
IO => rgb_led_tri_io(11),
O => rgb_led_tri_i_11(11),
T => rgb_led_tri_t_11(11)
);
rgb_led_tri_iobuf_2: component IOBUF
port map (
I => rgb_led_tri_o_2(2),
IO => rgb_led_tri_io(2),
O => rgb_led_tri_i_2(2),
T => rgb_led_tri_t_2(2)
);
rgb_led_tri_iobuf_3: component IOBUF
port map (
I => rgb_led_tri_o_3(3),
IO => rgb_led_tri_io(3),
O => rgb_led_tri_i_3(3),
T => rgb_led_tri_t_3(3)
);
rgb_led_tri_iobuf_4: component IOBUF
port map (
I => rgb_led_tri_o_4(4),
IO => rgb_led_tri_io(4),
O => rgb_led_tri_i_4(4),
T => rgb_led_tri_t_4(4)
);
rgb_led_tri_iobuf_5: component IOBUF
port map (
I => rgb_led_tri_o_5(5),
IO => rgb_led_tri_io(5),
O => rgb_led_tri_i_5(5),
T => rgb_led_tri_t_5(5)
);
rgb_led_tri_iobuf_6: component IOBUF
port map (
I => rgb_led_tri_o_6(6),
IO => rgb_led_tri_io(6),
O => rgb_led_tri_i_6(6),
T => rgb_led_tri_t_6(6)
);
rgb_led_tri_iobuf_7: component IOBUF
port map (
I => rgb_led_tri_o_7(7),
IO => rgb_led_tri_io(7),
O => rgb_led_tri_i_7(7),
T => rgb_led_tri_t_7(7)
);
rgb_led_tri_iobuf_8: component IOBUF
port map (
I => rgb_led_tri_o_8(8),
IO => rgb_led_tri_io(8),
O => rgb_led_tri_i_8(8),
T => rgb_led_tri_t_8(8)
);
rgb_led_tri_iobuf_9: component IOBUF
port map (
I => rgb_led_tri_o_9(9),
IO => rgb_led_tri_io(9),
O => rgb_led_tri_i_9(9),
T => rgb_led_tri_t_9(9)
);
shield_dp0_dp19_tri_iobuf_0: component IOBUF
port map (
I => shield_dp0_dp19_tri_o_0(0),
IO => shield_dp0_dp19_tri_io(0),
O => shield_dp0_dp19_tri_i_0(0),
T => shield_dp0_dp19_tri_t_0(0)
);
shield_dp0_dp19_tri_iobuf_1: component IOBUF
port map (
I => shield_dp0_dp19_tri_o_1(1),
IO => shield_dp0_dp19_tri_io(1),
O => shield_dp0_dp19_tri_i_1(1),
T => shield_dp0_dp19_tri_t_1(1)
);
shield_dp0_dp19_tri_iobuf_10: component IOBUF
port map (
I => shield_dp0_dp19_tri_o_10(10),
IO => shield_dp0_dp19_tri_io(10),
O => shield_dp0_dp19_tri_i_10(10),
T => shield_dp0_dp19_tri_t_10(10)
);
shield_dp0_dp19_tri_iobuf_11: component IOBUF
port map (
I => shield_dp0_dp19_tri_o_11(11),
IO => shield_dp0_dp19_tri_io(11),
O => shield_dp0_dp19_tri_i_11(11),
T => shield_dp0_dp19_tri_t_11(11)
);
shield_dp0_dp19_tri_iobuf_12: component IOBUF
port map (
I => shield_dp0_dp19_tri_o_12(12),
IO => shield_dp0_dp19_tri_io(12),
O => shield_dp0_dp19_tri_i_12(12),
T => shield_dp0_dp19_tri_t_12(12)
);
shield_dp0_dp19_tri_iobuf_13: component IOBUF
port map (
I => shield_dp0_dp19_tri_o_13(13),
IO => shield_dp0_dp19_tri_io(13),
O => shield_dp0_dp19_tri_i_13(13),
T => shield_dp0_dp19_tri_t_13(13)
);
shield_dp0_dp19_tri_iobuf_14: component IOBUF
port map (
I => shield_dp0_dp19_tri_o_14(14),
IO => shield_dp0_dp19_tri_io(14),
O => shield_dp0_dp19_tri_i_14(14),
T => shield_dp0_dp19_tri_t_14(14)
);
shield_dp0_dp19_tri_iobuf_15: component IOBUF
port map (
I => shield_dp0_dp19_tri_o_15(15),
IO => shield_dp0_dp19_tri_io(15),
O => shield_dp0_dp19_tri_i_15(15),
T => shield_dp0_dp19_tri_t_15(15)
);
shield_dp0_dp19_tri_iobuf_16: component IOBUF
port map (
I => shield_dp0_dp19_tri_o_16(16),
IO => shield_dp0_dp19_tri_io(16),
O => shield_dp0_dp19_tri_i_16(16),
T => shield_dp0_dp19_tri_t_16(16)
);
shield_dp0_dp19_tri_iobuf_17: component IOBUF
port map (
I => shield_dp0_dp19_tri_o_17(17),
IO => shield_dp0_dp19_tri_io(17),
O => shield_dp0_dp19_tri_i_17(17),
T => shield_dp0_dp19_tri_t_17(17)
);
shield_dp0_dp19_tri_iobuf_18: component IOBUF
port map (
I => shield_dp0_dp19_tri_o_18(18),
IO => shield_dp0_dp19_tri_io(18),
O => shield_dp0_dp19_tri_i_18(18),
T => shield_dp0_dp19_tri_t_18(18)
);
shield_dp0_dp19_tri_iobuf_19: component IOBUF
port map (
I => shield_dp0_dp19_tri_o_19(19),
IO => shield_dp0_dp19_tri_io(19),
O => shield_dp0_dp19_tri_i_19(19),
T => shield_dp0_dp19_tri_t_19(19)
);
shield_dp0_dp19_tri_iobuf_2: component IOBUF
port map (
I => shield_dp0_dp19_tri_o_2(2),
IO => shield_dp0_dp19_tri_io(2),
O => shield_dp0_dp19_tri_i_2(2),
T => shield_dp0_dp19_tri_t_2(2)
);
shield_dp0_dp19_tri_iobuf_3: component IOBUF
port map (
I => shield_dp0_dp19_tri_o_3(3),
IO => shield_dp0_dp19_tri_io(3),
O => shield_dp0_dp19_tri_i_3(3),
T => shield_dp0_dp19_tri_t_3(3)
);
shield_dp0_dp19_tri_iobuf_4: component IOBUF
port map (
I => shield_dp0_dp19_tri_o_4(4),
IO => shield_dp0_dp19_tri_io(4),
O => shield_dp0_dp19_tri_i_4(4),
T => shield_dp0_dp19_tri_t_4(4)
);
shield_dp0_dp19_tri_iobuf_5: component IOBUF
port map (
I => shield_dp0_dp19_tri_o_5(5),
IO => shield_dp0_dp19_tri_io(5),
O => shield_dp0_dp19_tri_i_5(5),
T => shield_dp0_dp19_tri_t_5(5)
);
shield_dp0_dp19_tri_iobuf_6: component IOBUF
port map (
I => shield_dp0_dp19_tri_o_6(6),
IO => shield_dp0_dp19_tri_io(6),
O => shield_dp0_dp19_tri_i_6(6),
T => shield_dp0_dp19_tri_t_6(6)
);
shield_dp0_dp19_tri_iobuf_7: component IOBUF
port map (
I => shield_dp0_dp19_tri_o_7(7),
IO => shield_dp0_dp19_tri_io(7),
O => shield_dp0_dp19_tri_i_7(7),
T => shield_dp0_dp19_tri_t_7(7)
);
shield_dp0_dp19_tri_iobuf_8: component IOBUF
port map (
I => shield_dp0_dp19_tri_o_8(8),
IO => shield_dp0_dp19_tri_io(8),
O => shield_dp0_dp19_tri_i_8(8),
T => shield_dp0_dp19_tri_t_8(8)
);
shield_dp0_dp19_tri_iobuf_9: component IOBUF
port map (
I => shield_dp0_dp19_tri_o_9(9),
IO => shield_dp0_dp19_tri_io(9),
O => shield_dp0_dp19_tri_i_9(9),
T => shield_dp0_dp19_tri_t_9(9)
);
shield_dp26_dp41_tri_iobuf_0: component IOBUF
port map (
I => shield_dp26_dp41_tri_o_0(0),
IO => shield_dp26_dp41_tri_io(0),
O => shield_dp26_dp41_tri_i_0(0),
T => shield_dp26_dp41_tri_t_0(0)
);
shield_dp26_dp41_tri_iobuf_1: component IOBUF
port map (
I => shield_dp26_dp41_tri_o_1(1),
IO => shield_dp26_dp41_tri_io(1),
O => shield_dp26_dp41_tri_i_1(1),
T => shield_dp26_dp41_tri_t_1(1)
);
shield_dp26_dp41_tri_iobuf_10: component IOBUF
port map (
I => shield_dp26_dp41_tri_o_10(10),
IO => shield_dp26_dp41_tri_io(10),
O => shield_dp26_dp41_tri_i_10(10),
T => shield_dp26_dp41_tri_t_10(10)
);
shield_dp26_dp41_tri_iobuf_11: component IOBUF
port map (
I => shield_dp26_dp41_tri_o_11(11),
IO => shield_dp26_dp41_tri_io(11),
O => shield_dp26_dp41_tri_i_11(11),
T => shield_dp26_dp41_tri_t_11(11)
);
shield_dp26_dp41_tri_iobuf_12: component IOBUF
port map (
I => shield_dp26_dp41_tri_o_12(12),
IO => shield_dp26_dp41_tri_io(12),
O => shield_dp26_dp41_tri_i_12(12),
T => shield_dp26_dp41_tri_t_12(12)
);
shield_dp26_dp41_tri_iobuf_13: component IOBUF
port map (
I => shield_dp26_dp41_tri_o_13(13),
IO => shield_dp26_dp41_tri_io(13),
O => shield_dp26_dp41_tri_i_13(13),
T => shield_dp26_dp41_tri_t_13(13)
);
shield_dp26_dp41_tri_iobuf_14: component IOBUF
port map (
I => shield_dp26_dp41_tri_o_14(14),
IO => shield_dp26_dp41_tri_io(14),
O => shield_dp26_dp41_tri_i_14(14),
T => shield_dp26_dp41_tri_t_14(14)
);
shield_dp26_dp41_tri_iobuf_15: component IOBUF
port map (
I => shield_dp26_dp41_tri_o_15(15),
IO => shield_dp26_dp41_tri_io(15),
O => shield_dp26_dp41_tri_i_15(15),
T => shield_dp26_dp41_tri_t_15(15)
);
shield_dp26_dp41_tri_iobuf_2: component IOBUF
port map (
I => shield_dp26_dp41_tri_o_2(2),
IO => shield_dp26_dp41_tri_io(2),
O => shield_dp26_dp41_tri_i_2(2),
T => shield_dp26_dp41_tri_t_2(2)
);
shield_dp26_dp41_tri_iobuf_3: component IOBUF
port map (
I => shield_dp26_dp41_tri_o_3(3),
IO => shield_dp26_dp41_tri_io(3),
O => shield_dp26_dp41_tri_i_3(3),
T => shield_dp26_dp41_tri_t_3(3)
);
shield_dp26_dp41_tri_iobuf_4: component IOBUF
port map (
I => shield_dp26_dp41_tri_o_4(4),
IO => shield_dp26_dp41_tri_io(4),
O => shield_dp26_dp41_tri_i_4(4),
T => shield_dp26_dp41_tri_t_4(4)
);
shield_dp26_dp41_tri_iobuf_5: component IOBUF
port map (
I => shield_dp26_dp41_tri_o_5(5),
IO => shield_dp26_dp41_tri_io(5),
O => shield_dp26_dp41_tri_i_5(5),
T => shield_dp26_dp41_tri_t_5(5)
);
shield_dp26_dp41_tri_iobuf_6: component IOBUF
port map (
I => shield_dp26_dp41_tri_o_6(6),
IO => shield_dp26_dp41_tri_io(6),
O => shield_dp26_dp41_tri_i_6(6),
T => shield_dp26_dp41_tri_t_6(6)
);
shield_dp26_dp41_tri_iobuf_7: component IOBUF
port map (
I => shield_dp26_dp41_tri_o_7(7),
IO => shield_dp26_dp41_tri_io(7),
O => shield_dp26_dp41_tri_i_7(7),
T => shield_dp26_dp41_tri_t_7(7)
);
shield_dp26_dp41_tri_iobuf_8: component IOBUF
port map (
I => shield_dp26_dp41_tri_o_8(8),
IO => shield_dp26_dp41_tri_io(8),
O => shield_dp26_dp41_tri_i_8(8),
T => shield_dp26_dp41_tri_t_8(8)
);
shield_dp26_dp41_tri_iobuf_9: component IOBUF
port map (
I => shield_dp26_dp41_tri_o_9(9),
IO => shield_dp26_dp41_tri_io(9),
O => shield_dp26_dp41_tri_i_9(9),
T => shield_dp26_dp41_tri_t_9(9)
);
spi_io0_iobuf: component IOBUF
port map (
I => spi_io0_o,
IO => spi_io0_io,
O => spi_io0_i,
T => spi_io0_t
);
spi_io1_iobuf: component IOBUF
port map (
I => spi_io1_o,
IO => spi_io1_io,
O => spi_io1_i,
T => spi_io1_t
);
spi_sck_iobuf: component IOBUF
port map (
I => spi_sck_o,
IO => spi_sck_io,
O => spi_sck_i,
T => spi_sck_t
);
spi_ss_iobuf: component IOBUF
port map (
I => spi_ss_o,
IO => spi_ss_io,
O => spi_ss_i,
T => spi_ss_t
);
system_i: component system
port map (
DDR3_addr(13 downto 0) => DDR3_addr(13 downto 0),
DDR3_ba(2 downto 0) => DDR3_ba(2 downto 0),
DDR3_cas_n => DDR3_cas_n,
DDR3_ck_n(0) => DDR3_ck_n(0),
DDR3_ck_p(0) => DDR3_ck_p(0),
DDR3_cke(0) => DDR3_cke(0),
DDR3_cs_n(0) => DDR3_cs_n(0),
DDR3_dm(1 downto 0) => DDR3_dm(1 downto 0),
DDR3_dq(15 downto 0) => DDR3_dq(15 downto 0),
DDR3_dqs_n(1 downto 0) => DDR3_dqs_n(1 downto 0),
DDR3_dqs_p(1 downto 0) => DDR3_dqs_p(1 downto 0),
DDR3_odt(0) => DDR3_odt(0),
DDR3_ras_n => DDR3_ras_n,
DDR3_reset_n => DDR3_reset_n,
DDR3_we_n => DDR3_we_n,
Vaux0_v_n => Vaux0_v_n,
Vaux0_v_p => Vaux0_v_p,
Vaux10_v_n => Vaux10_v_n,
Vaux10_v_p => Vaux10_v_p,
Vaux12_v_n => Vaux12_v_n,
Vaux12_v_p => Vaux12_v_p,
Vaux13_v_n => Vaux13_v_n,
Vaux13_v_p => Vaux13_v_p,
Vaux14_v_n => Vaux14_v_n,
Vaux14_v_p => Vaux14_v_p,
Vaux15_v_n => Vaux15_v_n,
Vaux15_v_p => Vaux15_v_p,
Vaux1_v_n => Vaux1_v_n,
Vaux1_v_p => Vaux1_v_p,
Vaux2_v_n => Vaux2_v_n,
Vaux2_v_p => Vaux2_v_p,
Vaux4_v_n => Vaux4_v_n,
Vaux4_v_p => Vaux4_v_p,
Vaux5_v_n => Vaux5_v_n,
Vaux5_v_p => Vaux5_v_p,
Vaux6_v_n => Vaux6_v_n,
Vaux6_v_p => Vaux6_v_p,
Vaux7_v_n => Vaux7_v_n,
Vaux7_v_p => Vaux7_v_p,
Vaux9_v_n => Vaux9_v_n,
Vaux9_v_p => Vaux9_v_p,
Vp_Vn_v_n => Vp_Vn_v_n,
Vp_Vn_v_p => Vp_Vn_v_p,
dip_switches_4bits_tri_i(3 downto 0) => dip_switches_4bits_tri_i(3 downto 0),
eth_mdio_mdc_mdc => eth_mdio_mdc_mdc,
eth_mdio_mdc_mdio_i => eth_mdio_mdc_mdio_i,
eth_mdio_mdc_mdio_o => eth_mdio_mdc_mdio_o,
eth_mdio_mdc_mdio_t => eth_mdio_mdc_mdio_t,
eth_mii_col => eth_mii_col,
eth_mii_crs => eth_mii_crs,
eth_mii_rst_n => eth_mii_rst_n,
eth_mii_rx_clk => eth_mii_rx_clk,
eth_mii_rx_dv => eth_mii_rx_dv,
eth_mii_rx_er => eth_mii_rx_er,
eth_mii_rxd(3 downto 0) => eth_mii_rxd(3 downto 0),
eth_mii_tx_clk => eth_mii_tx_clk,
eth_mii_tx_en => eth_mii_tx_en,
eth_mii_txd(3 downto 0) => eth_mii_txd(3 downto 0),
eth_ref_clk => eth_ref_clk,
i2c_pullups_tri_i(1) => i2c_pullups_tri_i_1(1),
i2c_pullups_tri_i(0) => i2c_pullups_tri_i_0(0),
i2c_pullups_tri_o(1) => i2c_pullups_tri_o_1(1),
i2c_pullups_tri_o(0) => i2c_pullups_tri_o_0(0),
i2c_pullups_tri_t(1) => i2c_pullups_tri_t_1(1),
i2c_pullups_tri_t(0) => i2c_pullups_tri_t_0(0),
i2c_scl_i => i2c_scl_i,
i2c_scl_o => i2c_scl_o,
i2c_scl_t => i2c_scl_t,
i2c_sda_i => i2c_sda_i,
i2c_sda_o => i2c_sda_o,
i2c_sda_t => i2c_sda_t,
led_4bits_tri_i(3) => led_4bits_tri_i_3(3),
led_4bits_tri_i(2) => led_4bits_tri_i_2(2),
led_4bits_tri_i(1) => led_4bits_tri_i_1(1),
led_4bits_tri_i(0) => led_4bits_tri_i_0(0),
led_4bits_tri_o(3) => led_4bits_tri_o_3(3),
led_4bits_tri_o(2) => led_4bits_tri_o_2(2),
led_4bits_tri_o(1) => led_4bits_tri_o_1(1),
led_4bits_tri_o(0) => led_4bits_tri_o_0(0),
led_4bits_tri_t(3) => led_4bits_tri_t_3(3),
led_4bits_tri_t(2) => led_4bits_tri_t_2(2),
led_4bits_tri_t(1) => led_4bits_tri_t_1(1),
led_4bits_tri_t(0) => led_4bits_tri_t_0(0),
push_buttons_4bits_tri_i(3 downto 0) => push_buttons_4bits_tri_i(3 downto 0),
qspi_flash_io0_i => qspi_flash_io0_i,
qspi_flash_io0_o => qspi_flash_io0_o,
qspi_flash_io0_t => qspi_flash_io0_t,
qspi_flash_io1_i => qspi_flash_io1_i,
qspi_flash_io1_o => qspi_flash_io1_o,
qspi_flash_io1_t => qspi_flash_io1_t,
qspi_flash_io2_i => qspi_flash_io2_i,
qspi_flash_io2_o => qspi_flash_io2_o,
qspi_flash_io2_t => qspi_flash_io2_t,
qspi_flash_io3_i => qspi_flash_io3_i,
qspi_flash_io3_o => qspi_flash_io3_o,
qspi_flash_io3_t => qspi_flash_io3_t,
qspi_flash_sck_i => qspi_flash_sck_i,
qspi_flash_sck_o => qspi_flash_sck_o,
qspi_flash_sck_t => qspi_flash_sck_t,
qspi_flash_ss_i => qspi_flash_ss_i,
qspi_flash_ss_o => qspi_flash_ss_o,
qspi_flash_ss_t => qspi_flash_ss_t,
reset => reset,
rgb_led_tri_i(11) => rgb_led_tri_i_11(11),
rgb_led_tri_i(10) => rgb_led_tri_i_10(10),
rgb_led_tri_i(9) => rgb_led_tri_i_9(9),
rgb_led_tri_i(8) => rgb_led_tri_i_8(8),
rgb_led_tri_i(7) => rgb_led_tri_i_7(7),
rgb_led_tri_i(6) => rgb_led_tri_i_6(6),
rgb_led_tri_i(5) => rgb_led_tri_i_5(5),
rgb_led_tri_i(4) => rgb_led_tri_i_4(4),
rgb_led_tri_i(3) => rgb_led_tri_i_3(3),
rgb_led_tri_i(2) => rgb_led_tri_i_2(2),
rgb_led_tri_i(1) => rgb_led_tri_i_1(1),
rgb_led_tri_i(0) => rgb_led_tri_i_0(0),
rgb_led_tri_o(11) => rgb_led_tri_o_11(11),
rgb_led_tri_o(10) => rgb_led_tri_o_10(10),
rgb_led_tri_o(9) => rgb_led_tri_o_9(9),
rgb_led_tri_o(8) => rgb_led_tri_o_8(8),
rgb_led_tri_o(7) => rgb_led_tri_o_7(7),
rgb_led_tri_o(6) => rgb_led_tri_o_6(6),
rgb_led_tri_o(5) => rgb_led_tri_o_5(5),
rgb_led_tri_o(4) => rgb_led_tri_o_4(4),
rgb_led_tri_o(3) => rgb_led_tri_o_3(3),
rgb_led_tri_o(2) => rgb_led_tri_o_2(2),
rgb_led_tri_o(1) => rgb_led_tri_o_1(1),
rgb_led_tri_o(0) => rgb_led_tri_o_0(0),
rgb_led_tri_t(11) => rgb_led_tri_t_11(11),
rgb_led_tri_t(10) => rgb_led_tri_t_10(10),
rgb_led_tri_t(9) => rgb_led_tri_t_9(9),
rgb_led_tri_t(8) => rgb_led_tri_t_8(8),
rgb_led_tri_t(7) => rgb_led_tri_t_7(7),
rgb_led_tri_t(6) => rgb_led_tri_t_6(6),
rgb_led_tri_t(5) => rgb_led_tri_t_5(5),
rgb_led_tri_t(4) => rgb_led_tri_t_4(4),
rgb_led_tri_t(3) => rgb_led_tri_t_3(3),
rgb_led_tri_t(2) => rgb_led_tri_t_2(2),
rgb_led_tri_t(1) => rgb_led_tri_t_1(1),
rgb_led_tri_t(0) => rgb_led_tri_t_0(0),
shield_dp0_dp19_tri_i(19) => shield_dp0_dp19_tri_i_19(19),
shield_dp0_dp19_tri_i(18) => shield_dp0_dp19_tri_i_18(18),
shield_dp0_dp19_tri_i(17) => shield_dp0_dp19_tri_i_17(17),
shield_dp0_dp19_tri_i(16) => shield_dp0_dp19_tri_i_16(16),
shield_dp0_dp19_tri_i(15) => shield_dp0_dp19_tri_i_15(15),
shield_dp0_dp19_tri_i(14) => shield_dp0_dp19_tri_i_14(14),
shield_dp0_dp19_tri_i(13) => shield_dp0_dp19_tri_i_13(13),
shield_dp0_dp19_tri_i(12) => shield_dp0_dp19_tri_i_12(12),
shield_dp0_dp19_tri_i(11) => shield_dp0_dp19_tri_i_11(11),
shield_dp0_dp19_tri_i(10) => shield_dp0_dp19_tri_i_10(10),
shield_dp0_dp19_tri_i(9) => shield_dp0_dp19_tri_i_9(9),
shield_dp0_dp19_tri_i(8) => shield_dp0_dp19_tri_i_8(8),
shield_dp0_dp19_tri_i(7) => shield_dp0_dp19_tri_i_7(7),
shield_dp0_dp19_tri_i(6) => shield_dp0_dp19_tri_i_6(6),
shield_dp0_dp19_tri_i(5) => shield_dp0_dp19_tri_i_5(5),
shield_dp0_dp19_tri_i(4) => shield_dp0_dp19_tri_i_4(4),
shield_dp0_dp19_tri_i(3) => shield_dp0_dp19_tri_i_3(3),
shield_dp0_dp19_tri_i(2) => shield_dp0_dp19_tri_i_2(2),
shield_dp0_dp19_tri_i(1) => shield_dp0_dp19_tri_i_1(1),
shield_dp0_dp19_tri_i(0) => shield_dp0_dp19_tri_i_0(0),
shield_dp0_dp19_tri_o(19) => shield_dp0_dp19_tri_o_19(19),
shield_dp0_dp19_tri_o(18) => shield_dp0_dp19_tri_o_18(18),
shield_dp0_dp19_tri_o(17) => shield_dp0_dp19_tri_o_17(17),
shield_dp0_dp19_tri_o(16) => shield_dp0_dp19_tri_o_16(16),
shield_dp0_dp19_tri_o(15) => shield_dp0_dp19_tri_o_15(15),
shield_dp0_dp19_tri_o(14) => shield_dp0_dp19_tri_o_14(14),
shield_dp0_dp19_tri_o(13) => shield_dp0_dp19_tri_o_13(13),
shield_dp0_dp19_tri_o(12) => shield_dp0_dp19_tri_o_12(12),
shield_dp0_dp19_tri_o(11) => shield_dp0_dp19_tri_o_11(11),
shield_dp0_dp19_tri_o(10) => shield_dp0_dp19_tri_o_10(10),
shield_dp0_dp19_tri_o(9) => shield_dp0_dp19_tri_o_9(9),
shield_dp0_dp19_tri_o(8) => shield_dp0_dp19_tri_o_8(8),
shield_dp0_dp19_tri_o(7) => shield_dp0_dp19_tri_o_7(7),
shield_dp0_dp19_tri_o(6) => shield_dp0_dp19_tri_o_6(6),
shield_dp0_dp19_tri_o(5) => shield_dp0_dp19_tri_o_5(5),
shield_dp0_dp19_tri_o(4) => shield_dp0_dp19_tri_o_4(4),
shield_dp0_dp19_tri_o(3) => shield_dp0_dp19_tri_o_3(3),
shield_dp0_dp19_tri_o(2) => shield_dp0_dp19_tri_o_2(2),
shield_dp0_dp19_tri_o(1) => shield_dp0_dp19_tri_o_1(1),
shield_dp0_dp19_tri_o(0) => shield_dp0_dp19_tri_o_0(0),
shield_dp0_dp19_tri_t(19) => shield_dp0_dp19_tri_t_19(19),
shield_dp0_dp19_tri_t(18) => shield_dp0_dp19_tri_t_18(18),
shield_dp0_dp19_tri_t(17) => shield_dp0_dp19_tri_t_17(17),
shield_dp0_dp19_tri_t(16) => shield_dp0_dp19_tri_t_16(16),
shield_dp0_dp19_tri_t(15) => shield_dp0_dp19_tri_t_15(15),
shield_dp0_dp19_tri_t(14) => shield_dp0_dp19_tri_t_14(14),
shield_dp0_dp19_tri_t(13) => shield_dp0_dp19_tri_t_13(13),
shield_dp0_dp19_tri_t(12) => shield_dp0_dp19_tri_t_12(12),
shield_dp0_dp19_tri_t(11) => shield_dp0_dp19_tri_t_11(11),
shield_dp0_dp19_tri_t(10) => shield_dp0_dp19_tri_t_10(10),
shield_dp0_dp19_tri_t(9) => shield_dp0_dp19_tri_t_9(9),
shield_dp0_dp19_tri_t(8) => shield_dp0_dp19_tri_t_8(8),
shield_dp0_dp19_tri_t(7) => shield_dp0_dp19_tri_t_7(7),
shield_dp0_dp19_tri_t(6) => shield_dp0_dp19_tri_t_6(6),
shield_dp0_dp19_tri_t(5) => shield_dp0_dp19_tri_t_5(5),
shield_dp0_dp19_tri_t(4) => shield_dp0_dp19_tri_t_4(4),
shield_dp0_dp19_tri_t(3) => shield_dp0_dp19_tri_t_3(3),
shield_dp0_dp19_tri_t(2) => shield_dp0_dp19_tri_t_2(2),
shield_dp0_dp19_tri_t(1) => shield_dp0_dp19_tri_t_1(1),
shield_dp0_dp19_tri_t(0) => shield_dp0_dp19_tri_t_0(0),
shield_dp26_dp41_tri_i(15) => shield_dp26_dp41_tri_i_15(15),
shield_dp26_dp41_tri_i(14) => shield_dp26_dp41_tri_i_14(14),
shield_dp26_dp41_tri_i(13) => shield_dp26_dp41_tri_i_13(13),
shield_dp26_dp41_tri_i(12) => shield_dp26_dp41_tri_i_12(12),
shield_dp26_dp41_tri_i(11) => shield_dp26_dp41_tri_i_11(11),
shield_dp26_dp41_tri_i(10) => shield_dp26_dp41_tri_i_10(10),
shield_dp26_dp41_tri_i(9) => shield_dp26_dp41_tri_i_9(9),
shield_dp26_dp41_tri_i(8) => shield_dp26_dp41_tri_i_8(8),
shield_dp26_dp41_tri_i(7) => shield_dp26_dp41_tri_i_7(7),
shield_dp26_dp41_tri_i(6) => shield_dp26_dp41_tri_i_6(6),
shield_dp26_dp41_tri_i(5) => shield_dp26_dp41_tri_i_5(5),
shield_dp26_dp41_tri_i(4) => shield_dp26_dp41_tri_i_4(4),
shield_dp26_dp41_tri_i(3) => shield_dp26_dp41_tri_i_3(3),
shield_dp26_dp41_tri_i(2) => shield_dp26_dp41_tri_i_2(2),
shield_dp26_dp41_tri_i(1) => shield_dp26_dp41_tri_i_1(1),
shield_dp26_dp41_tri_i(0) => shield_dp26_dp41_tri_i_0(0),
shield_dp26_dp41_tri_o(15) => shield_dp26_dp41_tri_o_15(15),
shield_dp26_dp41_tri_o(14) => shield_dp26_dp41_tri_o_14(14),
shield_dp26_dp41_tri_o(13) => shield_dp26_dp41_tri_o_13(13),
shield_dp26_dp41_tri_o(12) => shield_dp26_dp41_tri_o_12(12),
shield_dp26_dp41_tri_o(11) => shield_dp26_dp41_tri_o_11(11),
shield_dp26_dp41_tri_o(10) => shield_dp26_dp41_tri_o_10(10),
shield_dp26_dp41_tri_o(9) => shield_dp26_dp41_tri_o_9(9),
shield_dp26_dp41_tri_o(8) => shield_dp26_dp41_tri_o_8(8),
shield_dp26_dp41_tri_o(7) => shield_dp26_dp41_tri_o_7(7),
shield_dp26_dp41_tri_o(6) => shield_dp26_dp41_tri_o_6(6),
shield_dp26_dp41_tri_o(5) => shield_dp26_dp41_tri_o_5(5),
shield_dp26_dp41_tri_o(4) => shield_dp26_dp41_tri_o_4(4),
shield_dp26_dp41_tri_o(3) => shield_dp26_dp41_tri_o_3(3),
shield_dp26_dp41_tri_o(2) => shield_dp26_dp41_tri_o_2(2),
shield_dp26_dp41_tri_o(1) => shield_dp26_dp41_tri_o_1(1),
shield_dp26_dp41_tri_o(0) => shield_dp26_dp41_tri_o_0(0),
shield_dp26_dp41_tri_t(15) => shield_dp26_dp41_tri_t_15(15),
shield_dp26_dp41_tri_t(14) => shield_dp26_dp41_tri_t_14(14),
shield_dp26_dp41_tri_t(13) => shield_dp26_dp41_tri_t_13(13),
shield_dp26_dp41_tri_t(12) => shield_dp26_dp41_tri_t_12(12),
shield_dp26_dp41_tri_t(11) => shield_dp26_dp41_tri_t_11(11),
shield_dp26_dp41_tri_t(10) => shield_dp26_dp41_tri_t_10(10),
shield_dp26_dp41_tri_t(9) => shield_dp26_dp41_tri_t_9(9),
shield_dp26_dp41_tri_t(8) => shield_dp26_dp41_tri_t_8(8),
shield_dp26_dp41_tri_t(7) => shield_dp26_dp41_tri_t_7(7),
shield_dp26_dp41_tri_t(6) => shield_dp26_dp41_tri_t_6(6),
shield_dp26_dp41_tri_t(5) => shield_dp26_dp41_tri_t_5(5),
shield_dp26_dp41_tri_t(4) => shield_dp26_dp41_tri_t_4(4),
shield_dp26_dp41_tri_t(3) => shield_dp26_dp41_tri_t_3(3),
shield_dp26_dp41_tri_t(2) => shield_dp26_dp41_tri_t_2(2),
shield_dp26_dp41_tri_t(1) => shield_dp26_dp41_tri_t_1(1),
shield_dp26_dp41_tri_t(0) => shield_dp26_dp41_tri_t_0(0),
spi_io0_i => spi_io0_i,
spi_io0_o => spi_io0_o,
spi_io0_t => spi_io0_t,
spi_io1_i => spi_io1_i,
spi_io1_o => spi_io1_o,
spi_io1_t => spi_io1_t,
spi_sck_i => spi_sck_i,
spi_sck_o => spi_sck_o,
spi_sck_t => spi_sck_t,
spi_ss_i => spi_ss_i,
spi_ss_o => spi_ss_o,
spi_ss_t => spi_ss_t,
sys_clock => sys_clock,
usb_uart_rxd => usb_uart_rxd,
usb_uart_txd => usb_uart_txd
);
end STRUCTURE;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: jtagcom
-- File: jtagcom.vhd
-- Author: Magnus Hjorth - Aeroflex Gaisler
-- Description: JTAG Debug Interface with AHB master interface
-- Redesigned to work for TCK both slower and faster than AHB
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
library techmap;
use techmap.gencomp.all;
library gaisler;
use gaisler.libjtagcom.all;
use gaisler.misc.all;
entity jtagcom2 is
generic (
gatetech: integer := 0;
isel : integer range 0 to 1 := 0;
ainst : integer range 0 to 255 := 2;
dinst : integer range 0 to 255 := 3);
port (
rst : in std_ulogic;
clk : in std_ulogic;
tapo : in tap_out_type;
tapi : out tap_in_type;
dmao : in ahb_dma_out_type;
dmai : out ahb_dma_in_type;
tckp : in std_ulogic;
tckn : in std_ulogic;
trst : in std_ulogic
);
attribute sync_set_reset of rst : signal is "true";
end;
architecture rtl of jtagcom2 is
constant ADDBITS : integer := 10;
constant NOCMP : boolean := (isel /= 0);
type tckpreg_type is record
addr : std_logic_vector(34 downto 0);
datashft : std_logic_vector(32 downto 0);
done_sync : std_ulogic;
prun : std_ulogic;
inshift : std_ulogic;
holdn : std_ulogic;
end record;
type tcknreg_type is record
run: std_ulogic;
done_sync1: std_ulogic;
qual_rdata: std_ulogic;
addrlo : std_logic_vector(ADDBITS-1 downto 2);
data : std_logic_vector(32 downto 0);
end record;
type ahbreg_type is record
run_sync: std_logic_vector(1 downto 0);
qual_dreg: std_ulogic;
qual_areg: std_ulogic;
areg: std_logic_vector(34 downto 0);
dreg: std_logic_vector(31 downto 0);
done: std_ulogic;
dmastart: std_ulogic;
wdone: std_ulogic;
end record;
signal ar, arin : ahbreg_type;
signal tpr, tprin: tckpreg_type;
signal tnr, tnrin: tcknreg_type;
signal qual_rdata, rdataq: std_logic_vector(31 downto 0);
signal qual_dreg, dregq: std_logic_vector(31 downto 0);
signal qual_areg, aregqin, aregq: std_logic_vector(34 downto 0);
attribute syn_keep: boolean;
attribute syn_keep of rdataq : signal is true;
attribute syn_keep of dregq : signal is true;
attribute syn_keep of aregq : signal is true;
begin
rdqgen: for x in 31 downto 0 generate
rdq: grnand2 generic map (tech => gatetech) port map (ar.dreg(x), qual_rdata(x), rdataq(x));
end generate;
dqgen: for x in 31 downto 0 generate
dq: grnand2 generic map (tech => gatetech) port map (tnr.data(x), qual_dreg(x), dregq(x));
end generate;
aregqin <= tpr.addr(34 downto ADDBITS) &
tnr.addrlo(ADDBITS-1 downto 2) &
tpr.addr(1 downto 0);
aqgen: for x in 34 downto 0 generate
aq: grnand2 generic map (tech => gatetech) port map (aregqin(x), qual_areg(x), aregq(x));
end generate;
comb : process (rst, ar, tapo, dmao, tpr, tnr, aregq, dregq, rdataq)
variable av : ahbreg_type;
variable tpv : tckpreg_type;
variable tnv : tcknreg_type;
variable vdmai : ahb_dma_in_type;
variable asel, dsel : std_ulogic;
variable vtapi : tap_in_type;
variable write, seq : std_ulogic;
begin
av := ar; tpv := tpr; tnv := tnr;
---------------------------------------------------------------------------
-- TCK side logic
---------------------------------------------------------------------------
if NOCMP then
asel := tapo.asel; dsel := tapo.dsel;
else
if tapo.inst = conv_std_logic_vector(ainst, 8) then asel := '1'; else asel := '0'; end if;
if tapo.inst = conv_std_logic_vector(dinst, 8) then dsel := '1'; else dsel := '0'; end if;
end if;
vtapi.en := asel or dsel;
vtapi.tdo:=tpr.addr(0);
if dsel='1' then
vtapi.tdo:=tpr.datashft(0) and tpr.holdn;
end if;
write := tpr.addr(34); seq := tpr.datashft(32);
-- Sync regs using alternating phases
tnv.done_sync1 := ar.done;
tpv.done_sync := tnr.done_sync1;
-- Data CDC
qual_rdata <= (others => tnr.qual_rdata);
if tnr.qual_rdata='1' then tpv.datashft(32 downto 0) := '1' & (not rdataq); end if;
if tapo.capt='1' then tpv.addr(ADDBITS-1 downto 2) := tnr.addrlo; end if;
-- Track whether we're in the middle of shifting
if tapo.shift='1' then tpv.inshift:='1'; end if;
if tapo.upd='1' then tpv.inshift:='0'; end if;
if tapo.shift='1' then
if asel = '1' and tpr.prun='0' then tpv.addr(34 downto 0) := tapo.tdi & tpr.addr(34 downto 1); end if;
if dsel = '1' and tpr.holdn='1' then tpv.datashft(32 downto 0) := tapo.tdi & tpr.datashft(32 downto 1); end if;
end if;
if tnr.run='0' then tpv.holdn:='1'; end if;
tpv.prun := tnr.run;
if tpr.prun='0' then
tnv.qual_rdata := '0';
if tapo.shift='0' and tapo.upd = '1' then
if asel='1' then tnv.addrlo := tpr.addr(ADDBITS-1 downto 2); end if;
if dsel='1' then tnv.data := tpr.datashft; end if;
if (asel and not write) = '1' then tpv.holdn := '0'; tnv.run := '1'; end if;
if (dsel and (write or (not write and seq))) = '1' then
tnv.run := '1';
if (seq and not write) = '1' then
tnv.addrlo := tnr.addrlo + 1;
tpv.holdn := '0';
end if;
end if;
end if;
else
if tpr.done_sync='1' and (tpv.inshift='0' or write='1') then
tnv.run := '0';
if write='0' then
tnv.qual_rdata := '1';
end if;
if (write and tnr.data(32)) = '1' then
tnv.addrlo := tnr.addrlo + 1;
end if;
end if;
end if;
if tapo.reset='1' then
tpv.inshift := '0';
tnv.run := '0';
end if;
---------------------------------------------------------------------------
-- AHB side logic
---------------------------------------------------------------------------
-- Sync regs and CDC transfer
av.run_sync := tnr.run & ar.run_sync(1);
qual_dreg <= (others => ar.qual_dreg);
if ar.qual_dreg='1' then av.dreg:=not dregq; end if;
qual_areg <= (others => ar.qual_areg);
if ar.qual_areg='1' then av.areg:=not aregq; end if;
vdmai.address := ar.areg(31 downto 0);
vdmai.wdata := ahbdrivedata(ar.dreg(31 downto 0));
vdmai.start := '0'; vdmai.burst := '0';
vdmai.write := ar.areg(34);
vdmai.busy := '0'; vdmai.irq := '0';
vdmai.size := '0' & ar.areg(33 downto 32);
av.qual_dreg := '0';
av.qual_areg := '0';
vdmai.start := '0';
if ar.dmastart='1' then
if dmao.active='1' then
if dmao.ready='1' then
av.dreg := ahbreadword(dmao.rdata);
if ar.areg(34)='0' then
av.done := '1';
end if;
av.dmastart := '0';
end if;
else
vdmai.start := '1';
if ar.areg(34)='1' and ar.wdone='0' then
av.done := '1';
av.wdone := '1';
end if;
end if;
end if;
if ar.qual_areg='1' then
av.dmastart := '1';
av.wdone := '0';
end if;
if ar.run_sync(0)='1' and ar.qual_areg='0' and ar.dmastart='0' and ar.done='0' then
av.qual_dreg := '1';
av.qual_areg := '1';
end if;
if ar.run_sync(0)='0' and ar.done='1' then
av.done := '0';
end if;
if (rst = '0') then
av.qual_dreg := '0';
av.qual_areg := '0';
av.done := '0';
av.areg := (others => '0');
av.dreg := (others => '0');
av.dmastart := '0';
end if;
tprin <= tpv; tnrin <= tnv; arin <= av; dmai <= vdmai; tapi <= vtapi;
end process;
ahbreg : process(clk)
begin
if rising_edge(clk) then ar <= arin; end if;
end process;
tckpreg: process(tckp,trst)
begin
if rising_edge(tckp) then
tpr <= tprin;
end if;
if trst='0' then
tpr.done_sync <= '0';
tpr.prun <= '0';
tpr.inshift <= '0';
tpr.holdn <= '1';
end if;
end process;
tcknreg: process(tckn,trst)
begin
if rising_edge(tckn) then
tnr <= tnrin;
end if;
if trst='0' then
tnr.run <= '0';
tnr.done_sync1 <= '0';
tnr.qual_rdata <= '0';
end if;
end process;
end;
|
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v7.1 Core - Top-level core wrapper
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006-2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: ram_16x1k_dp_exdes.vhd
--
-- Description:
-- This is the actual BMG core wrapper.
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: August 31, 2005 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY UNISIM;
USE UNISIM.VCOMPONENTS.ALL;
--------------------------------------------------------------------------------
-- Entity Declaration
--------------------------------------------------------------------------------
ENTITY ram_16x1k_dp_exdes IS
PORT (
--Inputs - Port A
ENA : IN STD_LOGIC; --opt port
WEA : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
CLKA : IN STD_LOGIC;
--Inputs - Port B
ENB : IN STD_LOGIC; --opt port
WEB : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
ADDRB : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
DINB : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
CLKB : IN STD_LOGIC
);
END ram_16x1k_dp_exdes;
ARCHITECTURE xilinx OF ram_16x1k_dp_exdes IS
COMPONENT BUFG IS
PORT (
I : IN STD_ULOGIC;
O : OUT STD_ULOGIC
);
END COMPONENT;
COMPONENT ram_16x1k_dp IS
PORT (
--Port A
ENA : IN STD_LOGIC; --opt port
WEA : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
CLKA : IN STD_LOGIC;
--Port B
ENB : IN STD_LOGIC; --opt port
WEB : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
ADDRB : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
DINB : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
CLKB : IN STD_LOGIC
);
END COMPONENT;
SIGNAL CLKA_buf : STD_LOGIC;
SIGNAL CLKB_buf : STD_LOGIC;
SIGNAL S_ACLK_buf : STD_LOGIC;
BEGIN
bufg_A : BUFG
PORT MAP (
I => CLKA,
O => CLKA_buf
);
bufg_B : BUFG
PORT MAP (
I => CLKB,
O => CLKB_buf
);
bmg0 : ram_16x1k_dp
PORT MAP (
--Port A
ENA => ENA,
WEA => WEA,
ADDRA => ADDRA,
DINA => DINA,
DOUTA => DOUTA,
CLKA => CLKA_buf,
--Port B
ENB => ENB,
WEB => WEB,
ADDRB => ADDRB,
DINB => DINB,
DOUTB => DOUTB,
CLKB => CLKB_buf
);
END xilinx;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity sub is
port (
result : out std_ulogic_vector(3 downto 0);
in1 : in std_ulogic_vector(3 downto 0) );
end entity;
architecture test of sub is
signal in2 : std_ulogic_vector(2 downto 0);
begin
assert in1(1 downto 0) = "00";
in2 <= "001";
result <= std_ulogic_vector(unsigned(in1) + unsigned(in2));
end architecture;
-------------------------------------------------------------------------------
entity ieee6 is
end entity;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
architecture test of ieee6 is
signal result : std_ulogic_vector(3 downto 0);
signal in1 : std_ulogic_vector(1 downto 0);
begin
uut: entity work.sub
port map (
result => result,
in1(3 downto 2) => std_ulogic_vector(in1),
in1(1 downto 0) => "00" );
stim: process is
begin
in1 <= "01";
wait for 1 ns;
assert result = X"5";
wait;
end process;
end architecture;
|
-- Nothing should fail in this entity
entity ENT1 is
generic (
G_GENERIC1 : std_logic_vector(3 downto 0);
G_GENERIC2 : std_logic_vector(0 to 256)
);
port (
P_PORT1 : std_logic_vector(15 downto 6); -- DOWNTO
P_PORT2 : std_logic_vector(56 to 132)
);
end entity ENT1;
-- Everything should fail in this entity
entity ENT1 is
generic (
G_GENERIC1 : std_logic_vector(3 downTo 0);
G_GENERIC2 : std_logic_vector(0 TO 256)
);
port (
P_PORT1 : std_logic_vector(15 Downto 6);
P_PORT2 : std_logic_vector(56 tO 132)
);
end entity ENT1;
architecture ARCH of ENT1 is
constant c_const1 : std_logic_vector(3 DOWNTO 0); -- downto
constant c_const2 : std_logic_vector(3 downto 0);
constant c_const3 : std_logic_vector(345 To 670);
constant c_const4 : std_logic_vector(345 to 670);
signal w_sig1 : std_logic_vector(50 dOWnto 45);
signal w_sig2 : std_logic_vector(50 downto 45);
signal w_sig3 : std_logic_vector(46 TO 345);
signal w_sig4 : std_logic_vector(46 to 345);
begin
end architecture ARCH;
|
-- Nothing should fail in this entity
entity ENT1 is
generic (
G_GENERIC1 : std_logic_vector(3 downto 0);
G_GENERIC2 : std_logic_vector(0 to 256)
);
port (
P_PORT1 : std_logic_vector(15 downto 6); -- DOWNTO
P_PORT2 : std_logic_vector(56 to 132)
);
end entity ENT1;
-- Everything should fail in this entity
entity ENT1 is
generic (
G_GENERIC1 : std_logic_vector(3 downTo 0);
G_GENERIC2 : std_logic_vector(0 TO 256)
);
port (
P_PORT1 : std_logic_vector(15 Downto 6);
P_PORT2 : std_logic_vector(56 tO 132)
);
end entity ENT1;
architecture ARCH of ENT1 is
constant c_const1 : std_logic_vector(3 DOWNTO 0); -- downto
constant c_const2 : std_logic_vector(3 downto 0);
constant c_const3 : std_logic_vector(345 To 670);
constant c_const4 : std_logic_vector(345 to 670);
signal w_sig1 : std_logic_vector(50 dOWnto 45);
signal w_sig2 : std_logic_vector(50 downto 45);
signal w_sig3 : std_logic_vector(46 TO 345);
signal w_sig4 : std_logic_vector(46 to 345);
begin
end architecture ARCH;
|
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*-
-- vim: tabstop=2:shiftwidth=2:noexpandtab
-- kate: tab-width 2; replace-tabs off; indent-width 2;
-- =============================================================================
-- Authors: Patrick Lehmann
-- Thomas B. Preusser
--
-- Package: Simulation constants, functions and utilities.
--
-- Description:
-- -------------------------------------
-- .. TODO:: No documentation available.
--
-- License:
-- =============================================================================
-- Copyright 2007-2016 Technische Universitaet Dresden - Germany
-- Chair of VLSI-Design, Diagnostics and Architecture
--
-- Licensed under the Apache License, Version 2.0 (the "License");
-- you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at
--
-- http://www.apache.org/licenses/LICENSE-2.0
--
-- Unless required by applicable law or agreed to in writing, software
-- distributed under the License is distributed on an "AS IS" BASIS,
-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and
-- limitations under the License.
-- =============================================================================
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use IEEE.math_real.all;
library PoC;
use PoC.utils.all;
-- use PoC.strings.all;
use PoC.vectors.all;
use PoC.physical.all;
use PoC.sim_global.all;
use PoC.sim_types.all;
use PoC.sim_protected.all;
package simulation is
-- Legacy interface for pre VHDL-2002
-- ===========================================================================
-- prepared aliases, if GHDL gets the aliases fixed. Reported on 08.02.2015 as Issue #38
-- alias simmInitialize is globalSimulationStatus.initialize[NATURAL, TIME];
-- alias simmFinalize is globalSimulationStatus.finalize[];
-- alias simmCreateTest is globalSimulationStatus.createTest[STRING return T_SIM_TEST_ID];
-- alias simmFinalizeTest is globalSimulationStatus.finalizeTest[T_SIM_TEST_ID];
-- alias simmRegisterProcess is globalSimulationStatus.registerProcess[T_SIM_TEST_ID, STRING, BOOLEAN return T_SIM_PROCESS_ID];
-- alias simmRegisterProcess is globalSimulationStatus.registerProcess[STRING, BOOLEAN return T_SIM_PROCESS_ID];
-- alias simmDeactivateProcess is globalSimulationStatus.deactivateProcess[T_SIM_PROCESS_ID];
-- alias simmIsStopped is globalSimulationStatus.isStopped[T_SIM_TEST_ID return BOOLEAN];
-- alias simmIsFinalized is globalSimulationStatus.isFinalized[T_SIM_TEST_ID return BOOLEAN];
-- alias simmIsAllFinalized is globalSimulationStatus.isAllFinalized [return BOOLEAN];
-- alias simmAssertion is globalSimulationStatus.assertion[BOOLEAN, STRING];
-- alias simmFail is globalSimulationStatus.fail[STRING];
-- alias simmWriteMessage is globalSimulationStatus.writeMessage[STRING];
procedure simInitialize(MaxAssertFailures : natural := natural'high; MaxSimulationRuntime : TIME := TIME'high);
procedure simFinalize;
impure function simCreateTest(Name : string) return T_SIM_TEST_ID;
procedure simFinalizeTest(constant TestID : T_SIM_TEST_ID);
impure function simRegisterProcess(Name : string; constant IsLowPriority : boolean := FALSE) return T_SIM_PROCESS_ID;
impure function simRegisterProcess(constant TestID : T_SIM_TEST_ID; Name : string; constant IsLowPriority : boolean := FALSE) return T_SIM_PROCESS_ID;
procedure simDeactivateProcess(ProcID : T_SIM_PROCESS_ID);
impure function simIsStopped(constant TestID : T_SIM_TEST_ID := C_SIM_DEFAULT_TEST_ID) return boolean;
impure function simIsFinalized(constant TestID : T_SIM_TEST_ID := C_SIM_DEFAULT_TEST_ID) return boolean;
impure function simIsAllFinalized return boolean;
procedure simAssertion(cond : in boolean; Message : in string := "");
procedure simFail(Message : in string := "");
procedure simWriteMessage(Message : in string := "");
-- TODO: integrate VCD simulation functions and procedures from sim_value_change_dump.vhdl here
-- checksum functions
-- ===========================================================================
-- TODO: move checksum functions here
end package;
package body simulation is
-- legacy procedures
-- ===========================================================================
-- TODO: undocumented group
procedure simInitialize(MaxAssertFailures : natural := natural'high; MaxSimulationRuntime : TIME := TIME'high) is
begin
globalSimulationStatus.initialize(MaxAssertFailures, MaxSimulationRuntime);
if C_SIM_VERBOSE then report "simInitialize:" severity NOTE; end if;
if (MaxSimulationRuntime /= time'high) then
wait for MaxSimulationRuntime;
report "simInitialize: TIMEOUT" severity ERROR;
globalSimulationStatus.finalize;
end if;
end procedure;
procedure simFinalize is
begin
globalSimulationStatus.finalize;
end procedure;
impure function simCreateTest(Name : string) return T_SIM_TEST_ID is
begin
return globalSimulationStatus.createTest(Name);
end function;
procedure simFinalizeTest(constant TestID : T_SIM_TEST_ID) is
begin
globalSimulationStatus.finalizeTest(TestID);
end procedure;
impure function simRegisterProcess(Name : string; constant IsLowPriority : boolean := FALSE) return T_SIM_PROCESS_ID is
begin
return globalSimulationStatus.registerProcess(Name, IsLowPriority);
end function;
impure function simRegisterProcess(constant TestID : T_SIM_TEST_ID; Name : string; constant IsLowPriority : boolean := FALSE) return T_SIM_PROCESS_ID is
begin
return globalSimulationStatus.registerProcess(TestID, Name, IsLowPriority);
end function;
procedure simDeactivateProcess(ProcID : T_SIM_PROCESS_ID) is
begin
globalSimulationStatus.deactivateProcess(ProcID);
end procedure;
impure function simIsStopped(constant TestID : T_SIM_TEST_ID := C_SIM_DEFAULT_TEST_ID) return boolean is
begin
return globalSimulationStatus.isStopped(TestID);
end function;
impure function simIsFinalized(constant TestID : T_SIM_TEST_ID := C_SIM_DEFAULT_TEST_ID) return boolean is
begin
return globalSimulationStatus.isFinalized(TestID);
end function;
impure function simIsAllFinalized return boolean is
begin
return globalSimulationStatus.isAllFinalized;
end function;
-- TODO: undocumented group
procedure simWriteMessage(Message : in string := "") is
begin
globalSimulationStatus.writeMessage(Message);
end procedure;
procedure simFail(Message : in string := "") is
begin
globalSimulationStatus.fail(Message);
end procedure;
procedure simAssertion(cond : in boolean; Message : in string := "") is
begin
globalSimulationStatus.assertion(cond, Message);
end procedure;
-- checksum functions
-- ===========================================================================
-- TODO: move checksum functions here
end package body;
|
-----------------------------------------------------------------------------
-- LEON3 Demonstration design
-- Copyright (C) 2004 Jiri Gaisler, Gaisler Research
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
library techmap;
use techmap.gencomp.all;
library gaisler;
use gaisler.memctrl.all;
use gaisler.leon3.all;
use gaisler.uart.all;
use gaisler.misc.all;
use gaisler.can.all;
use gaisler.net.all;
use gaisler.jtag.all;
library esa;
use esa.memoryctrl.all;
use work.config.all;
entity leon3mp is
generic (
fabtech : integer := CFG_FABTECH;
memtech : integer := CFG_MEMTECH;
padtech : integer := CFG_PADTECH;
clktech : integer := CFG_CLKTECH;
disas : integer := CFG_DISAS; -- Enable disassembly to console
dbguart : integer := CFG_DUART; -- Print UART on console
pclow : integer := CFG_PCLOW
);
port (
pb_sw : in std_logic_vector (4 downto 1); -- push buttons
pll_clk : in std_ulogic; -- PLL clock
led : out std_logic_vector(8 downto 1);
flash_a : out std_logic_vector(20 downto 0);
flash_d : inout std_logic_vector(15 downto 0);
sdram_a : out std_logic_vector(11 downto 0);
sdram_d : inout std_logic_vector(31 downto 0);
sdram_ba : out std_logic_vector(3 downto 0);
sdram_dqm : out std_logic_vector(3 downto 0);
sdram_clk : inout std_ulogic;
sdram_cke : out std_ulogic; -- sdram clock enable
sdram_csn : out std_ulogic; -- sdram chip select
sdram_wen : out std_ulogic; -- sdram write enable
sdram_rasn : out std_ulogic; -- sdram ras
sdram_casn : out std_ulogic; -- sdram cas
uart1_txd : out std_ulogic;
uart1_rxd : in std_ulogic;
uart1_rts : out std_ulogic;
uart1_cts : in std_ulogic;
uart2_txd : out std_ulogic;
uart2_rxd : in std_ulogic;
uart2_rts : out std_ulogic;
uart2_cts : in std_ulogic;
flash_oen : out std_ulogic;
flash_wen : out std_ulogic;
flash_cen : out std_ulogic;
flash_byte : out std_ulogic;
flash_ready : in std_ulogic;
flash_rpn : out std_ulogic;
flash_wpn : out std_ulogic;
phy_mii_data: inout std_logic; -- ethernet PHY interface
phy_tx_clk : in std_ulogic;
phy_rx_clk : in std_ulogic;
phy_rx_data : in std_logic_vector(3 downto 0);
phy_dv : in std_ulogic;
phy_rx_er : in std_ulogic;
phy_col : in std_ulogic;
phy_crs : in std_ulogic;
phy_tx_data : out std_logic_vector(3 downto 0);
phy_tx_en : out std_ulogic;
phy_mii_clk : out std_ulogic;
phy_100 : in std_ulogic; -- 100 Mbit indicator
phy_rst_n : out std_ulogic;
gpio : inout std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0);
-- lcd_data : inout std_logic_vector(7 downto 0);
-- lcd_rs : out std_ulogic;
-- lcd_rw : out std_ulogic;
-- lcd_en : out std_ulogic;
-- lcd_backl : out std_ulogic;
can_txd : out std_ulogic;
can_rxd : in std_ulogic;
smsc_addr : out std_logic_vector(14 downto 0);
smsc_data : inout std_logic_vector(31 downto 0);
smsc_nbe : out std_logic_vector(3 downto 0);
smsc_resetn : out std_ulogic;
smsc_ardy : in std_ulogic;
-- smsc_intr : in std_ulogic;
smsc_nldev : in std_ulogic;
smsc_nrd : out std_ulogic;
smsc_nwr : out std_ulogic;
smsc_ncs : out std_ulogic;
smsc_aen : out std_ulogic;
smsc_lclk : out std_ulogic;
smsc_wnr : out std_ulogic;
smsc_rdyrtn : out std_ulogic;
smsc_cycle : out std_ulogic;
smsc_nads : out std_ulogic
);
end;
architecture rtl of leon3mp is
signal vcc, gnd : std_logic_vector(7 downto 0);
signal wpo : wprot_out_type;
signal sdi : sdctrl_in_type;
signal sdo : sdram_out_type;
signal apbi : apb_slv_in_type;
signal apbo : apb_slv_out_vector := (others => apb_none);
signal ahbsi : ahb_slv_in_type;
signal ahbso : ahb_slv_out_vector := (others => ahbs_none);
signal ahbmi : ahb_mst_in_type;
signal ahbmo : ahb_mst_out_vector := (others => ahbm_none);
signal clkm, rstn, rstraw, pciclk, sdclkl : std_ulogic;
signal cgi : clkgen_in_type;
signal cgo : clkgen_out_type;
signal u1i, u2i, dui : uart_in_type;
signal u1o, u2o, duo : uart_out_type;
signal irqi : irq_in_vector(0 to CFG_NCPU-1);
signal irqo : irq_out_vector(0 to CFG_NCPU-1);
signal dbgi : l3_debug_in_vector(0 to CFG_NCPU-1);
signal dbgo : l3_debug_out_vector(0 to CFG_NCPU-1);
signal dsui : dsu_in_type;
signal dsuo : dsu_out_type;
signal ethi, ethi1, ethi2 : eth_in_type;
signal etho, etho1, etho2 : eth_out_type;
signal gpti : gptimer_in_type;
signal gpioi : gpio_in_type;
signal gpioo : gpio_out_type;
signal can_lrx, can_ltx : std_ulogic;
signal lclk, pci_lclk, sdfb : std_ulogic;
signal tck, tms, tdi, tdo : std_ulogic;
signal resetn : std_ulogic;
signal pbsw : std_logic_vector(4 downto 1);
signal ledo : std_logic_vector(8 downto 1);
signal memi : memory_in_type;
signal memo : memory_out_type;
--for smc lan chip
signal s_eth_aen : std_logic;
signal s_eth_readn : std_logic;
signal s_eth_writen: std_logic;
signal s_eth_nbe : std_logic_vector(3 downto 0);
signal s_eth_din : std_logic_vector(31 downto 0);
constant ahbmmax : integer := CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+ CFG_GRETH;
constant BOARD_FREQ : integer := 50000; -- board frequency in KHz
constant CPU_FREQ : integer := (BOARD_FREQ*CFG_CLKMUL)/CFG_CLKDIV; -- cpu frequency in KHz
begin
----------------------------------------------------------------------
--- Reset and Clock generation -------------------------------------
----------------------------------------------------------------------
vcc <= (others => '1'); gnd <= (others => '0');
sdram_clk_pad : skew_outpad
generic map (tech => padtech, slew => 1, strength => 24, skew => -60)
port map (sdram_clk, sdclkl, rstn);
cgi.pllctrl <= "00"; cgi.pllrst <= rstraw;
resetn <= pbsw(4);
ledo(2) <= not cgo.clklock;
ledo(3) <= pbsw(3);
clk_pad : clkpad generic map (tech => padtech) port map (pll_clk, lclk);
clkgen0 : clkgen -- clock generator
generic map (clktech, CFG_CLKMUL, CFG_CLKDIV, CFG_MCTRL_SDEN,
CFG_CLK_NOFB, 0, 0, 0, BOARD_FREQ)
port map (lclk, pci_lclk, clkm, open, open, sdclkl, pciclk, cgi, cgo);
rst0 : rstgen -- reset generator
port map (resetn, clkm, cgo.clklock, rstn, rstraw);
----------------------------------------------------------------------
--- AHB CONTROLLER --------------------------------------------------
----------------------------------------------------------------------
ahb0 : ahbctrl -- AHB arbiter/multiplexer
generic map (defmast => CFG_DEFMST, split => CFG_SPLIT,
rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO,
nahbm => ahbmmax, nahbs => 8)
port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso);
----------------------------------------------------------------------
--- LEON3 processor and DSU -----------------------------------------
----------------------------------------------------------------------
l3 : if CFG_LEON3 = 1 generate
cpu : for i in 0 to CFG_NCPU-1 generate
u0 : leon3s -- LEON3 processor
generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8,
0, CFG_MAC, pclow, CFG_NOTAG, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE,
CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ,
CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN,
CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP,
CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1)
port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso,
irqi(i), irqo(i), dbgi(i), dbgo(i));
end generate;
ledo(8) <= dbgo(0).error;
dsugen : if CFG_DSU = 1 generate
dsu0 : dsu3 -- LEON3 Debug Support Unit
generic map (hindex => 2, haddr => 16#900#, hmask => 16#F00#,
ncpu => CFG_NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ)
port map (rstn, clkm, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo);
dsui.enable <= '1'; dsui.break <= pbsw(1); ledo(1) <= not dsuo.active;
end generate;
end generate;
nodcom : if CFG_DSU = 0 generate
ahbso(2) <= ahbs_none; dsuo.tstop <= '0'; dsuo.active <= '0';
end generate;
dcomgen : if CFG_AHB_UART = 1 generate
dcom0: ahbuart -- Debug UART
generic map (hindex => CFG_NCPU, pindex => 7, paddr => 7)
port map (rstn, clkm, dui, duo, apbi, apbo(7), ahbmi, ahbmo(CFG_NCPU));
dui.rxd <= u2i.rxd; u2o.txd <= duo.txd; u2o.rtsn <= gnd(0);
end generate;
nouah : if CFG_AHB_UART = 0 generate apbo(7) <= apb_none; end generate;
ahbjtaggen0 :if CFG_AHB_JTAG = 1 generate
ahbjtag0 : ahbjtag generic map(tech => fabtech, hindex => CFG_NCPU+CFG_AHB_UART)
port map(rstn, clkm, tck, tms, tdi, tdo, ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART),
open, open, open, open, open, open, open, gnd(0));
end generate;
----------------------------------------------------------------------
--- PROM/SDRAM Memory controller ------------------------------------
----------------------------------------------------------------------
memi.brdyn <= '1'; memi.bexcn <= '1';
memi.writen <= '1'; memi.wrn <= "1111";
memi.bwidth <= "00" when CFG_MCTRL_RAM16BIT = 0 else "01";
mg2 : if CFG_MCTRL_LEON2 = 1 generate -- LEON2 memory controller
sr1 : entity work.smc_mctrl generic map (hindex => 0, pindex => 0, paddr => 0,
srbanks => 4+CFG_MCTRL_5CS, sden => CFG_MCTRL_SDEN,
ram8 => CFG_MCTRL_RAM8BIT, ram16 => CFG_MCTRL_RAM16BIT,
invclk => CFG_MCTRL_INVCLK, sepbus => CFG_MCTRL_SEPBUS,
sdbits => 32 + 32*CFG_MCTRL_SD64)
port map (rstn, clkm, memi, memo, ahbsi, ahbso(0), apbi, apbo(0),
wpo, sdo, s_eth_aen, s_eth_readn, s_eth_writen, s_eth_nbe, s_eth_din);
addr_pad : outpadv generic map (width => 21, tech => padtech)
port map (flash_a(20 downto 0), memo.address(21 downto 1));
roms_pad : outpad generic map (tech => padtech)
port map (flash_cen, memo.romsn(0));
oen_pad : outpad generic map (tech => padtech)
port map (flash_oen, memo.oen);
wri_pad : outpad generic map (tech => padtech)
port map (flash_wen, memo.writen);
rom8 : if CFG_MCTRL_RAM16BIT = 0 generate
data_pad : iopadv generic map (tech => padtech, width => 8)
port map (flash_d(7 downto 0), memo.data(31 downto 24),
memo.bdrive(0), memi.data(31 downto 24));
data15_pad : iopad generic map (tech => padtech)
port map (flash_d(15), memo.address(0), gnd(0), open);
end generate;
rom16 : if CFG_MCTRL_RAM16BIT = 1 generate
data_pad : iopadv generic map (tech => padtech, width => 16)
port map (flash_d(15 downto 0), memo.data(31 downto 16),
memo.bdrive(0), memi.data(31 downto 16));
end generate;
sa_pad : outpadv generic map (width => 12, tech => padtech)
port map (sdram_a, memo.sa(11 downto 0));
sba1_pad : outpadv generic map (width => 2, tech => padtech)
port map (sdram_ba(1 downto 0), memo.sa(14 downto 13));
sba2_pad : outpadv generic map (width => 2, tech => padtech)
port map (sdram_ba(3 downto 2), memo.sa(14 downto 13));
bdr : for i in 0 to 3 generate
sd_pad : iopadv generic map (tech => padtech, width => 8)
port map (sdram_d(31-i*8 downto 24-i*8), memo.data(31-i*8 downto 24-i*8),
memo.bdrive(i), memi.sd(31-i*8 downto 24-i*8));
end generate;
sdcke_pad : outpad generic map (tech => padtech)
port map (sdram_cke, sdo.sdcke(0));
sdwen_pad : outpad generic map (tech => padtech)
port map (sdram_wen, sdo.sdwen);
sdcsn_pad : outpad generic map (tech => padtech)
port map (sdram_csn, sdo.sdcsn(0));
sdras_pad : outpad generic map (tech => padtech)
port map (sdram_rasn, sdo.rasn);
sdcas_pad : outpad generic map (tech => padtech)
port map (sdram_casn, sdo.casn);
sddqm_pad : outpadv generic map (width => 4, tech => padtech)
port map (sdram_dqm, sdo.dqm(3 downto 0));
end generate;
nosd0 : if (CFG_MCTRL_SDEN = 0) generate -- no SDRAM controller
sdcke_pad : outpad generic map (tech => padtech)
port map (sdram_cke, gnd(0));
sdcsn_pad : outpad generic map (tech => padtech)
port map (sdram_csn, vcc(0));
end generate;
----------------------------------------------------------------------
--- APB Bridge and various periherals -------------------------------
----------------------------------------------------------------------
bpromgen : if CFG_AHBROMEN /= 0 generate
brom : entity work.ahbrom
generic map (hindex => 4, haddr => CFG_AHBRODDR, pipe => CFG_AHBROPIP)
port map ( rstn, clkm, ahbsi, ahbso(4));
end generate;
nobpromgen : if CFG_AHBROMEN = 0 generate
ahbso(4) <= ahbs_none;
end generate;
----------------------------------------------------------------------
--- APB Bridge and various periherals -------------------------------
----------------------------------------------------------------------
apb0 : apbctrl -- AHB/APB bridge
generic map (hindex => 1, haddr => CFG_APBADDR)
port map (rstn, clkm, ahbsi, ahbso(1), apbi, apbo );
ua1 : if CFG_UART1_ENABLE /= 0 generate
uart1 : apbuart -- UART 1
generic map (pindex => 1, paddr => 1, pirq => 2, console => dbguart,
fifosize => CFG_UART1_FIFO)
port map (rstn, clkm, apbi, apbo(1), u1i, u1o);
u1i.extclk <= '0';
end generate;
noua0 : if CFG_UART1_ENABLE = 0 generate apbo(1) <= apb_none; end generate;
ua1rx_pad : inpad generic map (tech => padtech) port map (uart1_rxd, u1i.rxd);
ua1tx_pad : outpad generic map (tech => padtech) port map (uart1_txd, u1o.txd);
ua1cts_pad : inpad generic map (tech => padtech) port map (uart1_cts, u1i.ctsn);
ua1rts_pad : outpad generic map (tech => padtech) port map (uart1_rts, u1o.rtsn);
ua2 : if (CFG_UART2_ENABLE /= 0) and (CFG_AHB_UART = 0) generate
uart2 : apbuart -- UART 2
generic map (pindex => 9, paddr => 9, pirq => 3, fifosize => CFG_UART2_FIFO)
port map (rstn, clkm, apbi, apbo(9), u2i, u2o);
u2i.extclk <= '0';
end generate;
noua1 : if CFG_UART2_ENABLE = 0 generate apbo(9) <= apb_none; end generate;
ua2rx_pad : inpad generic map (tech => padtech) port map (uart2_rxd, u2i.rxd);
ua2tx_pad : outpad generic map (tech => padtech) port map (uart2_txd, u2o.txd);
ua2cts_pad : inpad generic map (tech => padtech) port map (uart2_cts, u2i.ctsn);
ua2rts_pad : outpad generic map (tech => padtech) port map (uart2_rts, u2o.rtsn);
irqctrl : if CFG_IRQ3_ENABLE /= 0 generate
irqctrl0 : irqmp -- interrupt controller
generic map (pindex => 2, paddr => 2, ncpu => CFG_NCPU)
port map (rstn, clkm, apbi, apbo(2), irqo, irqi);
end generate;
irq3 : if CFG_IRQ3_ENABLE = 0 generate
x : for i in 0 to CFG_NCPU-1 generate
irqi(i).irl <= "0000";
end generate;
apbo(2) <= apb_none;
end generate;
gpt : if CFG_GPT_ENABLE /= 0 generate
timer0 : gptimer -- timer unit
generic map (pindex => 3, paddr => 3, pirq => CFG_GPT_IRQ,
sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW, ntimers => CFG_GPT_NTIM,
nbits => CFG_GPT_TW, wdog => CFG_GPT_WDOG)
port map (rstn, clkm, apbi, apbo(3), gpti, open);
gpti.dhalt <= dsuo.tstop; gpti.extclk <= '0';
end generate;
notim : if CFG_GPT_ENABLE = 0 generate apbo(3) <= apb_none; end generate;
gpio0 : if CFG_GRGPIO_ENABLE /= 0 generate -- GPIO unit
grgpio0: grgpio
generic map(pindex => 5, paddr => 5, imask => CFG_GRGPIO_IMASK, nbits => CFG_GRGPIO_WIDTH)
port map(rst => rstn, clk => clkm, apbi => apbi, apbo => apbo(5),
gpioi => gpioi, gpioo => gpioo);
pio_pads : for i in 0 to CFG_GRGPIO_WIDTH-1 generate
pio_pad : iopad generic map (tech => padtech)
port map (gpio(i), gpioo.dout(i), gpioo.oen(i), gpioi.din(i));
end generate;
end generate;
-----------------------------------------------------------------------
--- ETHERNET ---------------------------------------------------------
-----------------------------------------------------------------------
eth0 : if CFG_GRETH = 1 generate -- Gaisler ethernet MAC
e1 : greth generic map(hindex => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG,
pindex => 15, paddr => 15, pirq => 12, memtech => memtech,
mdcscaler => CPU_FREQ/1000, enable_mdio => 1, fifosize => CFG_ETH_FIFO,
nsync => 1, edcl => CFG_DSU_ETH, edclbufsz => CFG_ETH_BUF,
macaddrh => CFG_ETH_ENM, macaddrl => CFG_ETH_ENL,
ipaddrh => CFG_ETH_IPM, ipaddrl => CFG_ETH_IPL)
port map( rst => rstn, clk => clkm, ahbmi => ahbmi,
ahbmo => ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG), apbi => apbi,
apbo => apbo(15), ethi => ethi, etho => etho);
end generate;
ethpads : if CFG_GRETH = 0 generate -- no eth
etho <= eth_out_none;
end generate;
emdio_pad : iopad generic map (tech => padtech)
port map (phy_mii_data, etho.mdio_o, etho.mdio_oe, ethi.mdio_i);
etxc_pad : clkpad generic map (tech => padtech, arch => 0)
port map (phy_tx_clk, ethi.tx_clk);
erxc_pad : clkpad generic map (tech => padtech, arch => 0)
port map (phy_rx_clk, ethi.rx_clk);
erxd_pad : inpadv generic map (tech => padtech, width => 4)
port map (phy_rx_data, ethi.rxd(3 downto 0));
erxdv_pad : inpad generic map (tech => padtech)
port map (phy_dv, ethi.rx_dv);
erxer_pad : inpad generic map (tech => padtech)
port map (phy_rx_er, ethi.rx_er);
erxco_pad : inpad generic map (tech => padtech)
port map (phy_col, ethi.rx_col);
erxcr_pad : inpad generic map (tech => padtech)
port map (phy_crs, ethi.rx_crs);
etxd_pad : outpadv generic map (tech => padtech, width => 4)
port map (phy_tx_data, etho.txd(3 downto 0));
etxen_pad : outpad generic map (tech => padtech)
port map ( phy_tx_en, etho.tx_en);
emdc_pad : outpad generic map (tech => padtech)
port map (phy_mii_clk, etho.mdc);
ereset_pad : outpad generic map (tech => padtech)
port map (phy_rst_n, rstn);
-----------------------------------------------------------------------
--- CAN --------------------------------------------------------------
-----------------------------------------------------------------------
can0 : if CFG_CAN = 1 generate
can0 : can_oc generic map (slvndx => 6, ioaddr => CFG_CANIO,
iomask => 16#FF0#, irq => CFG_CANIRQ, memtech => memtech)
port map (rstn, clkm, ahbsi, ahbso(6), can_lrx, can_ltx );
end generate;
ncan : if CFG_CAN = 0 generate ahbso(6) <= ahbs_none; end generate;
can_loopback : if CFG_CANLOOP = 1 generate
can_lrx <= can_ltx;
end generate;
can_pads : if CFG_CANLOOP = 0 generate
can_tx_pad : outpad generic map (tech => padtech)
port map (can_txd, can_ltx);
can_rx_pad : inpad generic map (tech => padtech)
port map (can_rxd, can_lrx);
end generate;
-----------------------------------------------------------------------
--- AHB RAM ----------------------------------------------------------
-----------------------------------------------------------------------
ocram : if CFG_AHBRAMEN = 1 generate
ahbram0 : ahbram generic map (hindex => 7, haddr => CFG_AHBRADDR,
tech => CFG_MEMTECH, kbytes => CFG_AHBRSZ, pipe => CFG_AHBRPIPE)
port map ( rstn, clkm, ahbsi, ahbso(7));
end generate;
nram : if CFG_AHBRAMEN = 0 generate ahbso(7) <= ahbs_none; end generate;
-----------------------------------------------------------------------
--- I/O interface ---------------------------------------------------
-----------------------------------------------------------------------
pb_sw_pad : inpadv generic map (width => 4, tech => padtech)
port map (pb_sw, pbsw);
led_pad : outpadv generic map (width => 8, tech => padtech)
port map (led, ledo);
rom8 : if CFG_MCTRL_RAM16BIT = 0 generate
byte_pad : outpad generic map (tech => padtech) port map (flash_byte, gnd(0));
end generate;
rom16 : if CFG_MCTRL_RAM16BIT = 1 generate
byte_pad : outpad generic map (tech => padtech) port map (flash_byte, vcc(0));
end generate;
rpn_pad : outpad generic map (tech => padtech) port map (flash_rpn, rstn);
wpn_pad : outpad generic map (tech => padtech) port map (flash_wpn, vcc(0));
ready_pad : inpad generic map (tech => padtech) port map (flash_ready, open);
smsc_data_pads : for i in 0 to 3 generate
data_pad : iopadv generic map (tech => padtech, width => 8)
port map (smsc_data(31-i*8 downto 24-i*8), memo.data(31-i*8 downto 24-i*8),
memo.bdrive(i), s_eth_din(31-i*8 downto 24-i*8));
end generate;
smsc_addr_pad : outpadv generic map (tech => padtech, width => 15)
port map (smsc_addr, memo.address(15 downto 1));
smsc_nbe_pad : outpadv generic map (tech => padtech, width => 4)
port map (smsc_nbe, s_eth_nbe);
smsc_reset_pad : outpad generic map (tech => padtech)
port map (smsc_resetn, rstn);
smsc_nrd_pad : outpad generic map (tech => padtech)
port map (smsc_nrd, s_eth_readn);
smsc_nwr_pad : outpad generic map (tech => padtech)
port map (smsc_nwr, s_eth_writen);
smsc_ncs_pad : outpad generic map (tech => padtech)
port map (smsc_ncs, memo.iosn);
smsc_aen_pad : outpad generic map (tech => padtech)
port map (smsc_aen, s_eth_aen);
smsc_lclk_pad : outpad generic map (tech => padtech)
port map (smsc_lclk, vcc(0));
smsc_wnr_pad : outpad generic map (tech => padtech)
port map (smsc_wnr, vcc(0));
smsc_rdyrtn_pad : outpad generic map (tech => padtech)
port map (smsc_rdyrtn, vcc(0));
smsc_cycle_pad : outpad generic map (tech => padtech)
port map (smsc_cycle, vcc(0));
smsc_nads_pad : outpad generic map (tech => padtech)
port map (smsc_nads, gnd(0));
-- lcd_data_pad : iopadv generic map (width => 8, tech => padtech)
-- port map (lcd_data, nuo.lcd_data, nuo.lcd_ben, nui.lcd_data);
-- lcd_rs_pad : outpad generic map (tech => padtech)
-- port map (lcd_rs, nuo.lcd_rs);
-- lcd_rw_pad : outpad generic map (tech => padtech)
-- port map (lcd_rw, nuo.lcd_rw );
-- lcd_en_pad : outpad generic map (tech => padtech)
-- port map (lcd_en, nuo.lcd_en);
-- lcd_backl_pad : outpad generic map (tech => padtech)
-- port map (lcd_backl, nuo.lcd_backl);
-----------------------------------------------------------------------
--- Drive unused bus elements ---------------------------------------
-----------------------------------------------------------------------
-- nam1 : for i in (CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG) to NAHBMST-1 generate
-- ahbmo(i) <= ahbm_none;
-- end generate;
-- nap0 : for i in 11 to NAPBSLV-1-CFG_GRETH generate apbo(i) <= apb_none; end generate;
apbo(6) <= apb_none;
-----------------------------------------------------------------------
--- Boot message ----------------------------------------------------
-----------------------------------------------------------------------
-- pragma translate_off
x : report_design
generic map (
msg1 => "LEON3 Demonstration design for Nuhorizon SP3 board",
fabtech => tech_table(fabtech), memtech => tech_table(memtech),
mdel => 1
);
-- pragma translate_on
end;
|
--LIBRARY xtek;
-- USE xtek.XHDL_std_logic.all;
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
--*****************************************************************************
-- (c) Copyright 2008-2009 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--*****************************************************************************
-- ____ ____
-- / /\/ /
-- /___/ \ / Vendor : Xilinx
-- \ \ \/ Version : 3.92
-- \ \ Application : MIG
-- / / Filename : ui_top.v
-- /___/ /\ Date Last Modified : $date$
-- \ \ / \ Date Created : Tue Jun 30 2009
-- \___\/\___\
--
--Device : Virtex-6
--Design Name : DDR3 SDRAM
--Purpose :
--Reference :
--Revision History :
--*****************************************************************************
-- Top level of simple user interface.
ENTITY ui_top IS
GENERIC (
TCQ : INTEGER := 100;
APP_DATA_WIDTH : INTEGER := 256;
APP_MASK_WIDTH : INTEGER := 32;
BANK_WIDTH : INTEGER := 3;
COL_WIDTH : INTEGER := 12;
CWL : INTEGER := 5;
ECC : STRING := "OFF";
ECC_TEST : STRING := "OFF";
ORDERING : STRING := "NORM";
RANKS : INTEGER := 4;
RANK_WIDTH : INTEGER := 2;
ROW_WIDTH : INTEGER := 16;
MEM_ADDR_ORDER : STRING := "BANK_ROW_COLUMN"
);
PORT (
-- Outputs
-- Inputs
-- Beginning of automatic inputs (from unused autoinst inputs)
-- To ui_cmd0 of ui_cmd.v
-- To ui_cmd0 of ui_cmd.v
-- To ui_cmd0 of ui_cmd.v
-- To ui_cmd0 of ui_cmd.v
-- To ui_cmd0 of ui_cmd.v
-- To ui_wr_data0 of ui_wr_data.v
-- To ui_cmd0 of ui_cmd.v
-- To ui_wr_data0 of ui_wr_data.v
-- To ui_wr_data0 of ui_wr_data.v
-- To ui_wr_data0 of ui_wr_data.v
-- To ui_wr_data0 of ui_wr_data.v
-- To ui_cmd0 of ui_cmd.v, ...
-- To ui_rd_data0 of ui_rd_data.v
-- To ui_rd_data0 of ui_rd_data.v
-- To ui_rd_data0 of ui_rd_data.v
-- To ui_rd_data0 of ui_rd_data.v
-- To ui_rd_data0 of ui_rd_data.v
-- To ui_rd_data0 of ui_rd_data.v
-- To ui_cmd0 of ui_cmd.v, ...
-- To ui_wr_data0 of ui_wr_data.v
-- To ui_wr_data0 of ui_wr_data.v
-- To ui_wr_data0 of ui_wr_data.v
-- End of automatics
-- Beginning of automatic outputs (from unused autoinst outputs)
-- From ui_rd_data0 of ui_rd_data.v
-- From ui_rd_data0 of ui_rd_data.v
-- From ui_rd_data0 of ui_rd_data.v
-- From ui_rd_data0 of ui_rd_data.v
-- From ui_cmd0 of ui_cmd.v
-- From ui_wr_data0 of ui_wr_data.v
-- From ui_cmd0 of ui_cmd.v
-- From ui_cmd0 of ui_cmd.v
-- From ui_cmd0 of ui_cmd.v
-- From ui_cmd0 of ui_cmd.v
-- From ui_cmd0 of ui_cmd.v
-- From ui_cmd0 of ui_cmd.v
-- From ui_wr_data0 of ui_wr_data.v
-- From ui_cmd0 of ui_cmd.v
-- From ui_cmd0 of ui_cmd.v
-- From ui_cmd0 of ui_cmd.v
-- From ui_wr_data0 of ui_wr_data.v
wr_data_mask : OUT STD_LOGIC_VECTOR(APP_MASK_WIDTH - 1 DOWNTO 0); -- From ui_wr_data0 of ui_wr_data.v
wr_data : OUT STD_LOGIC_VECTOR(APP_DATA_WIDTH - 1 DOWNTO 0);
use_addr : OUT STD_LOGIC;
size : OUT STD_LOGIC;
row : OUT STD_LOGIC_VECTOR(ROW_WIDTH - 1 DOWNTO 0);
raw_not_ecc : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
rank : OUT STD_LOGIC_VECTOR(RANK_WIDTH - 1 DOWNTO 0);
hi_priority : OUT STD_LOGIC;
data_buf_addr : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
col : OUT STD_LOGIC_VECTOR(COL_WIDTH - 1 DOWNTO 0);
cmd : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
bank : OUT STD_LOGIC_VECTOR(BANK_WIDTH - 1 DOWNTO 0);
app_wdf_rdy : OUT STD_LOGIC;
app_rdy : OUT STD_LOGIC;
app_rd_data_valid : OUT STD_LOGIC;
app_rd_data_end : OUT STD_LOGIC;
app_rd_data : OUT STD_LOGIC_VECTOR(APP_DATA_WIDTH - 1 DOWNTO 0);
app_ecc_multiple_err : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
correct_en : OUT STD_LOGIC;
wr_data_offset : IN STD_LOGIC;
wr_data_en : IN STD_LOGIC;
wr_data_addr : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
rst : IN STD_LOGIC;
rd_data_offset : IN STD_LOGIC;
rd_data_end : IN STD_LOGIC;
rd_data_en : IN STD_LOGIC;
rd_data_addr : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
rd_data : IN STD_LOGIC_VECTOR(APP_DATA_WIDTH - 1 DOWNTO 0);
ecc_multiple : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
clk : IN STD_LOGIC;
app_wdf_wren : IN STD_LOGIC;
app_wdf_mask : IN STD_LOGIC_VECTOR(APP_MASK_WIDTH - 1 DOWNTO 0);
app_wdf_end : IN STD_LOGIC;
app_wdf_data : IN STD_LOGIC_VECTOR(APP_DATA_WIDTH - 1 DOWNTO 0);
app_sz : IN STD_LOGIC;
app_raw_not_ecc : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
app_hi_pri : IN STD_LOGIC;
app_en : IN STD_LOGIC;
app_cmd : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
app_addr : IN STD_LOGIC_VECTOR(RANK_WIDTH + BANK_WIDTH + ROW_WIDTH + COL_WIDTH - 1 DOWNTO 0);
accept_ns : IN STD_LOGIC;
accept : IN STD_LOGIC;
app_correct_en : IN STD_LOGIC
);
END ENTITY ui_top;
ARCHITECTURE trans OF ui_top IS
constant ADDR_WIDTH :integer := RANK_WIDTH + BANK_WIDTH + ROW_WIDTH + COL_WIDTH;
COMPONENT ui_cmd IS
GENERIC (
TCQ : INTEGER := 100;
ADDR_WIDTH : INTEGER := 33;
BANK_WIDTH : INTEGER := 3;
COL_WIDTH : INTEGER := 12;
RANK_WIDTH : INTEGER := 2;
ROW_WIDTH : INTEGER := 16;
RANKS : INTEGER := 4;
MEM_ADDR_ORDER : STRING := "BANK_ROW_COLUMN"
);
PORT (
app_rdy : OUT STD_LOGIC;
use_addr : OUT STD_LOGIC;
rank : OUT STD_LOGIC_VECTOR(RANK_WIDTH - 1 DOWNTO 0);
bank : OUT STD_LOGIC_VECTOR(BANK_WIDTH - 1 DOWNTO 0);
row : OUT STD_LOGIC_VECTOR(ROW_WIDTH - 1 DOWNTO 0);
col : OUT STD_LOGIC_VECTOR(COL_WIDTH - 1 DOWNTO 0);
size : OUT STD_LOGIC;
cmd : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
hi_priority : OUT STD_LOGIC;
rd_accepted : OUT STD_LOGIC;
wr_accepted : OUT STD_LOGIC;
data_buf_addr : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
rst : IN STD_LOGIC;
clk : IN STD_LOGIC;
accept_ns : IN STD_LOGIC;
rd_buf_full : IN STD_LOGIC;
wr_req_16 : IN STD_LOGIC;
app_addr : IN STD_LOGIC_VECTOR(ADDR_WIDTH - 1 DOWNTO 0);
app_cmd : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
app_sz : IN STD_LOGIC;
app_hi_pri : IN STD_LOGIC;
app_en : IN STD_LOGIC;
wr_data_buf_addr : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
rd_data_buf_addr_r : IN STD_LOGIC_VECTOR(3 DOWNTO 0)
);
END COMPONENT;
COMPONENT ui_wr_data IS
GENERIC (
TCQ : INTEGER := 100;
APP_DATA_WIDTH : INTEGER := 256;
APP_MASK_WIDTH : INTEGER := 32;
ECC : STRING := "OFF";
ECC_TEST : STRING := "OFF";
CWL : INTEGER := 5
);
PORT (
app_wdf_rdy : OUT STD_LOGIC;
wr_req_16 : OUT STD_LOGIC;
wr_data_buf_addr : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
wr_data : OUT STD_LOGIC_VECTOR(APP_DATA_WIDTH - 1 DOWNTO 0);
wr_data_mask : OUT STD_LOGIC_VECTOR(APP_MASK_WIDTH - 1 DOWNTO 0);
raw_not_ecc : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
rst : IN STD_LOGIC;
clk : IN STD_LOGIC;
app_wdf_data : IN STD_LOGIC_VECTOR(APP_DATA_WIDTH - 1 DOWNTO 0);
app_wdf_mask : IN STD_LOGIC_VECTOR(APP_MASK_WIDTH - 1 DOWNTO 0);
app_raw_not_ecc : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
app_wdf_wren : IN STD_LOGIC;
app_wdf_end : IN STD_LOGIC;
wr_data_offset : IN STD_LOGIC;
wr_data_addr : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
wr_data_en : IN STD_LOGIC;
wr_accepted : IN STD_LOGIC;
ram_init_done_r : IN STD_LOGIC;
ram_init_addr : IN STD_LOGIC_VECTOR(3 DOWNTO 0)
);
END COMPONENT;
COMPONENT ui_rd_data IS
GENERIC (
TCQ : INTEGER := 100;
APP_DATA_WIDTH : INTEGER := 256;
ECC : STRING := "OFF";
ORDERING : STRING := "NORM"
);
PORT (
ram_init_done_r : OUT STD_LOGIC;
ram_init_addr : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
app_rd_data_valid : OUT STD_LOGIC;
app_rd_data_end : OUT STD_LOGIC;
app_rd_data : OUT STD_LOGIC_VECTOR(APP_DATA_WIDTH - 1 DOWNTO 0);
app_ecc_multiple_err : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
rd_buf_full : OUT STD_LOGIC;
rd_data_buf_addr_r : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
rst : IN STD_LOGIC;
clk : IN STD_LOGIC;
rd_data_en : IN STD_LOGIC;
rd_data_addr : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
rd_data_offset : IN STD_LOGIC;
rd_data_end : IN STD_LOGIC;
rd_data : IN STD_LOGIC_VECTOR(APP_DATA_WIDTH - 1 DOWNTO 0);
ecc_multiple : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
rd_accepted : IN STD_LOGIC
);
END COMPONENT;
-- End of automatics
-- Beginning of automatic wires (for undeclared instantiated-module outputs)
SIGNAL ram_init_addr : STD_LOGIC_VECTOR(3 DOWNTO 0); -- From ui_rd_data0 of ui_rd_data.v
SIGNAL ram_init_done_r : STD_LOGIC; -- From ui_rd_data0 of ui_rd_data.v
SIGNAL rd_accepted : STD_LOGIC; -- From ui_cmd0 of ui_cmd.v
SIGNAL rd_buf_full : STD_LOGIC; -- From ui_rd_data0 of ui_rd_data.v
SIGNAL rd_data_buf_addr_r : STD_LOGIC_VECTOR(3 DOWNTO 0); -- From ui_rd_data0 of ui_rd_data.v
SIGNAL wr_accepted : STD_LOGIC; -- From ui_cmd0 of ui_cmd.v
SIGNAL wr_data_buf_addr : STD_LOGIC_VECTOR(3 DOWNTO 0); -- From ui_wr_data0 of ui_wr_data.v
SIGNAL wr_req_16 : STD_LOGIC; -- From ui_wr_data0 of ui_wr_data.v
-- Declare intermediate signals for referenced outputs
SIGNAL wr_data_mask_xhdl17 : STD_LOGIC_VECTOR(APP_MASK_WIDTH - 1 DOWNTO 0);
SIGNAL wr_data_xhdl16 : STD_LOGIC_VECTOR(APP_DATA_WIDTH - 1 DOWNTO 0);
SIGNAL use_addr_xhdl15 : STD_LOGIC;
SIGNAL size_xhdl14 : STD_LOGIC;
SIGNAL row_xhdl13 : STD_LOGIC_VECTOR(ROW_WIDTH - 1 DOWNTO 0);
SIGNAL raw_not_ecc_xhdl12 : STD_LOGIC_VECTOR(3 DOWNTO 0);
SIGNAL rank_xhdl11 : STD_LOGIC_VECTOR(RANK_WIDTH - 1 DOWNTO 0);
SIGNAL hi_priority_xhdl10 : STD_LOGIC;
SIGNAL data_buf_addr_xhdl9 : STD_LOGIC_VECTOR(3 DOWNTO 0);
SIGNAL col_xhdl8 : STD_LOGIC_VECTOR(COL_WIDTH - 1 DOWNTO 0);
SIGNAL cmd_xhdl7 : STD_LOGIC_VECTOR(2 DOWNTO 0);
SIGNAL bank_xhdl6 : STD_LOGIC_VECTOR(BANK_WIDTH - 1 DOWNTO 0);
SIGNAL app_wdf_rdy_xhdl5 : STD_LOGIC;
SIGNAL app_rdy_xhdl4 : STD_LOGIC;
SIGNAL app_rd_data_valid_xhdl3 : STD_LOGIC;
SIGNAL app_rd_data_end_xhdl2 : STD_LOGIC;
SIGNAL app_rd_data_xhdl1 : STD_LOGIC_VECTOR(APP_DATA_WIDTH - 1 DOWNTO 0);
SIGNAL app_ecc_multiple_err_xhdl0 : STD_LOGIC_VECTOR(3 DOWNTO 0);
SIGNAL rst_reg : STD_LOGIC_VECTOR(9 DOWNTO 0);
SIGNAL rst_final : STD_LOGIC;
SIGNAL app_addr_temp : STD_LOGIC_VECTOR(ADDR_WIDTH - 1 DOWNTO 0);
ATTRIBUTE max_fanout : STRING;
ATTRIBUTE max_fanout OF rst_final : SIGNAL IS "10";
BEGIN
-- Drive referenced outputs
wr_data_mask <= wr_data_mask_xhdl17;
wr_data <= wr_data_xhdl16;
use_addr <= use_addr_xhdl15;
size <= size_xhdl14;
row <= row_xhdl13;
raw_not_ecc <= raw_not_ecc_xhdl12;
rank <= rank_xhdl11;
hi_priority <= hi_priority_xhdl10;
data_buf_addr <= data_buf_addr_xhdl9;
col <= col_xhdl8;
cmd <= cmd_xhdl7;
bank <= bank_xhdl6;
app_wdf_rdy <= app_wdf_rdy_xhdl5;
app_rdy <= app_rdy_xhdl4;
app_rd_data_valid <= app_rd_data_valid_xhdl3;
app_rd_data_end <= app_rd_data_end_xhdl2;
app_rd_data <= app_rd_data_xhdl1;
app_ecc_multiple_err <= app_ecc_multiple_err_xhdl0;
correct_en <= app_correct_en;
-- End of automatics
rank_add_correction1: IF ( RANKS > 1 ) GENERATE
app_addr_temp <= app_addr;
END GENERATE;
rank_add_correction2: IF ( RANKS = 1 ) GENERATE
app_addr_temp <= ('0' & app_addr ( ADDR_WIDTH - 2 DOWNTO 0));
END GENERATE;
-- Parameters
PROCESS (clk)
BEGIN
IF ( clk'EVENT AND clk = '1') THEN
rst_reg <= (rst_reg(8 DOWNTO 0) & rst);
END IF;
END PROCESS;
PROCESS (clk)
BEGIN
IF ( clk'EVENT AND clk = '1') THEN
rst_final <= rst_reg(9);
END IF;
END PROCESS;
ui_cmd0 : ui_cmd
GENERIC MAP (
TCQ => TCQ,
ADDR_WIDTH => ADDR_WIDTH,
BANK_WIDTH => BANK_WIDTH,
COL_WIDTH => COL_WIDTH,
RANK_WIDTH => RANK_WIDTH,
ROW_WIDTH => ROW_WIDTH,
RANKS => RANKS,
MEM_ADDR_ORDER => MEM_ADDR_ORDER
)
PORT MAP (
-- Outputs
app_rdy => app_rdy_xhdl4,
use_addr => use_addr_xhdl15,
rank => rank_xhdl11(RANK_WIDTH - 1 DOWNTO 0),
bank => bank_xhdl6(BANK_WIDTH - 1 DOWNTO 0),
row => row_xhdl13(ROW_WIDTH - 1 DOWNTO 0),
col => col_xhdl8(COL_WIDTH - 1 DOWNTO 0),
size => size_xhdl14,
cmd => cmd_xhdl7(2 DOWNTO 0),
hi_priority => hi_priority_xhdl10,
rd_accepted => rd_accepted,
wr_accepted => wr_accepted,
data_buf_addr => data_buf_addr_xhdl9(3 DOWNTO 0),
-- Inputs
rst => rst_final,
clk => clk,
accept_ns => accept_ns,
rd_buf_full => rd_buf_full,
wr_req_16 => wr_req_16,
app_addr => app_addr_temp(ADDR_WIDTH - 1 DOWNTO 0),
app_cmd => app_cmd(2 DOWNTO 0),
app_sz => app_sz,
app_hi_pri => app_hi_pri,
app_en => app_en,
wr_data_buf_addr => wr_data_buf_addr(3 DOWNTO 0),
rd_data_buf_addr_r => rd_data_buf_addr_r(3 DOWNTO 0)
);
-- Parameters
ui_wr_data0 : ui_wr_data
GENERIC MAP (
TCQ => TCQ,
APP_DATA_WIDTH => APP_DATA_WIDTH,
APP_MASK_WIDTH => APP_MASK_WIDTH,
ECC => ECC,
ECC_TEST => ECC_TEST,
CWL => CWL
)
PORT MAP (
-- Outputs
app_wdf_rdy => app_wdf_rdy_xhdl5,
wr_req_16 => wr_req_16,
wr_data_buf_addr => wr_data_buf_addr(3 DOWNTO 0),
wr_data => wr_data_xhdl16(APP_DATA_WIDTH - 1 DOWNTO 0),
wr_data_mask => wr_data_mask_xhdl17(APP_MASK_WIDTH - 1 DOWNTO 0),
raw_not_ecc => raw_not_ecc_xhdl12(3 DOWNTO 0),
-- Inputs
rst => rst_final,
clk => clk,
app_wdf_data => app_wdf_data(APP_DATA_WIDTH - 1 DOWNTO 0),
app_wdf_mask => app_wdf_mask(APP_MASK_WIDTH - 1 DOWNTO 0),
app_raw_not_ecc => app_raw_not_ecc(3 DOWNTO 0),
app_wdf_wren => app_wdf_wren,
app_wdf_end => app_wdf_end,
wr_data_offset => wr_data_offset,
wr_data_addr => wr_data_addr(3 DOWNTO 0),
wr_data_en => wr_data_en,
wr_accepted => wr_accepted,
ram_init_done_r => ram_init_done_r,
ram_init_addr => ram_init_addr(3 DOWNTO 0)
);
-- Parameters
ui_rd_data0 : ui_rd_data
GENERIC MAP (
TCQ => TCQ,
APP_DATA_WIDTH => APP_DATA_WIDTH,
ECC => ECC,
ORDERING => ORDERING
)
PORT MAP (
-- Outputs
ram_init_done_r => ram_init_done_r,
ram_init_addr => ram_init_addr(3 DOWNTO 0),
app_rd_data_valid => app_rd_data_valid_xhdl3,
app_rd_data_end => app_rd_data_end_xhdl2,
app_rd_data => app_rd_data_xhdl1(APP_DATA_WIDTH - 1 DOWNTO 0),
app_ecc_multiple_err => app_ecc_multiple_err_xhdl0(3 DOWNTO 0),
rd_buf_full => rd_buf_full,
rd_data_buf_addr_r => rd_data_buf_addr_r(3 DOWNTO 0),
-- Inputs
rst => rst_final,
clk => clk,
rd_data_en => rd_data_en,
rd_data_addr => rd_data_addr(3 DOWNTO 0),
rd_data_offset => rd_data_offset,
rd_data_end => rd_data_end,
rd_data => rd_data(APP_DATA_WIDTH - 1 DOWNTO 0),
ecc_multiple => ecc_multiple(3 DOWNTO 0),
rd_accepted => rd_accepted
);
END ARCHITECTURE trans;
-- ui_top
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following lines to use the declarations that are
-- provided for instantiating Xilinx primitive components.
--library UNISIM;
--use UNISIM.VComponents.all;
entity CLOCK_SINGLE_RUN_SRC is
Port ( CLK: in std_logic; -- (System) Takt
SINGLE: in std_logic; -- 1: Einzeltakt
RUN_R: in std_logic; -- 1: Dauerbetrieb
-- mit Eingangsregister
RESET: in std_logic; -- 1: Initialzustand soll angenommen werden
OUT_NEXT_STATE: out std_logic); -- 1: nächster Zustand
end CLOCK_SINGLE_RUN_SRC;
architecture Behavioral of CLOCK_SINGLE_RUN_SRC is
type TYPE_STATE is (CSR_0, CSR_1, CSR_2); -- Zustände
signal SV: TYPE_STATE; --Zustangsvariable
signal n_SV: TYPE_STATE; --Zustangsvariable, neuer Wert
signal SV_M: TYPE_STATE; --Zustangsvariable, Ausgang Master
signal RUN_S: std_logic;
signal not_CLK : std_logic;
begin
NOT_CLK_PROC: process (CLK)
begin
not_CLK <= not CLK;
end process;
IREG_PROC: process (RUN_R, not_CLK)
begin
if (not_CLK'event and not_CLK = '1')
then RUN_S <= RUN_R;
end if;
end process;
IL_OL_PROC: process (SINGLE, RUN_S, SV)
begin
case SV is
when CSR_0 =>
if (SINGLE = '1')
then OUT_NEXT_STATE <= '1'; n_SV <= CSR_2;
else
if (RUN_S = '1')
then OUT_NEXT_STATE <= '1'; n_SV <= CSR_1;
else OUT_NEXT_STATE <= '0'; n_SV <= CSR_0;
end if;
end if;
when CSR_1 => OUT_NEXT_STATE <= '0';
if (SINGLE = '1')
then OUT_NEXT_STATE <= '0'; n_SV <= CSR_2;
else OUT_NEXT_STATE <= '1'; n_SV <= CSR_1;
end if;
when CSR_2 => OUT_NEXT_STATE <= '1';
if (SINGLE = '1')
then OUT_NEXT_STATE <= '0'; n_SV <= CSR_2;
else OUT_NEXT_STATE <= '0'; n_SV <= CSR_0;
end if;
when others => OUT_NEXT_STATE <= '0';
end case;
end process;
SREG_M_PROC: process (RESET, n_SV, CLK) -- Master
begin
if(RESET = '1')
then SV_M <= CSR_0;
else
if (CLK'event and CLK = '1')
then SV_M <= n_SV;
end if;
end if;
end process;
SREG_S_PROC: process (RESET, SV_M, not_CLK) -- Slave
begin
if(RESET = '1')
then SV <= CSR_0;
else
if (not_CLK'event and not_CLK = '1')
then SV <= SV_M;
end if;
end if;
end process;
end Behavioral;
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following lines to use the declarations that are
-- provided for instantiating Xilinx primitive components.
--library UNISIM;
--use UNISIM.VComponents.all;
entity CLOCK_SINGLE_RUN_SRC is
Port ( CLK: in std_logic; -- (System) Takt
SINGLE: in std_logic; -- 1: Einzeltakt
RUN_R: in std_logic; -- 1: Dauerbetrieb
-- mit Eingangsregister
RESET: in std_logic; -- 1: Initialzustand soll angenommen werden
OUT_NEXT_STATE: out std_logic); -- 1: nächster Zustand
end CLOCK_SINGLE_RUN_SRC;
architecture Behavioral of CLOCK_SINGLE_RUN_SRC is
type TYPE_STATE is (CSR_0, CSR_1, CSR_2); -- Zustände
signal SV: TYPE_STATE; --Zustangsvariable
signal n_SV: TYPE_STATE; --Zustangsvariable, neuer Wert
signal SV_M: TYPE_STATE; --Zustangsvariable, Ausgang Master
signal RUN_S: std_logic;
signal not_CLK : std_logic;
begin
NOT_CLK_PROC: process (CLK)
begin
not_CLK <= not CLK;
end process;
IREG_PROC: process (RUN_R, not_CLK)
begin
if (not_CLK'event and not_CLK = '1')
then RUN_S <= RUN_R;
end if;
end process;
IL_OL_PROC: process (SINGLE, RUN_S, SV)
begin
case SV is
when CSR_0 =>
if (SINGLE = '1')
then OUT_NEXT_STATE <= '1'; n_SV <= CSR_2;
else
if (RUN_S = '1')
then OUT_NEXT_STATE <= '1'; n_SV <= CSR_1;
else OUT_NEXT_STATE <= '0'; n_SV <= CSR_0;
end if;
end if;
when CSR_1 => OUT_NEXT_STATE <= '0';
if (SINGLE = '1')
then OUT_NEXT_STATE <= '0'; n_SV <= CSR_2;
else OUT_NEXT_STATE <= '1'; n_SV <= CSR_1;
end if;
when CSR_2 => OUT_NEXT_STATE <= '1';
if (SINGLE = '1')
then OUT_NEXT_STATE <= '0'; n_SV <= CSR_2;
else OUT_NEXT_STATE <= '0'; n_SV <= CSR_0;
end if;
when others => OUT_NEXT_STATE <= '0';
end case;
end process;
SREG_M_PROC: process (RESET, n_SV, CLK) -- Master
begin
if(RESET = '1')
then SV_M <= CSR_0;
else
if (CLK'event and CLK = '1')
then SV_M <= n_SV;
end if;
end if;
end process;
SREG_S_PROC: process (RESET, SV_M, not_CLK) -- Slave
begin
if(RESET = '1')
then SV <= CSR_0;
else
if (not_CLK'event and not_CLK = '1')
then SV <= SV_M;
end if;
end if;
end process;
end Behavioral;
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following lines to use the declarations that are
-- provided for instantiating Xilinx primitive components.
--library UNISIM;
--use UNISIM.VComponents.all;
entity CLOCK_SINGLE_RUN_SRC is
Port ( CLK: in std_logic; -- (System) Takt
SINGLE: in std_logic; -- 1: Einzeltakt
RUN_R: in std_logic; -- 1: Dauerbetrieb
-- mit Eingangsregister
RESET: in std_logic; -- 1: Initialzustand soll angenommen werden
OUT_NEXT_STATE: out std_logic); -- 1: nächster Zustand
end CLOCK_SINGLE_RUN_SRC;
architecture Behavioral of CLOCK_SINGLE_RUN_SRC is
type TYPE_STATE is (CSR_0, CSR_1, CSR_2); -- Zustände
signal SV: TYPE_STATE; --Zustangsvariable
signal n_SV: TYPE_STATE; --Zustangsvariable, neuer Wert
signal SV_M: TYPE_STATE; --Zustangsvariable, Ausgang Master
signal RUN_S: std_logic;
signal not_CLK : std_logic;
begin
NOT_CLK_PROC: process (CLK)
begin
not_CLK <= not CLK;
end process;
IREG_PROC: process (RUN_R, not_CLK)
begin
if (not_CLK'event and not_CLK = '1')
then RUN_S <= RUN_R;
end if;
end process;
IL_OL_PROC: process (SINGLE, RUN_S, SV)
begin
case SV is
when CSR_0 =>
if (SINGLE = '1')
then OUT_NEXT_STATE <= '1'; n_SV <= CSR_2;
else
if (RUN_S = '1')
then OUT_NEXT_STATE <= '1'; n_SV <= CSR_1;
else OUT_NEXT_STATE <= '0'; n_SV <= CSR_0;
end if;
end if;
when CSR_1 => OUT_NEXT_STATE <= '0';
if (SINGLE = '1')
then OUT_NEXT_STATE <= '0'; n_SV <= CSR_2;
else OUT_NEXT_STATE <= '1'; n_SV <= CSR_1;
end if;
when CSR_2 => OUT_NEXT_STATE <= '1';
if (SINGLE = '1')
then OUT_NEXT_STATE <= '0'; n_SV <= CSR_2;
else OUT_NEXT_STATE <= '0'; n_SV <= CSR_0;
end if;
when others => OUT_NEXT_STATE <= '0';
end case;
end process;
SREG_M_PROC: process (RESET, n_SV, CLK) -- Master
begin
if(RESET = '1')
then SV_M <= CSR_0;
else
if (CLK'event and CLK = '1')
then SV_M <= n_SV;
end if;
end if;
end process;
SREG_S_PROC: process (RESET, SV_M, not_CLK) -- Slave
begin
if(RESET = '1')
then SV <= CSR_0;
else
if (not_CLK'event and not_CLK = '1')
then SV <= SV_M;
end if;
end if;
end process;
end Behavioral;
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following lines to use the declarations that are
-- provided for instantiating Xilinx primitive components.
--library UNISIM;
--use UNISIM.VComponents.all;
entity CLOCK_SINGLE_RUN_SRC is
Port ( CLK: in std_logic; -- (System) Takt
SINGLE: in std_logic; -- 1: Einzeltakt
RUN_R: in std_logic; -- 1: Dauerbetrieb
-- mit Eingangsregister
RESET: in std_logic; -- 1: Initialzustand soll angenommen werden
OUT_NEXT_STATE: out std_logic); -- 1: nächster Zustand
end CLOCK_SINGLE_RUN_SRC;
architecture Behavioral of CLOCK_SINGLE_RUN_SRC is
type TYPE_STATE is (CSR_0, CSR_1, CSR_2); -- Zustände
signal SV: TYPE_STATE; --Zustangsvariable
signal n_SV: TYPE_STATE; --Zustangsvariable, neuer Wert
signal SV_M: TYPE_STATE; --Zustangsvariable, Ausgang Master
signal RUN_S: std_logic;
signal not_CLK : std_logic;
begin
NOT_CLK_PROC: process (CLK)
begin
not_CLK <= not CLK;
end process;
IREG_PROC: process (RUN_R, not_CLK)
begin
if (not_CLK'event and not_CLK = '1')
then RUN_S <= RUN_R;
end if;
end process;
IL_OL_PROC: process (SINGLE, RUN_S, SV)
begin
case SV is
when CSR_0 =>
if (SINGLE = '1')
then OUT_NEXT_STATE <= '1'; n_SV <= CSR_2;
else
if (RUN_S = '1')
then OUT_NEXT_STATE <= '1'; n_SV <= CSR_1;
else OUT_NEXT_STATE <= '0'; n_SV <= CSR_0;
end if;
end if;
when CSR_1 => OUT_NEXT_STATE <= '0';
if (SINGLE = '1')
then OUT_NEXT_STATE <= '0'; n_SV <= CSR_2;
else OUT_NEXT_STATE <= '1'; n_SV <= CSR_1;
end if;
when CSR_2 => OUT_NEXT_STATE <= '1';
if (SINGLE = '1')
then OUT_NEXT_STATE <= '0'; n_SV <= CSR_2;
else OUT_NEXT_STATE <= '0'; n_SV <= CSR_0;
end if;
when others => OUT_NEXT_STATE <= '0';
end case;
end process;
SREG_M_PROC: process (RESET, n_SV, CLK) -- Master
begin
if(RESET = '1')
then SV_M <= CSR_0;
else
if (CLK'event and CLK = '1')
then SV_M <= n_SV;
end if;
end if;
end process;
SREG_S_PROC: process (RESET, SV_M, not_CLK) -- Slave
begin
if(RESET = '1')
then SV <= CSR_0;
else
if (not_CLK'event and not_CLK = '1')
then SV <= SV_M;
end if;
end if;
end process;
end Behavioral;
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following lines to use the declarations that are
-- provided for instantiating Xilinx primitive components.
--library UNISIM;
--use UNISIM.VComponents.all;
entity CLOCK_SINGLE_RUN_SRC is
Port ( CLK: in std_logic; -- (System) Takt
SINGLE: in std_logic; -- 1: Einzeltakt
RUN_R: in std_logic; -- 1: Dauerbetrieb
-- mit Eingangsregister
RESET: in std_logic; -- 1: Initialzustand soll angenommen werden
OUT_NEXT_STATE: out std_logic); -- 1: nächster Zustand
end CLOCK_SINGLE_RUN_SRC;
architecture Behavioral of CLOCK_SINGLE_RUN_SRC is
type TYPE_STATE is (CSR_0, CSR_1, CSR_2); -- Zustände
signal SV: TYPE_STATE; --Zustangsvariable
signal n_SV: TYPE_STATE; --Zustangsvariable, neuer Wert
signal SV_M: TYPE_STATE; --Zustangsvariable, Ausgang Master
signal RUN_S: std_logic;
signal not_CLK : std_logic;
begin
NOT_CLK_PROC: process (CLK)
begin
not_CLK <= not CLK;
end process;
IREG_PROC: process (RUN_R, not_CLK)
begin
if (not_CLK'event and not_CLK = '1')
then RUN_S <= RUN_R;
end if;
end process;
IL_OL_PROC: process (SINGLE, RUN_S, SV)
begin
case SV is
when CSR_0 =>
if (SINGLE = '1')
then OUT_NEXT_STATE <= '1'; n_SV <= CSR_2;
else
if (RUN_S = '1')
then OUT_NEXT_STATE <= '1'; n_SV <= CSR_1;
else OUT_NEXT_STATE <= '0'; n_SV <= CSR_0;
end if;
end if;
when CSR_1 => OUT_NEXT_STATE <= '0';
if (SINGLE = '1')
then OUT_NEXT_STATE <= '0'; n_SV <= CSR_2;
else OUT_NEXT_STATE <= '1'; n_SV <= CSR_1;
end if;
when CSR_2 => OUT_NEXT_STATE <= '1';
if (SINGLE = '1')
then OUT_NEXT_STATE <= '0'; n_SV <= CSR_2;
else OUT_NEXT_STATE <= '0'; n_SV <= CSR_0;
end if;
when others => OUT_NEXT_STATE <= '0';
end case;
end process;
SREG_M_PROC: process (RESET, n_SV, CLK) -- Master
begin
if(RESET = '1')
then SV_M <= CSR_0;
else
if (CLK'event and CLK = '1')
then SV_M <= n_SV;
end if;
end if;
end process;
SREG_S_PROC: process (RESET, SV_M, not_CLK) -- Slave
begin
if(RESET = '1')
then SV <= CSR_0;
else
if (not_CLK'event and not_CLK = '1')
then SV <= SV_M;
end if;
end if;
end process;
end Behavioral;
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following lines to use the declarations that are
-- provided for instantiating Xilinx primitive components.
--library UNISIM;
--use UNISIM.VComponents.all;
entity CLOCK_SINGLE_RUN_SRC is
Port ( CLK: in std_logic; -- (System) Takt
SINGLE: in std_logic; -- 1: Einzeltakt
RUN_R: in std_logic; -- 1: Dauerbetrieb
-- mit Eingangsregister
RESET: in std_logic; -- 1: Initialzustand soll angenommen werden
OUT_NEXT_STATE: out std_logic); -- 1: nächster Zustand
end CLOCK_SINGLE_RUN_SRC;
architecture Behavioral of CLOCK_SINGLE_RUN_SRC is
type TYPE_STATE is (CSR_0, CSR_1, CSR_2); -- Zustände
signal SV: TYPE_STATE; --Zustangsvariable
signal n_SV: TYPE_STATE; --Zustangsvariable, neuer Wert
signal SV_M: TYPE_STATE; --Zustangsvariable, Ausgang Master
signal RUN_S: std_logic;
signal not_CLK : std_logic;
begin
NOT_CLK_PROC: process (CLK)
begin
not_CLK <= not CLK;
end process;
IREG_PROC: process (RUN_R, not_CLK)
begin
if (not_CLK'event and not_CLK = '1')
then RUN_S <= RUN_R;
end if;
end process;
IL_OL_PROC: process (SINGLE, RUN_S, SV)
begin
case SV is
when CSR_0 =>
if (SINGLE = '1')
then OUT_NEXT_STATE <= '1'; n_SV <= CSR_2;
else
if (RUN_S = '1')
then OUT_NEXT_STATE <= '1'; n_SV <= CSR_1;
else OUT_NEXT_STATE <= '0'; n_SV <= CSR_0;
end if;
end if;
when CSR_1 => OUT_NEXT_STATE <= '0';
if (SINGLE = '1')
then OUT_NEXT_STATE <= '0'; n_SV <= CSR_2;
else OUT_NEXT_STATE <= '1'; n_SV <= CSR_1;
end if;
when CSR_2 => OUT_NEXT_STATE <= '1';
if (SINGLE = '1')
then OUT_NEXT_STATE <= '0'; n_SV <= CSR_2;
else OUT_NEXT_STATE <= '0'; n_SV <= CSR_0;
end if;
when others => OUT_NEXT_STATE <= '0';
end case;
end process;
SREG_M_PROC: process (RESET, n_SV, CLK) -- Master
begin
if(RESET = '1')
then SV_M <= CSR_0;
else
if (CLK'event and CLK = '1')
then SV_M <= n_SV;
end if;
end if;
end process;
SREG_S_PROC: process (RESET, SV_M, not_CLK) -- Slave
begin
if(RESET = '1')
then SV <= CSR_0;
else
if (not_CLK'event and not_CLK = '1')
then SV <= SV_M;
end if;
end if;
end process;
end Behavioral;
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following lines to use the declarations that are
-- provided for instantiating Xilinx primitive components.
--library UNISIM;
--use UNISIM.VComponents.all;
entity CLOCK_SINGLE_RUN_SRC is
Port ( CLK: in std_logic; -- (System) Takt
SINGLE: in std_logic; -- 1: Einzeltakt
RUN_R: in std_logic; -- 1: Dauerbetrieb
-- mit Eingangsregister
RESET: in std_logic; -- 1: Initialzustand soll angenommen werden
OUT_NEXT_STATE: out std_logic); -- 1: nächster Zustand
end CLOCK_SINGLE_RUN_SRC;
architecture Behavioral of CLOCK_SINGLE_RUN_SRC is
type TYPE_STATE is (CSR_0, CSR_1, CSR_2); -- Zustände
signal SV: TYPE_STATE; --Zustangsvariable
signal n_SV: TYPE_STATE; --Zustangsvariable, neuer Wert
signal SV_M: TYPE_STATE; --Zustangsvariable, Ausgang Master
signal RUN_S: std_logic;
signal not_CLK : std_logic;
begin
NOT_CLK_PROC: process (CLK)
begin
not_CLK <= not CLK;
end process;
IREG_PROC: process (RUN_R, not_CLK)
begin
if (not_CLK'event and not_CLK = '1')
then RUN_S <= RUN_R;
end if;
end process;
IL_OL_PROC: process (SINGLE, RUN_S, SV)
begin
case SV is
when CSR_0 =>
if (SINGLE = '1')
then OUT_NEXT_STATE <= '1'; n_SV <= CSR_2;
else
if (RUN_S = '1')
then OUT_NEXT_STATE <= '1'; n_SV <= CSR_1;
else OUT_NEXT_STATE <= '0'; n_SV <= CSR_0;
end if;
end if;
when CSR_1 => OUT_NEXT_STATE <= '0';
if (SINGLE = '1')
then OUT_NEXT_STATE <= '0'; n_SV <= CSR_2;
else OUT_NEXT_STATE <= '1'; n_SV <= CSR_1;
end if;
when CSR_2 => OUT_NEXT_STATE <= '1';
if (SINGLE = '1')
then OUT_NEXT_STATE <= '0'; n_SV <= CSR_2;
else OUT_NEXT_STATE <= '0'; n_SV <= CSR_0;
end if;
when others => OUT_NEXT_STATE <= '0';
end case;
end process;
SREG_M_PROC: process (RESET, n_SV, CLK) -- Master
begin
if(RESET = '1')
then SV_M <= CSR_0;
else
if (CLK'event and CLK = '1')
then SV_M <= n_SV;
end if;
end if;
end process;
SREG_S_PROC: process (RESET, SV_M, not_CLK) -- Slave
begin
if(RESET = '1')
then SV <= CSR_0;
else
if (not_CLK'event and not_CLK = '1')
then SV <= SV_M;
end if;
end if;
end process;
end Behavioral;
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following lines to use the declarations that are
-- provided for instantiating Xilinx primitive components.
--library UNISIM;
--use UNISIM.VComponents.all;
entity CLOCK_SINGLE_RUN_SRC is
Port ( CLK: in std_logic; -- (System) Takt
SINGLE: in std_logic; -- 1: Einzeltakt
RUN_R: in std_logic; -- 1: Dauerbetrieb
-- mit Eingangsregister
RESET: in std_logic; -- 1: Initialzustand soll angenommen werden
OUT_NEXT_STATE: out std_logic); -- 1: nächster Zustand
end CLOCK_SINGLE_RUN_SRC;
architecture Behavioral of CLOCK_SINGLE_RUN_SRC is
type TYPE_STATE is (CSR_0, CSR_1, CSR_2); -- Zustände
signal SV: TYPE_STATE; --Zustangsvariable
signal n_SV: TYPE_STATE; --Zustangsvariable, neuer Wert
signal SV_M: TYPE_STATE; --Zustangsvariable, Ausgang Master
signal RUN_S: std_logic;
signal not_CLK : std_logic;
begin
NOT_CLK_PROC: process (CLK)
begin
not_CLK <= not CLK;
end process;
IREG_PROC: process (RUN_R, not_CLK)
begin
if (not_CLK'event and not_CLK = '1')
then RUN_S <= RUN_R;
end if;
end process;
IL_OL_PROC: process (SINGLE, RUN_S, SV)
begin
case SV is
when CSR_0 =>
if (SINGLE = '1')
then OUT_NEXT_STATE <= '1'; n_SV <= CSR_2;
else
if (RUN_S = '1')
then OUT_NEXT_STATE <= '1'; n_SV <= CSR_1;
else OUT_NEXT_STATE <= '0'; n_SV <= CSR_0;
end if;
end if;
when CSR_1 => OUT_NEXT_STATE <= '0';
if (SINGLE = '1')
then OUT_NEXT_STATE <= '0'; n_SV <= CSR_2;
else OUT_NEXT_STATE <= '1'; n_SV <= CSR_1;
end if;
when CSR_2 => OUT_NEXT_STATE <= '1';
if (SINGLE = '1')
then OUT_NEXT_STATE <= '0'; n_SV <= CSR_2;
else OUT_NEXT_STATE <= '0'; n_SV <= CSR_0;
end if;
when others => OUT_NEXT_STATE <= '0';
end case;
end process;
SREG_M_PROC: process (RESET, n_SV, CLK) -- Master
begin
if(RESET = '1')
then SV_M <= CSR_0;
else
if (CLK'event and CLK = '1')
then SV_M <= n_SV;
end if;
end if;
end process;
SREG_S_PROC: process (RESET, SV_M, not_CLK) -- Slave
begin
if(RESET = '1')
then SV <= CSR_0;
else
if (not_CLK'event and not_CLK = '1')
then SV <= SV_M;
end if;
end if;
end process;
end Behavioral;
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following lines to use the declarations that are
-- provided for instantiating Xilinx primitive components.
--library UNISIM;
--use UNISIM.VComponents.all;
entity CLOCK_SINGLE_RUN_SRC is
Port ( CLK: in std_logic; -- (System) Takt
SINGLE: in std_logic; -- 1: Einzeltakt
RUN_R: in std_logic; -- 1: Dauerbetrieb
-- mit Eingangsregister
RESET: in std_logic; -- 1: Initialzustand soll angenommen werden
OUT_NEXT_STATE: out std_logic); -- 1: nächster Zustand
end CLOCK_SINGLE_RUN_SRC;
architecture Behavioral of CLOCK_SINGLE_RUN_SRC is
type TYPE_STATE is (CSR_0, CSR_1, CSR_2); -- Zustände
signal SV: TYPE_STATE; --Zustangsvariable
signal n_SV: TYPE_STATE; --Zustangsvariable, neuer Wert
signal SV_M: TYPE_STATE; --Zustangsvariable, Ausgang Master
signal RUN_S: std_logic;
signal not_CLK : std_logic;
begin
NOT_CLK_PROC: process (CLK)
begin
not_CLK <= not CLK;
end process;
IREG_PROC: process (RUN_R, not_CLK)
begin
if (not_CLK'event and not_CLK = '1')
then RUN_S <= RUN_R;
end if;
end process;
IL_OL_PROC: process (SINGLE, RUN_S, SV)
begin
case SV is
when CSR_0 =>
if (SINGLE = '1')
then OUT_NEXT_STATE <= '1'; n_SV <= CSR_2;
else
if (RUN_S = '1')
then OUT_NEXT_STATE <= '1'; n_SV <= CSR_1;
else OUT_NEXT_STATE <= '0'; n_SV <= CSR_0;
end if;
end if;
when CSR_1 => OUT_NEXT_STATE <= '0';
if (SINGLE = '1')
then OUT_NEXT_STATE <= '0'; n_SV <= CSR_2;
else OUT_NEXT_STATE <= '1'; n_SV <= CSR_1;
end if;
when CSR_2 => OUT_NEXT_STATE <= '1';
if (SINGLE = '1')
then OUT_NEXT_STATE <= '0'; n_SV <= CSR_2;
else OUT_NEXT_STATE <= '0'; n_SV <= CSR_0;
end if;
when others => OUT_NEXT_STATE <= '0';
end case;
end process;
SREG_M_PROC: process (RESET, n_SV, CLK) -- Master
begin
if(RESET = '1')
then SV_M <= CSR_0;
else
if (CLK'event and CLK = '1')
then SV_M <= n_SV;
end if;
end if;
end process;
SREG_S_PROC: process (RESET, SV_M, not_CLK) -- Slave
begin
if(RESET = '1')
then SV <= CSR_0;
else
if (not_CLK'event and not_CLK = '1')
then SV <= SV_M;
end if;
end if;
end process;
end Behavioral;
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following lines to use the declarations that are
-- provided for instantiating Xilinx primitive components.
--library UNISIM;
--use UNISIM.VComponents.all;
entity CLOCK_SINGLE_RUN_SRC is
Port ( CLK: in std_logic; -- (System) Takt
SINGLE: in std_logic; -- 1: Einzeltakt
RUN_R: in std_logic; -- 1: Dauerbetrieb
-- mit Eingangsregister
RESET: in std_logic; -- 1: Initialzustand soll angenommen werden
OUT_NEXT_STATE: out std_logic); -- 1: nächster Zustand
end CLOCK_SINGLE_RUN_SRC;
architecture Behavioral of CLOCK_SINGLE_RUN_SRC is
type TYPE_STATE is (CSR_0, CSR_1, CSR_2); -- Zustände
signal SV: TYPE_STATE; --Zustangsvariable
signal n_SV: TYPE_STATE; --Zustangsvariable, neuer Wert
signal SV_M: TYPE_STATE; --Zustangsvariable, Ausgang Master
signal RUN_S: std_logic;
signal not_CLK : std_logic;
begin
NOT_CLK_PROC: process (CLK)
begin
not_CLK <= not CLK;
end process;
IREG_PROC: process (RUN_R, not_CLK)
begin
if (not_CLK'event and not_CLK = '1')
then RUN_S <= RUN_R;
end if;
end process;
IL_OL_PROC: process (SINGLE, RUN_S, SV)
begin
case SV is
when CSR_0 =>
if (SINGLE = '1')
then OUT_NEXT_STATE <= '1'; n_SV <= CSR_2;
else
if (RUN_S = '1')
then OUT_NEXT_STATE <= '1'; n_SV <= CSR_1;
else OUT_NEXT_STATE <= '0'; n_SV <= CSR_0;
end if;
end if;
when CSR_1 => OUT_NEXT_STATE <= '0';
if (SINGLE = '1')
then OUT_NEXT_STATE <= '0'; n_SV <= CSR_2;
else OUT_NEXT_STATE <= '1'; n_SV <= CSR_1;
end if;
when CSR_2 => OUT_NEXT_STATE <= '1';
if (SINGLE = '1')
then OUT_NEXT_STATE <= '0'; n_SV <= CSR_2;
else OUT_NEXT_STATE <= '0'; n_SV <= CSR_0;
end if;
when others => OUT_NEXT_STATE <= '0';
end case;
end process;
SREG_M_PROC: process (RESET, n_SV, CLK) -- Master
begin
if(RESET = '1')
then SV_M <= CSR_0;
else
if (CLK'event and CLK = '1')
then SV_M <= n_SV;
end if;
end if;
end process;
SREG_S_PROC: process (RESET, SV_M, not_CLK) -- Slave
begin
if(RESET = '1')
then SV <= CSR_0;
else
if (not_CLK'event and not_CLK = '1')
then SV <= SV_M;
end if;
end if;
end process;
end Behavioral;
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following lines to use the declarations that are
-- provided for instantiating Xilinx primitive components.
--library UNISIM;
--use UNISIM.VComponents.all;
entity CLOCK_SINGLE_RUN_SRC is
Port ( CLK: in std_logic; -- (System) Takt
SINGLE: in std_logic; -- 1: Einzeltakt
RUN_R: in std_logic; -- 1: Dauerbetrieb
-- mit Eingangsregister
RESET: in std_logic; -- 1: Initialzustand soll angenommen werden
OUT_NEXT_STATE: out std_logic); -- 1: nächster Zustand
end CLOCK_SINGLE_RUN_SRC;
architecture Behavioral of CLOCK_SINGLE_RUN_SRC is
type TYPE_STATE is (CSR_0, CSR_1, CSR_2); -- Zustände
signal SV: TYPE_STATE; --Zustangsvariable
signal n_SV: TYPE_STATE; --Zustangsvariable, neuer Wert
signal SV_M: TYPE_STATE; --Zustangsvariable, Ausgang Master
signal RUN_S: std_logic;
signal not_CLK : std_logic;
begin
NOT_CLK_PROC: process (CLK)
begin
not_CLK <= not CLK;
end process;
IREG_PROC: process (RUN_R, not_CLK)
begin
if (not_CLK'event and not_CLK = '1')
then RUN_S <= RUN_R;
end if;
end process;
IL_OL_PROC: process (SINGLE, RUN_S, SV)
begin
case SV is
when CSR_0 =>
if (SINGLE = '1')
then OUT_NEXT_STATE <= '1'; n_SV <= CSR_2;
else
if (RUN_S = '1')
then OUT_NEXT_STATE <= '1'; n_SV <= CSR_1;
else OUT_NEXT_STATE <= '0'; n_SV <= CSR_0;
end if;
end if;
when CSR_1 => OUT_NEXT_STATE <= '0';
if (SINGLE = '1')
then OUT_NEXT_STATE <= '0'; n_SV <= CSR_2;
else OUT_NEXT_STATE <= '1'; n_SV <= CSR_1;
end if;
when CSR_2 => OUT_NEXT_STATE <= '1';
if (SINGLE = '1')
then OUT_NEXT_STATE <= '0'; n_SV <= CSR_2;
else OUT_NEXT_STATE <= '0'; n_SV <= CSR_0;
end if;
when others => OUT_NEXT_STATE <= '0';
end case;
end process;
SREG_M_PROC: process (RESET, n_SV, CLK) -- Master
begin
if(RESET = '1')
then SV_M <= CSR_0;
else
if (CLK'event and CLK = '1')
then SV_M <= n_SV;
end if;
end if;
end process;
SREG_S_PROC: process (RESET, SV_M, not_CLK) -- Slave
begin
if(RESET = '1')
then SV <= CSR_0;
else
if (not_CLK'event and not_CLK = '1')
then SV <= SV_M;
end if;
end if;
end process;
end Behavioral;
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following lines to use the declarations that are
-- provided for instantiating Xilinx primitive components.
--library UNISIM;
--use UNISIM.VComponents.all;
entity CLOCK_SINGLE_RUN_SRC is
Port ( CLK: in std_logic; -- (System) Takt
SINGLE: in std_logic; -- 1: Einzeltakt
RUN_R: in std_logic; -- 1: Dauerbetrieb
-- mit Eingangsregister
RESET: in std_logic; -- 1: Initialzustand soll angenommen werden
OUT_NEXT_STATE: out std_logic); -- 1: nächster Zustand
end CLOCK_SINGLE_RUN_SRC;
architecture Behavioral of CLOCK_SINGLE_RUN_SRC is
type TYPE_STATE is (CSR_0, CSR_1, CSR_2); -- Zustände
signal SV: TYPE_STATE; --Zustangsvariable
signal n_SV: TYPE_STATE; --Zustangsvariable, neuer Wert
signal SV_M: TYPE_STATE; --Zustangsvariable, Ausgang Master
signal RUN_S: std_logic;
signal not_CLK : std_logic;
begin
NOT_CLK_PROC: process (CLK)
begin
not_CLK <= not CLK;
end process;
IREG_PROC: process (RUN_R, not_CLK)
begin
if (not_CLK'event and not_CLK = '1')
then RUN_S <= RUN_R;
end if;
end process;
IL_OL_PROC: process (SINGLE, RUN_S, SV)
begin
case SV is
when CSR_0 =>
if (SINGLE = '1')
then OUT_NEXT_STATE <= '1'; n_SV <= CSR_2;
else
if (RUN_S = '1')
then OUT_NEXT_STATE <= '1'; n_SV <= CSR_1;
else OUT_NEXT_STATE <= '0'; n_SV <= CSR_0;
end if;
end if;
when CSR_1 => OUT_NEXT_STATE <= '0';
if (SINGLE = '1')
then OUT_NEXT_STATE <= '0'; n_SV <= CSR_2;
else OUT_NEXT_STATE <= '1'; n_SV <= CSR_1;
end if;
when CSR_2 => OUT_NEXT_STATE <= '1';
if (SINGLE = '1')
then OUT_NEXT_STATE <= '0'; n_SV <= CSR_2;
else OUT_NEXT_STATE <= '0'; n_SV <= CSR_0;
end if;
when others => OUT_NEXT_STATE <= '0';
end case;
end process;
SREG_M_PROC: process (RESET, n_SV, CLK) -- Master
begin
if(RESET = '1')
then SV_M <= CSR_0;
else
if (CLK'event and CLK = '1')
then SV_M <= n_SV;
end if;
end if;
end process;
SREG_S_PROC: process (RESET, SV_M, not_CLK) -- Slave
begin
if(RESET = '1')
then SV <= CSR_0;
else
if (not_CLK'event and not_CLK = '1')
then SV <= SV_M;
end if;
end if;
end process;
end Behavioral;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity PS2KEYBOARD is
port (
CLK : in std_logic;
RST : in std_logic;
PS2_KBCLK, PS2_KBDAT : in std_logic;
KEYCODE : out std_logic_vector(7 downto 0);
CODE_ENABLE : out std_logic
);
end PS2KEYBOARD;
architecture RTL of PS2KEYBOARD is
type STATE_SET is (START_BIT, DATA0, DATA1, DATA2, DATA3, DATA4, DATA5, DATA6, DATA7, PARITY_BIT, STOP_BIT);
signal PS2CLK : std_logic_vector(1 downto 0);
signal KEYCODE_BUF : std_logic_vector(7 downto 0);
signal STATE : STATE_SET;
signal PARITY : std_logic;
begin
KEYCODE <= KEYCODE_BUF;
PARITY <= KEYCODE_BUF(0) xor KEYCODE_BUF(1) xor KEYCODE_BUF(2) xor KEYCODE_BUF(3) xor
KEYCODE_BUF(4) xor KEYCODE_BUF(5) xor KEYCODE_BUF(6) xor KEYCODE_BUF(7) xor '1';
process (CLK, RST) begin
if (RST = '0') then
PS2CLK <= "00";
STATE <= START_BIT;
KEYCODE_BUF <= (others => '0');
CODE_ENABLE <= '0';
elsif (CLK'event and CLK = '1') then
PS2CLK <= PS2CLK(0) & PS2_KBCLK;
if (PS2CLK = "10") then
case STATE is
when START_BIT =>
if (PS2_KBDAT = '0') then
STATE <= DATA0;
CODE_ENABLE <= '0';
else
STATE <= START_BIT;
end if;
when DATA0 =>
STATE <= DATA1;
KEYCODE_BUF <= PS2_KBDAT & KEYCODE_BUF(7 downto 1);
when DATA1 =>
STATE <= DATA2;
KEYCODE_BUF <= PS2_KBDAT & KEYCODE_BUF(7 downto 1);
when DATA2 =>
STATE <= DATA3;
KEYCODE_BUF <= PS2_KBDAT & KEYCODE_BUF(7 downto 1);
when DATA3 =>
STATE <= DATA4;
KEYCODE_BUF <= PS2_KBDAT & KEYCODE_BUF(7 downto 1);
when DATA4 =>
STATE <= DATA5;
KEYCODE_BUF <= PS2_KBDAT & KEYCODE_BUF(7 downto 1);
when DATA5 =>
STATE <= DATA6;
KEYCODE_BUF <= PS2_KBDAT & KEYCODE_BUF(7 downto 1);
when DATA6 =>
STATE <= DATA7;
KEYCODE_BUF <= PS2_KBDAT & KEYCODE_BUF(7 downto 1);
when DATA7 =>
STATE <= PARITY_BIT;
KEYCODE_BUF <= PS2_KBDAT & KEYCODE_BUF(7 downto 1);
when PARITY_BIT =>
if (PS2_KBDAT = PARITY) then
STATE <= STOP_BIT;
else
STATE <= START_BIT;
end if;
when STOP_BIT =>
if (PS2_KBDAT = '1') then
STATE <= START_BIT;
CODE_ENABLE <= '1';
else
STATE <= START_BIT;
end if;
end case;
end if;
end if;
end process;
end RTL;
|
-- NEED RESULT: ENT00703: Open composite buffer ports with static subtypes passed
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00703
--
-- AUTHOR:
--
-- A. Wilmot
--
-- TEST OBJECTIVES:
--
-- 1.1.1.2 (3)
--
-- DESIGN UNIT ORDERING:
--
-- ENT00703(ARCH00703)
-- ENT00703_Test_Bench(ARCH00703_Test_Bench)
--
-- REVISION HISTORY:
--
-- 25-JUN-1987 - initial revision
--
-- NOTES:
--
-- self-checking
-- automatically generated
--
use WORK.STANDARD_TYPES.all ;
entity ENT00703 is
port (
toggle : buffer switch ;
i_st_rec1_1, i_st_rec1_2 : buffer st_rec1
:= c_st_rec1_1
;
i_st_rec2_1, i_st_rec2_2 : buffer st_rec2
:= c_st_rec2_1
;
i_st_rec3_1, i_st_rec3_2 : buffer st_rec3
:= c_st_rec3_1
;
i_st_arr1_1, i_st_arr1_2 : buffer st_arr1
:= c_st_arr1_1
;
i_st_arr2_1, i_st_arr2_2 : buffer st_arr2
:= c_st_arr2_1
;
i_st_arr3_1, i_st_arr3_2 : buffer st_arr3
:= c_st_arr3_1
) ;
begin
end ENT00703 ;
--
architecture ARCH00703 of ENT00703 is
begin
process
variable correct : boolean := true ;
begin
--
toggle <= up ;
i_st_rec1_1 <= c_st_rec1_2 ;
i_st_rec1_2 <= c_st_rec1_2 ;
i_st_rec2_1 <= c_st_rec2_2 ;
i_st_rec2_2 <= c_st_rec2_2 ;
i_st_rec3_1 <= c_st_rec3_2 ;
i_st_rec3_2 <= c_st_rec3_2 ;
i_st_arr1_1 <= c_st_arr1_2 ;
i_st_arr1_2 <= c_st_arr1_2 ;
i_st_arr2_1 <= c_st_arr2_2 ;
i_st_arr2_2 <= c_st_arr2_2 ;
i_st_arr3_1 <= c_st_arr3_2 ;
i_st_arr3_2 <= c_st_arr3_2 ;
test_report ( "ENT00703" ,
"Open composite buffer ports with static subtypes" ,
correct) ;
wait ;
end process ;
end ARCH00703 ;
--
use WORK.STANDARD_TYPES.all ;
entity ENT00703_Test_Bench is
end ENT00703_Test_Bench ;
--
architecture ARCH00703_Test_Bench of ENT00703_Test_Bench is
begin
L1:
block
--
signal toggle : switch ;
--
component UUT
end component ;
--
for CIS1 : UUT use entity WORK.ENT00703 ( ARCH00703 )
port map (
toggle ,
open, open,
open, open,
open, open,
open, open,
open, open,
open, open
) ;
--
begin
CIS1 : UUT ;
end block L1 ;
end ARCH00703_Test_Bench ;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
--library synplify;
--use synplify.attributes.all;
entity disdram is
generic(
depth: integer;
Dwidth: integer;
Awidth: integer
);
port(
A: IN std_logic_VECTOR(Awidth-1 downto 0);
CLK: IN std_logic;
D: IN std_logic_VECTOR(Dwidth-1 downto 0);
WE: IN std_logic;
DPRA: IN std_logic_VECTOR(Awidth-1 downto 0);
DPO: OUT std_logic_VECTOR(Dwidth-1 downto 0);
QDPO: OUT std_logic_VECTOR(Dwidth-1 downto 0)
);
end disdram;
architecture arch_disdram of disdram is
type ram_memtype is array (depth-1 downto 0) of std_logic_vector
(Dwidth-1 downto 0);
signal mem : ram_memtype := (others => (others => '0'));
-- attribute syn_ramstyle of mem : signal is "select_ram";
begin
wr: process( clk )
begin
if rising_edge(clk) then
if WE = '1' then
mem(conv_integer(A)) <= D;
end if;
end if;
end process wr;
DPO <= mem(conv_integer(DPRA));
rd : process(clk)
begin
if rising_edge(clk) then
QDPO <= mem(conv_integer(DPRA));
end if;
end process;
end arch_disdram;
|
library ieee;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
entity bbsse_jed is
port(
clock: in std_logic;
input: in std_logic_vector(6 downto 0);
output: out std_logic_vector(6 downto 0)
);
end bbsse_jed;
architecture behaviour of bbsse_jed is
constant st0: std_logic_vector(3 downto 0) := "0010";
constant st1: std_logic_vector(3 downto 0) := "0011";
constant st11: std_logic_vector(3 downto 0) := "1011";
constant st4: std_logic_vector(3 downto 0) := "1001";
constant st2: std_logic_vector(3 downto 0) := "0001";
constant st3: std_logic_vector(3 downto 0) := "0000";
constant st5: std_logic_vector(3 downto 0) := "1000";
constant st6: std_logic_vector(3 downto 0) := "1111";
constant st7: std_logic_vector(3 downto 0) := "1110";
constant st8: std_logic_vector(3 downto 0) := "1101";
constant st9: std_logic_vector(3 downto 0) := "0101";
constant st10: std_logic_vector(3 downto 0) := "0111";
constant st12: std_logic_vector(3 downto 0) := "1010";
constant st13: std_logic_vector(3 downto 0) := "1100";
constant st14: std_logic_vector(3 downto 0) := "0100";
constant st15: std_logic_vector(3 downto 0) := "0110";
signal current_state, next_state: std_logic_vector(3 downto 0);
begin
process(clock) begin
if rising_edge(clock) then current_state <= next_state;
end if;
end process;
process(input, current_state) begin
next_state <= "----"; output <= "-------";
case current_state is
when st0 =>
if std_match(input, "0------") then next_state <= st0; output <= "0000000";
elsif std_match(input, "10----0") then next_state <= st1; output <= "00110-0";
elsif std_match(input, "10----1") then next_state <= st1; output <= "00010-0";
elsif std_match(input, "11----0") then next_state <= st11; output <= "0011010";
elsif std_match(input, "11----1") then next_state <= st11; output <= "0001010";
end if;
when st1 =>
if std_match(input, "100----") then next_state <= st1; output <= "00000-0";
elsif std_match(input, "101-1--") then next_state <= st4; output <= "10000-0";
elsif std_match(input, "101-0--") then next_state <= st2; output <= "10000-0";
elsif std_match(input, "0------") then next_state <= st11; output <= "000--10";
elsif std_match(input, "11-----") then next_state <= st11; output <= "0000010";
end if;
when st2 =>
if std_match(input, "10-----") then next_state <= st3; output <= "00000-0";
elsif std_match(input, "0------") then next_state <= st11; output <= "000--10";
elsif std_match(input, "11-----") then next_state <= st11; output <= "0000010";
end if;
when st3 =>
if std_match(input, "10--0--") then next_state <= st2; output <= "10000-0";
elsif std_match(input, "10--1--") then next_state <= st4; output <= "10000-0";
elsif std_match(input, "0------") then next_state <= st11; output <= "000--10";
elsif std_match(input, "11-----") then next_state <= st11; output <= "0000010";
end if;
when st4 =>
if std_match(input, "10-----") then next_state <= st5; output <= "00000-0";
elsif std_match(input, "0------") then next_state <= st11; output <= "000--10";
elsif std_match(input, "11-----") then next_state <= st11; output <= "0000010";
end if;
when st5 =>
if std_match(input, "10-1---") then next_state <= st4; output <= "10000-0";
elsif std_match(input, "10--1--") then next_state <= st4; output <= "10000-0";
elsif std_match(input, "10-00--") then next_state <= st6; output <= "0100010";
elsif std_match(input, "0------") then next_state <= st11; output <= "000--10";
elsif std_match(input, "11-----") then next_state <= st11; output <= "0000010";
end if;
when st6 =>
if std_match(input, "10---0-") then next_state <= st6; output <= "0100000";
elsif std_match(input, "10---1-") then next_state <= st7; output <= "01000-0";
elsif std_match(input, "0------") then next_state <= st11; output <= "000--10";
elsif std_match(input, "11-----") then next_state <= st11; output <= "0000010";
end if;
when st7 =>
if std_match(input, "10-----") then next_state <= st8; output <= "0000010";
elsif std_match(input, "0------") then next_state <= st11; output <= "000--10";
elsif std_match(input, "11-----") then next_state <= st11; output <= "0000010";
end if;
when st8 =>
if std_match(input, "10---0-") then next_state <= st8; output <= "0000000";
elsif std_match(input, "10---1-") then next_state <= st9; output <= "10000-0";
elsif std_match(input, "0------") then next_state <= st11; output <= "000--10";
elsif std_match(input, "11-----") then next_state <= st11; output <= "0000010";
end if;
when st9 =>
if std_match(input, "10-----") then next_state <= st10; output <= "00000-0";
elsif std_match(input, "0------") then next_state <= st11; output <= "000--10";
elsif std_match(input, "11-----") then next_state <= st11; output <= "0000010";
end if;
when st10 =>
if std_match(input, "1001---") then next_state <= st10; output <= "00000-0";
elsif std_match(input, "10-01--") then next_state <= st1; output <= "00010-0";
elsif std_match(input, "10-00--") then next_state <= st6; output <= "0100010";
elsif std_match(input, "1011---") then next_state <= st9; output <= "10000-0";
elsif std_match(input, "0------") then next_state <= st11; output <= "000--10";
elsif std_match(input, "11-----") then next_state <= st11; output <= "0000010";
end if;
when st11 =>
if std_match(input, "0----0-") then next_state <= st11; output <= "000--00";
elsif std_match(input, "11---0-") then next_state <= st11; output <= "0000000";
elsif std_match(input, "0----1-") then next_state <= st0; output <= "000---1";
elsif std_match(input, "10-----") then next_state <= st1; output <= "00000-0";
elsif std_match(input, "11---1-") then next_state <= st12; output <= "00001-0";
end if;
when st12 =>
if std_match(input, "11-----") then next_state <= st12; output <= "00001-0";
elsif std_match(input, "10-----") then next_state <= st1; output <= "00000-0";
elsif std_match(input, "0------") then next_state <= st11; output <= "000--10";
end if;
when st13 =>
if std_match(input, "0------") then next_state <= st11; output <= "000--10";
end if;
when st14 =>
if std_match(input, "0------") then next_state <= st11; output <= "000--10";
end if;
when st15 =>
if std_match(input, "0------") then next_state <= st11; output <= "000--10";
end if;
when others => next_state <= "----"; output <= "-------";
end case;
end process;
end behaviour;
|
library verilog;
use verilog.vl_types.all;
entity full_adder_vlg_vec_tst is
end full_adder_vlg_vec_tst;
|
-- Copyright (C) 2016 by Spallina Ind.
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity sedici_bit is
port (
din : in std_logic_vector(15 downto 0);
start, clk : in std_logic;
res : out std_logic_vector(15 downto 0);
fine : out std_logic
);
end sedici_bit;
architecture beh of sedici_bit is
type stati is (idle, getOP, getD0, getD1, exe1, exe2, exe4);
signal st : stati;
signal OP : std_logic_vector(1 downto 0);
signal counter : integer range 3 downto 0;
signal D0, D1 : std_logic_vector(15 downto 0);
signal enOP, enD0, enD1, enEXE1, enEXE2, enexe4 : std_logic;
function next_state (st : stati; start : std_logic; op : std_logic_vector(1 downto 0); counter : integer range 3 downto 0)
return stati is
variable nxt : stati;
begin
case st is
when idle =>
if start = '1' then
nxt := getOP;
else nxt := idle;
end if;
when getOP =>
nxt := getD0;
when getD0 =>
if op = "00" then
nxt := exe1;
else
nxt := getD1;
end if;
when getD1 =>
case op is
when "01" =>
nxt := exe1;
when "10" =>
nxt := exe2;
when "11" =>
nxt := exe4;
when others =>
nxt := idle;
end case;
when exe1 =>
nxt := idle;
when exe2 =>
if counter = 1 then
nxt := idle;
else
nxt := exe2;
end if;
when exe4 =>
if counter = 3 then
nxt := idle;
else
nxt := exe4;
end if;
when others => nxt := idle;
end case;
return nxt;
end next_state;
begin
-- cu
process (clk)
begin
if clk'event and clk = '0' then
st <= next_state(st, start, op, counter);
end if;
end process;
enOP <= '1' when st = getOP else '0';
enD0 <= '1' when st = getD0 else '0';
enD1 <= '1' when st = getD1 else '0';
enEXE1 <= '1' when st = exe1 else '0';
enEXE2 <= '1' when st = exe2 else '0';
enEXE4 <= '1' when st = exe4 else '0';
-- datapath
process (clk)
begin
if clk'event and clk = '0' then
if enOP = '1' then
op <= din(1 downto 0);
counter <= 0;
end if;
if enD0 = '1' then
D0 <= din;
end if;
if enD1 = '1' then
D1 <= din;
end if;
if enEXE1 = '1' then
if op = "00" then -- NOT
res <= not D0;
else
res <= D0 or D1; -- OR
end if;
end if;
if enEXE2 = '1' then -- ADD
if counter = 1 then
res <= D0 + D1;
else counter <= counter + 1;
end if;
end if;
if enEXE4 = '1' then -- MAC
if counter = 3 then
res <= D0+(D1(15 downto 8)*D1(7 downto 0));
else counter <= counter + 1;
end if;
end if;
if enEXE1 = '1' or (enEXE2 = '1' and counter = 1) or (enEXE4 = '1' and counter = 3) then
fine <= '1';
else
fine <= '0';
end if;
end if;
end process;
end beh;
|
-- Copyright (C) 2016 by Spallina Ind.
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity sedici_bit is
port (
din : in std_logic_vector(15 downto 0);
start, clk : in std_logic;
res : out std_logic_vector(15 downto 0);
fine : out std_logic
);
end sedici_bit;
architecture beh of sedici_bit is
type stati is (idle, getOP, getD0, getD1, exe1, exe2, exe4);
signal st : stati;
signal OP : std_logic_vector(1 downto 0);
signal counter : integer range 3 downto 0;
signal D0, D1 : std_logic_vector(15 downto 0);
signal enOP, enD0, enD1, enEXE1, enEXE2, enexe4 : std_logic;
function next_state (st : stati; start : std_logic; op : std_logic_vector(1 downto 0); counter : integer range 3 downto 0)
return stati is
variable nxt : stati;
begin
case st is
when idle =>
if start = '1' then
nxt := getOP;
else nxt := idle;
end if;
when getOP =>
nxt := getD0;
when getD0 =>
if op = "00" then
nxt := exe1;
else
nxt := getD1;
end if;
when getD1 =>
case op is
when "01" =>
nxt := exe1;
when "10" =>
nxt := exe2;
when "11" =>
nxt := exe4;
when others =>
nxt := idle;
end case;
when exe1 =>
nxt := idle;
when exe2 =>
if counter = 1 then
nxt := idle;
else
nxt := exe2;
end if;
when exe4 =>
if counter = 3 then
nxt := idle;
else
nxt := exe4;
end if;
when others => nxt := idle;
end case;
return nxt;
end next_state;
begin
-- cu
process (clk)
begin
if clk'event and clk = '0' then
st <= next_state(st, start, op, counter);
end if;
end process;
enOP <= '1' when st = getOP else '0';
enD0 <= '1' when st = getD0 else '0';
enD1 <= '1' when st = getD1 else '0';
enEXE1 <= '1' when st = exe1 else '0';
enEXE2 <= '1' when st = exe2 else '0';
enEXE4 <= '1' when st = exe4 else '0';
-- datapath
process (clk)
begin
if clk'event and clk = '0' then
if enOP = '1' then
op <= din(1 downto 0);
counter <= 0;
end if;
if enD0 = '1' then
D0 <= din;
end if;
if enD1 = '1' then
D1 <= din;
end if;
if enEXE1 = '1' then
if op = "00" then -- NOT
res <= not D0;
else
res <= D0 or D1; -- OR
end if;
end if;
if enEXE2 = '1' then -- ADD
if counter = 1 then
res <= D0 + D1;
else counter <= counter + 1;
end if;
end if;
if enEXE4 = '1' then -- MAC
if counter = 3 then
res <= D0+(D1(15 downto 8)*D1(7 downto 0));
else counter <= counter + 1;
end if;
end if;
if enEXE1 = '1' or (enEXE2 = '1' and counter = 1) or (enEXE4 = '1' and counter = 3) then
fine <= '1';
else
fine <= '0';
end if;
end if;
end process;
end beh;
|
-- megafunction wizard: %LPM_COMPARE%
-- GENERATION: STANDARD
-- VERSION: WM1.0
-- MODULE: LPM_COMPARE
-- ============================================================
-- File Name: lpm_compare4.vhd
-- Megafunction Name(s):
-- LPM_COMPARE
--
-- Simulation Library Files(s):
-- lpm
-- ============================================================
-- ************************************************************
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
--
-- 13.1.0 Build 162 10/23/2013 SJ Web Edition
-- ************************************************************
--Copyright (C) 1991-2013 Altera Corporation
--Your use of Altera Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--functions, and any output files from any of the foregoing
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--to the terms and conditions of the Altera Program License
--Subscription Agreement, Altera MegaCore Function License
--Agreement, or other applicable license agreement, including,
--without limitation, that your use is for the sole purpose of
--programming logic devices manufactured by Altera and sold by
--Altera or its authorized distributors. Please refer to the
--applicable agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY lpm;
USE lpm.all;
ENTITY lpm_compare4 IS
PORT
(
dataa : IN STD_LOGIC_VECTOR (8 DOWNTO 0);
ageb : OUT STD_LOGIC
);
END lpm_compare4;
ARCHITECTURE SYN OF lpm_compare4 IS
SIGNAL sub_wire0 : STD_LOGIC ;
SIGNAL sub_wire1_bv : BIT_VECTOR (8 DOWNTO 0);
SIGNAL sub_wire1 : STD_LOGIC_VECTOR (8 DOWNTO 0);
COMPONENT lpm_compare
GENERIC (
lpm_hint : STRING;
lpm_representation : STRING;
lpm_type : STRING;
lpm_width : NATURAL
);
PORT (
ageb : OUT STD_LOGIC ;
dataa : IN STD_LOGIC_VECTOR (8 DOWNTO 0);
datab : IN STD_LOGIC_VECTOR (8 DOWNTO 0)
);
END COMPONENT;
BEGIN
sub_wire1_bv(8 DOWNTO 0) <= "000001100";
sub_wire1 <= To_stdlogicvector(sub_wire1_bv);
ageb <= sub_wire0;
LPM_COMPARE_component : LPM_COMPARE
GENERIC MAP (
lpm_hint => "ONE_INPUT_IS_CONSTANT=YES",
lpm_representation => "UNSIGNED",
lpm_type => "LPM_COMPARE",
lpm_width => 9
)
PORT MAP (
dataa => dataa,
datab => sub_wire1,
ageb => sub_wire0
);
END SYN;
-- ============================================================
-- CNX file retrieval info
-- ============================================================
-- Retrieval info: PRIVATE: AeqB NUMERIC "0"
-- Retrieval info: PRIVATE: AgeB NUMERIC "1"
-- Retrieval info: PRIVATE: AgtB NUMERIC "0"
-- Retrieval info: PRIVATE: AleB NUMERIC "0"
-- Retrieval info: PRIVATE: AltB NUMERIC "0"
-- Retrieval info: PRIVATE: AneB NUMERIC "0"
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
-- Retrieval info: PRIVATE: LPM_PIPELINE NUMERIC "0"
-- Retrieval info: PRIVATE: Latency NUMERIC "0"
-- Retrieval info: PRIVATE: PortBValue NUMERIC "12"
-- Retrieval info: PRIVATE: Radix NUMERIC "10"
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
-- Retrieval info: PRIVATE: SignedCompare NUMERIC "0"
-- Retrieval info: PRIVATE: aclr NUMERIC "0"
-- Retrieval info: PRIVATE: clken NUMERIC "0"
-- Retrieval info: PRIVATE: isPortBConstant NUMERIC "1"
-- Retrieval info: PRIVATE: nBit NUMERIC "9"
-- Retrieval info: PRIVATE: new_diagram STRING "1"
-- Retrieval info: LIBRARY: lpm lpm.lpm_components.all
-- Retrieval info: CONSTANT: LPM_HINT STRING "ONE_INPUT_IS_CONSTANT=YES"
-- Retrieval info: CONSTANT: LPM_REPRESENTATION STRING "UNSIGNED"
-- Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_COMPARE"
-- Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "9"
-- Retrieval info: USED_PORT: ageb 0 0 0 0 OUTPUT NODEFVAL "ageb"
-- Retrieval info: USED_PORT: dataa 0 0 9 0 INPUT NODEFVAL "dataa[8..0]"
-- Retrieval info: CONNECT: @dataa 0 0 9 0 dataa 0 0 9 0
-- Retrieval info: CONNECT: @datab 0 0 9 0 12 0 0 9 0
-- Retrieval info: CONNECT: ageb 0 0 0 0 @ageb 0 0 0 0
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_compare4.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_compare4.inc FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_compare4.cmp TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_compare4.bsf TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_compare4_inst.vhd FALSE
-- Retrieval info: LIB_FILE: lpm
|
-- megafunction wizard: %LPM_COMPARE%
-- GENERATION: STANDARD
-- VERSION: WM1.0
-- MODULE: LPM_COMPARE
-- ============================================================
-- File Name: lpm_compare4.vhd
-- Megafunction Name(s):
-- LPM_COMPARE
--
-- Simulation Library Files(s):
-- lpm
-- ============================================================
-- ************************************************************
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
--
-- 13.1.0 Build 162 10/23/2013 SJ Web Edition
-- ************************************************************
--Copyright (C) 1991-2013 Altera Corporation
--Your use of Altera Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--functions, and any output files from any of the foregoing
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--to the terms and conditions of the Altera Program License
--Subscription Agreement, Altera MegaCore Function License
--Agreement, or other applicable license agreement, including,
--without limitation, that your use is for the sole purpose of
--programming logic devices manufactured by Altera and sold by
--Altera or its authorized distributors. Please refer to the
--applicable agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY lpm;
USE lpm.all;
ENTITY lpm_compare4 IS
PORT
(
dataa : IN STD_LOGIC_VECTOR (8 DOWNTO 0);
ageb : OUT STD_LOGIC
);
END lpm_compare4;
ARCHITECTURE SYN OF lpm_compare4 IS
SIGNAL sub_wire0 : STD_LOGIC ;
SIGNAL sub_wire1_bv : BIT_VECTOR (8 DOWNTO 0);
SIGNAL sub_wire1 : STD_LOGIC_VECTOR (8 DOWNTO 0);
COMPONENT lpm_compare
GENERIC (
lpm_hint : STRING;
lpm_representation : STRING;
lpm_type : STRING;
lpm_width : NATURAL
);
PORT (
ageb : OUT STD_LOGIC ;
dataa : IN STD_LOGIC_VECTOR (8 DOWNTO 0);
datab : IN STD_LOGIC_VECTOR (8 DOWNTO 0)
);
END COMPONENT;
BEGIN
sub_wire1_bv(8 DOWNTO 0) <= "000001100";
sub_wire1 <= To_stdlogicvector(sub_wire1_bv);
ageb <= sub_wire0;
LPM_COMPARE_component : LPM_COMPARE
GENERIC MAP (
lpm_hint => "ONE_INPUT_IS_CONSTANT=YES",
lpm_representation => "UNSIGNED",
lpm_type => "LPM_COMPARE",
lpm_width => 9
)
PORT MAP (
dataa => dataa,
datab => sub_wire1,
ageb => sub_wire0
);
END SYN;
-- ============================================================
-- CNX file retrieval info
-- ============================================================
-- Retrieval info: PRIVATE: AeqB NUMERIC "0"
-- Retrieval info: PRIVATE: AgeB NUMERIC "1"
-- Retrieval info: PRIVATE: AgtB NUMERIC "0"
-- Retrieval info: PRIVATE: AleB NUMERIC "0"
-- Retrieval info: PRIVATE: AltB NUMERIC "0"
-- Retrieval info: PRIVATE: AneB NUMERIC "0"
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
-- Retrieval info: PRIVATE: LPM_PIPELINE NUMERIC "0"
-- Retrieval info: PRIVATE: Latency NUMERIC "0"
-- Retrieval info: PRIVATE: PortBValue NUMERIC "12"
-- Retrieval info: PRIVATE: Radix NUMERIC "10"
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
-- Retrieval info: PRIVATE: SignedCompare NUMERIC "0"
-- Retrieval info: PRIVATE: aclr NUMERIC "0"
-- Retrieval info: PRIVATE: clken NUMERIC "0"
-- Retrieval info: PRIVATE: isPortBConstant NUMERIC "1"
-- Retrieval info: PRIVATE: nBit NUMERIC "9"
-- Retrieval info: PRIVATE: new_diagram STRING "1"
-- Retrieval info: LIBRARY: lpm lpm.lpm_components.all
-- Retrieval info: CONSTANT: LPM_HINT STRING "ONE_INPUT_IS_CONSTANT=YES"
-- Retrieval info: CONSTANT: LPM_REPRESENTATION STRING "UNSIGNED"
-- Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_COMPARE"
-- Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "9"
-- Retrieval info: USED_PORT: ageb 0 0 0 0 OUTPUT NODEFVAL "ageb"
-- Retrieval info: USED_PORT: dataa 0 0 9 0 INPUT NODEFVAL "dataa[8..0]"
-- Retrieval info: CONNECT: @dataa 0 0 9 0 dataa 0 0 9 0
-- Retrieval info: CONNECT: @datab 0 0 9 0 12 0 0 9 0
-- Retrieval info: CONNECT: ageb 0 0 0 0 @ageb 0 0 0 0
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_compare4.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_compare4.inc FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_compare4.cmp TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_compare4.bsf TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_compare4_inst.vhd FALSE
-- Retrieval info: LIB_FILE: lpm
|
-- Copyright (C) 1991-2011 Altera Corporation
-- Your use of Altera Corporation's design tools, logic functions
-- and other software and tools, and its AMPP partner logic
-- functions, and any output files from any of the foregoing
-- (including device programming or simulation files), and any
-- associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License
-- Subscription Agreement, Altera MegaCore Function License
-- Agreement, or other applicable license agreement, including,
-- without limitation, that your use is for the sole purpose of
-- programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the
-- applicable agreement for further details.
-- Quartus II 11.0 Build 157 04/27/2011
LIBRARY IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.VITAL_Timing.all;
use work.stratixiii_atom_pack.all;
package stratixiii_components is
--
-- stratixiii_jtag
--
COMPONENT stratixiii_jtag
generic (
lpm_type : string := "stratixiii_jtag"
);
port (
tms : in std_logic := '0';
tck : in std_logic := '0';
tdi : in std_logic := '0';
ntrst : in std_logic := '0';
tdoutap : in std_logic := '0';
tdouser : in std_logic := '0';
tdo: out std_logic;
tmsutap: out std_logic;
tckutap: out std_logic;
tdiutap: out std_logic;
shiftuser: out std_logic;
clkdruser: out std_logic;
updateuser: out std_logic;
runidleuser: out std_logic;
usr1user: out std_logic
);
END COMPONENT;
--
-- stratixiii_crcblock
--
COMPONENT stratixiii_crcblock
generic (
oscillator_divider : integer := 1;
crc_deld_disable : string := "off";
error_delay : integer := 0 ;
error_dra_dl_bypass : string := "off";
lpm_type : string := "stratixiii_crcblock"
);
port (
clk : in std_logic := '0';
shiftnld : in std_logic := '0';
crcerror : out std_logic;
regout : out std_logic
);
END COMPONENT;
--
-- stratixiii_lcell_comb
--
COMPONENT stratixiii_lcell_comb
generic (
lut_mask : std_logic_vector(63 downto 0) := (OTHERS => '1');
shared_arith : string := "off";
extended_lut : string := "off";
dont_touch : string := "off";
lpm_type : string := "stratixiii_lcell_comb";
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks;
InstancePath: STRING := "*";
tpd_dataa_combout : VitalDelayType01 := DefPropDelay01;
tpd_datab_combout : VitalDelayType01 := DefPropDelay01;
tpd_datac_combout : VitalDelayType01 := DefPropDelay01;
tpd_datad_combout : VitalDelayType01 := DefPropDelay01;
tpd_datae_combout : VitalDelayType01 := DefPropDelay01;
tpd_dataf_combout : VitalDelayType01 := DefPropDelay01;
tpd_datag_combout : VitalDelayType01 := DefPropDelay01;
tpd_dataa_sumout : VitalDelayType01 := DefPropDelay01;
tpd_datab_sumout : VitalDelayType01 := DefPropDelay01;
tpd_datac_sumout : VitalDelayType01 := DefPropDelay01;
tpd_datad_sumout : VitalDelayType01 := DefPropDelay01;
tpd_dataf_sumout : VitalDelayType01 := DefPropDelay01;
tpd_cin_sumout : VitalDelayType01 := DefPropDelay01;
tpd_sharein_sumout : VitalDelayType01 := DefPropDelay01;
tpd_dataa_cout : VitalDelayType01 := DefPropDelay01;
tpd_datab_cout : VitalDelayType01 := DefPropDelay01;
tpd_datac_cout : VitalDelayType01 := DefPropDelay01;
tpd_datad_cout : VitalDelayType01 := DefPropDelay01;
tpd_dataf_cout : VitalDelayType01 := DefPropDelay01;
tpd_cin_cout : VitalDelayType01 := DefPropDelay01;
tpd_sharein_cout : VitalDelayType01 := DefPropDelay01;
tpd_dataa_shareout : VitalDelayType01 := DefPropDelay01;
tpd_datab_shareout : VitalDelayType01 := DefPropDelay01;
tpd_datac_shareout : VitalDelayType01 := DefPropDelay01;
tpd_datad_shareout : VitalDelayType01 := DefPropDelay01;
tipd_dataa : VitalDelayType01 := DefPropDelay01;
tipd_datab : VitalDelayType01 := DefPropDelay01;
tipd_datac : VitalDelayType01 := DefPropDelay01;
tipd_datad : VitalDelayType01 := DefPropDelay01;
tipd_datae : VitalDelayType01 := DefPropDelay01;
tipd_dataf : VitalDelayType01 := DefPropDelay01;
tipd_datag : VitalDelayType01 := DefPropDelay01;
tipd_cin : VitalDelayType01 := DefPropDelay01;
tipd_sharein : VitalDelayType01 := DefPropDelay01
);
port (
dataa : in std_logic := '0';
datab : in std_logic := '0';
datac : in std_logic := '0';
datad : in std_logic := '0';
datae : in std_logic := '0';
dataf : in std_logic := '0';
datag : in std_logic := '0';
cin : in std_logic := '0';
sharein : in std_logic := '0';
combout : out std_logic;
sumout : out std_logic;
cout : out std_logic;
shareout : out std_logic
);
END COMPONENT;
--
-- stratixiii_routing_wire
--
COMPONENT stratixiii_routing_wire
generic (
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
tpd_datain_dataout : VitalDelayType01 := DefPropDelay01;
tpd_datainglitch_dataout : VitalDelayType01 := DefPropDelay01;
tipd_datain : VitalDelayType01 := DefPropDelay01
);
PORT (
datain : in std_logic;
dataout : out std_logic
);
END COMPONENT;
--
-- stratixiii_lvds_transmitter
--
COMPONENT stratixiii_lvds_transmitter
GENERIC ( channel_width : integer := 10;
bypass_serializer : String := "false";
invert_clock : String := "false";
use_falling_clock_edge : String := "false";
use_serial_data_input : String := "false";
use_post_dpa_serial_data_input : String := "false";
is_used_as_outclk : String := "false";
tx_output_path_delay_engineering_bits : Integer := -1;
enable_dpaclk_to_lvdsout : string := "off";
preemphasis_setting : integer := 0;
vod_setting : integer := 0;
differential_drive : integer := 0;
lpm_type : string := "stratixiii_lvds_transmitter";
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tpd_clk0_dataout_posedge : VitalDelayType01 := DefPropDelay01;
tpd_clk0_dataout_negedge : VitalDelayType01 := DefPropDelay01;
tpd_serialdatain_dataout : VitalDelayType01 := DefPropDelay01;
tpd_dpaclkin_dataout : VitalDelayType01 := DefPropDelay01;
tpd_postdpaserialdatain_dataout : VitalDelayType01 := DefPropDelay01;
tipd_clk0 : VitalDelayType01 := DefpropDelay01;
tipd_enable0 : VitalDelayType01 := DefpropDelay01;
tipd_datain : VitalDelayArrayType01(9 downto 0) := (OTHERS => DefpropDelay01);
tipd_serialdatain : VitalDelayType01 := DefpropDelay01;
tipd_dpaclkin : VitalDelayType01 := DefpropDelay01;
tipd_postdpaserialdatain : VitalDelayType01 := DefpropDelay01
);
PORT ( clk0 : in std_logic;
enable0 : in std_logic := '0';
datain : in std_logic_vector(channel_width - 1 downto 0) := (OTHERS => '0');
serialdatain : in std_logic := '0';
postdpaserialdatain : in std_logic := '0';
dpaclkin : in std_logic := '0';
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
dataout : out std_logic;
serialfdbkout : out std_logic
);
END COMPONENT;
--
-- stratixiii_rublock
--
COMPONENT stratixiii_rublock
generic
(
sim_init_config : string := "factory";
sim_init_watchdog_value : integer := 0;
sim_init_status : integer := 0;
lpm_type : string := "stratixiii_rublock"
);
port
(
clk : in std_logic;
shiftnld : in std_logic;
captnupdt : in std_logic;
regin : in std_logic;
rsttimer : in std_logic;
rconfig : in std_logic;
regout : out std_logic
);
END COMPONENT;
--
-- stratixiii_ram_block
--
COMPONENT stratixiii_ram_block
GENERIC (
operation_mode : STRING := "single_port";
mixed_port_feed_through_mode : STRING := "dont_care";
ram_block_type : STRING := "auto";
logical_ram_name : STRING := "ram_name";
init_file : STRING := "init_file.hex";
init_file_layout : STRING := "none";
enable_ecc : STRING := "false";
width_eccstatus : INTEGER := 3;
data_interleave_width_in_bits : INTEGER := 1;
data_interleave_offset_in_bits : INTEGER := 1;
port_a_logical_ram_depth : INTEGER := 0;
port_a_logical_ram_width : INTEGER := 0;
port_a_first_address : INTEGER := 0;
port_a_last_address : INTEGER := 0;
port_a_first_bit_number : INTEGER := 0;
port_a_address_clear : STRING := "none";
port_a_data_out_clear : STRING := "none";
port_a_data_in_clock : STRING := "clock0";
port_a_address_clock : STRING := "clock0";
port_a_write_enable_clock : STRING := "clock0";
port_a_read_enable_clock : STRING := "clock0";
port_a_byte_enable_clock : STRING := "clock0";
port_a_data_out_clock : STRING := "none";
port_a_data_width : INTEGER := 1;
port_a_address_width : INTEGER := 1;
port_a_byte_enable_mask_width : INTEGER := 1;
port_b_logical_ram_depth : INTEGER := 0;
port_b_logical_ram_width : INTEGER := 0;
port_b_first_address : INTEGER := 0;
port_b_last_address : INTEGER := 0;
port_b_first_bit_number : INTEGER := 0;
port_b_address_clear : STRING := "none";
port_b_data_out_clear : STRING := "none";
port_b_data_in_clock : STRING := "clock1";
port_b_address_clock : STRING := "clock1";
port_b_write_enable_clock: STRING := "clock1";
port_b_read_enable_clock: STRING := "clock1";
port_b_byte_enable_clock : STRING := "clock1";
port_b_data_out_clock : STRING := "none";
port_b_data_width : INTEGER := 1;
port_b_address_width : INTEGER := 1;
port_b_byte_enable_mask_width : INTEGER := 1;
port_a_read_during_write_mode : STRING := "new_data_no_nbe_read";
port_b_read_during_write_mode : STRING := "new_data_no_nbe_read";
power_up_uninitialized : STRING := "false";
port_b_byte_size : INTEGER := 0;
port_a_byte_size : INTEGER := 0;
lpm_type : string := "stratixiii_ram_block";
lpm_hint : string := "true";
clk0_input_clock_enable : STRING := "none"; -- ena0,ena2,none
clk0_core_clock_enable : STRING := "none"; -- ena0,ena2,none
clk0_output_clock_enable : STRING := "none"; -- ena0,none
clk1_input_clock_enable : STRING := "none"; -- ena1,ena3,none
clk1_core_clock_enable : STRING := "none"; -- ena1,ena3,none
clk1_output_clock_enable : STRING := "none"; -- ena1,none
clock_duty_cycle_dependence : STRING := "Auto";
mem_init0 : BIT_VECTOR := X"0";
mem_init1 : BIT_VECTOR := X"0";
mem_init2 : BIT_VECTOR := X"0";
mem_init3 : BIT_VECTOR := X"0";
mem_init4 : BIT_VECTOR := X"0";
mem_init5 : BIT_VECTOR := X"0";
mem_init6 : BIT_VECTOR := X"0";
mem_init7 : BIT_VECTOR := X"0";
mem_init8 : BIT_VECTOR := X"0";
mem_init9 : BIT_VECTOR := X"0";
mem_init10 : BIT_VECTOR := X"0";
mem_init11 : BIT_VECTOR := X"0";
mem_init12 : BIT_VECTOR := X"0";
mem_init13 : BIT_VECTOR := X"0";
mem_init14 : BIT_VECTOR := X"0";
mem_init15 : BIT_VECTOR := X"0";
mem_init16 : BIT_VECTOR := X"0";
mem_init17 : BIT_VECTOR := X"0";
mem_init18 : BIT_VECTOR := X"0";
mem_init19 : BIT_VECTOR := X"0";
mem_init20 : BIT_VECTOR := X"0";
mem_init21 : BIT_VECTOR := X"0";
mem_init22 : BIT_VECTOR := X"0";
mem_init23 : BIT_VECTOR := X"0";
mem_init24 : BIT_VECTOR := X"0";
mem_init25 : BIT_VECTOR := X"0";
mem_init26 : BIT_VECTOR := X"0";
mem_init27 : BIT_VECTOR := X"0";
mem_init28 : BIT_VECTOR := X"0";
mem_init29 : BIT_VECTOR := X"0";
mem_init30 : BIT_VECTOR := X"0";
mem_init31 : BIT_VECTOR := X"0";
mem_init32 : BIT_VECTOR := X"0";
mem_init33 : BIT_VECTOR := X"0";
mem_init34 : BIT_VECTOR := X"0";
mem_init35 : BIT_VECTOR := X"0";
mem_init36 : BIT_VECTOR := X"0";
mem_init37 : BIT_VECTOR := X"0";
mem_init38 : BIT_VECTOR := X"0";
mem_init39 : BIT_VECTOR := X"0";
mem_init40 : BIT_VECTOR := X"0";
mem_init41 : BIT_VECTOR := X"0";
mem_init42 : BIT_VECTOR := X"0";
mem_init43 : BIT_VECTOR := X"0";
mem_init44 : BIT_VECTOR := X"0";
mem_init45 : BIT_VECTOR := X"0";
mem_init46 : BIT_VECTOR := X"0";
mem_init47 : BIT_VECTOR := X"0";
mem_init48 : BIT_VECTOR := X"0";
mem_init49 : BIT_VECTOR := X"0";
mem_init50 : BIT_VECTOR := X"0";
mem_init51 : BIT_VECTOR := X"0";
mem_init52 : BIT_VECTOR := X"0";
mem_init53 : BIT_VECTOR := X"0";
mem_init54 : BIT_VECTOR := X"0";
mem_init55 : BIT_VECTOR := X"0";
mem_init56 : BIT_VECTOR := X"0";
mem_init57 : BIT_VECTOR := X"0";
mem_init58 : BIT_VECTOR := X"0";
mem_init59 : BIT_VECTOR := X"0";
mem_init60 : BIT_VECTOR := X"0";
mem_init61 : BIT_VECTOR := X"0";
mem_init62 : BIT_VECTOR := X"0";
mem_init63 : BIT_VECTOR := X"0";
mem_init64 : BIT_VECTOR := X"0";
mem_init65 : BIT_VECTOR := X"0";
mem_init66 : BIT_VECTOR := X"0";
mem_init67 : BIT_VECTOR := X"0";
mem_init68 : BIT_VECTOR := X"0";
mem_init69 : BIT_VECTOR := X"0";
mem_init70 : BIT_VECTOR := X"0";
mem_init71 : BIT_VECTOR := X"0";
connectivity_checking : string := "off"
);
PORT (
portadatain : IN STD_LOGIC_VECTOR(port_a_data_width - 1 DOWNTO 0) := (OTHERS => '0');
portaaddr : IN STD_LOGIC_VECTOR(port_a_address_width - 1 DOWNTO 0) := (OTHERS => '0');
portawe : IN STD_LOGIC := '0';
portare : IN STD_LOGIC := '1';
portbdatain : IN STD_LOGIC_VECTOR(port_b_data_width - 1 DOWNTO 0) := (OTHERS => '0');
portbaddr : IN STD_LOGIC_VECTOR(port_b_address_width - 1 DOWNTO 0) := (OTHERS => '0');
portbwe : IN STD_LOGIC := '0';
portbre : IN STD_LOGIC := '1';
clk0 : IN STD_LOGIC := '0';
clk1 : IN STD_LOGIC := '0';
ena0 : IN STD_LOGIC := '1';
ena1 : IN STD_LOGIC := '1';
ena2 : IN STD_LOGIC := '1';
ena3 : IN STD_LOGIC := '1';
clr0 : IN STD_LOGIC := '0';
clr1 : IN STD_LOGIC := '0';
portabyteenamasks : IN STD_LOGIC_VECTOR(port_a_byte_enable_mask_width - 1 DOWNTO 0) := (OTHERS => '1');
portbbyteenamasks : IN STD_LOGIC_VECTOR(port_b_byte_enable_mask_width - 1 DOWNTO 0) := (OTHERS => '1');
devclrn : IN STD_LOGIC := '1';
devpor : IN STD_LOGIC := '1';
portaaddrstall : IN STD_LOGIC := '0';
portbaddrstall : IN STD_LOGIC := '0';
eccstatus : OUT STD_LOGIC_VECTOR(width_eccstatus - 1 DOWNTO 0) := (OTHERS => '0');
dftout : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) := "000000000";
portadataout : OUT STD_LOGIC_VECTOR(port_a_data_width - 1 DOWNTO 0);
portbdataout : OUT STD_LOGIC_VECTOR(port_b_data_width - 1 DOWNTO 0)
);
END COMPONENT;
--
-- stratixiii_ff
--
COMPONENT stratixiii_ff
generic (
power_up : string := "low";
x_on_violation : string := "on";
lpm_type : string := "stratixiii_ff";
tsetup_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_asdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_asdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_clrn_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_aload_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_asdata_q: VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_d : VitalDelayType01 := DefPropDelay01;
tipd_asdata : VitalDelayType01 := DefPropDelay01;
tipd_sclr : VitalDelayType01 := DefPropDelay01;
tipd_sload : VitalDelayType01 := DefPropDelay01;
tipd_clrn : VitalDelayType01 := DefPropDelay01;
tipd_aload : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks;
InstancePath: STRING := "*"
);
port (
d : in std_logic := '0';
clk : in std_logic := '0';
clrn : in std_logic := '1';
aload : in std_logic := '0';
sclr : in std_logic := '0';
sload : in std_logic := '0';
ena : in std_logic := '1';
asdata : in std_logic := '0';
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
q : out std_logic
);
END COMPONENT;
--
-- stratixiii_clkselect
--
COMPONENT stratixiii_clkselect
generic (
lpm_type : STRING := "stratixiii_clkselect";
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : STRING := "*";
tipd_inclk : VitalDelayArrayType01(3 downto 0) := (OTHERS => DefPropDelay01);
tipd_clkselect : VitalDelayArrayType01(1 downto 0) := (OTHERS => DefPropDelay01);
tpd_inclk_outclk : VitalDelayArrayType01(3 downto 0) := (OTHERS => DefPropDelay01);
tpd_clkselect_outclk : VitalDelayArrayType01(1 downto 0) := (OTHERS => DefPropDelay01)
);
port (
inclk : in std_logic_vector(3 downto 0) := "0000";
clkselect : in std_logic_vector(1 downto 0) := "00";
outclk : out std_logic
);
END COMPONENT;
--
-- stratixiii_clkena
--
COMPONENT stratixiii_clkena
generic (
clock_type : STRING := "Auto";
lpm_type : STRING := "stratixiii_clkena";
ena_register_mode : STRING := "Falling Edge";
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : STRING := "*";
tipd_inclk : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01
);
port (
inclk : in std_logic := '0';
ena : in std_logic := '1';
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
enaout : out std_logic;
outclk : out std_logic
);
END COMPONENT;
--
-- stratixiii_mlab_cell
--
COMPONENT stratixiii_mlab_cell
GENERIC (
logical_ram_name : STRING := "lutram";
init_file : STRING := "UNUSED";
data_interleave_offset_in_bits : INTEGER := 1;
logical_ram_depth : INTEGER := 0;
logical_ram_width : INTEGER := 0;
first_address : INTEGER := 0;
last_address : INTEGER := 0;
first_bit_number : INTEGER := 0;
data_width : INTEGER := 1;
address_width : INTEGER := 1;
byte_enable_mask_width : INTEGER := 1;
byte_size : INTEGER := 1;
lpm_type : string := "stratixiii_mlab_cell";
lpm_hint : string := "true";
mixed_port_feed_through_mode : string := "dont_care";
mem_init0 : BIT_VECTOR := X"0";
tipd_clk0 : VitalDelayType01 := DefPropDelay01;
tipd_ena0 : VitalDelayType01 := DefPropDelay01;
tipd_portaaddr : VitalDelayArrayType01(7 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_portbaddr : VitalDelayArrayType01(7 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_portabyteenamasks : VitalDelayArrayType01(20 DOWNTO 0) := (OTHERS => DefPropDelay01);
tsetup_portaaddr_clk0_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
tsetup_portabyteenamasks_clk0_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ena0_clk0_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_portaaddr_clk0_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
thold_portabyteenamasks_clk0_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
thold_ena0_clk0_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_portbaddr_portbdataout : VitalDelayType01 := DefPropDelay01
);
PORT (
portadatain : IN STD_LOGIC_VECTOR(data_width - 1 DOWNTO 0) := (OTHERS => '0');
portaaddr : IN STD_LOGIC_VECTOR(address_width - 1 DOWNTO 0) := (OTHERS => '0');
portabyteenamasks : IN STD_LOGIC_VECTOR(byte_enable_mask_width - 1 DOWNTO 0) := (OTHERS => '1');
portbaddr : IN STD_LOGIC_VECTOR(address_width - 1 DOWNTO 0) := (OTHERS => '0');
clk0 : IN STD_LOGIC := '0';
ena0 : IN STD_LOGIC := '1';
portbdataout : OUT STD_LOGIC_VECTOR(data_width - 1 DOWNTO 0)
);
END COMPONENT;
--
-- stratixiii_io_ibuf
--
COMPONENT stratixiii_io_ibuf
GENERIC (
tipd_i : VitalDelayType01 := DefPropDelay01;
tipd_ibar : VitalDelayType01 := DefPropDelay01;
tipd_dynamicterminationcontrol : VitalDelayType01 := DefPropDelay01;
tpd_i_o : VitalDelayType01 := DefPropDelay01;
tpd_ibar_o : VitalDelayType01 := DefPropDelay01;
XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn;
differential_mode : string := "false";
bus_hold : string := "false";
simulate_z_as : string := "Z";
lpm_type : string := "stratixiii_io_ibuf"
);
PORT (
i : IN std_logic := '0';
ibar : IN std_logic := '0';
dynamicterminationcontrol : IN std_logic := '0';
o : OUT std_logic
);
END COMPONENT;
--
-- stratixiii_io_obuf
--
COMPONENT stratixiii_io_obuf
GENERIC (
tipd_i : VitalDelayType01 := DefPropDelay01;
tipd_oe : VitalDelayType01 := DefPropDelay01;
tipd_dynamicterminationcontrol : VitalDelayType01 := DefPropDelay01;
tipd_seriesterminationcontrol : VitalDelayArrayType01(13 DOWNTO 0) := (others => DefPropDelay01);
tipd_parallelterminationcontrol : VitalDelayArrayType01(13 DOWNTO 0) := (others => DefPropDelay01 );
tpd_i_o : VitalDelayType01 := DefPropDelay01;
tpd_oe_o : VitalDelayType01 := DefPropDelay01;
tpd_i_obar : VitalDelayType01 := DefPropDelay01;
tpd_oe_obar : VitalDelayType01 := DefPropDelay01;
XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn;
open_drain_output : string := "false";
shift_series_termination_control : string := "false";
sim_dynamic_termination_control_is_connected : string := "false";
bus_hold : string := "false";
lpm_type : string := "stratixiii_io_obuf"
);
PORT (
i : IN std_logic := '0';
oe : IN std_logic := '1';
dynamicterminationcontrol : IN std_logic := '0';
seriesterminationcontrol : IN std_logic_vector(13 DOWNTO 0) := (others => '0');
parallelterminationcontrol : IN std_logic_vector(13 DOWNTO 0) := (others => '0');
devoe : IN std_logic := '1';
o : OUT std_logic;
obar : OUT std_logic
);
END COMPONENT;
--
-- stratixiii_ddio_in
--
COMPONENT stratixiii_ddio_in
generic(
tipd_datain : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_clkn : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
tipd_areset : VitalDelayType01 := DefPropDelay01;
tipd_sreset : VitalDelayType01 := DefPropDelay01;
XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn;
power_up : string := "low";
async_mode : string := "none";
sync_mode : string := "none";
use_clkn : string := "false";
lpm_type : string := "stratixiii_ddio_in"
);
PORT (
datain : IN std_logic := '0';
clk : IN std_logic := '0';
clkn : IN std_logic := '0';
ena : IN std_logic := '1';
areset : IN std_logic := '0';
sreset : IN std_logic := '0';
regoutlo : OUT std_logic;
regouthi : OUT std_logic;
dfflo : OUT std_logic;
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1'
);
END COMPONENT;
--
-- stratixiii_ddio_oe
--
COMPONENT stratixiii_ddio_oe
generic(
tipd_oe : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
tipd_areset : VitalDelayType01 := DefPropDelay01;
tipd_sreset : VitalDelayType01 := DefPropDelay01;
XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn;
power_up : string := "low";
async_mode : string := "none";
sync_mode : string := "none";
lpm_type : string := "stratixiii_ddio_oe"
);
PORT (
oe : IN std_logic := '1';
clk : IN std_logic := '0';
ena : IN std_logic := '1';
areset : IN std_logic := '0';
sreset : IN std_logic := '0';
dataout : OUT std_logic;
dfflo : OUT std_logic;
dffhi : OUT std_logic;
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1'
);
END COMPONENT;
--
-- stratixiii_ddio_out
--
COMPONENT stratixiii_ddio_out
generic(
tipd_datainlo : VitalDelayType01 := DefPropDelay01;
tipd_datainhi : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_clkhi : VitalDelayType01 := DefPropDelay01;
tipd_clklo : VitalDelayType01 := DefPropDelay01;
tipd_muxsel : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
tipd_areset : VitalDelayType01 := DefPropDelay01;
tipd_sreset : VitalDelayType01 := DefPropDelay01;
XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn;
power_up : string := "low";
async_mode : string := "none";
sync_mode : string := "none";
half_rate_mode : string := "false";
use_new_clocking_model : string := "false";
lpm_type : string := "stratixiii_ddio_out"
);
PORT (
datainlo : IN std_logic := '0';
datainhi : IN std_logic := '0';
clk : IN std_logic := '0';
clkhi : IN std_logic := '0';
clklo : IN std_logic := '0';
muxsel : IN std_logic := '0';
ena : IN std_logic := '1';
areset : IN std_logic := '0';
sreset : IN std_logic := '0';
dataout : OUT std_logic;
dfflo : OUT std_logic;
dffhi : OUT std_logic_vector(1 downto 0) ;
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1'
);
END COMPONENT;
--
-- stratixiii_termination
--
COMPONENT stratixiii_termination
GENERIC (
runtime_control : STRING := "false";
allow_serial_data_from_core : STRING := "false";
power_down : STRING := "true";
enable_parallel_termination : STRING := "false";
test_mode : STRING := "false";
enable_calclk_divider : STRING := "false"; -- replaced by below
clock_divider_enable : STRING := "false";
enable_pwrupmode_enser_for_usrmode : STRING := "false";
bypass_enser_logic : STRING := "false";
bypass_rt_calclk : STRING := "false";
enable_rt_scan_mode : STRING := "false";
enable_loopback : STRING := "false";
force_rtcalen_for_pllbiasen : STRING := "false";
enable_rt_sm_loopback : STRING := "false";
select_vrefl_values : integer := 0;
select_vrefh_values : integer := 0;
divide_intosc_by : integer := 2;
use_usrmode_clear_for_configmode : STRING := "false";
tipd_rup : VitalDelayType01 := DefpropDelay01;
tipd_rdn : VitalDelayType01 := DefpropDelay01;
tipd_terminationclock : VitalDelayType01 := DefpropDelay01;
tipd_terminationclear : VitalDelayType01 := DefpropDelay01;
tipd_terminationenable : VitalDelayType01 := DefpropDelay01;
tipd_serializerenable : VitalDelayType01 := DefpropDelay01;
tipd_terminationcontrolin : VitalDelayType01 := DefpropDelay01;
tipd_otherserializerenable : VitalDelayArrayType01(8 downto 0) := (OTHERS => DefPropDelay01);
lpm_type : STRING := "stratixiii_termination");
PORT (
rup : IN std_logic := '0';
rdn : IN std_logic := '0';
terminationclock : IN std_logic := '0';
terminationclear : IN std_logic := '0';
terminationenable : IN std_logic := '1';
serializerenable : IN std_logic := '0';
terminationcontrolin : IN std_logic := '0';
scanin : IN std_logic := '0';
scanen : IN std_logic := '0';
otherserializerenable : IN std_logic_vector(8 DOWNTO 0) := (OTHERS => '0');
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1';
incrup : OUT std_logic;
incrdn : OUT std_logic;
serializerenableout : OUT std_logic;
terminationcontrol : OUT std_logic;
terminationcontrolprobe : OUT std_logic;
scanout : OUT std_logic;
shiftregisterprobe : OUT std_logic);
END COMPONENT;
--
-- stratixiii_termination_logic
--
COMPONENT stratixiii_termination_logic
GENERIC (
tipd_serialloadenable : VitalDelayType01 := DefpropDelay01;
tipd_terminationclock : VitalDelayType01 := DefpropDelay01;
tipd_parallelloadenable : VitalDelayType01 := DefpropDelay01;
tipd_terminationdata : VitalDelayType01 := DefpropDelay01;
test_mode : string := "false";
lpm_type : string := "stratixiii_termination_logic");
PORT (
serialloadenable : IN std_logic := '0';
terminationclock : IN std_logic := '0';
parallelloadenable : IN std_logic := '0';
terminationdata : IN std_logic := '0';
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1';
seriesterminationcontrol : OUT std_logic_vector(13 DOWNTO 0);
parallelterminationcontrol : OUT std_logic_vector(13 DOWNTO 0));
END COMPONENT;
--
-- stratixiii_dll
--
COMPONENT stratixiii_dll
GENERIC (
input_frequency : string := "0 ps";
delay_buffer_mode : string := "low";
delay_chain_length : integer := 12;
delayctrlout_mode : string := "normal";
jitter_reduction : string := "false";
use_upndnin : string := "false";
use_upndninclkena : string := "false";
dual_phase_comparators : string := "true";
sim_valid_lock : integer := 16;
sim_valid_lockcount : integer := 0; -- 10000 = 1000 + 100*dllcounter
sim_low_buffer_intrinsic_delay : integer := 350;
sim_high_buffer_intrinsic_delay : integer := 175;
sim_buffer_delay_increment : integer := 10;
static_delay_ctrl : integer := 0;
lpm_type : string := "stratixiii_dll";
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_aload : VitalDelayType01 := DefpropDelay01;
tipd_upndnin : VitalDelayType01 := DefpropDelay01;
tipd_upndninclkena : VitalDelayType01 := DefpropDelay01;
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tsetup_upndnin_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_upndnin_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_upndninclkena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_upndninclkena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_upndnout_posedge : VitalDelayType01 := DefPropDelay01;
tpd_clk_delayctrlout_posedge : VitalDelayArrayType01(5 downto 0) := (OTHERS => DefPropDelay01)
);
PORT ( clk : IN std_logic := '0';
aload : IN std_logic := '0';
upndnin : IN std_logic := '1';
upndninclkena : IN std_logic := '1';
devclrn : IN std_logic := '1';
devpor : IN std_logic := '0';
delayctrlout : OUT std_logic_vector(5 DOWNTO 0);
dqsupdate : OUT std_logic;
offsetdelayctrlout : OUT std_logic_vector(5 DOWNTO 0);
offsetdelayctrlclkout : OUT std_logic;
upndnout : OUT std_logic
);
END COMPONENT;
--
-- stratixiii_dll_offset_ctrl
--
COMPONENT stratixiii_dll_offset_ctrl
GENERIC (
use_offset : string := "false";
static_offset : string := "0";
delay_buffer_mode : string := "low";
lpm_type : string := "stratixiii_dll_offset_ctrl";
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_aload : VitalDelayType01 := DefpropDelay01;
tipd_offset : VitalDelayArrayType01(5 downto 0) := (OTHERS => DefPropDelay01);
tipd_offsetdelayctrlin : VitalDelayArrayType01(5 downto 0) := (OTHERS => DefPropDelay01);
tipd_addnsub : VitalDelayType01 := DefpropDelay01;
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tsetup_offset_clk_noedge_posedge : VitalDelayArrayType(5 downto 0) := (OTHERS => DefSetupHoldCnst);
thold_offset_clk_noedge_posedge : VitalDelayArrayType(5 downto 0) := (OTHERS => DefSetupHoldCnst);
tsetup_addnsub_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_addnsub_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_offsetctrlout_posedge : VitalDelayArrayType01(5 downto 0) := (OTHERS => DefPropDelay01)
);
PORT ( clk : IN std_logic := '0';
aload : IN std_logic := '0';
offsetdelayctrlin : IN std_logic_vector(5 DOWNTO 0) := "000000";
offset : IN std_logic_vector(5 DOWNTO 0) := "000000";
addnsub : IN std_logic := '1';
devclrn : IN std_logic := '1';
devpor : IN std_logic := '0';
offsettestout : OUT std_logic_vector(5 DOWNTO 0);
offsetctrlout : OUT std_logic_vector(5 DOWNTO 0)
);
END COMPONENT;
--
-- stratixiii_dqs_delay_chain
--
COMPONENT stratixiii_dqs_delay_chain
GENERIC (
dqs_input_frequency : string := "unused" ;
use_phasectrlin : string := "false";
phase_setting : integer := 0;
delay_buffer_mode : string := "low";
dqs_phase_shift : integer := 0;
dqs_offsetctrl_enable : string := "false";
dqs_ctrl_latches_enable : string := "false";
test_enable : string := "false";
test_select : integer := 0;
sim_low_buffer_intrinsic_delay : integer := 350;
sim_high_buffer_intrinsic_delay : integer := 175;
sim_buffer_delay_increment : integer := 10;
lpm_type : string := "stratixiii_dqs_delay_chain";
tipd_dqsin : VitalDelayType01 := DefpropDelay01;
tipd_aload : VitalDelayType01 := DefpropDelay01;
tipd_delayctrlin : VitalDelayArrayType01(5 downto 0) := (OTHERS => DefPropDelay01);
tipd_offsetctrlin : VitalDelayArrayType01(5 downto 0) := (OTHERS => DefPropDelay01);
tipd_dqsupdateen : VitalDelayType01 := DefpropDelay01;
tipd_phasectrlin : VitalDelayArrayType01(2 downto 0) := (OTHERS => DefPropDelay01);
tpd_dqsin_dqsbusout : VitalDelayType01 := DefPropDelay01;
tsetup_delayctrlin_dqsupdateen_noedge_posedge : VitalDelayArrayType(5 downto 0) := (OTHERS => DefSetupHoldCnst);
thold_delayctrlin_dqsupdateen_noedge_posedge : VitalDelayArrayType(5 downto 0) := (OTHERS => DefSetupHoldCnst);
tsetup_offsetctrlin_dqsupdateen_noedge_posedge : VitalDelayArrayType(5 downto 0) := (OTHERS => DefSetupHoldCnst);
thold_offsetctrlin_dqsupdateen_noedge_posedge : VitalDelayArrayType(5 downto 0) := (OTHERS => DefSetupHoldCnst);
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*"
);
PORT (
dqsin : IN std_logic := '0';
delayctrlin : IN std_logic_vector(5 downto 0) := (OTHERS => '0');
offsetctrlin : IN std_logic_vector(5 downto 0) := (OTHERS => '0');
dqsupdateen : IN std_logic := '1';
phasectrlin : IN std_logic_vector(2 downto 0) := (OTHERS => '0');
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1';
dqsbusout : OUT std_logic;
dffin : OUT std_logic
);
END COMPONENT;
--
-- stratixiii_dqs_enable
--
COMPONENT stratixiii_dqs_enable
GENERIC (
lpm_type : string := "stratixiii_dqs_enable";
tipd_dqsin : VitalDelayType01 := DefpropDelay01;
tipd_dqsenable : VitalDelayType01 := DefpropDelay01;
tpd_dqsin_dqsbusout : VitalDelayType01 := DefPropDelay01;
tpd_dqsenable_dqsbusout : VitalDelayType01 := DefPropDelay01;
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*"
);
PORT (
dqsin : IN std_logic := '0';
dqsenable : IN std_logic := '1';
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1';
dqsbusout : OUT std_logic
);
END COMPONENT;
--
-- stratixiii_dqs_enable_ctrl
--
COMPONENT stratixiii_dqs_enable_ctrl
GENERIC (
use_phasectrlin : string := "true";
phase_setting : integer := 0;
delay_buffer_mode : string := "high";
level_dqs_enable : string := "false";
delay_dqs_enable_by_half_cycle : string := "false";
add_phase_transfer_reg : string := "false";
invert_phase : string := "false";
sim_low_buffer_intrinsic_delay : integer := 350;
sim_high_buffer_intrinsic_delay : integer := 175;
sim_buffer_delay_increment : integer := 10;
lpm_type : string := "stratixiii_dqs_enable_ctrl";
tipd_dqsenablein : VitalDelayType01 := DefpropDelay01;
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_delayctrlin : VitalDelayArrayType01(5 downto 0) := (OTHERS => DefPropDelay01);
tipd_phasectrlin : VitalDelayArrayType01(3 downto 0) := (OTHERS => DefPropDelay01);
tipd_enaphasetransferreg : VitalDelayType01 := DefpropDelay01;
tipd_phaseinvertctrl : VitalDelayType01 := DefpropDelay01;
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*"
);
PORT (
dqsenablein : IN std_logic := '1';
clk : IN std_logic := '0';
delayctrlin : IN std_logic_vector(5 downto 0) := (OTHERS => '0');
phasectrlin : IN std_logic_vector(3 downto 0) := (OTHERS => '0');
enaphasetransferreg : IN std_logic := '0';
phaseinvertctrl : IN std_logic := '0';
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1';
dqsenableout : OUT std_logic;
dffin : OUT std_logic;
dffextenddqsenable : OUT std_logic
);
END COMPONENT;
--
-- stratixiii_delay_chain
--
COMPONENT stratixiii_delay_chain
GENERIC (
sim_delayctrlin_rising_delay_0 : integer := 0;
sim_delayctrlin_rising_delay_1 : integer := 50;
sim_delayctrlin_rising_delay_2 : integer := 100;
sim_delayctrlin_rising_delay_3 : integer := 150;
sim_delayctrlin_rising_delay_4 : integer := 200;
sim_delayctrlin_rising_delay_5 : integer := 250;
sim_delayctrlin_rising_delay_6 : integer := 300;
sim_delayctrlin_rising_delay_7 : integer := 350;
sim_delayctrlin_rising_delay_8 : integer := 400;
sim_delayctrlin_rising_delay_9 : integer := 450;
sim_delayctrlin_rising_delay_10 : integer := 500;
sim_delayctrlin_rising_delay_11 : integer := 550;
sim_delayctrlin_rising_delay_12 : integer := 600;
sim_delayctrlin_rising_delay_13 : integer := 650;
sim_delayctrlin_rising_delay_14 : integer := 700;
sim_delayctrlin_rising_delay_15 : integer := 750;
sim_delayctrlin_falling_delay_0 : integer := 0;
sim_delayctrlin_falling_delay_1 : integer := 50;
sim_delayctrlin_falling_delay_2 : integer := 100;
sim_delayctrlin_falling_delay_3 : integer := 150;
sim_delayctrlin_falling_delay_4 : integer := 200;
sim_delayctrlin_falling_delay_5 : integer := 250;
sim_delayctrlin_falling_delay_6 : integer := 300;
sim_delayctrlin_falling_delay_7 : integer := 350;
sim_delayctrlin_falling_delay_8 : integer := 400;
sim_delayctrlin_falling_delay_9 : integer := 450;
sim_delayctrlin_falling_delay_10 : integer := 500;
sim_delayctrlin_falling_delay_11 : integer := 550;
sim_delayctrlin_falling_delay_12 : integer := 600;
sim_delayctrlin_falling_delay_13 : integer := 650;
sim_delayctrlin_falling_delay_14 : integer := 700;
sim_delayctrlin_falling_delay_15 : integer := 750;
use_delayctrlin : string := "true";
delay_setting : integer := 0;
sim_finedelayctrlin_falling_delay_0 : integer := 0;
sim_finedelayctrlin_falling_delay_1 : integer := 25;
sim_finedelayctrlin_rising_delay_0 : integer := 0;
sim_finedelayctrlin_rising_delay_1 : integer := 25;
use_finedelayctrlin : string := "false";
lpm_type : string := "stratixiii_delay_chain";
tipd_datain : VitalDelayType01 := DefpropDelay01;
tipd_delayctrlin : VitalDelayArrayType01(3 downto 0) := (OTHERS => DefPropDelay01);
tpd_datain_dataout : VitalDelayType01 := DefPropDelay01;
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*"
);
PORT (
datain : IN std_logic := '0';
delayctrlin : IN std_logic_vector(3 downto 0) := (OTHERS => '0');
finedelayctrlin : IN std_logic := '0';
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1';
dataout : OUT std_logic
);
END COMPONENT;
--
-- stratixiii_io_clock_divider
--
COMPONENT stratixiii_io_clock_divider
GENERIC (
use_phasectrlin : string := "true";
phase_setting : integer := 0;
delay_buffer_mode : string := "high";
use_masterin : string := "false";
invert_phase : string := "false";
sim_low_buffer_intrinsic_delay : integer := 350;
sim_high_buffer_intrinsic_delay : integer := 175;
sim_buffer_delay_increment : integer := 10;
lpm_type : string := "stratixiii_io_clock_divider";
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_phaseselect : VitalDelayType01 := DefpropDelay01;
tipd_delayctrlin : VitalDelayArrayType01(5 downto 0) := (OTHERS => DefPropDelay01);
tipd_phasectrlin : VitalDelayArrayType01(3 downto 0) := (OTHERS => DefPropDelay01);
tipd_phaseinvertctrl : VitalDelayType01 := DefpropDelay01;
tipd_masterin : VitalDelayType01 := DefpropDelay01;
tpd_clk_clkout : VitalDelayType01 := DefPropDelay01;
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*"
);
PORT (
clk : IN std_logic := '0';
phaseselect : IN std_logic := '0';
delayctrlin : IN std_logic_vector(5 downto 0) := (OTHERS => '0');
phasectrlin : IN std_logic_vector(3 downto 0) := (OTHERS => '0');
phaseinvertctrl : IN std_logic := '0';
masterin : IN std_logic := '0';
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1';
clkout : OUT std_logic;
slaveout : OUT std_logic
);
END COMPONENT;
--
-- stratixiii_output_phase_alignment
--
COMPONENT stratixiii_output_phase_alignment
GENERIC (
operation_mode : string := "ddio_out";
use_phasectrlin : string := "true";
phase_setting : integer := 0;
delay_buffer_mode : string := "high";
power_up : string := "low";
async_mode : string := "none";
sync_mode : string := "none";
add_output_cycle_delay : string := "false";
use_delayed_clock : string := "false";
add_phase_transfer_reg : string := "false";
use_phasectrl_clock : string := "true";
use_primary_clock : string := "true";
invert_phase : string := "false";
bypass_input_register : string := "false";
phase_setting_for_delayed_clock : integer := 2;
sim_low_buffer_intrinsic_delay : integer := 350;
sim_high_buffer_intrinsic_delay : integer := 175;
sim_buffer_delay_increment : integer := 10;
duty_cycle_delay_mode : string := "none";
sim_dutycycledelayctrlin_falling_delay_0 : integer := 0 ;
sim_dutycycledelayctrlin_falling_delay_1 : integer := 25 ;
sim_dutycycledelayctrlin_falling_delay_10 : integer := 250 ;
sim_dutycycledelayctrlin_falling_delay_11 : integer := 275 ;
sim_dutycycledelayctrlin_falling_delay_12 : integer := 300 ;
sim_dutycycledelayctrlin_falling_delay_13 : integer := 325 ;
sim_dutycycledelayctrlin_falling_delay_14 : integer := 350 ;
sim_dutycycledelayctrlin_falling_delay_15 : integer := 375 ;
sim_dutycycledelayctrlin_falling_delay_2 : integer := 50 ;
sim_dutycycledelayctrlin_falling_delay_3 : integer := 75 ;
sim_dutycycledelayctrlin_falling_delay_4 : integer := 100 ;
sim_dutycycledelayctrlin_falling_delay_5 : integer := 125 ;
sim_dutycycledelayctrlin_falling_delay_6 : integer := 150 ;
sim_dutycycledelayctrlin_falling_delay_7 : integer := 175 ;
sim_dutycycledelayctrlin_falling_delay_8 : integer := 200 ;
sim_dutycycledelayctrlin_falling_delay_9 : integer := 225 ;
sim_dutycycledelayctrlin_rising_delay_0 : integer := 0 ;
sim_dutycycledelayctrlin_rising_delay_1 : integer := 25 ;
sim_dutycycledelayctrlin_rising_delay_10 : integer := 250 ;
sim_dutycycledelayctrlin_rising_delay_11 : integer := 275 ;
sim_dutycycledelayctrlin_rising_delay_12 : integer := 300 ;
sim_dutycycledelayctrlin_rising_delay_13 : integer := 325 ;
sim_dutycycledelayctrlin_rising_delay_14 : integer := 350 ;
sim_dutycycledelayctrlin_rising_delay_15 : integer := 375 ;
sim_dutycycledelayctrlin_rising_delay_2 : integer := 50 ;
sim_dutycycledelayctrlin_rising_delay_3 : integer := 75 ;
sim_dutycycledelayctrlin_rising_delay_4 : integer := 100 ;
sim_dutycycledelayctrlin_rising_delay_5 : integer := 125 ;
sim_dutycycledelayctrlin_rising_delay_6 : integer := 150 ;
sim_dutycycledelayctrlin_rising_delay_7 : integer := 175 ;
sim_dutycycledelayctrlin_rising_delay_8 : integer := 200 ;
sim_dutycycledelayctrlin_rising_delay_9 : integer := 225 ;
lpm_type : string := "stratixiii_output_phase_alignment";
tipd_datain : VitalDelayArrayType01(1 downto 0) := (OTHERS => DefPropDelay01);
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_delayctrlin : VitalDelayArrayType01(5 downto 0) := (OTHERS => DefPropDelay01);
tipd_phasectrlin : VitalDelayArrayType01(3 downto 0) := (OTHERS => DefPropDelay01);
tipd_areset : VitalDelayType01 := DefpropDelay01;
tipd_sreset : VitalDelayType01 := DefpropDelay01;
tipd_clkena : VitalDelayType01 := DefpropDelay01;
tipd_enaoutputcycledelay : VitalDelayType01 := DefpropDelay01;
tipd_enaphasetransferreg : VitalDelayType01 := DefpropDelay01;
tipd_phaseinvertctrl : VitalDelayType01 := DefpropDelay01;
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*"
);
PORT (
datain : IN std_logic_vector(1 downto 0) := (OTHERS => '0');
clk : IN std_logic := '0';
delayctrlin : IN std_logic_vector(5 downto 0) := (OTHERS => '0');
phasectrlin : IN std_logic_vector(3 downto 0) := (OTHERS => '0');
areset : IN std_logic := '0';
sreset : IN std_logic := '0';
clkena : IN std_logic := '1';
enaoutputcycledelay : IN std_logic := '0';
enaphasetransferreg : IN std_logic := '0';
phaseinvertctrl : IN std_logic := '0';
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1';
delaymode : IN std_logic := '0'; -- new in STRATIXIV: ww30.2008
dutycycledelayctrlin: IN std_logic_vector(3 downto 0) := (OTHERS => '0');
dataout : OUT std_logic;
dffin : OUT std_logic_vector(1 downto 0);
dff1t : OUT std_logic_vector(1 downto 0);
dffddiodataout : OUT std_logic
);
END COMPONENT;
--
-- stratixiii_input_phase_alignment
--
COMPONENT stratixiii_input_phase_alignment
GENERIC (
use_phasectrlin : string := "true";
phase_setting : integer := 0;
delay_buffer_mode : string := "high";
power_up : string := "low";
async_mode : string := "none";
add_input_cycle_delay : string := "false";
bypass_output_register : string := "false";
add_phase_transfer_reg : string := "false";
invert_phase : string := "false";
sim_low_buffer_intrinsic_delay : integer := 350;
sim_high_buffer_intrinsic_delay : integer := 175;
sim_buffer_delay_increment : integer := 10;
lpm_type : string := "stratixiii_input_phase_alignment";
tipd_datain : VitalDelayType01 := DefpropDelay01;
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_delayctrlin : VitalDelayArrayType01(5 downto 0) := (OTHERS => DefPropDelay01);
tipd_phasectrlin : VitalDelayArrayType01(3 downto 0) := (OTHERS => DefPropDelay01);
tipd_areset : VitalDelayType01 := DefpropDelay01;
tipd_enainputcycledelay : VitalDelayType01 := DefpropDelay01;
tipd_enaphasetransferreg : VitalDelayType01 := DefpropDelay01;
tipd_phaseinvertctrl : VitalDelayType01 := DefpropDelay01;
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*"
);
PORT (
datain : IN std_logic := '0';
clk : IN std_logic := '0';
delayctrlin : IN std_logic_vector(5 downto 0) := (OTHERS => '0');
phasectrlin : IN std_logic_vector(3 downto 0) := (OTHERS => '0');
areset : IN std_logic := '0';
enainputcycledelay : IN std_logic := '0';
enaphasetransferreg : IN std_logic := '0';
phaseinvertctrl : IN std_logic := '0';
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1';
dataout : OUT std_logic;
dffin : OUT std_logic;
dff1t : OUT std_logic
);
END COMPONENT;
--
-- stratixiii_half_rate_input
--
COMPONENT stratixiii_half_rate_input
GENERIC (
power_up : string := "low";
async_mode : string := "none";
use_dataoutbypass : string := "false";
lpm_type : string := "stratixiii_half_rate_input";
tipd_datain : VitalDelayArrayType01(1 downto 0) := (OTHERS => DefPropDelay01);
tipd_directin : VitalDelayType01 := DefpropDelay01;
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_areset : VitalDelayType01 := DefpropDelay01;
tipd_dataoutbypass : VitalDelayType01 := DefpropDelay01;
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*"
);
PORT (
datain : IN std_logic_vector(1 downto 0) := (OTHERS => '0');
directin : IN std_logic := '0';
clk : IN std_logic := '0';
areset : IN std_logic := '0';
dataoutbypass: IN std_logic := '0';
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1';
dataout : OUT std_logic_vector(3 downto 0);
dffin : OUT std_logic
);
END COMPONENT;
--
-- stratixiii_io_config
--
COMPONENT stratixiii_io_config
GENERIC (
enhanced_mode : string := "false";
lpm_type : string := "stratixiii_io_config";
tipd_datain : VitalDelayType01 := DefpropDelay01;
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_ena : VitalDelayType01 := DefpropDelay01;
tipd_update : VitalDelayType01 := DefpropDelay01;
tsetup_datain_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_datain_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_dataout_posedge : VitalDelayType01 := DefPropDelay01;
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*"
);
PORT (
datain : IN std_logic := '0';
clk : IN std_logic := '0';
ena : IN std_logic := '1';
update : IN std_logic := '0';
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1';
dutycycledelaymode : OUT std_logic;
dutycycledelaysettings : OUT std_logic_vector(3 downto 0);
outputfinedelaysetting1 : OUT std_logic;
outputfinedelaysetting2 : OUT std_logic;
outputonlydelaysetting2 : OUT std_logic_vector(2 downto 0);
outputonlyfinedelaysetting2 : OUT std_logic;
padtoinputregisterfinedelaysetting : OUT std_logic;
padtoinputregisterdelaysetting : OUT std_logic_vector(3 downto 0);
outputdelaysetting1 : OUT std_logic_vector(3 downto 0);
outputdelaysetting2 : OUT std_logic_vector(2 downto 0);
dataout : OUT std_logic
);
END COMPONENT;
--
-- stratixiii_dqs_config
--
COMPONENT stratixiii_dqs_config
GENERIC (
enhanced_mode : string := "false";
lpm_type : string := "stratixiii_dqs_config";
tipd_datain : VitalDelayType01 := DefpropDelay01;
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_ena : VitalDelayType01 := DefpropDelay01;
tipd_update : VitalDelayType01 := DefpropDelay01;
tsetup_datain_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_datain_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_dataout_posedge : VitalDelayType01 := DefPropDelay01;
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*"
);
PORT (
datain : IN std_logic := '0';
clk : IN std_logic := '0';
ena : IN std_logic := '0';
update : IN std_logic := '0';
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1';
dqsbusoutfinedelaysetting : OUT std_logic; -- new in STRATIXIV
dqsenablefinedelaysetting : OUT std_logic; -- new in STRATIXIV
dqsbusoutdelaysetting : OUT std_logic_vector(3 downto 0);
dqsinputphasesetting : OUT std_logic_vector(2 downto 0);
dqsenablectrlphasesetting : OUT std_logic_vector(3 downto 0);
dqsoutputphasesetting : OUT std_logic_vector(3 downto 0);
dqoutputphasesetting : OUT std_logic_vector(3 downto 0);
resyncinputphasesetting : OUT std_logic_vector(3 downto 0);
dividerphasesetting : OUT std_logic;
enaoctcycledelaysetting : OUT std_logic;
enainputcycledelaysetting : OUT std_logic;
enaoutputcycledelaysetting: OUT std_logic;
dqsenabledelaysetting : OUT std_logic_vector(2 downto 0);
octdelaysetting1 : OUT std_logic_vector(3 downto 0);
octdelaysetting2 : OUT std_logic_vector(2 downto 0);
enadataoutbypass : OUT std_logic;
enadqsenablephasetransferreg : OUT std_logic;
enaoctphasetransferreg : OUT std_logic;
enaoutputphasetransferreg : OUT std_logic;
enainputphasetransferreg : OUT std_logic;
resyncinputphaseinvert : OUT std_logic;
dqsenablectrlphaseinvert : OUT std_logic;
dqoutputphaseinvert : OUT std_logic;
dqsoutputphaseinvert : OUT std_logic;
dataout : OUT std_logic
);
END COMPONENT;
--
-- stratixiii_mac_mult
--
COMPONENT stratixiii_mac_mult
GENERIC (
dataa_width : integer := 18;
datab_width : integer := 18;
dataa_clock : string := "none";
datab_clock : string := "none";
signa_clock : string := "none";
signb_clock : string := "none";
scanouta_clock : string := "none";
dataa_clear : string := "none";
datab_clear : string := "none";
signa_clear : string := "none";
signb_clear : string := "none";
scanouta_clear : string := "none";
signa_internally_grounded : string := "false";
signb_internally_grounded : string := "false";
lpm_type : string := "stratixiii_mac_mult"
);
PORT (
dataa : IN std_logic_vector(dataa_width - 1 DOWNTO 0):= (others => '1');
datab : IN std_logic_vector(datab_width - 1 DOWNTO 0):= (others => '1');
signa : IN std_logic := '1';
signb : IN std_logic := '1';
clk : IN std_logic_vector(3 DOWNTO 0) := (others => '0');
aclr : IN std_logic_vector(3 DOWNTO 0) := (others => '0');
ena : IN std_logic_vector(3 DOWNTO 0) := (others => '1');
dataout : OUT std_logic_vector(dataa_width + datab_width - 1 DOWNTO 0);
scanouta : OUT std_logic_vector(dataa_width - 1 DOWNTO 0);
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1'
);
END COMPONENT;
--
-- stratixiii_mac_out
--
COMPONENT stratixiii_mac_out
GENERIC (
operation_mode : string := "output_only";
dataa_width : integer := 1;
datab_width : integer := 1;
datac_width : integer := 1;
datad_width : integer := 1;
chainin_width : integer := 1;
round_width : integer := 15;
round_chain_out_width : integer := 15;
saturate_width : integer := 15;
saturate_chain_out_width : integer := 15;
first_adder0_clock : string := "none";
first_adder0_clear : string := "none";
first_adder1_clock : string := "none";
first_adder1_clear : string := "none";
second_adder_clock : string := "none";
second_adder_clear : string := "none";
output_clock : string := "none";
output_clear : string := "none";
signa_clock : string := "none";
signa_clear : string := "none";
signb_clock : string := "none";
signb_clear : string := "none";
round_clock : string := "none";
round_clear : string := "none";
roundchainout_clock : string := "none";
roundchainout_clear : string := "none";
saturate_clock : string := "none";
saturate_clear : string := "none";
saturatechainout_clock : string := "none";
saturatechainout_clear : string := "none";
zeroacc_clock : string := "none";
zeroacc_clear : string := "none";
zeroloopback_clock : string := "none";
zeroloopback_clear : string := "none";
rotate_clock : string := "none";
rotate_clear : string := "none";
shiftright_clock : string := "none";
shiftright_clear : string := "none";
signa_pipeline_clock : string := "none";
signa_pipeline_clear : string := "none";
signb_pipeline_clock : string := "none";
signb_pipeline_clear : string := "none";
round_pipeline_clock : string := "none";
round_pipeline_clear : string := "none";
roundchainout_pipeline_clock : string := "none";
roundchainout_pipeline_clear : string := "none";
saturate_pipeline_clock : string := "none";
saturate_pipeline_clear : string := "none";
saturatechainout_pipeline_clock: string := "none";
saturatechainout_pipeline_clear: string := "none";
zeroacc_pipeline_clock : string := "none";
zeroacc_pipeline_clear : string := "none";
zeroloopback_pipeline_clock : string := "none";
zeroloopback_pipeline_clear : string := "none";
rotate_pipeline_clock : string := "none";
rotate_pipeline_clear : string := "none";
shiftright_pipeline_clock : string := "none";
shiftright_pipeline_clear : string := "none";
roundchainout_output_clock : string := "none";
roundchainout_output_clear : string := "none";
saturatechainout_output_clock : string := "none";
saturatechainout_output_clear : string := "none";
zerochainout_output_clock : string := "none";
zerochainout_output_clear : string := "none";
zeroloopback_output_clock : string := "none";
zeroloopback_output_clear : string := "none";
rotate_output_clock : string := "none";
rotate_output_clear : string := "none";
shiftright_output_clock : string := "none";
shiftright_output_clear : string := "none";
first_adder0_mode : string := "add";
first_adder1_mode : string := "add";
acc_adder_operation : string := "add";
round_mode : string := "nearest_integer";
round_chain_out_mode : string := "nearest_integer";
saturate_mode : string := "asymmetric";
saturate_chain_out_mode : string := "asymmetric";
multa_signa_internally_grounded : string := "false";
multa_signb_internally_grounded : string := "false";
multb_signa_internally_grounded : string := "false";
multb_signb_internally_grounded : string := "false";
multc_signa_internally_grounded : string := "false";
multc_signb_internally_grounded : string := "false";
multd_signa_internally_grounded : string := "false";
multd_signb_internally_grounded : string := "false";
lpm_type : string := "stratixiii_mac_out";
dataout_width : integer:=72
);
PORT (
dataa : IN std_logic_vector(dataa_width - 1 DOWNTO 0):= (others => '1');
datab : IN std_logic_vector(datab_width - 1 DOWNTO 0):= (others => '1');
datac : IN std_logic_vector(datac_width - 1 DOWNTO 0):= (others => '1');
datad : IN std_logic_vector(datad_width - 1 DOWNTO 0):= (others => '1');
signa : IN std_logic := '1';
signb : IN std_logic := '1';
chainin : IN std_logic_vector(chainin_width - 1 DOWNTO 0):= (others => '0');
round : IN std_logic := '0';
saturate : IN std_logic := '0';
zeroacc : IN std_logic := '0';
roundchainout : IN std_logic := '0';
saturatechainout : IN std_logic := '0';
zerochainout : IN std_logic := '0';
zeroloopback : IN std_logic := '0';
rotate : IN std_logic := '0';
shiftright : IN std_logic := '0';
clk : IN std_logic_vector(3 DOWNTO 0) := (others => '0');
ena : IN std_logic_vector(3 DOWNTO 0) := (others => '1');
aclr : IN std_logic_vector(3 DOWNTO 0) := (others => '0');
loopbackout : OUT std_logic_vector(17 DOWNTO 0):= (others => '0');
dataout : OUT std_logic_vector(71 DOWNTO 0) := (others => '0');
overflow : OUT std_logic := '0';
saturatechainoutoverflow: OUT std_logic := '0';
dftout : OUT std_logic := '0';
devpor : IN std_logic := '1';
devclrn : IN std_logic := '1'
);
END COMPONENT;
--
-- stratixiii_io_pad
--
COMPONENT stratixiii_io_pad
GENERIC (
lpm_type : string := "stratixiii_io_pad");
PORT (
padin : IN std_logic := '0'; -- Input Pad
padout : OUT std_logic); -- Output Pad
END COMPONENT;
--
-- stratixiii_pll
--
COMPONENT stratixiii_pll
GENERIC (
operation_mode : string := "normal";
pll_type : string := "auto"; -- AUTO/FAST/ENHANCED/LEFT_RIGHT/TOP_BOTTOM
compensate_clock : string := "clock0";
inclk0_input_frequency : integer := 0;
inclk1_input_frequency : integer := 0;
self_reset_on_loss_lock : string := "off";
switch_over_type : string := "auto";
switch_over_counter : integer := 1;
enable_switch_over_counter : string := "off";
dpa_multiply_by : integer := 0;
dpa_divide_by : integer := 0;
dpa_divider : integer := 0;
bandwidth : integer := 0;
bandwidth_type : string := "auto";
use_dc_coupling : string := "false";
lock_c : integer := 4;
sim_gate_lock_device_behavior : string := "off";
lock_high : integer := 0;
lock_low : integer := 0;
lock_window_ui : string := "0.05";
lock_window : time := 5 ps;
test_bypass_lock_detect : string := "off";
clk0_output_frequency : integer := 0;
clk0_multiply_by : integer := 0;
clk0_divide_by : integer := 0;
clk0_phase_shift : string := "0";
clk0_duty_cycle : integer := 50;
clk1_output_frequency : integer := 0;
clk1_multiply_by : integer := 0;
clk1_divide_by : integer := 0;
clk1_phase_shift : string := "0";
clk1_duty_cycle : integer := 50;
clk2_output_frequency : integer := 0;
clk2_multiply_by : integer := 0;
clk2_divide_by : integer := 0;
clk2_phase_shift : string := "0";
clk2_duty_cycle : integer := 50;
clk3_output_frequency : integer := 0;
clk3_multiply_by : integer := 0;
clk3_divide_by : integer := 0;
clk3_phase_shift : string := "0";
clk3_duty_cycle : integer := 50;
clk4_output_frequency : integer := 0;
clk4_multiply_by : integer := 0;
clk4_divide_by : integer := 0;
clk4_phase_shift : string := "0";
clk4_duty_cycle : integer := 50;
clk5_output_frequency : integer := 0;
clk5_multiply_by : integer := 0;
clk5_divide_by : integer := 0;
clk5_phase_shift : string := "0";
clk5_duty_cycle : integer := 50;
clk6_output_frequency : integer := 0;
clk6_multiply_by : integer := 0;
clk6_divide_by : integer := 0;
clk6_phase_shift : string := "0";
clk6_duty_cycle : integer := 50;
clk7_output_frequency : integer := 0;
clk7_multiply_by : integer := 0;
clk7_divide_by : integer := 0;
clk7_phase_shift : string := "0";
clk7_duty_cycle : integer := 50;
clk8_output_frequency : integer := 0;
clk8_multiply_by : integer := 0;
clk8_divide_by : integer := 0;
clk8_phase_shift : string := "0";
clk8_duty_cycle : integer := 50;
clk9_output_frequency : integer := 0;
clk9_multiply_by : integer := 0;
clk9_divide_by : integer := 0;
clk9_phase_shift : string := "0";
clk9_duty_cycle : integer := 50;
pfd_min : integer := 0;
pfd_max : integer := 0;
vco_min : integer := 0;
vco_max : integer := 0;
vco_center : integer := 0;
m_initial : integer := 1;
m : integer := 0;
n : integer := 1;
c0_high : integer := 1;
c0_low : integer := 1;
c0_initial : integer := 1;
c0_mode : string := "bypass";
c0_ph : integer := 0;
c1_high : integer := 1;
c1_low : integer := 1;
c1_initial : integer := 1;
c1_mode : string := "bypass";
c1_ph : integer := 0;
c2_high : integer := 1;
c2_low : integer := 1;
c2_initial : integer := 1;
c2_mode : string := "bypass";
c2_ph : integer := 0;
c3_high : integer := 1;
c3_low : integer := 1;
c3_initial : integer := 1;
c3_mode : string := "bypass";
c3_ph : integer := 0;
c4_high : integer := 1;
c4_low : integer := 1;
c4_initial : integer := 1;
c4_mode : string := "bypass";
c4_ph : integer := 0;
c5_high : integer := 1;
c5_low : integer := 1;
c5_initial : integer := 1;
c5_mode : string := "bypass";
c5_ph : integer := 0;
c6_high : integer := 1;
c6_low : integer := 1;
c6_initial : integer := 1;
c6_mode : string := "bypass";
c6_ph : integer := 0;
c7_high : integer := 1;
c7_low : integer := 1;
c7_initial : integer := 1;
c7_mode : string := "bypass";
c7_ph : integer := 0;
c8_high : integer := 1;
c8_low : integer := 1;
c8_initial : integer := 1;
c8_mode : string := "bypass";
c8_ph : integer := 0;
c9_high : integer := 1;
c9_low : integer := 1;
c9_initial : integer := 1;
c9_mode : string := "bypass";
c9_ph : integer := 0;
m_ph : integer := 0;
clk0_counter : string := "unused";
clk1_counter : string := "unused";
clk2_counter : string := "unused";
clk3_counter : string := "unused";
clk4_counter : string := "unused";
clk5_counter : string := "unused";
clk6_counter : string := "unused";
clk7_counter : string := "unused";
clk8_counter : string := "unused";
clk9_counter : string := "unused";
c1_use_casc_in : string := "off";
c2_use_casc_in : string := "off";
c3_use_casc_in : string := "off";
c4_use_casc_in : string := "off";
c5_use_casc_in : string := "off";
c6_use_casc_in : string := "off";
c7_use_casc_in : string := "off";
c8_use_casc_in : string := "off";
c9_use_casc_in : string := "off";
m_test_source : integer := -1;
c0_test_source : integer := -1;
c1_test_source : integer := -1;
c2_test_source : integer := -1;
c3_test_source : integer := -1;
c4_test_source : integer := -1;
c5_test_source : integer := -1;
c6_test_source : integer := -1;
c7_test_source : integer := -1;
c8_test_source : integer := -1;
c9_test_source : integer := -1;
vco_multiply_by : integer := 0;
vco_divide_by : integer := 0;
vco_post_scale : integer := 1;
vco_frequency_control : string := "auto";
vco_phase_shift_step : integer := 0;
charge_pump_current : integer := 10;
loop_filter_r : string := " 1.0";
loop_filter_c : integer := 0;
pll_compensation_delay : integer := 0;
simulation_type : string := "functional";
lpm_type : string := "stratixiii_pll";
clk0_use_even_counter_mode : string := "off";
clk1_use_even_counter_mode : string := "off";
clk2_use_even_counter_mode : string := "off";
clk3_use_even_counter_mode : string := "off";
clk4_use_even_counter_mode : string := "off";
clk5_use_even_counter_mode : string := "off";
clk6_use_even_counter_mode : string := "off";
clk7_use_even_counter_mode : string := "off";
clk8_use_even_counter_mode : string := "off";
clk9_use_even_counter_mode : string := "off";
clk0_use_even_counter_value : string := "off";
clk1_use_even_counter_value : string := "off";
clk2_use_even_counter_value : string := "off";
clk3_use_even_counter_value : string := "off";
clk4_use_even_counter_value : string := "off";
clk5_use_even_counter_value : string := "off";
clk6_use_even_counter_value : string := "off";
clk7_use_even_counter_value : string := "off";
clk8_use_even_counter_value : string := "off";
clk9_use_even_counter_value : string := "off";
init_block_reset_a_count : integer := 1;
init_block_reset_b_count : integer := 1;
charge_pump_current_bits : integer := 0;
lock_window_ui_bits : integer := 0;
loop_filter_c_bits : integer := 0;
loop_filter_r_bits : integer := 0;
test_counter_c0_delay_chain_bits : integer := 0;
test_counter_c1_delay_chain_bits : integer := 0;
test_counter_c2_delay_chain_bits : integer := 0;
test_counter_c3_delay_chain_bits : integer := 0;
test_counter_c4_delay_chain_bits : integer := 0;
test_counter_c5_delay_chain_bits : integer := 0;
test_counter_c6_delay_chain_bits : integer := 0;
test_counter_c7_delay_chain_bits : integer := 0;
test_counter_c8_delay_chain_bits : integer := 0;
test_counter_c9_delay_chain_bits : integer := 0;
test_counter_m_delay_chain_bits : integer := 0;
test_counter_n_delay_chain_bits : integer := 0;
test_feedback_comp_delay_chain_bits : integer := 0;
test_input_comp_delay_chain_bits : integer := 0;
test_volt_reg_output_mode_bits : integer := 0;
test_volt_reg_output_voltage_bits : integer := 0;
test_volt_reg_test_mode : string := "false";
vco_range_detector_high_bits : integer := -1;
vco_range_detector_low_bits : integer := -1;
scan_chain_mif_file : string := "";
dpa_output_clock_phase_shift : integer := 0;
test_counter_c3_sclk_delay_chain_bits : integer := -1;
test_counter_c4_sclk_delay_chain_bits : integer := -1;
test_counter_c5_lden_delay_chain_bits : integer := -1;
test_counter_c6_lden_delay_chain_bits : integer := -1;
auto_settings : string := "true";
family_name : string := "StratixIII";
XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
TimingChecksOn : Boolean := true;
InstancePath : STRING := "*";
tipd_inclk : VitalDelayArrayType01(1 downto 0) := (OTHERS => DefPropDelay01);
tipd_ena : VitalDelayType01 := DefPropDelay01;
tipd_pfdena : VitalDelayType01 := DefPropDelay01;
tipd_areset : VitalDelayType01 := DefPropDelay01;
tipd_fbin : VitalDelayType01 := DefPropDelay01;
tipd_scanclk : VitalDelayType01 := DefPropDelay01;
tipd_scanclkena : VitalDelayType01 := DefPropDelay01;
tipd_scandata : VitalDelayType01 := DefPropDelay01;
tipd_configupdate : VitalDelayType01 := DefPropDelay01;
tipd_clkswitch : VitalDelayType01 := DefPropDelay01;
tipd_phaseupdown : VitalDelayType01 := DefPropDelay01;
tipd_phasecounterselect : VitalDelayArrayType01(3 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_phasestep : VitalDelayType01 := DefPropDelay01;
tsetup_scandata_scanclk_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
thold_scandata_scanclk_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
tsetup_scanclkena_scanclk_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
thold_scanclkena_scanclk_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
use_vco_bypass : string := "false"
);
PORT
(
inclk : in std_logic_vector(1 downto 0);
fbin : in std_logic := '0';
fbout : out std_logic;
clkswitch : in std_logic := '0';
areset : in std_logic := '0';
pfdena : in std_logic := '1';
scandata : in std_logic := '0';
scanclk : in std_logic := '0';
scanclkena : in std_logic := '1';
configupdate : in std_logic := '0';
clk : out std_logic_vector(9 downto 0);
phasecounterselect : in std_logic_vector(3 downto 0) := "0000";
phaseupdown : in std_logic := '0';
phasestep : in std_logic := '0';
clkbad : out std_logic_vector(1 downto 0);
activeclock : out std_logic;
locked : out std_logic;
scandataout : out std_logic;
scandone : out std_logic;
phasedone : out std_logic;
vcooverrange : out std_logic;
vcounderrange : out std_logic
);
END COMPONENT;
--
-- stratixiii_asmiblock
--
COMPONENT stratixiii_asmiblock
generic (
lpm_type : string := "stratixiii_asmiblock"
);
port (
dclkin : in std_logic := '0';
scein : in std_logic := '0';
sdoin : in std_logic := '0';
data0in : in std_logic := '0';
oe : in std_logic := '0';
dclkout : out std_logic;
sceout : out std_logic;
sdoout : out std_logic;
data0out: out std_logic
);
END COMPONENT;
--
-- stratixiii_lvds_receiver
--
COMPONENT stratixiii_lvds_receiver
GENERIC ( channel_width : integer := 10;
data_align_rollover : integer := 2;
enable_dpa : string := "off";
lose_lock_on_one_change : string := "off";
reset_fifo_at_first_lock : string := "on";
align_to_rising_edge_only : string := "on";
use_serial_feedback_input : string := "off";
dpa_debug : string := "off";
enable_soft_cdr : string := "off";
dpa_output_clock_phase_shift : INTEGER := 0 ;
enable_dpa_initial_phase_selection : string := "off";
dpa_initial_phase_value : INTEGER := 0;
enable_dpa_align_to_rising_edge_only : string := "off";
net_ppm_variation : INTEGER := 0;
is_negative_ppm_drift : string := "off";
rx_input_path_delay_engineering_bits : INTEGER := -1;
x_on_bitslip : string := "on";
lpm_type : string := "stratixiii_lvds_receiver";
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tipd_clk0 : VitalDelayType01 := DefpropDelay01;
tipd_datain : VitalDelayType01 := DefpropDelay01;
tipd_enable0 : VitalDelayType01 := DefpropDelay01;
tipd_dpareset : VitalDelayType01 := DefpropDelay01;
tipd_dpahold : VitalDelayType01 := DefpropDelay01;
tipd_dpaswitch : VitalDelayType01 := DefpropDelay01;
tipd_fiforeset : VitalDelayType01 := DefpropDelay01;
tipd_bitslip : VitalDelayType01 := DefpropDelay01;
tipd_bitslipreset : VitalDelayType01 := DefpropDelay01;
tipd_serialfbk : VitalDelayType01 := DefpropDelay01;
tpd_clk0_dpalock_posedge : VitalDelayType01 := DefPropDelay01
);
PORT ( clk0 : IN std_logic;
datain : IN std_logic := '0';
enable0 : IN std_logic := '0';
dpareset : IN std_logic := '0';
dpahold : IN std_logic := '0';
dpaswitch : IN std_logic := '0';
fiforeset : IN std_logic := '0';
bitslip : IN std_logic := '0';
bitslipreset : IN std_logic := '0';
serialfbk : IN std_logic := '0';
dataout : OUT std_logic_vector(channel_width - 1 DOWNTO 0);
dpalock : OUT std_logic:= '0';
bitslipmax : OUT std_logic;
serialdataout : OUT std_logic;
postdpaserialdataout : OUT std_logic;
divfwdclk : OUT std_logic;
dpaclkout : OUT std_logic;
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1'
);
END COMPONENT;
--
-- stratixiii_pseudo_diff_out
--
COMPONENT stratixiii_pseudo_diff_out
GENERIC (
tipd_i : VitalDelayType01 := DefPropDelay01;
tpd_i_o : VitalDelayType01 := DefPropDelay01;
tpd_i_obar : VitalDelayType01 := DefPropDelay01;
XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn;
lpm_type : string := "stratixiii_pseudo_diff_out"
);
PORT (
i : IN std_logic := '0';
o : OUT std_logic;
obar : OUT std_logic
);
END COMPONENT;
--
-- stratixiii_bias_block
--
COMPONENT stratixiii_bias_block
GENERIC (
lpm_type : string := "stratixiii_bias_block";
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_shiftnld : VitalDelayType01 := DefPropDelay01;
tipd_captnupdt : VitalDelayType01 := DefPropDelay01;
tipd_din : VitalDelayType01 := DefPropDelay01;
tsetup_din_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_shiftnld_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_captnupdt_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_din_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_shiftnld_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_captnupdt_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_dout_posedge : VitalDelayType01 := DefPropDelay01;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks
);
PORT (
clk : in std_logic := '0';
shiftnld : in std_logic := '0';
captnupdt : in std_logic := '0';
din : in std_logic := '0';
dout : out std_logic := '0'
);
END COMPONENT;
--
-- stratixiii_tsdblock
--
COMPONENT stratixiii_tsdblock
generic (
poi_cal_temperature : integer := 85;
clock_divider_enable : string := "on";
clock_divider_value : integer := 40;
sim_tsdcalo : integer := 0;
user_offset_enable : string := "off";
lpm_type : string := "stratixiii_tsdblock"
);
port (
offset : in std_logic_vector(5 downto 0) := (OTHERS => '0');
clk : in std_logic := '0';
ce : in std_logic := '0';
clr : in std_logic := '0';
testin : in std_logic_vector(7 downto 0) := (OTHERS => '0');
tsdcalo : out std_logic_vector(7 downto 0);
tsdcaldone : out std_logic;
fdbkctrlfromcore : in std_logic := '0';
compouttest : in std_logic := '0';
tsdcompout : out std_logic;
offsetout : out std_logic_vector(5 downto 0)
);
END COMPONENT;
end stratixiii_components;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
-- Copyright (C) 2015 - 2016, Cobham Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: techmult
-- File: techmult.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: Multiplier with tech mapping
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.stdlib.all;
use grlib.multlib.all;
library techmap;
use techmap.allmul.all;
use techmap.gencomp.all;
entity techmult is
generic (
tech : integer := 0;
arch : integer := 0;
a_width : positive := 2; -- multiplier word width
b_width : positive := 2; -- multiplicand word width
num_stages : natural := 2; -- number of pipeline stages
stall_mode : natural range 0 to 1 := 1 -- '0': non-stallable; '1': stallable
);
port(a : in std_logic_vector(a_width-1 downto 0);
b : in std_logic_vector(b_width-1 downto 0);
clk : in std_logic;
en : in std_logic;
sign : in std_logic;
product : out std_logic_vector(a_width+b_width-1 downto 0));
end;
architecture rtl of techmult is
signal gnd, vcc : std_ulogic;
-- pragma translate_off
signal pres : std_ulogic := '0';
signal sonly : std_ulogic := '0';
-- pragma translate_on
begin
gnd <= '0'; vcc <= '1';
np : if num_stages = 1 generate
arch0 : if (arch = 0) generate --inferred
product <= mixed_mul(a, b, sign);
-- pragma translate_off
pres <= '1';
-- pragma translate_on
end generate;
arch1 : if (arch = 1) generate -- modgen
m1717 : if (a_width = 17) and (b_width = 17) generate
m17 : mul_17_17 generic map (mulpipe => 0)
port map (clk, vcc, a, b, product);
-- pragma translate_off
pres <= '1'; sonly <= '1';
-- pragma translate_on
end generate;
m3317 : if (a_width = 33) and (b_width = 17) generate
m33 : mul_33_17 port map (a, b, product);
-- pragma translate_off
pres <= '1'; sonly <= '1';
-- pragma translate_on
end generate;
m339 : if (a_width = 33) and (b_width = 9) generate
m33 : mul_33_9 port map (a, b, product);
-- pragma translate_off
pres <= '1'; sonly <= '1';
-- pragma translate_on
end generate;
m3333 : if (a_width = 33) and (b_width = 33) generate
m33 : mul_33_33 generic map (mulpipe => 0)
port map (clk, vcc, a, b, product);
-- pragma translate_off
pres <= '1'; sonly <= '1';
-- pragma translate_on
end generate;
mgen : if not(((a_width = 17) and (b_width = 17)) or
((a_width = 33) and (b_width = 33)) or
((a_width = 33) and (b_width = 17)) or
((a_width = 33) and (b_width = 9)))
generate
product <= mixed_mul(a, b, sign);
-- pragma translate_off
pres <= '1';
-- pragma translate_on
end generate;
end generate;
arch2 : if (arch = 2) generate --techspec
axd : if (tech = axdsp) and (a_width = 33) and (b_width = 33) generate
m33 : axcel_mul_33x33_signed generic map (pipe => 0)
port map (a, b, vcc, clk, product);
-- pragma translate_off
pres <= '1'; sonly <= '1';
-- pragma translate_on
end generate;
end generate;
arch3 : if (arch = 3) generate -- designware
dwm : mul_dw
generic map (a_width => a_width, b_width => b_width,
num_stages => 1, stall_mode => 0)
port map (a => a, b => b, clk => clk, en => en, sign => sign,
product => product);
-- pragma translate_off
pres <= '1';
-- pragma translate_on
end generate;
end generate;
pipe2 : if num_stages = 2 generate
arch0 : if (arch = 0) generate -- inferred
dwm : gen_mult_pipe
generic map (a_width => a_width, b_width => b_width,
num_stages => num_stages, stall_mode => stall_mode)
port map (a => a, b => b, clk => clk, en => en, tc => sign,
product => product);
-- pragma translate_off
pres <= '1';
-- pragma translate_on
end generate;
arch1 : if (arch = 1) generate -- modgen
m1717 : if (a_width = 17) and (b_width = 17) generate
m17 : mul_17_17 generic map (mulpipe => 1)
port map (clk, en, a, b, product);
-- pragma translate_off
pres <= '1'; sonly <= '1';
-- pragma translate_on
end generate;
m3333 : if (a_width = 33) and (b_width = 33) generate
m33 : mul_33_33 generic map (mulpipe => 1)
port map (clk, en, a, b, product);
-- pragma translate_off
pres <= '1'; sonly <= '1';
-- pragma translate_on
end generate;
end generate;
arch2 : if (arch = 2) generate --techspec
axd : if (tech = axdsp) and (a_width = 33) and (b_width = 33) generate
m33 : axcel_mul_33x33_signed generic map (pipe => 1)
port map (a, b, en, clk, product);
-- pragma translate_off
pres <= '1'; sonly <= '1';
-- pragma translate_on
end generate;
end generate;
arch3 : if (arch = 3) generate -- designware
dwm : mul_dw
generic map (a_width => a_width, b_width => b_width,
num_stages => num_stages, stall_mode => stall_mode)
port map (a => a, b => b, clk => clk, en => en, sign => sign,
product => product);
-- pragma translate_off
pres <= '1';
-- pragma translate_on
end generate;
end generate;
pipe3 : if num_stages > 2 generate
arch0 : if (arch = 0) generate -- inferred
dwm : gen_mult_pipe
generic map (a_width => a_width, b_width => b_width,
num_stages => num_stages, stall_mode => stall_mode)
port map (a => a, b => b, clk => clk, en => en, tc => sign,
product => product);
-- pragma translate_off
pres <= '1';
-- pragma translate_on
end generate;
arch3 : if (arch = 3) generate -- designware
dwm : mul_dw
generic map (a_width => a_width, b_width => b_width,
num_stages => num_stages, stall_mode => stall_mode)
port map (a => a, b => b, clk => clk, en => en, sign => sign,
product => product);
-- pragma translate_off
pres <= '1';
-- pragma translate_on
end generate;
end generate;
-- pragma translate_off
process begin
wait for 5 ns;
assert pres = '1' report "techmult: configuration not supported. (width " &
tost(a_width) & "x" & tost(b_width) & ", tech " & tost(tech) & ", arch " &
tost(arch) & ")"
severity failure;
wait;
end process;
process begin
wait for 5 ns;
assert not ((sonly = '1') and (sign = '0')) report "techmult: unsinged multiplication for this configuration not supported"
severity failure;
if sonly = '1' then wait on sign; else wait; end if;
end process;
-- pragma translate_on
end;
|
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- not in book
entity example_entity is
end entity example_entity;
-- end not in book
architecture contrived of example_entity is
constant sig_width : positive := 16;
signal s1, s2, s3 : bit_vector (0 to sig_width - 1);
signal sel : bit;
-- . . .
begin
mux : block is
generic ( width : positive );
generic map ( width => sig_width );
port ( d0, d1 : in bit_vector(0 to width - 1);
y : out bit_vector(0 to width - 1);
sel : in bit);
port map ( d0 => s1, d1=> s2, y => s3, sel => sel );
constant zero : bit_vector(0 to width - 1) := ( others => '0' );
signal gated_d0, gated_d1 : bit_vector(0 to width - 1);
begin
gated_d0 <= d0 when sel = '0' else zero;
gated_d1 <= d1 when sel = '1' else zero;
y <= gated_d0 or gated_d1;
end block mux;
-- . . .
-- not in book
stimulus : process is
begin
s1 <= X"1111"; s2 <= X"2222"; sel <= '0'; wait for 10 ns;
s1 <= X"0101"; wait for 10 ns;
s2 <= X"0202"; wait for 10 ns;
sel <= '1'; wait for 10 ns;
s1 <= X"0001"; wait for 10 ns;
s2 <= X"0002"; wait for 10 ns;
wait;
end process stimulus;
-- end not in book
end architecture contrived;
|
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- not in book
entity example_entity is
end entity example_entity;
-- end not in book
architecture contrived of example_entity is
constant sig_width : positive := 16;
signal s1, s2, s3 : bit_vector (0 to sig_width - 1);
signal sel : bit;
-- . . .
begin
mux : block is
generic ( width : positive );
generic map ( width => sig_width );
port ( d0, d1 : in bit_vector(0 to width - 1);
y : out bit_vector(0 to width - 1);
sel : in bit);
port map ( d0 => s1, d1=> s2, y => s3, sel => sel );
constant zero : bit_vector(0 to width - 1) := ( others => '0' );
signal gated_d0, gated_d1 : bit_vector(0 to width - 1);
begin
gated_d0 <= d0 when sel = '0' else zero;
gated_d1 <= d1 when sel = '1' else zero;
y <= gated_d0 or gated_d1;
end block mux;
-- . . .
-- not in book
stimulus : process is
begin
s1 <= X"1111"; s2 <= X"2222"; sel <= '0'; wait for 10 ns;
s1 <= X"0101"; wait for 10 ns;
s2 <= X"0202"; wait for 10 ns;
sel <= '1'; wait for 10 ns;
s1 <= X"0001"; wait for 10 ns;
s2 <= X"0002"; wait for 10 ns;
wait;
end process stimulus;
-- end not in book
end architecture contrived;
|
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- not in book
entity example_entity is
end entity example_entity;
-- end not in book
architecture contrived of example_entity is
constant sig_width : positive := 16;
signal s1, s2, s3 : bit_vector (0 to sig_width - 1);
signal sel : bit;
-- . . .
begin
mux : block is
generic ( width : positive );
generic map ( width => sig_width );
port ( d0, d1 : in bit_vector(0 to width - 1);
y : out bit_vector(0 to width - 1);
sel : in bit);
port map ( d0 => s1, d1=> s2, y => s3, sel => sel );
constant zero : bit_vector(0 to width - 1) := ( others => '0' );
signal gated_d0, gated_d1 : bit_vector(0 to width - 1);
begin
gated_d0 <= d0 when sel = '0' else zero;
gated_d1 <= d1 when sel = '1' else zero;
y <= gated_d0 or gated_d1;
end block mux;
-- . . .
-- not in book
stimulus : process is
begin
s1 <= X"1111"; s2 <= X"2222"; sel <= '0'; wait for 10 ns;
s1 <= X"0101"; wait for 10 ns;
s2 <= X"0202"; wait for 10 ns;
sel <= '1'; wait for 10 ns;
s1 <= X"0001"; wait for 10 ns;
s2 <= X"0002"; wait for 10 ns;
wait;
end process stimulus;
-- end not in book
end architecture contrived;
|
entity test_output is
port (
output : inout bit_vector( 1 downto 0 ) := "10"
);
end test_output;
architecture only of test_output is
begin -- test_output
test: process
begin -- process test
assert output = "10" report "test failed" severity error;
assert output /= "10" report "test passed" severity note;
wait;
end process test;
end only;
|
entity test_output is
port (
output : inout bit_vector( 1 downto 0 ) := "10"
);
end test_output;
architecture only of test_output is
begin -- test_output
test: process
begin -- process test
assert output = "10" report "test failed" severity error;
assert output /= "10" report "test passed" severity note;
wait;
end process test;
end only;
|
entity test_output is
port (
output : inout bit_vector( 1 downto 0 ) := "10"
);
end test_output;
architecture only of test_output is
begin -- test_output
test: process
begin -- process test
assert output = "10" report "test failed" severity error;
assert output /= "10" report "test passed" severity note;
wait;
end process test;
end only;
|
-------------------------------------------------------------------------------
-- Title : Testbench for design "peripheral_register"
-------------------------------------------------------------------------------
-- Author : Calle <calle@Alukiste>
-- Standard : VHDL'87
-------------------------------------------------------------------------------
-- Description:
-------------------------------------------------------------------------------
-- Copyright (c) 2011
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library std;
use std.textio.all;
library work;
use work.bus_pkg.all;
use work.reg_file_pkg.all;
-------------------------------------------------------------------------------
entity peripheral_register_tb is
end peripheral_register_tb;
-------------------------------------------------------------------------------
architecture tb of peripheral_register_tb is
-- component generics
constant BASE_ADDRESS : positive := 16#0100#;
-- component ports
signal reg : std_logic_vector(15 downto 0) := (others => '0');
signal bus_o : busdevice_out_type;
signal bus_i : busdevice_in_type :=
(addr => (others => '0'),
data => (others => '0'),
we => '0',
re => '0');
signal clk : std_logic := '0';
signal reg_readback : std_logic_vector(15 downto 0);
-- comments for the wave view of the testbench
type comment_type is (idle,
read_wrong_addr,
read_correct_addr,
write_wrong_addr,
write_correct_addr,
sequential_cycles);
signal comment : comment_type := idle;
begin
reg_readback <= not reg;
-- component instantiation
DUT : peripheral_register
generic map (
BASE_ADDRESS => BASE_ADDRESS)
port map (
dout_p => reg,
din_p => reg_readback, -- read back the written values
bus_o => bus_o,
bus_i => bus_i,
reset => '0',
clk => clk);
-- clock generation
clk <= not clk after 10 ns;
waveform : process
begin
wait for 20 ns;
-- Read from wrong address
comment <= read_wrong_addr;
readWord(addr => 16#0020#, bus_i => bus_i, clk => clk);
-- Read from correct address
comment <= read_correct_addr;
readWord(addr => BASE_ADDRESS, bus_i => bus_i, clk => clk);
-- Write to wrong address
comment <= write_wrong_addr;
writeWord(addr => BASE_ADDRESS + 1, data => 16#affe#, bus_i => bus_i, clk => clk);
-- Write to correct address
comment <= write_correct_addr;
writeWord(addr => BASE_ADDRESS, data => 16#54af#, bus_i => bus_i, clk => clk);
-- Read from wrong address
comment <= read_wrong_addr;
readWord(addr => 16#0020#, bus_i => bus_i, clk => clk);
-- Read from correct address
comment <= read_correct_addr;
readWord(addr => BASE_ADDRESS, bus_i => bus_i, clk => clk);
-- Read from wrong address
comment <= read_wrong_addr;
readWord(addr => 16#0020#, bus_i => bus_i, clk => clk);
wait until rising_edge(clk);
-- generate two read cycles directly following each other
comment <= sequential_cycles;
bus_i.re <= '1';
wait until rising_edge(clk);
wait until rising_edge(clk);
bus_i.re <= '0';
wait until rising_edge(clk);
bus_i.data <= x"4321";
bus_i.we <= '1';
wait until rising_edge(clk);
bus_i.we <= '0';
wait until rising_edge(clk);
bus_i.re <= '1';
wait until rising_edge(clk);
bus_i.re <= '0';
end process waveform;
end tb;
|
--------------------------------------------------------------------------------
--
-- File:
-- DVITransmitter.vhd
--
-- Module:
-- DVITransmitter
--
-- Author:
-- Elod Gyorgy
--
-- Date:
-- 04/06/2011
--
-- Description:
-- DVITransmitter takes 24-bit RGB video data with proper sync
-- signals and transmits them on a DVI or HDMI port. The encoding and serialization
-- is done according to the Digital Visual Interface (DVI) specifications Rev 1.0.
--
-- Copyright notice:
-- Copyright (C) 2014 Digilent Inc.
--
-- License:
-- This program is free software; distributed under the terms of
-- BSD 3-clause license ("Revised BSD License", "New BSD License", or "Modified BSD License")
--
-- Redistribution and use in source and binary forms, with or without modification,
-- are permitted provided that the following conditions are met:
--
-- 1. Redistributions of source code must retain the above copyright notice, this
-- list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- 3. Neither the name(s) of the above-listed copyright holder(s) nor the names
-- of its contributors may be used to endorse or promote products derived
-- from this software without specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.
-- IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT,
-- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE,
-- DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF
-- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE
-- OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED
-- OF THE POSSIBILITY OF SUCH DAMAGE.
--
--------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
--library digilent;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
library UNISIM;
use UNISIM.VComponents.all;
entity DVITransmitter is
Generic (FAMILY : STRING := "spartan6");
Port ( RED_I : in STD_LOGIC_VECTOR (7 downto 0);
GREEN_I : in STD_LOGIC_VECTOR (7 downto 0);
BLUE_I : in STD_LOGIC_VECTOR (7 downto 0);
HS_I : in STD_LOGIC;
VS_I : in STD_LOGIC;
VDE_I : in STD_LOGIC;
RST_I : in STD_LOGIC;
PCLK_I : in STD_LOGIC;
PCLK_X5_I : in STD_LOGIC;
TMDS_TX_CLK_P : out STD_LOGIC;
TMDS_TX_CLK_N : out STD_LOGIC;
TMDS_TX_2_P : out STD_LOGIC;
TMDS_TX_2_N : out STD_LOGIC;
TMDS_TX_1_P : out STD_LOGIC;
TMDS_TX_1_N : out STD_LOGIC;
TMDS_TX_0_P : out STD_LOGIC;
TMDS_TX_0_N : out STD_LOGIC);
end DVITransmitter;
architecture Behavioral of DVITransmitter is
signal intTmdsRed, intTmdsGreen, intTmdsBlue : std_logic_vector(9 downto 0);
signal tmds_p, tmds_n : std_logic_vector(3 downto 0);
signal int_rst, SerClk : std_logic;
constant CLKIN_PERIOD : REAL := 13.468; --ns = 74.25MHz (maximum supported pixel clock)
constant N : NATURAL := 10; --serialization factor
constant PLLO0 : NATURAL := 1; -- SERCLK = PCLK * N
constant PLLO2 : NATURAL := PLLO0 * N; -- PCLK = PCLK * N / N
constant PLLO3 : NATURAL := PLLO0 * N / 2; -- PCLK_X2 = PLCK * N / (N/2)
signal intfb, intfb_buf, intpllout_x2, pllout_xs, pllout_x1, pllout_x2: std_logic;
signal PClk, PClk_x2, PllLckd, PllRst, intRst, BufPllLckd, SerStb : std_logic;
component SerializerN_1 is
Generic ( N : NATURAL := 10;
FAMILY : STRING := "spartan6");
Port ( DP_I : in STD_LOGIC_VECTOR (N-1 downto 0);
CLKDIV_I : in STD_LOGIC; --parallel slow clock
CLKDIV_X2_I : in STD_LOGIC; --double parallel slow clock (CLKDIV_I x 2) REQUIRED ONLY FOR Spartan-6
SERCLK_I : in STD_LOGIC; --serial fast clock (CLK_I = CLKDIV_I x N / 2)
SERSTB_I : in STD_LOGIC; -- REQUIRED ONLY FOR Spartan-6
RST_I : in STD_LOGIC; --async reset
DSP_O : out STD_LOGIC;
DSN_O : out STD_LOGIC);
end component;
component TMDSEncoder is
Port ( D_I : in STD_LOGIC_VECTOR (7 downto 0);
C0_I : in STD_LOGIC;
C1_I : in STD_LOGIC;
DE_I : in STD_LOGIC;
CLK_I: in STD_LOGIC;
RST_I: in STD_LOGIC;
D_O : out STD_LOGIC_VECTOR (9 downto 0));
end component;
begin
PllRst <= RST_I;
family_s6: if FAMILY = "spartan6" generate
begin
----------------------------------------------------------------------------------
-- Serialization PLL
-- This PLL generates the x2 and x10 pixel clock needed for TMDS serialization
----------------------------------------------------------------------------------
Inst_10_1_pll : PLL_BASE generic map(
BANDWIDTH => "OPTIMIZED", -- "high", "low" or "optimized"
CLKFBOUT_MULT => N, -- multiplication factor for all output clocks
COMPENSATION => "INTERNAL", -- "SYSTEM_SYNCHRONOUS", "SOURCE_SYNCHRONOUS", "INTERNAL", "EXTERNAL", "DCM2PLL", "PLL2DCM"
DIVCLK_DIVIDE => 1, -- division factor for all clocks (1 to 52)
CLKFBOUT_PHASE => 0.0, -- phase shift (degrees) of all output clocks
CLK_FEEDBACK => "CLKFBOUT",
CLKIN_PERIOD => CLKIN_PERIOD, -- clock period (ns) of input clock on clkin1
CLKOUT0_DIVIDE => PLLO0, -- division factor for clkout0 (1 to 128)
CLKOUT2_DIVIDE => PLLO2, -- division factor for clkout2 (1 to 128)
CLKOUT3_DIVIDE => PLLO3, -- division factor for clkout3 (1 to 128)
REF_JITTER => 0.025) -- input reference jitter (0.000 to 0.999 ui%)
port map (
CLKFBOUT => intfb, -- general output feedback signal
CLKFBIN => intfb_buf, -- clock feedback input
CLKOUT0 => pllout_xs, -- x10 clock for transmitter
CLKOUT1 => open,
CLKOUT2 => pllout_x1, -- x1 clock for BUFG
CLKOUT3 => pllout_x2, -- x2 clock for BUFG
CLKOUT4 => open, -- one of six general clock output signals
CLKOUT5 => open, -- one of six general clock output signals
LOCKED => PllLckd, -- active high pll lock signal
CLKIN => PCLK_I, -- primary clock input
RST => PllRst); -- asynchronous pll reset
intfb_buf <= intfb;
----------------------------------------------------------------------------------
-- Route the pixel clock and 2x pixel clock through the global clock network
----------------------------------------------------------------------------------
BUFG_inst1 : BUFG port map ( O => PClk, I => pllout_x1 );
BUFG_inst2 : BUFG port map ( O => intpllout_x2, I => pllout_x2 );
PClk_x2 <= intpllout_x2;
----------------------------------------------------------------------------------
-- Route High-Speed serialization clock to OSERDES2 primitives in the whole bank
----------------------------------------------------------------------------------
BUFPLL_inst : BUFPLL
generic map (
DIVIDE => N/2, -- DIVCLK divider (1-8)
ENABLE_SYNC => TRUE -- Enable synchrnonization between PLL and GCLK (TRUE/FALSE)
)
port map (
IOCLK => SerClk, -- 1-bit Output I/O clock
LOCK => BufPllLckd, -- 1-bit Synchronized LOCK output
SERDESSTROBE => SerStb, -- 1-bit Output SERDES strobe (connect to ISERDES/OSERDES)
GCLK => intpllout_x2, -- 1-bit BUFG clock input
LOCKED => PllLckd, -- 1-bit LOCKED input from PLL
PLLIN => pllout_xs -- 1-bit Clock input from PLL
);
intRst <= not BufPllLckd or not PllLckd;
end generate family_s6;
family_7: if FAMILY = "kintex7" or FAMILY = "artix7" or FAMILY = "virtex7" generate
begin
----------------------------------------------------------------------------------
-- Serialization PLL
-- This PLL generates the x5 pixel clock needed for TMDS serialization on series-7
-- architectures.
----------------------------------------------------------------------------------
-- PLLE2_BASE_inst : PLLE2_BASE
-- generic map (
-- BANDWIDTH => "OPTIMIZED", -- Jitter programming (OPTIMIZED, HIGH, LOW)
-- STARTUP_WAIT => "FALSE", -- Delays DONE until MMCM is locked (FALSE, TRUE)
--
-- CLKFBOUT_MULT => 10, -- Multiply value for all CLKOUT (2.000-64.000).
-- CLKFBOUT_PHASE => 0.0, -- Phase offset in degrees of CLKFB (-360.000-360.000).
-- DIVCLK_DIVIDE => 1, -- Master division value (1-106)
-- CLKIN1_PERIOD => 9.259, -- Input clock period in ns to ps resolution (i.e. 33.333 is 30 MHz).
--
-- CLKOUT0_DIVIDE => 2,
-- CLKOUT0_PHASE => 0.000,
-- CLKOUT0_DUTY_CYCLE => 0.500,
-- CLKOUT1_DIVIDE => 10,
-- CLKOUT1_PHASE => 0.000,
-- CLKOUT1_DUTY_CYCLE => 0.500,
--
-- REF_JITTER1 => 0.010 -- Reference input jitter in UI (0.000-0.999).
-- )
-- port map (
-- -- Clock Outputs: 1-bit (each) output: User configurable clock outputs
-- CLKOUT0 => pllout_xs, -- Serial Clock = Parallel Clock x 5 (DDR)
-- CLKOUT1 => pllout_x1, -- Parallel Clock Buffered, Phase-aligned with Serial Clock
-- CLKOUT2 => open, -- 1-bit output: CLKOUT2
-- CLKOUT3 => open, -- 1-bit output: CLKOUT3
-- CLKOUT4 => open, -- 1-bit output: CLKOUT4
-- CLKOUT5 => open, -- 1-bit output: CLKOUT5
-- -- Feedback Clocks: 1-bit (each) output: Clock feedback ports
-- CLKFBOUT => intfb, -- 1-bit output: Feedback clock
-- -- Status Ports: 1-bit (each) output: MMCM status ports
-- LOCKED => PllLckd, -- 1-bit output: LOCK
-- -- Clock Inputs: 1-bit (each) input: Clock input
-- CLKIN1 => PCLK_I, -- 1-bit input: Clock
-- -- Control Ports: 1-bit (each) input: MMCM control ports
-- PWRDWN => '0', -- 1-bit input: Power-down
-- RST => PllRst, -- 1-bit input: Reset
-- -- Feedback Clocks: 1-bit (each) input: Clock feedback ports
-- CLKFBIN => intfb_buf -- 1-bit input: Feedback clock
-- );
-- -- Output buffering
-- -------------------------------------
-- clkf_buf : BUFG
-- port map
-- (O => intfb_buf,
-- I => intfb);
--
--
-- clkout0_buf : BUFG
-- port map
-- (O => SerClk,
-- I => pllout_xs);
--
--
--
-- clkout1_buf : BUFG
-- port map
-- (O => PClk,
-- I => pllout_x1);
--
--intRst <= not PllLckd;
PClk <= PCLK_I;
SerClk <= PCLK_X5_I;
intRst <= RST_I;
end generate family_7;
----------------------------------------------------------------------------------
-- DVI Encoder; DVI 1.0 Specifications
-- This component encodes 24-bit RGB video frames with sync signals into 10-bit
-- TMDS characters.
----------------------------------------------------------------------------------
Inst_TMDSEncoder_red: TMDSEncoder PORT MAP(
D_I => RED_I,
C0_I => '0',
C1_I => '0',
DE_I => VDE_I,
CLK_I => PClk,
RST_I => intRst,
D_O => intTmdsRed
);
Inst_TMDSEncoder_green: TMDSEncoder PORT MAP(
D_I => GREEN_I,
C0_I => '0',
C1_I => '0',
DE_I => VDE_I,
CLK_I => PClk,
RST_I => intRst,
D_O => intTmdsGreen
);
Inst_TMDSEncoder_blue: TMDSEncoder PORT MAP(
D_I => BLUE_I,
C0_I => HS_I,
C1_I => VS_I,
DE_I => VDE_I,
CLK_I => PClk,
RST_I => intRst,
D_O => intTmdsBlue
);
----------------------------------------------------------------------------------
-- TMDS serializer; ratio of 10:1; 3 data & 1 clock channel
-- Since the TMDS clock's period is character-long (10-bit periods), the
-- serialization of "1111100000" will result in a 10-bit long clock period.
----------------------------------------------------------------------------------
Inst_clk_serializer_10_1: SerializerN_1 GENERIC MAP (10, FAMILY)
PORT MAP(
DP_I => "1111100000",
CLKDIV_I => PClk,
CLKDIV_X2_I => PClk_x2,
SERCLK_I => SerClk,
SERSTB_I => SerStb,
RST_I => intRst,
DSP_O => TMDS_TX_CLK_P,
DSN_O => TMDS_TX_CLK_N
);
Inst_d2_serializer_10_1: SerializerN_1 GENERIC MAP (10, FAMILY)
PORT MAP(
DP_I => intTmdsRed,
CLKDIV_I => PClk,
CLKDIV_X2_I => PClk_x2,
SERCLK_I => SerClk,
SERSTB_I => SerStb,
RST_I => intRst,
DSP_O => TMDS_TX_2_P,
DSN_O => TMDS_TX_2_N
);
Inst_d1_serializer_10_1: SerializerN_1 GENERIC MAP (10, FAMILY)
PORT MAP(
DP_I => intTmdsGreen,
CLKDIV_I => PClk,
CLKDIV_X2_I => PClk_x2,
SERCLK_I => SerClk,
SERSTB_I => SerStb,
RST_I => intRst,
DSP_O => TMDS_TX_1_P,
DSN_O => TMDS_TX_1_N
);
Inst_d0_serializer_10_1: SerializerN_1 GENERIC MAP (10, FAMILY)
PORT MAP(
DP_I => intTmdsBlue,
CLKDIV_I => PClk,
CLKDIV_X2_I => PClk_x2,
SERCLK_I => SerClk,
SERSTB_I => SerStb,
RST_I => intRst,
DSP_O => TMDS_TX_0_P,
DSN_O => TMDS_TX_0_N
);
end Behavioral;
|
------------------------------------------------------------------------------
-- LEON3 Demonstration design
-- Copyright (C) 2004 Jiri Gaisler, Gaisler Research
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
library techmap;
use techmap.gencomp.all;
library gaisler;
use gaisler.memctrl.all;
use gaisler.leon3.all;
use gaisler.uart.all;
use gaisler.misc.all;
use gaisler.jtag.all;
library esa;
use esa.memoryctrl.all;
use work.config.all;
entity leon3mp is
generic (
fabtech : integer := CFG_FABTECH;
memtech : integer := CFG_MEMTECH;
padtech : integer := CFG_PADTECH;
clktech : integer := CFG_CLKTECH;
ncpu : integer := CFG_NCPU;
disas : integer := CFG_DISAS; -- Enable disassembly to console
dbguart : integer := CFG_DUART; -- Print UART on console
pclow : integer := CFG_PCLOW;
freq : integer := 50000 -- frequency of main clock (used for PLLs)
);
port (
resetn : in std_ulogic;
clk : in std_ulogic;
errorn : out std_ulogic;
-- Shared bus
address : out std_logic_vector(23 downto 0);
data : inout std_logic_vector(31 downto 0);
-- SRAM
ramsn : out std_ulogic;
ramoen : out std_ulogic;
rwen : out std_ulogic;
mben : out std_logic_vector(3 downto 0);
-- pragma translate_off
iosn : out std_ulogic;
-- pragma translate_on
-- FLASH
romsn : out std_ulogic;
oen : out std_ulogic;
writen : out std_ulogic;
byten : out std_ulogic;
wpn : out std_ulogic;
sa : out std_logic_vector(11 downto 0);
sd : inout std_logic_vector(31 downto 0);
sdclk : out std_ulogic;
sdcke : out std_logic; -- sdram clock enable
sdcsn : out std_logic; -- sdram chip select
sdwen : out std_ulogic; -- sdram write enable
sdrasn : out std_ulogic; -- sdram ras
sdcasn : out std_ulogic; -- sdram cas
sddqm : out std_logic_vector (3 downto 0); -- sdram dqm
sdba : out std_logic_vector(1 downto 0); -- sdram bank address
-- debug support unit
dsutx : out std_ulogic; -- DSU tx data
dsurx : in std_ulogic; -- DSU rx data
dsubren : in std_ulogic;
dsuact : out std_ulogic;
-- console UART
rxd1 : in std_ulogic;
txd1 : out std_ulogic;
-- for smsc lan chip
eth_aen : out std_logic;
eth_readn : out std_logic;
eth_writen: out std_logic;
eth_nbe : out std_logic_vector(3 downto 0);
eth_lclk : out std_ulogic;
eth_nads : out std_logic;
eth_ncycle : out std_logic;
eth_wnr : out std_logic;
eth_nvlbus : out std_logic;
eth_nrdyrtn : out std_logic;
eth_ndatacs : out std_logic;
gpio : inout std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0) -- I/O port
);
end;
architecture rtl of leon3mp is
constant blength : integer := 12;
constant fifodepth : integer := 8;
constant maxahbm : integer := NCPU+CFG_AHB_UART+CFG_AHB_JTAG;
signal vcc, gnd : std_logic_vector(7 downto 0);
signal memi : memory_in_type;
signal memo : memory_out_type;
signal wpo : wprot_out_type;
signal sdi : sdctrl_in_type;
signal sdo : sdram_out_type;
signal sdo2 : sdctrl_out_type;
--for smc lan chip
signal s_eth_aen : std_logic;
signal s_eth_readn : std_logic;
signal s_eth_writen: std_logic;
signal s_eth_nbe : std_logic_vector(3 downto 0);
signal apbi : apb_slv_in_type;
signal apbo : apb_slv_out_vector := (others => apb_none);
signal ahbsi : ahb_slv_in_type;
signal ahbso : ahb_slv_out_vector := (others => ahbs_none);
signal ahbmi : ahb_mst_in_type;
signal ahbmo : ahb_mst_out_vector := (others => ahbm_none);
signal clkm, rstn, sdclkl : std_ulogic;
signal cgi : clkgen_in_type;
signal cgo : clkgen_out_type;
signal u1i, dui : uart_in_type;
signal u1o, duo : uart_out_type;
signal irqi : irq_in_vector(0 to NCPU-1);
signal irqo : irq_out_vector(0 to NCPU-1);
signal dbgi : l3_debug_in_vector(0 to NCPU-1);
signal dbgo : l3_debug_out_vector(0 to NCPU-1);
signal dsui : dsu_in_type;
signal dsuo : dsu_out_type;
signal gpti : gptimer_in_type;
signal gpioi : gpio_in_type;
signal gpioo : gpio_out_type;
constant IOAEN : integer := 1;
constant CFG_SDEN : integer := CFG_MCTRL_SDEN ;
constant CFG_INVCLK : integer := CFG_MCTRL_INVCLK;
signal dsubre : std_ulogic;
component smc_mctrl
generic (
hindex : integer := 0;
pindex : integer := 0;
romaddr : integer := 16#000#;
rommask : integer := 16#E00#;
ioaddr : integer := 16#200#;
iomask : integer := 16#E00#;
ramaddr : integer := 16#400#;
rammask : integer := 16#C00#;
paddr : integer := 0;
pmask : integer := 16#fff#;
wprot : integer := 0;
invclk : integer := 0;
fast : integer := 0;
romasel : integer := 28;
sdrasel : integer := 29;
srbanks : integer := 4;
ram8 : integer := 0;
ram16 : integer := 0;
sden : integer := 0;
sepbus : integer := 0;
sdbits : integer := 32;
sdlsb : integer := 2;
oepol : integer := 0;
syncrst : integer := 0
);
port (
rst : in std_ulogic;
clk : in std_ulogic;
memi : in memory_in_type;
memo : out memory_out_type;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type;
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type;
wpo : in wprot_out_type;
sdo : out sdram_out_type;
eth_aen : out std_ulogic; -- for smsc lan chip
eth_readn : out std_ulogic; -- for smsc lan chip
eth_writen: out std_ulogic; -- for smsc lan chip
eth_nbe : out std_logic_vector(3 downto 0) -- for smsc lan chip
);
end component;
begin
----------------------------------------------------------------------
--- Reset and Clock generation -------------------------------------
----------------------------------------------------------------------
vcc <= (others => '1'); gnd <= (others => '0');
cgi.pllctrl <= "00"; cgi.pllrst <= not resetn; cgi.pllref <= '0';
clkgen0 : clkgen -- clock generator using toplevel generic 'freq'
generic map (tech => CFG_CLKTECH, clk_mul => CFG_CLKMUL,
clk_div => CFG_CLKDIV, sdramen => CFG_MCTRL_SDEN,
noclkfb => CFG_CLK_NOFB, freq => freq)
port map (clkin => clk, pciclkin => gnd(0), clk => clkm, clkn => open,
clk2x => open, sdclk => sdclkl, pciclk => open,
cgi => cgi, cgo => cgo);
sdclk_pad : outpad generic map (tech => padtech, slew => 1, strength => 24) port map (sdclk, sdclkl);
rst0 : rstgen -- reset generator
port map (resetn, clkm, cgo.clklock, rstn);
----------------------------------------------------------------------
--- AHB CONTROLLER --------------------------------------------------
----------------------------------------------------------------------
ahb0 : ahbctrl -- AHB arbiter/multiplexer
generic map (defmast => CFG_DEFMST, split => CFG_SPLIT,
rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO,
ioen => IOAEN, nahbm => maxahbm, nahbs => 8)
port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso);
----------------------------------------------------------------------
--- LEON3 processor and DSU -----------------------------------------
----------------------------------------------------------------------
l3 : if CFG_LEON3 = 1 generate
cpu : for i in 0 to NCPU-1 generate
u0 : leon3s -- LEON3 processor
generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8,
0, CFG_MAC, pclow, CFG_NOTAG, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE,
CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ,
CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN,
CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP,
CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, NCPU-1)
port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso,
irqi(i), irqo(i), dbgi(i), dbgo(i));
end generate;
errorn_pad : odpad generic map (tech => padtech) port map (errorn, dbgo(0).error);
dsugen : if CFG_DSU = 1 generate
dsu0 : dsu3 -- LEON3 Debug Support Unit
generic map (hindex => 2, haddr => 16#900#, hmask => 16#F00#,
ncpu => NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ)
port map (rstn, clkm, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo);
dsui.enable <= '1';
dsubre_pad : inpad generic map (tech => padtech) port map (dsubre, dsui.break);
dsuact_pad : outpad generic map (tech => padtech) port map (dsuact, dsuo.active);
end generate;
end generate;
nodsu : if CFG_DSU = 0 generate
ahbso(2) <= ahbs_none; dsuo.tstop <= '0'; dsuo.active <= '0';
end generate;
dcomgen : if CFG_AHB_UART = 1 generate
dcom0 : ahbuart -- Debug UART
generic map (hindex => NCPU, pindex => 4, paddr => 7)
port map (rstn, clkm, dui, duo, apbi, apbo(4), ahbmi, ahbmo(NCPU));
dsurx_pad : inpad generic map (tech => padtech) port map (dsurx, dui.rxd);
dsutx_pad : outpad generic map (tech => padtech) port map (dsutx, duo.txd);
end generate;
nouah : if CFG_AHB_UART = 0 generate apbo(4) <= apb_none; end generate;
ahbjtaggen0 : if CFG_AHB_JTAG = 1 generate
ahbjtag0 : ahbjtag generic map(tech => fabtech, hindex => CFG_NCPU+CFG_AHB_UART)
port map(rstn, clkm, gnd(0), gnd(0), gnd(0), open, ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART),
open, open, open, open, open, open, open, gnd(0));
end generate;
----------------------------------------------------------------------
--- Memory controllers ----------------------------------------------
----------------------------------------------------------------------
src : if CFG_SRCTRL = 1 generate -- 32-bit PROM/SRAM controller
sr0 : srctrl generic map (hindex => 0, ramws => CFG_SRCTRL_RAMWS,
romws => CFG_SRCTRL_PROMWS, ramaddr => 16#400#,
prom8en => CFG_SRCTRL_8BIT, rmw => CFG_SRCTRL_RMW)
port map (rstn, clkm, ahbsi, ahbso(0), memi, memo, sdo2);
apbo(0) <= apb_none;
end generate;
mg2 : if CFG_MCTRL_LEON2 = 1 generate -- LEON2 memory controller
sr1 : smc_mctrl generic map (hindex => 0, pindex => 0, paddr => 0,
srbanks => 2, sden => CFG_MCTRL_SDEN, ram8 => CFG_MCTRL_RAM8BIT,
ram16 => CFG_MCTRL_RAM16BIT, invclk => CFG_MCTRL_INVCLK,
sepbus => CFG_MCTRL_SEPBUS, sdbits => 32 + 32*CFG_MCTRL_SD64)
port map (rstn, clkm, memi, memo, ahbsi, ahbso(0), apbi, apbo(0), wpo, sdo,
s_eth_aen, s_eth_readn, s_eth_writen, s_eth_nbe);
sdpads : if CFG_MCTRL_SDEN = 1 generate -- SDRAM controller
sd2 : if CFG_MCTRL_SEPBUS = 1 generate
sa_pad : outpadv generic map (width => 12) port map (sa, memo.sa(11 downto 0));
sdba_pad : outpadv generic map (width => 2) port map (sdba, memo.sa(14 downto 13));
bdr : for i in 0 to 3 generate
sd_pad : iopadv generic map (tech => padtech, width => 8)
port map (sd(31-i*8 downto 24-i*8), memo.data(31-i*8 downto 24-i*8),
memo.bdrive(i), memi.sd(31-i*8 downto 24-i*8));
sd2 : if CFG_MCTRL_SD64 = 1 generate
sd_pad2 : iopadv generic map (tech => padtech, width => 8)
port map (sd(31-i*8+32 downto 24-i*8+32), memo.data(31-i*8 downto 24-i*8),
memo.bdrive(i), memi.sd(31-i*8+32 downto 24-i*8+32));
end generate;
end generate;
end generate;
sdwen_pad : outpad generic map (tech => padtech)
port map (sdwen, sdo.sdwen);
sdras_pad : outpad generic map (tech => padtech)
port map (sdrasn, sdo.rasn);
sdcas_pad : outpad generic map (tech => padtech)
port map (sdcasn, sdo.casn);
sddqm_pad : outpadv generic map (width =>4, tech => padtech)
port map (sddqm, sdo.dqm(3 downto 0));
end generate;
sdcke_pad : outpad generic map (tech => padtech) port map (sdcke, sdo.sdcke(0));
sdcsn_pad : outpad generic map (tech => padtech) port map (sdcsn, sdo.sdcsn(0));
end generate;
wpn <= '1'; byten <= '0';
nosd0 : if (CFG_MCTRL_LEON2 = 0) generate -- no SDRAM controller
sdcke_pad : outpad generic map (tech => padtech) port map (sdcke, vcc(0));
sdcsn_pad : outpad generic map (tech => padtech) port map (sdcsn, vcc(0));
end generate;
memi.brdyn <= '1'; memi.bexcn <= '1';
memi.writen <= '1'; memi.wrn <= "1111"; memi.bwidth <= "00";
mg0 : if not ((CFG_SRCTRL = 1) or (CFG_MCTRL_LEON2 = 1)) generate -- no prom/sram pads
apbo(0) <= apb_none; ahbso(0) <= ahbs_none;
rams_pad : outpad generic map (tech => padtech)
port map (ramsn, vcc(0));
roms_pad : outpad generic map (tech => padtech)
port map (romsn, vcc(0));
end generate;
mgpads : if (CFG_SRCTRL = 1) or (CFG_MCTRL_LEON2 = 1) generate -- prom/sram pads
addr_pad : outpadv generic map (width => 24, tech => padtech)
port map (address, memo.address(23 downto 0));
memb_pad : outpadv generic map (width => 4, tech => padtech)
port map (mben, memo.mben);
rams_pad : outpad generic map (tech => padtech)
port map (ramsn, memo.ramsn(0));
roms_pad : outpad generic map (tech => padtech)
port map (romsn, memo.romsn(0));
oen_pad : outpad generic map (tech => padtech)
port map (oen, memo.oen);
rwen_pad : outpad generic map (tech => padtech)
port map (rwen, memo.wrn(0));
roen_pad : outpad generic map (tech => padtech)
port map (ramoen, memo.ramoen(0));
wri_pad : outpad generic map (tech => padtech)
port map (writen, memo.writen);
-- pragma translate_off
iosn_pad : outpad generic map (tech => padtech)
port map (iosn, memo.iosn);
-- pragma translate_on
-- for smc lan chip
eth_aen_pad : outpad generic map (tech => padtech)
port map (eth_aen, s_eth_aen);
eth_readn_pad : outpad generic map (tech => padtech)
port map (eth_readn, s_eth_readn);
eth_writen_pad : outpad generic map (tech => padtech)
port map (eth_writen, s_eth_writen);
eth_nbe_pad : outpadv generic map (width => 4, tech => padtech)
port map (eth_nbe, s_eth_nbe);
bdr : for i in 0 to 3 generate
data_pad : iopadv generic map (tech => padtech, width => 8)
port map (data(31-i*8 downto 24-i*8), memo.data(31-i*8 downto 24-i*8),
memo.bdrive(i), memi.data(31-i*8 downto 24-i*8));
end generate;
end generate;
----------------------------------------------------------------------
--- APB Bridge and various periherals -------------------------------
----------------------------------------------------------------------
apb0 : apbctrl -- AHB/APB bridge
generic map (hindex => 1, haddr => CFG_APBADDR)
port map (rstn, clkm, ahbsi, ahbso(1), apbi, apbo);
ua1 : if CFG_UART1_ENABLE /= 0 generate
uart1 : apbuart -- UART 1
generic map (pindex => 1, paddr => 1, pirq => 2, console => dbguart,
fifosize => CFG_UART1_FIFO)
port map (rstn, clkm, apbi, apbo(1), u1i, u1o);
u1i.rxd <= rxd1; u1i.ctsn <= '0'; u1i.extclk <= '0'; txd1 <= u1o.txd;
end generate;
noua0 : if CFG_UART1_ENABLE = 0 generate apbo(1) <= apb_none; end generate;
irqctrl : if CFG_IRQ3_ENABLE /= 0 generate
irqctrl0 : irqmp -- interrupt controller
generic map (pindex => 2, paddr => 2, ncpu => NCPU)
port map (rstn, clkm, apbi, apbo(2), irqo, irqi);
end generate;
irq3 : if CFG_IRQ3_ENABLE = 0 generate
x : for i in 0 to NCPU-1 generate
irqi(i).irl <= "0000";
end generate;
apbo(2) <= apb_none;
end generate;
gpt : if CFG_GPT_ENABLE /= 0 generate
timer0 : gptimer -- timer unit
generic map (pindex => 3, paddr => 3, pirq => CFG_GPT_IRQ,
sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW, ntimers => CFG_GPT_NTIM,
nbits => CFG_GPT_TW)
port map (rstn, clkm, apbi, apbo(3), gpti, open);
gpti.dhalt <= dsuo.tstop; gpti.extclk <= '0';
end generate;
notim : if CFG_GPT_ENABLE = 0 generate apbo(3) <= apb_none; end generate;
gpio0 : if CFG_GRGPIO_ENABLE /= 0 generate -- GPIO unit
grgpio0: grgpio
generic map(pindex => 5, paddr => 5, imask => CFG_GRGPIO_IMASK, nbits => CFG_GRGPIO_WIDTH)
port map(rst => rstn, clk => clkm, apbi => apbi, apbo => apbo(5),
gpioi => gpioi, gpioo => gpioo);
pio_pads : for i in 0 to CFG_GRGPIO_WIDTH-1 generate
pio_pad : iopad generic map (tech => padtech)
port map (gpio(i), gpioo.dout(i), gpioo.oen(i), gpioi.din(i));
end generate;
end generate;
-----------------------------------------------------------------------
--- AHB ROM ----------------------------------------------------------
-----------------------------------------------------------------------
bpromgen : if CFG_AHBROMEN /= 0 generate
brom : entity work.ahbrom
generic map (hindex => 6, haddr => CFG_AHBRODDR, pipe => CFG_AHBROPIP)
port map ( rstn, clkm, ahbsi, ahbso(6));
end generate;
nobpromgen : if CFG_AHBROMEN = 0 generate
ahbso(6) <= ahbs_none;
end generate;
-----------------------------------------------------------------------
--- AHB RAM ----------------------------------------------------------
-----------------------------------------------------------------------
ahbramgen : if CFG_AHBRAMEN = 1 generate
ahbram0 : ahbram generic map (hindex => 3, haddr => CFG_AHBRADDR,
tech => CFG_MEMTECH, kbytes => CFG_AHBRSZ, pipe => CFG_AHBRPIPE)
port map (rstn, clkm, ahbsi, ahbso(3));
end generate;
nram : if CFG_AHBRAMEN = 0 generate ahbso(3) <= ahbs_none; end generate;
-----------------------------------------------------------------------
--- Drive unused bus elements ---------------------------------------
-----------------------------------------------------------------------
nam1 : for i in (NCPU+CFG_AHB_UART+CFG_AHB_JTAG) to NAHBMST-1 generate
ahbmo(i) <= ahbm_none;
end generate;
nap0 : for i in 6 to NAPBSLV-1 generate apbo(i) <= apb_none; end generate;
nah0 : for i in 7 to NAHBSLV-1 generate ahbso(i) <= ahbs_none; end generate;
-- invert signal for input via a key
dsubre <= not dsubren;
-- for smc lan chip
eth_lclk <= vcc(0);
eth_nads <= gnd(0);
eth_ncycle <= vcc(0);
eth_wnr <= vcc(0);
eth_nvlbus <= vcc(0);
eth_nrdyrtn <= vcc(0);
eth_ndatacs <= vcc(0);
-----------------------------------------------------------------------
--- Boot message ----------------------------------------------------
-----------------------------------------------------------------------
-- pragma translate_off
x : report_design
generic map (
msg1 => "LEON3 Altera EP2C60 SDR Demonstration design",
fabtech => tech_table(fabtech), memtech => tech_table(memtech),
mdel => 1
);
-- pragma translate_on
end;
|
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
-- Date : Wed Mar 01 09:52:04 2017
-- Host : GILAMONSTER running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode funcsim
-- C:/ZyboIP/examples/ov7670_fusion/ov7670_fusion.srcs/sources_1/bd/system/ip/system_ov7670_controller_0_0/system_ov7670_controller_0_0_sim_netlist.vhdl
-- Design : system_ov7670_controller_0_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z010clg400-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_ov7670_controller_0_0_i2c_sender is
port (
E : out STD_LOGIC_VECTOR ( 0 to 0 );
sioc : out STD_LOGIC;
p_0_in : out STD_LOGIC;
\busy_sr_reg[1]_0\ : out STD_LOGIC;
siod : out STD_LOGIC;
\busy_sr_reg[31]_0\ : in STD_LOGIC;
clk : in STD_LOGIC;
p_1_in : in STD_LOGIC_VECTOR ( 0 to 0 );
DOADO : in STD_LOGIC_VECTOR ( 15 downto 0 );
\busy_sr_reg[31]_1\ : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_ov7670_controller_0_0_i2c_sender : entity is "i2c_sender";
end system_ov7670_controller_0_0_i2c_sender;
architecture STRUCTURE of system_ov7670_controller_0_0_i2c_sender is
signal busy_sr0 : STD_LOGIC;
signal \busy_sr[0]_i_3_n_0\ : STD_LOGIC;
signal \busy_sr[0]_i_5_n_0\ : STD_LOGIC;
signal \busy_sr[10]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[11]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[12]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[13]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[14]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[15]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[16]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[17]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[18]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[19]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[1]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[20]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[21]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[22]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[23]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[24]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[25]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[26]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[27]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[28]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[29]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[2]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[30]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[31]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[31]_i_2_n_0\ : STD_LOGIC;
signal \busy_sr[3]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[4]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[5]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[6]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[7]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[8]_i_1_n_0\ : STD_LOGIC;
signal \busy_sr[9]_i_1_n_0\ : STD_LOGIC;
signal \^busy_sr_reg[1]_0\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[0]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[10]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[11]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[12]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[13]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[14]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[15]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[16]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[17]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[18]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[1]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[21]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[22]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[23]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[24]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[25]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[26]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[27]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[28]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[29]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[2]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[30]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[3]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[4]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[5]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[6]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[7]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[8]\ : STD_LOGIC;
signal \busy_sr_reg_n_0_[9]\ : STD_LOGIC;
signal \data_sr[10]_i_1_n_0\ : STD_LOGIC;
signal \data_sr[12]_i_1_n_0\ : STD_LOGIC;
signal \data_sr[13]_i_1_n_0\ : STD_LOGIC;
signal \data_sr[14]_i_1_n_0\ : STD_LOGIC;
signal \data_sr[15]_i_1_n_0\ : STD_LOGIC;
signal \data_sr[16]_i_1_n_0\ : STD_LOGIC;
signal \data_sr[17]_i_1_n_0\ : STD_LOGIC;
signal \data_sr[18]_i_1_n_0\ : STD_LOGIC;
signal \data_sr[19]_i_1_n_0\ : STD_LOGIC;
signal \data_sr[22]_i_1_n_0\ : STD_LOGIC;
signal \data_sr[27]_i_1_n_0\ : STD_LOGIC;
signal \data_sr[30]_i_1_n_0\ : STD_LOGIC;
signal \data_sr[31]_i_1_n_0\ : STD_LOGIC;
signal \data_sr[31]_i_2_n_0\ : STD_LOGIC;
signal \data_sr[3]_i_1_n_0\ : STD_LOGIC;
signal \data_sr[4]_i_1_n_0\ : STD_LOGIC;
signal \data_sr[5]_i_1_n_0\ : STD_LOGIC;
signal \data_sr[6]_i_1_n_0\ : STD_LOGIC;
signal \data_sr[7]_i_1_n_0\ : STD_LOGIC;
signal \data_sr[8]_i_1_n_0\ : STD_LOGIC;
signal \data_sr[9]_i_1_n_0\ : STD_LOGIC;
signal \data_sr_reg_n_0_[10]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[11]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[12]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[13]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[14]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[15]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[16]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[17]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[18]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[19]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[1]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[20]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[21]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[22]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[23]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[24]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[25]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[26]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[27]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[28]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[29]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[2]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[30]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[31]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[3]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[4]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[5]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[6]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[7]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[8]\ : STD_LOGIC;
signal \data_sr_reg_n_0_[9]\ : STD_LOGIC;
signal \divider_reg__0\ : STD_LOGIC_VECTOR ( 7 downto 6 );
signal \divider_reg__1\ : STD_LOGIC_VECTOR ( 5 downto 0 );
signal \^p_0_in\ : STD_LOGIC;
signal \p_0_in__0\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal p_1_in_0 : STD_LOGIC_VECTOR ( 1 downto 0 );
signal sioc_i_1_n_0 : STD_LOGIC;
signal sioc_i_2_n_0 : STD_LOGIC;
signal sioc_i_3_n_0 : STD_LOGIC;
signal sioc_i_4_n_0 : STD_LOGIC;
signal sioc_i_5_n_0 : STD_LOGIC;
signal siod_INST_0_i_1_n_0 : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \busy_sr[0]_i_4\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \busy_sr[0]_i_5\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \busy_sr[10]_i_1\ : label is "soft_lutpair18";
attribute SOFT_HLUTNM of \busy_sr[11]_i_1\ : label is "soft_lutpair15";
attribute SOFT_HLUTNM of \busy_sr[12]_i_1\ : label is "soft_lutpair29";
attribute SOFT_HLUTNM of \busy_sr[13]_i_1\ : label is "soft_lutpair28";
attribute SOFT_HLUTNM of \busy_sr[14]_i_1\ : label is "soft_lutpair27";
attribute SOFT_HLUTNM of \busy_sr[15]_i_1\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \busy_sr[16]_i_1\ : label is "soft_lutpair21";
attribute SOFT_HLUTNM of \busy_sr[17]_i_1\ : label is "soft_lutpair28";
attribute SOFT_HLUTNM of \busy_sr[18]_i_1\ : label is "soft_lutpair27";
attribute SOFT_HLUTNM of \busy_sr[19]_i_1\ : label is "soft_lutpair26";
attribute SOFT_HLUTNM of \busy_sr[1]_i_1\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \busy_sr[20]_i_1\ : label is "soft_lutpair25";
attribute SOFT_HLUTNM of \busy_sr[21]_i_1\ : label is "soft_lutpair24";
attribute SOFT_HLUTNM of \busy_sr[22]_i_1\ : label is "soft_lutpair23";
attribute SOFT_HLUTNM of \busy_sr[23]_i_1\ : label is "soft_lutpair22";
attribute SOFT_HLUTNM of \busy_sr[24]_i_1\ : label is "soft_lutpair21";
attribute SOFT_HLUTNM of \busy_sr[25]_i_1\ : label is "soft_lutpair20";
attribute SOFT_HLUTNM of \busy_sr[26]_i_1\ : label is "soft_lutpair19";
attribute SOFT_HLUTNM of \busy_sr[27]_i_1\ : label is "soft_lutpair18";
attribute SOFT_HLUTNM of \busy_sr[28]_i_1\ : label is "soft_lutpair15";
attribute SOFT_HLUTNM of \busy_sr[29]_i_1\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \busy_sr[2]_i_1\ : label is "soft_lutpair26";
attribute SOFT_HLUTNM of \busy_sr[30]_i_1\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \busy_sr[31]_i_2\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \busy_sr[3]_i_1\ : label is "soft_lutpair25";
attribute SOFT_HLUTNM of \busy_sr[4]_i_1\ : label is "soft_lutpair24";
attribute SOFT_HLUTNM of \busy_sr[5]_i_1\ : label is "soft_lutpair23";
attribute SOFT_HLUTNM of \busy_sr[6]_i_1\ : label is "soft_lutpair29";
attribute SOFT_HLUTNM of \busy_sr[7]_i_1\ : label is "soft_lutpair22";
attribute SOFT_HLUTNM of \busy_sr[8]_i_1\ : label is "soft_lutpair20";
attribute SOFT_HLUTNM of \busy_sr[9]_i_1\ : label is "soft_lutpair19";
attribute SOFT_HLUTNM of \data_sr[10]_i_1\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \data_sr[12]_i_1\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \data_sr[13]_i_1\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \data_sr[14]_i_1\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \data_sr[15]_i_1\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \data_sr[16]_i_1\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \data_sr[17]_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \data_sr[18]_i_1\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \data_sr[19]_i_1\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \data_sr[31]_i_2\ : label is "soft_lutpair17";
attribute SOFT_HLUTNM of \data_sr[3]_i_1\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \data_sr[4]_i_1\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \data_sr[5]_i_1\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \data_sr[6]_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \data_sr[7]_i_1\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \data_sr[8]_i_1\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \data_sr[9]_i_1\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \divider[0]_i_1\ : label is "soft_lutpair16";
attribute SOFT_HLUTNM of \divider[1]_i_1\ : label is "soft_lutpair16";
attribute SOFT_HLUTNM of \divider[2]_i_1\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \divider[3]_i_1\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \divider[4]_i_1\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \divider[6]_i_1\ : label is "soft_lutpair17";
attribute SOFT_HLUTNM of \divider[7]_i_2\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of sioc_i_3 : label is "soft_lutpair4";
attribute SOFT_HLUTNM of sioc_i_4 : label is "soft_lutpair5";
attribute SOFT_HLUTNM of sioc_i_5 : label is "soft_lutpair3";
begin
\busy_sr_reg[1]_0\ <= \^busy_sr_reg[1]_0\;
p_0_in <= \^p_0_in\;
\busy_sr[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"4000FFFF40004000"
)
port map (
I0 => \busy_sr[0]_i_3_n_0\,
I1 => \divider_reg__0\(6),
I2 => \divider_reg__0\(7),
I3 => \^p_0_in\,
I4 => \^busy_sr_reg[1]_0\,
I5 => p_1_in(0),
O => busy_sr0
);
\busy_sr[0]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"7FFFFFFFFFFFFFFF"
)
port map (
I0 => \divider_reg__1\(4),
I1 => \divider_reg__1\(2),
I2 => \divider_reg__1\(0),
I3 => \divider_reg__1\(1),
I4 => \divider_reg__1\(3),
I5 => \divider_reg__1\(5),
O => \busy_sr[0]_i_3_n_0\
);
\busy_sr[0]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFFFFFE"
)
port map (
I0 => \divider_reg__1\(2),
I1 => \divider_reg__1\(3),
I2 => \divider_reg__1\(0),
I3 => \divider_reg__1\(1),
I4 => \busy_sr[0]_i_5_n_0\,
O => \^busy_sr_reg[1]_0\
);
\busy_sr[0]_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFFE"
)
port map (
I0 => \divider_reg__1\(5),
I1 => \divider_reg__1\(4),
I2 => \divider_reg__0\(7),
I3 => \divider_reg__0\(6),
O => \busy_sr[0]_i_5_n_0\
);
\busy_sr[10]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[9]\,
I1 => \^p_0_in\,
O => \busy_sr[10]_i_1_n_0\
);
\busy_sr[11]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[10]\,
I1 => \^p_0_in\,
O => \busy_sr[11]_i_1_n_0\
);
\busy_sr[12]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[11]\,
I1 => \^p_0_in\,
O => \busy_sr[12]_i_1_n_0\
);
\busy_sr[13]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[12]\,
I1 => \^p_0_in\,
O => \busy_sr[13]_i_1_n_0\
);
\busy_sr[14]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[13]\,
I1 => \^p_0_in\,
O => \busy_sr[14]_i_1_n_0\
);
\busy_sr[15]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[14]\,
I1 => \^p_0_in\,
O => \busy_sr[15]_i_1_n_0\
);
\busy_sr[16]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[15]\,
I1 => \^p_0_in\,
O => \busy_sr[16]_i_1_n_0\
);
\busy_sr[17]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[16]\,
I1 => \^p_0_in\,
O => \busy_sr[17]_i_1_n_0\
);
\busy_sr[18]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[17]\,
I1 => \^p_0_in\,
O => \busy_sr[18]_i_1_n_0\
);
\busy_sr[19]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[18]\,
I1 => \^p_0_in\,
O => \busy_sr[19]_i_1_n_0\
);
\busy_sr[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[0]\,
I1 => \^p_0_in\,
O => \busy_sr[1]_i_1_n_0\
);
\busy_sr[20]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => p_1_in_0(0),
I1 => \^p_0_in\,
O => \busy_sr[20]_i_1_n_0\
);
\busy_sr[21]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => p_1_in_0(1),
I1 => \^p_0_in\,
O => \busy_sr[21]_i_1_n_0\
);
\busy_sr[22]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[21]\,
I1 => \^p_0_in\,
O => \busy_sr[22]_i_1_n_0\
);
\busy_sr[23]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[22]\,
I1 => \^p_0_in\,
O => \busy_sr[23]_i_1_n_0\
);
\busy_sr[24]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[23]\,
I1 => \^p_0_in\,
O => \busy_sr[24]_i_1_n_0\
);
\busy_sr[25]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[24]\,
I1 => \^p_0_in\,
O => \busy_sr[25]_i_1_n_0\
);
\busy_sr[26]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[25]\,
I1 => \^p_0_in\,
O => \busy_sr[26]_i_1_n_0\
);
\busy_sr[27]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[26]\,
I1 => \^p_0_in\,
O => \busy_sr[27]_i_1_n_0\
);
\busy_sr[28]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[27]\,
I1 => \^p_0_in\,
O => \busy_sr[28]_i_1_n_0\
);
\busy_sr[29]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[28]\,
I1 => \^p_0_in\,
O => \busy_sr[29]_i_1_n_0\
);
\busy_sr[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[1]\,
I1 => \^p_0_in\,
O => \busy_sr[2]_i_1_n_0\
);
\busy_sr[30]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[29]\,
I1 => \^p_0_in\,
O => \busy_sr[30]_i_1_n_0\
);
\busy_sr[31]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"22222222A2222222"
)
port map (
I0 => p_1_in(0),
I1 => \^busy_sr_reg[1]_0\,
I2 => \^p_0_in\,
I3 => \divider_reg__0\(7),
I4 => \divider_reg__0\(6),
I5 => \busy_sr[0]_i_3_n_0\,
O => \busy_sr[31]_i_1_n_0\
);
\busy_sr[31]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \^p_0_in\,
I1 => \busy_sr_reg_n_0_[30]\,
O => \busy_sr[31]_i_2_n_0\
);
\busy_sr[3]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[2]\,
I1 => \^p_0_in\,
O => \busy_sr[3]_i_1_n_0\
);
\busy_sr[4]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[3]\,
I1 => \^p_0_in\,
O => \busy_sr[4]_i_1_n_0\
);
\busy_sr[5]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[4]\,
I1 => \^p_0_in\,
O => \busy_sr[5]_i_1_n_0\
);
\busy_sr[6]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[5]\,
I1 => \^p_0_in\,
O => \busy_sr[6]_i_1_n_0\
);
\busy_sr[7]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[6]\,
I1 => \^p_0_in\,
O => \busy_sr[7]_i_1_n_0\
);
\busy_sr[8]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[7]\,
I1 => \^p_0_in\,
O => \busy_sr[8]_i_1_n_0\
);
\busy_sr[9]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \busy_sr_reg_n_0_[8]\,
I1 => \^p_0_in\,
O => \busy_sr[9]_i_1_n_0\
);
\busy_sr_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => p_1_in(0),
Q => \busy_sr_reg_n_0_[0]\,
R => '0'
);
\busy_sr_reg[10]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[10]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[10]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[11]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[11]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[11]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[12]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[12]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[12]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[13]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[13]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[13]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[14]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[14]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[14]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[15]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[15]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[15]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[16]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[16]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[16]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[17]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[17]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[17]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[18]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[18]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[18]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[19]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[19]_i_1_n_0\,
Q => p_1_in_0(0),
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[1]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[1]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[1]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[20]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[20]_i_1_n_0\,
Q => p_1_in_0(1),
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[21]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[21]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[21]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[22]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[22]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[22]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[23]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[23]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[23]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[24]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[24]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[24]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[25]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[25]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[25]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[26]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[26]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[26]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[27]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[27]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[27]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[28]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[28]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[28]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[29]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[29]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[29]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[2]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[2]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[2]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[30]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[30]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[30]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[31]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[31]_i_2_n_0\,
Q => \^p_0_in\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[3]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[3]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[3]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[4]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[4]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[4]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[5]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[5]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[5]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[6]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[6]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[6]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[7]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[7]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[7]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[8]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[8]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[8]\,
S => \busy_sr[31]_i_1_n_0\
);
\busy_sr_reg[9]\: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => busy_sr0,
D => \busy_sr[9]_i_1_n_0\,
Q => \busy_sr_reg_n_0_[9]\,
S => \busy_sr[31]_i_1_n_0\
);
\data_sr[10]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \data_sr_reg_n_0_[9]\,
I1 => \^p_0_in\,
I2 => DOADO(7),
O => \data_sr[10]_i_1_n_0\
);
\data_sr[12]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \data_sr_reg_n_0_[11]\,
I1 => \^p_0_in\,
I2 => DOADO(8),
O => \data_sr[12]_i_1_n_0\
);
\data_sr[13]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \data_sr_reg_n_0_[12]\,
I1 => \^p_0_in\,
I2 => DOADO(9),
O => \data_sr[13]_i_1_n_0\
);
\data_sr[14]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \data_sr_reg_n_0_[13]\,
I1 => \^p_0_in\,
I2 => DOADO(10),
O => \data_sr[14]_i_1_n_0\
);
\data_sr[15]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \data_sr_reg_n_0_[14]\,
I1 => \^p_0_in\,
I2 => DOADO(11),
O => \data_sr[15]_i_1_n_0\
);
\data_sr[16]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \data_sr_reg_n_0_[15]\,
I1 => \^p_0_in\,
I2 => DOADO(12),
O => \data_sr[16]_i_1_n_0\
);
\data_sr[17]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \data_sr_reg_n_0_[16]\,
I1 => \^p_0_in\,
I2 => DOADO(13),
O => \data_sr[17]_i_1_n_0\
);
\data_sr[18]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \data_sr_reg_n_0_[17]\,
I1 => \^p_0_in\,
I2 => DOADO(14),
O => \data_sr[18]_i_1_n_0\
);
\data_sr[19]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \data_sr_reg_n_0_[18]\,
I1 => \^p_0_in\,
I2 => DOADO(15),
O => \data_sr[19]_i_1_n_0\
);
\data_sr[22]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"CFCFCFCFAACAAAAA"
)
port map (
I0 => \data_sr_reg_n_0_[22]\,
I1 => \data_sr_reg_n_0_[21]\,
I2 => \^p_0_in\,
I3 => \data_sr[31]_i_2_n_0\,
I4 => \divider_reg__0\(7),
I5 => \busy_sr_reg[31]_0\,
O => \data_sr[22]_i_1_n_0\
);
\data_sr[27]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"CFCFCFCFAACAAAAA"
)
port map (
I0 => \data_sr_reg_n_0_[27]\,
I1 => \data_sr_reg_n_0_[26]\,
I2 => \^p_0_in\,
I3 => \data_sr[31]_i_2_n_0\,
I4 => \divider_reg__0\(7),
I5 => \busy_sr_reg[31]_0\,
O => \data_sr[27]_i_1_n_0\
);
\data_sr[30]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"02"
)
port map (
I0 => p_1_in(0),
I1 => \^busy_sr_reg[1]_0\,
I2 => \^p_0_in\,
O => \data_sr[30]_i_1_n_0\
);
\data_sr[31]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"CFCFCFCFAACAAAAA"
)
port map (
I0 => \data_sr_reg_n_0_[31]\,
I1 => \data_sr_reg_n_0_[30]\,
I2 => \^p_0_in\,
I3 => \data_sr[31]_i_2_n_0\,
I4 => \divider_reg__0\(7),
I5 => \busy_sr_reg[31]_0\,
O => \data_sr[31]_i_1_n_0\
);
\data_sr[31]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \busy_sr[0]_i_3_n_0\,
I1 => \divider_reg__0\(6),
O => \data_sr[31]_i_2_n_0\
);
\data_sr[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \data_sr_reg_n_0_[2]\,
I1 => \^p_0_in\,
I2 => DOADO(0),
O => \data_sr[3]_i_1_n_0\
);
\data_sr[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \data_sr_reg_n_0_[3]\,
I1 => \^p_0_in\,
I2 => DOADO(1),
O => \data_sr[4]_i_1_n_0\
);
\data_sr[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \data_sr_reg_n_0_[4]\,
I1 => \^p_0_in\,
I2 => DOADO(2),
O => \data_sr[5]_i_1_n_0\
);
\data_sr[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \data_sr_reg_n_0_[5]\,
I1 => \^p_0_in\,
I2 => DOADO(3),
O => \data_sr[6]_i_1_n_0\
);
\data_sr[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \data_sr_reg_n_0_[6]\,
I1 => \^p_0_in\,
I2 => DOADO(4),
O => \data_sr[7]_i_1_n_0\
);
\data_sr[8]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \data_sr_reg_n_0_[7]\,
I1 => \^p_0_in\,
I2 => DOADO(5),
O => \data_sr[8]_i_1_n_0\
);
\data_sr[9]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \data_sr_reg_n_0_[8]\,
I1 => \^p_0_in\,
I2 => DOADO(6),
O => \data_sr[9]_i_1_n_0\
);
\data_sr_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr[10]_i_1_n_0\,
Q => \data_sr_reg_n_0_[10]\,
R => '0'
);
\data_sr_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr_reg_n_0_[10]\,
Q => \data_sr_reg_n_0_[11]\,
R => \data_sr[30]_i_1_n_0\
);
\data_sr_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr[12]_i_1_n_0\,
Q => \data_sr_reg_n_0_[12]\,
R => '0'
);
\data_sr_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr[13]_i_1_n_0\,
Q => \data_sr_reg_n_0_[13]\,
R => '0'
);
\data_sr_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr[14]_i_1_n_0\,
Q => \data_sr_reg_n_0_[14]\,
R => '0'
);
\data_sr_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr[15]_i_1_n_0\,
Q => \data_sr_reg_n_0_[15]\,
R => '0'
);
\data_sr_reg[16]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr[16]_i_1_n_0\,
Q => \data_sr_reg_n_0_[16]\,
R => '0'
);
\data_sr_reg[17]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr[17]_i_1_n_0\,
Q => \data_sr_reg_n_0_[17]\,
R => '0'
);
\data_sr_reg[18]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr[18]_i_1_n_0\,
Q => \data_sr_reg_n_0_[18]\,
R => '0'
);
\data_sr_reg[19]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr[19]_i_1_n_0\,
Q => \data_sr_reg_n_0_[19]\,
R => '0'
);
\data_sr_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \^p_0_in\,
Q => \data_sr_reg_n_0_[1]\,
R => '0'
);
\data_sr_reg[20]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr_reg_n_0_[19]\,
Q => \data_sr_reg_n_0_[20]\,
R => \data_sr[30]_i_1_n_0\
);
\data_sr_reg[21]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr_reg_n_0_[20]\,
Q => \data_sr_reg_n_0_[21]\,
R => \data_sr[30]_i_1_n_0\
);
\data_sr_reg[22]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => \data_sr[22]_i_1_n_0\,
Q => \data_sr_reg_n_0_[22]\,
R => '0'
);
\data_sr_reg[23]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr_reg_n_0_[22]\,
Q => \data_sr_reg_n_0_[23]\,
R => \data_sr[30]_i_1_n_0\
);
\data_sr_reg[24]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr_reg_n_0_[23]\,
Q => \data_sr_reg_n_0_[24]\,
R => \data_sr[30]_i_1_n_0\
);
\data_sr_reg[25]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr_reg_n_0_[24]\,
Q => \data_sr_reg_n_0_[25]\,
R => \data_sr[30]_i_1_n_0\
);
\data_sr_reg[26]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr_reg_n_0_[25]\,
Q => \data_sr_reg_n_0_[26]\,
R => \data_sr[30]_i_1_n_0\
);
\data_sr_reg[27]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => \data_sr[27]_i_1_n_0\,
Q => \data_sr_reg_n_0_[27]\,
R => '0'
);
\data_sr_reg[28]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr_reg_n_0_[27]\,
Q => \data_sr_reg_n_0_[28]\,
R => \data_sr[30]_i_1_n_0\
);
\data_sr_reg[29]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr_reg_n_0_[28]\,
Q => \data_sr_reg_n_0_[29]\,
R => \data_sr[30]_i_1_n_0\
);
\data_sr_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr_reg_n_0_[1]\,
Q => \data_sr_reg_n_0_[2]\,
R => \data_sr[30]_i_1_n_0\
);
\data_sr_reg[30]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr_reg_n_0_[29]\,
Q => \data_sr_reg_n_0_[30]\,
R => \data_sr[30]_i_1_n_0\
);
\data_sr_reg[31]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => '1',
D => \data_sr[31]_i_1_n_0\,
Q => \data_sr_reg_n_0_[31]\,
R => '0'
);
\data_sr_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr[3]_i_1_n_0\,
Q => \data_sr_reg_n_0_[3]\,
R => '0'
);
\data_sr_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr[4]_i_1_n_0\,
Q => \data_sr_reg_n_0_[4]\,
R => '0'
);
\data_sr_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr[5]_i_1_n_0\,
Q => \data_sr_reg_n_0_[5]\,
R => '0'
);
\data_sr_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr[6]_i_1_n_0\,
Q => \data_sr_reg_n_0_[6]\,
R => '0'
);
\data_sr_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr[7]_i_1_n_0\,
Q => \data_sr_reg_n_0_[7]\,
R => '0'
);
\data_sr_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr[8]_i_1_n_0\,
Q => \data_sr_reg_n_0_[8]\,
R => '0'
);
\data_sr_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => busy_sr0,
D => \data_sr[9]_i_1_n_0\,
Q => \data_sr_reg_n_0_[9]\,
R => '0'
);
\divider[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \divider_reg__1\(0),
O => \p_0_in__0\(0)
);
\divider[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \divider_reg__1\(0),
I1 => \divider_reg__1\(1),
O => \p_0_in__0\(1)
);
\divider[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"78"
)
port map (
I0 => \divider_reg__1\(1),
I1 => \divider_reg__1\(0),
I2 => \divider_reg__1\(2),
O => \p_0_in__0\(2)
);
\divider[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"7F80"
)
port map (
I0 => \divider_reg__1\(2),
I1 => \divider_reg__1\(0),
I2 => \divider_reg__1\(1),
I3 => \divider_reg__1\(3),
O => \p_0_in__0\(3)
);
\divider[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"7FFF8000"
)
port map (
I0 => \divider_reg__1\(3),
I1 => \divider_reg__1\(1),
I2 => \divider_reg__1\(0),
I3 => \divider_reg__1\(2),
I4 => \divider_reg__1\(4),
O => \p_0_in__0\(4)
);
\divider[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"7FFFFFFF80000000"
)
port map (
I0 => \divider_reg__1\(4),
I1 => \divider_reg__1\(2),
I2 => \divider_reg__1\(0),
I3 => \divider_reg__1\(1),
I4 => \divider_reg__1\(3),
I5 => \divider_reg__1\(5),
O => \p_0_in__0\(5)
);
\divider[6]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \busy_sr[0]_i_3_n_0\,
I1 => \divider_reg__0\(6),
O => \p_0_in__0\(6)
);
\divider[7]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"D2"
)
port map (
I0 => \divider_reg__0\(6),
I1 => \busy_sr[0]_i_3_n_0\,
I2 => \divider_reg__0\(7),
O => \p_0_in__0\(7)
);
\divider_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk,
CE => \busy_sr_reg[31]_1\(0),
D => \p_0_in__0\(0),
Q => \divider_reg__1\(0),
R => '0'
);
\divider_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \busy_sr_reg[31]_1\(0),
D => \p_0_in__0\(1),
Q => \divider_reg__1\(1),
R => '0'
);
\divider_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \busy_sr_reg[31]_1\(0),
D => \p_0_in__0\(2),
Q => \divider_reg__1\(2),
R => '0'
);
\divider_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \busy_sr_reg[31]_1\(0),
D => \p_0_in__0\(3),
Q => \divider_reg__1\(3),
R => '0'
);
\divider_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \busy_sr_reg[31]_1\(0),
D => \p_0_in__0\(4),
Q => \divider_reg__1\(4),
R => '0'
);
\divider_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \busy_sr_reg[31]_1\(0),
D => \p_0_in__0\(5),
Q => \divider_reg__1\(5),
R => '0'
);
\divider_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \busy_sr_reg[31]_1\(0),
D => \p_0_in__0\(6),
Q => \divider_reg__0\(6),
R => '0'
);
\divider_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => \busy_sr_reg[31]_1\(0),
D => \p_0_in__0\(7),
Q => \divider_reg__0\(7),
R => '0'
);
sioc_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"FCFCFFF8FFFFFFFF"
)
port map (
I0 => \busy_sr_reg_n_0_[0]\,
I1 => sioc_i_2_n_0,
I2 => sioc_i_3_n_0,
I3 => \busy_sr_reg_n_0_[1]\,
I4 => sioc_i_4_n_0,
I5 => \^p_0_in\,
O => sioc_i_1_n_0
);
sioc_i_2: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \divider_reg__0\(6),
I1 => \divider_reg__0\(7),
O => sioc_i_2_n_0
);
sioc_i_3: unisim.vcomponents.LUT4
generic map(
INIT => X"A222"
)
port map (
I0 => sioc_i_5_n_0,
I1 => \busy_sr_reg_n_0_[30]\,
I2 => \divider_reg__0\(6),
I3 => \^p_0_in\,
O => sioc_i_3_n_0
);
sioc_i_4: unisim.vcomponents.LUT4
generic map(
INIT => X"7FFF"
)
port map (
I0 => \busy_sr_reg_n_0_[29]\,
I1 => \busy_sr_reg_n_0_[2]\,
I2 => \^p_0_in\,
I3 => \busy_sr_reg_n_0_[30]\,
O => sioc_i_4_n_0
);
sioc_i_5: unisim.vcomponents.LUT4
generic map(
INIT => X"0001"
)
port map (
I0 => \busy_sr_reg_n_0_[0]\,
I1 => \busy_sr_reg_n_0_[1]\,
I2 => \busy_sr_reg_n_0_[29]\,
I3 => \busy_sr_reg_n_0_[2]\,
O => sioc_i_5_n_0
);
sioc_reg: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => sioc_i_1_n_0,
Q => sioc,
R => '0'
);
siod_INST_0: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \data_sr_reg_n_0_[31]\,
I1 => siod_INST_0_i_1_n_0,
O => siod
);
siod_INST_0_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"B0BBB0BB0000B0BB"
)
port map (
I0 => \busy_sr_reg_n_0_[28]\,
I1 => \busy_sr_reg_n_0_[29]\,
I2 => p_1_in_0(0),
I3 => p_1_in_0(1),
I4 => \busy_sr_reg_n_0_[11]\,
I5 => \busy_sr_reg_n_0_[10]\,
O => siod_INST_0_i_1_n_0
);
taken_reg: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => \busy_sr_reg[31]_0\,
Q => E(0),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_ov7670_controller_0_0_ov7670_registers is
port (
DOADO : out STD_LOGIC_VECTOR ( 15 downto 0 );
\divider_reg[7]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
config_finished : out STD_LOGIC;
taken_reg : out STD_LOGIC;
p_1_in : out STD_LOGIC_VECTOR ( 0 to 0 );
clk : in STD_LOGIC;
\divider_reg[2]\ : in STD_LOGIC;
p_0_in : in STD_LOGIC;
resend : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_ov7670_controller_0_0_ov7670_registers : entity is "ov7670_registers";
end system_ov7670_controller_0_0_ov7670_registers;
architecture STRUCTURE of system_ov7670_controller_0_0_ov7670_registers is
signal \^doado\ : STD_LOGIC_VECTOR ( 15 downto 0 );
signal address : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \address_reg__0\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \address_rep[0]_i_1_n_0\ : STD_LOGIC;
signal \address_rep[1]_i_1_n_0\ : STD_LOGIC;
signal \address_rep[2]_i_1_n_0\ : STD_LOGIC;
signal \address_rep[3]_i_1_n_0\ : STD_LOGIC;
signal \address_rep[4]_i_1_n_0\ : STD_LOGIC;
signal \address_rep[5]_i_1_n_0\ : STD_LOGIC;
signal \address_rep[6]_i_1_n_0\ : STD_LOGIC;
signal \address_rep[7]_i_1_n_0\ : STD_LOGIC;
signal \address_rep[7]_i_2_n_0\ : STD_LOGIC;
signal config_finished_INST_0_i_1_n_0 : STD_LOGIC;
signal config_finished_INST_0_i_2_n_0 : STD_LOGIC;
signal config_finished_INST_0_i_3_n_0 : STD_LOGIC;
signal config_finished_INST_0_i_4_n_0 : STD_LOGIC;
signal NLW_sreg_reg_DOBDO_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 );
signal NLW_sreg_reg_DOPADOP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_sreg_reg_DOPBDOP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute equivalent_register_removal : string;
attribute equivalent_register_removal of \address_reg[0]\ : label is "no";
attribute equivalent_register_removal of \address_reg[1]\ : label is "no";
attribute equivalent_register_removal of \address_reg[2]\ : label is "no";
attribute equivalent_register_removal of \address_reg[3]\ : label is "no";
attribute equivalent_register_removal of \address_reg[4]\ : label is "no";
attribute equivalent_register_removal of \address_reg[5]\ : label is "no";
attribute equivalent_register_removal of \address_reg[6]\ : label is "no";
attribute equivalent_register_removal of \address_reg[7]\ : label is "no";
attribute equivalent_register_removal of \address_reg_rep[0]\ : label is "no";
attribute equivalent_register_removal of \address_reg_rep[1]\ : label is "no";
attribute equivalent_register_removal of \address_reg_rep[2]\ : label is "no";
attribute equivalent_register_removal of \address_reg_rep[3]\ : label is "no";
attribute equivalent_register_removal of \address_reg_rep[4]\ : label is "no";
attribute equivalent_register_removal of \address_reg_rep[5]\ : label is "no";
attribute equivalent_register_removal of \address_reg_rep[6]\ : label is "no";
attribute equivalent_register_removal of \address_reg_rep[7]\ : label is "no";
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \address_rep[1]_i_1\ : label is "soft_lutpair32";
attribute SOFT_HLUTNM of \address_rep[2]_i_1\ : label is "soft_lutpair32";
attribute SOFT_HLUTNM of \address_rep[3]_i_1\ : label is "soft_lutpair31";
attribute SOFT_HLUTNM of \address_rep[4]_i_1\ : label is "soft_lutpair31";
attribute SOFT_HLUTNM of \address_rep[6]_i_1\ : label is "soft_lutpair33";
attribute SOFT_HLUTNM of \address_rep[7]_i_1\ : label is "soft_lutpair33";
attribute SOFT_HLUTNM of \busy_sr[0]_i_2\ : label is "soft_lutpair30";
attribute SOFT_HLUTNM of config_finished_INST_0 : label is "soft_lutpair30";
attribute CLOCK_DOMAINS : string;
attribute CLOCK_DOMAINS of sreg_reg : label is "INDEPENDENT";
attribute \MEM.PORTA.DATA_BIT_LAYOUT\ : string;
attribute \MEM.PORTA.DATA_BIT_LAYOUT\ of sreg_reg : label is "p0_d16";
attribute METHODOLOGY_DRC_VIOS : string;
attribute METHODOLOGY_DRC_VIOS of sreg_reg : label is "{SYNTH-6 {cell *THIS*}}";
attribute RTL_RAM_BITS : integer;
attribute RTL_RAM_BITS of sreg_reg : label is 4096;
attribute RTL_RAM_NAME : string;
attribute RTL_RAM_NAME of sreg_reg : label is "U0/Inst_ov7670_registers/sreg";
attribute bram_addr_begin : integer;
attribute bram_addr_begin of sreg_reg : label is 0;
attribute bram_addr_end : integer;
attribute bram_addr_end of sreg_reg : label is 1023;
attribute bram_slice_begin : integer;
attribute bram_slice_begin of sreg_reg : label is 0;
attribute bram_slice_end : integer;
attribute bram_slice_end of sreg_reg : label is 15;
begin
DOADO(15 downto 0) <= \^doado\(15 downto 0);
\address_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
D => \address_rep[0]_i_1_n_0\,
Q => \address_reg__0\(0),
R => resend
);
\address_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
D => \address_rep[1]_i_1_n_0\,
Q => \address_reg__0\(1),
R => resend
);
\address_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
D => \address_rep[2]_i_1_n_0\,
Q => \address_reg__0\(2),
R => resend
);
\address_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
D => \address_rep[3]_i_1_n_0\,
Q => \address_reg__0\(3),
R => resend
);
\address_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
D => \address_rep[4]_i_1_n_0\,
Q => \address_reg__0\(4),
R => resend
);
\address_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
D => \address_rep[5]_i_1_n_0\,
Q => \address_reg__0\(5),
R => resend
);
\address_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
D => \address_rep[6]_i_1_n_0\,
Q => \address_reg__0\(6),
R => resend
);
\address_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
D => \address_rep[7]_i_1_n_0\,
Q => \address_reg__0\(7),
R => resend
);
\address_reg_rep[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
D => \address_rep[0]_i_1_n_0\,
Q => address(0),
R => resend
);
\address_reg_rep[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
D => \address_rep[1]_i_1_n_0\,
Q => address(1),
R => resend
);
\address_reg_rep[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
D => \address_rep[2]_i_1_n_0\,
Q => address(2),
R => resend
);
\address_reg_rep[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
D => \address_rep[3]_i_1_n_0\,
Q => address(3),
R => resend
);
\address_reg_rep[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
D => \address_rep[4]_i_1_n_0\,
Q => address(4),
R => resend
);
\address_reg_rep[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
D => \address_rep[5]_i_1_n_0\,
Q => address(5),
R => resend
);
\address_reg_rep[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
D => \address_rep[6]_i_1_n_0\,
Q => address(6),
R => resend
);
\address_reg_rep[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => E(0),
D => \address_rep[7]_i_1_n_0\,
Q => address(7),
R => resend
);
\address_rep[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \address_reg__0\(0),
O => \address_rep[0]_i_1_n_0\
);
\address_rep[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \address_reg__0\(0),
I1 => \address_reg__0\(1),
O => \address_rep[1]_i_1_n_0\
);
\address_rep[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"78"
)
port map (
I0 => \address_reg__0\(1),
I1 => \address_reg__0\(0),
I2 => \address_reg__0\(2),
O => \address_rep[2]_i_1_n_0\
);
\address_rep[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"7F80"
)
port map (
I0 => \address_reg__0\(2),
I1 => \address_reg__0\(0),
I2 => \address_reg__0\(1),
I3 => \address_reg__0\(3),
O => \address_rep[3]_i_1_n_0\
);
\address_rep[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"7FFF8000"
)
port map (
I0 => \address_reg__0\(3),
I1 => \address_reg__0\(1),
I2 => \address_reg__0\(0),
I3 => \address_reg__0\(2),
I4 => \address_reg__0\(4),
O => \address_rep[4]_i_1_n_0\
);
\address_rep[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"7FFFFFFF80000000"
)
port map (
I0 => \address_reg__0\(4),
I1 => \address_reg__0\(2),
I2 => \address_reg__0\(0),
I3 => \address_reg__0\(1),
I4 => \address_reg__0\(3),
I5 => \address_reg__0\(5),
O => \address_rep[5]_i_1_n_0\
);
\address_rep[6]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \address_rep[7]_i_2_n_0\,
I1 => \address_reg__0\(6),
O => \address_rep[6]_i_1_n_0\
);
\address_rep[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"D2"
)
port map (
I0 => \address_reg__0\(6),
I1 => \address_rep[7]_i_2_n_0\,
I2 => \address_reg__0\(7),
O => \address_rep[7]_i_1_n_0\
);
\address_rep[7]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"7FFFFFFFFFFFFFFF"
)
port map (
I0 => \address_reg__0\(4),
I1 => \address_reg__0\(2),
I2 => \address_reg__0\(0),
I3 => \address_reg__0\(1),
I4 => \address_reg__0\(3),
I5 => \address_reg__0\(5),
O => \address_rep[7]_i_2_n_0\
);
\busy_sr[0]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"0000FFFE"
)
port map (
I0 => config_finished_INST_0_i_4_n_0,
I1 => config_finished_INST_0_i_3_n_0,
I2 => config_finished_INST_0_i_2_n_0,
I3 => config_finished_INST_0_i_1_n_0,
I4 => p_0_in,
O => p_1_in(0)
);
config_finished_INST_0: unisim.vcomponents.LUT4
generic map(
INIT => X"0001"
)
port map (
I0 => config_finished_INST_0_i_1_n_0,
I1 => config_finished_INST_0_i_2_n_0,
I2 => config_finished_INST_0_i_3_n_0,
I3 => config_finished_INST_0_i_4_n_0,
O => config_finished
);
config_finished_INST_0_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"7FFF"
)
port map (
I0 => \^doado\(5),
I1 => \^doado\(4),
I2 => \^doado\(7),
I3 => \^doado\(6),
O => config_finished_INST_0_i_1_n_0
);
config_finished_INST_0_i_2: unisim.vcomponents.LUT4
generic map(
INIT => X"7FFF"
)
port map (
I0 => \^doado\(1),
I1 => \^doado\(0),
I2 => \^doado\(3),
I3 => \^doado\(2),
O => config_finished_INST_0_i_2_n_0
);
config_finished_INST_0_i_3: unisim.vcomponents.LUT4
generic map(
INIT => X"7FFF"
)
port map (
I0 => \^doado\(13),
I1 => \^doado\(12),
I2 => \^doado\(15),
I3 => \^doado\(14),
O => config_finished_INST_0_i_3_n_0
);
config_finished_INST_0_i_4: unisim.vcomponents.LUT4
generic map(
INIT => X"7FFF"
)
port map (
I0 => \^doado\(9),
I1 => \^doado\(8),
I2 => \^doado\(11),
I3 => \^doado\(10),
O => config_finished_INST_0_i_4_n_0
);
\divider[7]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFFFE0000"
)
port map (
I0 => config_finished_INST_0_i_1_n_0,
I1 => config_finished_INST_0_i_2_n_0,
I2 => config_finished_INST_0_i_3_n_0,
I3 => config_finished_INST_0_i_4_n_0,
I4 => \divider_reg[2]\,
I5 => p_0_in,
O => \divider_reg[7]\(0)
);
sreg_reg: unisim.vcomponents.RAMB18E1
generic map(
DOA_REG => 0,
DOB_REG => 0,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"53295217510C50344F4014383A04401004008C003E000C001100120412801280",
INIT_01 => X"229121021E3716020F4B0E61030A1A7B190332A41861171111003DC0581E5440",
INIT_02 => X"90008F008E008D4F74106B4A69004E204D403C78392A3871371D350B330B2907",
INIT_03 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB80AB382B20EB10CB0849A0096009100",
INIT_04 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_05 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_06 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_07 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_08 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_09 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_0A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_0B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_0C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_0D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_0E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_0F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"00000",
INIT_B => X"00000",
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "PERFORMANCE",
READ_WIDTH_A => 18,
READ_WIDTH_B => 0,
RSTREG_PRIORITY_A => "RSTREG",
RSTREG_PRIORITY_B => "RSTREG",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "7SERIES",
SRVAL_A => X"00000",
SRVAL_B => X"00000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 18,
WRITE_WIDTH_B => 0
)
port map (
ADDRARDADDR(13 downto 12) => B"00",
ADDRARDADDR(11 downto 4) => address(7 downto 0),
ADDRARDADDR(3 downto 0) => B"0000",
ADDRBWRADDR(13 downto 0) => B"11111111111111",
CLKARDCLK => clk,
CLKBWRCLK => '0',
DIADI(15 downto 0) => B"1111111111111111",
DIBDI(15 downto 0) => B"1111111111111111",
DIPADIP(1 downto 0) => B"00",
DIPBDIP(1 downto 0) => B"11",
DOADO(15 downto 0) => \^doado\(15 downto 0),
DOBDO(15 downto 0) => NLW_sreg_reg_DOBDO_UNCONNECTED(15 downto 0),
DOPADOP(1 downto 0) => NLW_sreg_reg_DOPADOP_UNCONNECTED(1 downto 0),
DOPBDOP(1 downto 0) => NLW_sreg_reg_DOPBDOP_UNCONNECTED(1 downto 0),
ENARDEN => '1',
ENBWREN => '0',
REGCEAREGCE => '0',
REGCEB => '0',
RSTRAMARSTRAM => '0',
RSTRAMB => '0',
RSTREGARSTREG => '0',
RSTREGB => '0',
WEA(1 downto 0) => B"00",
WEBWE(3 downto 0) => B"0000"
);
taken_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000055555554"
)
port map (
I0 => p_0_in,
I1 => config_finished_INST_0_i_1_n_0,
I2 => config_finished_INST_0_i_2_n_0,
I3 => config_finished_INST_0_i_3_n_0,
I4 => config_finished_INST_0_i_4_n_0,
I5 => \divider_reg[2]\,
O => taken_reg
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_ov7670_controller_0_0_ov7670_controller is
port (
config_finished : out STD_LOGIC;
siod : out STD_LOGIC;
xclk : out STD_LOGIC;
sioc : out STD_LOGIC;
resend : in STD_LOGIC;
clk : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of system_ov7670_controller_0_0_ov7670_controller : entity is "ov7670_controller";
end system_ov7670_controller_0_0_ov7670_controller;
architecture STRUCTURE of system_ov7670_controller_0_0_ov7670_controller is
signal Inst_i2c_sender_n_3 : STD_LOGIC;
signal Inst_ov7670_registers_n_16 : STD_LOGIC;
signal Inst_ov7670_registers_n_18 : STD_LOGIC;
signal p_0_in : STD_LOGIC;
signal p_1_in : STD_LOGIC_VECTOR ( 0 to 0 );
signal sreg_reg : STD_LOGIC_VECTOR ( 15 downto 0 );
signal sys_clk_i_1_n_0 : STD_LOGIC;
signal taken : STD_LOGIC;
signal \^xclk\ : STD_LOGIC;
begin
xclk <= \^xclk\;
Inst_i2c_sender: entity work.system_ov7670_controller_0_0_i2c_sender
port map (
DOADO(15 downto 0) => sreg_reg(15 downto 0),
E(0) => taken,
\busy_sr_reg[1]_0\ => Inst_i2c_sender_n_3,
\busy_sr_reg[31]_0\ => Inst_ov7670_registers_n_18,
\busy_sr_reg[31]_1\(0) => Inst_ov7670_registers_n_16,
clk => clk,
p_0_in => p_0_in,
p_1_in(0) => p_1_in(0),
sioc => sioc,
siod => siod
);
Inst_ov7670_registers: entity work.system_ov7670_controller_0_0_ov7670_registers
port map (
DOADO(15 downto 0) => sreg_reg(15 downto 0),
E(0) => taken,
clk => clk,
config_finished => config_finished,
\divider_reg[2]\ => Inst_i2c_sender_n_3,
\divider_reg[7]\(0) => Inst_ov7670_registers_n_16,
p_0_in => p_0_in,
p_1_in(0) => p_1_in(0),
resend => resend,
taken_reg => Inst_ov7670_registers_n_18
);
sys_clk_i_1: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^xclk\,
O => sys_clk_i_1_n_0
);
sys_clk_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk,
CE => '1',
D => sys_clk_i_1_n_0,
Q => \^xclk\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_ov7670_controller_0_0 is
port (
clk : in STD_LOGIC;
resend : in STD_LOGIC;
config_finished : out STD_LOGIC;
sioc : out STD_LOGIC;
siod : inout STD_LOGIC;
reset : out STD_LOGIC;
pwdn : out STD_LOGIC;
xclk : out STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of system_ov7670_controller_0_0 : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of system_ov7670_controller_0_0 : entity is "system_ov7670_controller_0_0,ov7670_controller,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of system_ov7670_controller_0_0 : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of system_ov7670_controller_0_0 : entity is "ov7670_controller,Vivado 2016.4";
end system_ov7670_controller_0_0;
architecture STRUCTURE of system_ov7670_controller_0_0 is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
begin
pwdn <= \<const0>\;
reset <= \<const1>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
U0: entity work.system_ov7670_controller_0_0_ov7670_controller
port map (
clk => clk,
config_finished => config_finished,
resend => resend,
sioc => sioc,
siod => siod,
xclk => xclk
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
end STRUCTURE;
|
-----------------------------------------------------------------------------
-- LEON3 Demonstration design test bench configuration
-- Copyright (C) 2009 Aeroflex Gaisler
------------------------------------------------------------------------------
library techmap;
use techmap.gencomp.all;
package config is
-- Technology and synthesis options
constant CFG_FABTECH : integer := spartan3e;
constant CFG_MEMTECH : integer := spartan3e;
constant CFG_PADTECH : integer := spartan3e;
constant CFG_NOASYNC : integer := 0;
constant CFG_SCAN : integer := 0;
-- Clock generator
constant CFG_CLKTECH : integer := spartan3e;
constant CFG_CLKMUL : integer := (4);
constant CFG_CLKDIV : integer := (5);
constant CFG_OCLKDIV : integer := 1;
constant CFG_OCLKBDIV : integer := 0;
constant CFG_OCLKCDIV : integer := 0;
constant CFG_PCIDLL : integer := 0;
constant CFG_PCISYSCLK: integer := 0;
constant CFG_CLK_NOFB : integer := 0;
-- LEON3 processor core
constant CFG_LEON3 : integer := 1;
constant CFG_NCPU : integer := (1);
constant CFG_NWIN : integer := (8);
constant CFG_V8 : integer := 2 + 4*0;
constant CFG_MAC : integer := 0;
constant CFG_BP : integer := 1;
constant CFG_SVT : integer := 1;
constant CFG_RSTADDR : integer := 16#00000#;
constant CFG_LDDEL : integer := (1);
constant CFG_NOTAG : integer := 1;
constant CFG_NWP : integer := (2);
constant CFG_PWD : integer := 1*2;
constant CFG_FPU : integer := 0 + 16*0 + 32*0;
constant CFG_GRFPUSH : integer := 0;
constant CFG_ICEN : integer := 1;
constant CFG_ISETS : integer := 2;
constant CFG_ISETSZ : integer := 4;
constant CFG_ILINE : integer := 8;
constant CFG_IREPL : integer := 2;
constant CFG_ILOCK : integer := 0;
constant CFG_ILRAMEN : integer := 0;
constant CFG_ILRAMADDR: integer := 16#8E#;
constant CFG_ILRAMSZ : integer := 1;
constant CFG_DCEN : integer := 1;
constant CFG_DSETS : integer := 2;
constant CFG_DSETSZ : integer := 4;
constant CFG_DLINE : integer := 4;
constant CFG_DREPL : integer := 2;
constant CFG_DLOCK : integer := 0;
constant CFG_DSNOOP : integer := 1 + 0 + 4*0;
constant CFG_DFIXED : integer := 16#0#;
constant CFG_DLRAMEN : integer := 0;
constant CFG_DLRAMADDR: integer := 16#8F#;
constant CFG_DLRAMSZ : integer := 1;
constant CFG_MMUEN : integer := 1;
constant CFG_ITLBNUM : integer := 8;
constant CFG_DTLBNUM : integer := 8;
constant CFG_TLB_TYPE : integer := 0 + 1*2;
constant CFG_TLB_REP : integer := 0;
constant CFG_MMU_PAGE : integer := 0;
constant CFG_DSU : integer := 1;
constant CFG_ITBSZ : integer := 4;
constant CFG_ATBSZ : integer := 4;
constant CFG_LEON3FT_EN : integer := 0;
constant CFG_IUFT_EN : integer := 0;
constant CFG_FPUFT_EN : integer := 0;
constant CFG_RF_ERRINJ : integer := 0;
constant CFG_CACHE_FT_EN : integer := 0;
constant CFG_CACHE_ERRINJ : integer := 0;
constant CFG_LEON3_NETLIST: integer := 0;
constant CFG_DISAS : integer := 0 + 0;
constant CFG_PCLOW : integer := 2;
-- AMBA settings
constant CFG_DEFMST : integer := (0);
constant CFG_RROBIN : integer := 1;
constant CFG_SPLIT : integer := 0;
constant CFG_FPNPEN : integer := 0;
constant CFG_AHBIO : integer := 16#FFF#;
constant CFG_APBADDR : integer := 16#800#;
constant CFG_AHB_MON : integer := 0;
constant CFG_AHB_MONERR : integer := 0;
constant CFG_AHB_MONWAR : integer := 0;
constant CFG_AHB_DTRACE : integer := 0;
-- DSU UART
constant CFG_AHB_UART : integer := 1;
-- JTAG based DSU interface
constant CFG_AHB_JTAG : integer := 1;
-- Ethernet DSU
constant CFG_DSU_ETH : integer := 1 + 0 + 0;
constant CFG_ETH_BUF : integer := 2;
constant CFG_ETH_IPM : integer := 16#C0A8#;
constant CFG_ETH_IPL : integer := 16#0033#;
constant CFG_ETH_ENM : integer := 16#020000#;
constant CFG_ETH_ENL : integer := 16#000018#;
-- LEON2 memory controller
constant CFG_MCTRL_LEON2 : integer := 1;
constant CFG_MCTRL_RAM8BIT : integer := 0;
constant CFG_MCTRL_RAM16BIT : integer := 1;
constant CFG_MCTRL_5CS : integer := 0;
constant CFG_MCTRL_SDEN : integer := 0;
constant CFG_MCTRL_SEPBUS : integer := 0;
constant CFG_MCTRL_INVCLK : integer := 0;
constant CFG_MCTRL_SD64 : integer := 0;
constant CFG_MCTRL_PAGE : integer := 0 + 0;
-- DDR controller
constant CFG_DDRSP : integer := 1;
constant CFG_DDRSP_INIT : integer := 1;
constant CFG_DDRSP_FREQ : integer := (90);
constant CFG_DDRSP_COL : integer := (10);
constant CFG_DDRSP_SIZE : integer := (64);
constant CFG_DDRSP_RSKEW : integer := (40);
-- AHB ROM
constant CFG_AHBROMEN : integer := 0;
constant CFG_AHBROPIP : integer := 0;
constant CFG_AHBRODDR : integer := 16#000#;
constant CFG_ROMADDR : integer := 16#000#;
constant CFG_ROMMASK : integer := 16#E00# + 16#000#;
-- AHB RAM
constant CFG_AHBRAMEN : integer := 0;
constant CFG_AHBRSZ : integer := 1;
constant CFG_AHBRADDR : integer := 16#A00#;
constant CFG_AHBRPIPE : integer := 0;
-- Gaisler Ethernet core
constant CFG_GRETH : integer := 1;
constant CFG_GRETH1G : integer := 0;
constant CFG_ETH_FIFO : integer := 32;
-- UART 1
constant CFG_UART1_ENABLE : integer := 1;
constant CFG_UART1_FIFO : integer := 8;
-- LEON3 interrupt controller
constant CFG_IRQ3_ENABLE : integer := 1;
constant CFG_IRQ3_NSEC : integer := 0;
-- Modular timer
constant CFG_GPT_ENABLE : integer := 1;
constant CFG_GPT_NTIM : integer := (2);
constant CFG_GPT_SW : integer := (8);
constant CFG_GPT_TW : integer := (32);
constant CFG_GPT_IRQ : integer := (8);
constant CFG_GPT_SEPIRQ : integer := 1;
constant CFG_GPT_WDOGEN : integer := 0;
constant CFG_GPT_WDOG : integer := 16#0#;
-- GPIO port
constant CFG_GRGPIO_ENABLE : integer := 1;
constant CFG_GRGPIO_IMASK : integer := 16#0000#;
constant CFG_GRGPIO_WIDTH : integer := (8);
-- VGA and PS2/ interface
constant CFG_KBD_ENABLE : integer := 1;
constant CFG_VGA_ENABLE : integer := 0;
constant CFG_SVGA_ENABLE : integer := 1;
-- GRLIB debugging
constant CFG_DUART : integer := 0;
end;
|
-- -------------------------------------------------------------
--
-- File Name: hdlsrc/fft_16_bit/RADIX22FFT_SDNF1_3_block.vhd
-- Created: 2017-03-27 23:13:58
--
-- Generated by MATLAB 9.1 and HDL Coder 3.9
--
-- -------------------------------------------------------------
-- -------------------------------------------------------------
--
-- Module: RADIX22FFT_SDNF1_3_block
-- Source Path: fft_16_bit/FFT HDL Optimized/RADIX22FFT_SDNF1_3
-- Hierarchy Level: 2
--
-- -------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
USE IEEE.numeric_std.ALL;
ENTITY RADIX22FFT_SDNF1_3_block IS
PORT( clk : IN std_logic;
reset : IN std_logic;
enb : IN std_logic;
twdlXdin_2_re : IN std_logic_vector(19 DOWNTO 0); -- sfix20
twdlXdin_2_im : IN std_logic_vector(19 DOWNTO 0); -- sfix20
twdlXdin_4_re : IN std_logic_vector(19 DOWNTO 0); -- sfix20
twdlXdin_4_im : IN std_logic_vector(19 DOWNTO 0); -- sfix20
twdlXdin_1_vld : IN std_logic;
softReset : IN std_logic;
dout_3_re : OUT std_logic_vector(19 DOWNTO 0); -- sfix20
dout_3_im : OUT std_logic_vector(19 DOWNTO 0); -- sfix20
dout_4_re : OUT std_logic_vector(19 DOWNTO 0); -- sfix20
dout_4_im : OUT std_logic_vector(19 DOWNTO 0); -- sfix20
dout_3_vld : OUT std_logic
);
END RADIX22FFT_SDNF1_3_block;
ARCHITECTURE rtl OF RADIX22FFT_SDNF1_3_block IS
-- Signals
SIGNAL twdlXdin_2_re_signed : signed(19 DOWNTO 0); -- sfix20
SIGNAL twdlXdin_2_im_signed : signed(19 DOWNTO 0); -- sfix20
SIGNAL twdlXdin_4_re_signed : signed(19 DOWNTO 0); -- sfix20
SIGNAL twdlXdin_4_im_signed : signed(19 DOWNTO 0); -- sfix20
SIGNAL Radix22ButterflyG1_NF_btf1_re_reg : signed(20 DOWNTO 0); -- sfix21
SIGNAL Radix22ButterflyG1_NF_btf1_im_reg : signed(20 DOWNTO 0); -- sfix21
SIGNAL Radix22ButterflyG1_NF_btf2_re_reg : signed(20 DOWNTO 0); -- sfix21
SIGNAL Radix22ButterflyG1_NF_btf2_im_reg : signed(20 DOWNTO 0); -- sfix21
SIGNAL Radix22ButterflyG1_NF_dinXtwdl_vld_dly1 : std_logic;
SIGNAL Radix22ButterflyG1_NF_btf1_re_reg_next : signed(20 DOWNTO 0); -- sfix21
SIGNAL Radix22ButterflyG1_NF_btf1_im_reg_next : signed(20 DOWNTO 0); -- sfix21
SIGNAL Radix22ButterflyG1_NF_btf2_re_reg_next : signed(20 DOWNTO 0); -- sfix21
SIGNAL Radix22ButterflyG1_NF_btf2_im_reg_next : signed(20 DOWNTO 0); -- sfix21
SIGNAL Radix22ButterflyG1_NF_dinXtwdl_vld_dly1_next : std_logic;
SIGNAL dout_3_re_tmp : signed(19 DOWNTO 0); -- sfix20
SIGNAL dout_3_im_tmp : signed(19 DOWNTO 0); -- sfix20
SIGNAL dout_4_re_tmp : signed(19 DOWNTO 0); -- sfix20
SIGNAL dout_4_im_tmp : signed(19 DOWNTO 0); -- sfix20
BEGIN
twdlXdin_2_re_signed <= signed(twdlXdin_2_re);
twdlXdin_2_im_signed <= signed(twdlXdin_2_im);
twdlXdin_4_re_signed <= signed(twdlXdin_4_re);
twdlXdin_4_im_signed <= signed(twdlXdin_4_im);
-- Radix22ButterflyG1_NF
Radix22ButterflyG1_NF_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
Radix22ButterflyG1_NF_btf1_re_reg <= to_signed(16#000000#, 21);
Radix22ButterflyG1_NF_btf1_im_reg <= to_signed(16#000000#, 21);
Radix22ButterflyG1_NF_btf2_re_reg <= to_signed(16#000000#, 21);
Radix22ButterflyG1_NF_btf2_im_reg <= to_signed(16#000000#, 21);
Radix22ButterflyG1_NF_dinXtwdl_vld_dly1 <= '0';
ELSIF clk'EVENT AND clk = '1' THEN
IF enb = '1' THEN
Radix22ButterflyG1_NF_btf1_re_reg <= Radix22ButterflyG1_NF_btf1_re_reg_next;
Radix22ButterflyG1_NF_btf1_im_reg <= Radix22ButterflyG1_NF_btf1_im_reg_next;
Radix22ButterflyG1_NF_btf2_re_reg <= Radix22ButterflyG1_NF_btf2_re_reg_next;
Radix22ButterflyG1_NF_btf2_im_reg <= Radix22ButterflyG1_NF_btf2_im_reg_next;
Radix22ButterflyG1_NF_dinXtwdl_vld_dly1 <= Radix22ButterflyG1_NF_dinXtwdl_vld_dly1_next;
END IF;
END IF;
END PROCESS Radix22ButterflyG1_NF_process;
Radix22ButterflyG1_NF_output : PROCESS (Radix22ButterflyG1_NF_btf1_re_reg, Radix22ButterflyG1_NF_btf1_im_reg,
Radix22ButterflyG1_NF_btf2_re_reg, Radix22ButterflyG1_NF_btf2_im_reg,
Radix22ButterflyG1_NF_dinXtwdl_vld_dly1, twdlXdin_2_re_signed,
twdlXdin_2_im_signed, twdlXdin_4_re_signed, twdlXdin_4_im_signed,
twdlXdin_1_vld)
BEGIN
Radix22ButterflyG1_NF_btf1_re_reg_next <= Radix22ButterflyG1_NF_btf1_re_reg;
Radix22ButterflyG1_NF_btf1_im_reg_next <= Radix22ButterflyG1_NF_btf1_im_reg;
Radix22ButterflyG1_NF_btf2_re_reg_next <= Radix22ButterflyG1_NF_btf2_re_reg;
Radix22ButterflyG1_NF_btf2_im_reg_next <= Radix22ButterflyG1_NF_btf2_im_reg;
Radix22ButterflyG1_NF_dinXtwdl_vld_dly1_next <= twdlXdin_1_vld;
IF twdlXdin_1_vld = '1' THEN
Radix22ButterflyG1_NF_btf1_re_reg_next <= resize(twdlXdin_2_re_signed, 21) + resize(twdlXdin_4_re_signed, 21);
Radix22ButterflyG1_NF_btf2_re_reg_next <= resize(twdlXdin_2_re_signed, 21) - resize(twdlXdin_4_re_signed, 21);
Radix22ButterflyG1_NF_btf1_im_reg_next <= resize(twdlXdin_2_im_signed, 21) + resize(twdlXdin_4_im_signed, 21);
Radix22ButterflyG1_NF_btf2_im_reg_next <= resize(twdlXdin_2_im_signed, 21) - resize(twdlXdin_4_im_signed, 21);
END IF;
dout_3_re_tmp <= Radix22ButterflyG1_NF_btf1_re_reg(19 DOWNTO 0);
dout_3_im_tmp <= Radix22ButterflyG1_NF_btf1_im_reg(19 DOWNTO 0);
dout_4_re_tmp <= Radix22ButterflyG1_NF_btf2_re_reg(19 DOWNTO 0);
dout_4_im_tmp <= Radix22ButterflyG1_NF_btf2_im_reg(19 DOWNTO 0);
dout_3_vld <= Radix22ButterflyG1_NF_dinXtwdl_vld_dly1;
END PROCESS Radix22ButterflyG1_NF_output;
dout_3_re <= std_logic_vector(dout_3_re_tmp);
dout_3_im <= std_logic_vector(dout_3_im_tmp);
dout_4_re <= std_logic_vector(dout_4_re_tmp);
dout_4_im <= std_logic_vector(dout_4_im_tmp);
END rtl;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
-- Copyright (C) 2015, Cobham Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Package: netcomp
-- File: netcomp.vhd
-- Author: Jiri Gaisler - Aeroflex Gaisler
-- Description: Declaration of netlists components
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use work.gencomp.all;
package netcomp is
---------------------------------------------------------------------------
-- netlists ---------------------------------------------------------------
---------------------------------------------------------------------------
component grusbhc_net is
generic (
tech : integer := 0;
nports : integer range 1 to 15 := 1;
ehcgen : integer range 0 to 1 := 1;
uhcgen : integer range 0 to 1 := 1;
n_cc : integer range 1 to 15 := 1;
n_pcc : integer range 1 to 15 := 1;
prr : integer range 0 to 1 := 0;
portroute1 : integer := 0;
portroute2 : integer := 0;
endian_conv : integer range 0 to 1 := 1;
be_regs : integer range 0 to 1 := 0;
be_desc : integer range 0 to 1 := 0;
uhcblo : integer range 0 to 255 := 2;
bwrd : integer range 1 to 256 := 16;
utm_type : integer range 0 to 2 := 2;
vbusconf : integer := 3;
ramtest : integer range 0 to 1 := 0;
urst_time : integer := 250;
oepol : integer range 0 to 1 := 0;
scantest : integer range 0 to 1 := 0;
isfpga : integer range 0 to 1 := 1;
memsel : integer := 0;
syncprst : integer range 0 to 1 := 0;
sysfreq : integer := 65000;
pcidev : integer range 0 to 1 := 0;
debug : integer := 0;
debug_abits : integer := 12);
port (
clk : in std_ulogic;
uclk : in std_ulogic;
rst : in std_ulogic;
-- EHC apb_slv_in_type unwrapped
ehc_apbsi_psel : in std_ulogic;
ehc_apbsi_penable : in std_ulogic;
ehc_apbsi_paddr : in std_logic_vector(31 downto 0);
ehc_apbsi_pwrite : in std_ulogic;
ehc_apbsi_pwdata : in std_logic_vector(31 downto 0);
-- EHC apb_slv_out_type unwrapped
ehc_apbso_prdata : out std_logic_vector(31 downto 0);
ehc_apbso_pirq : out std_ulogic;
-- EHC/UHC ahb_mst_in_type unwrapped
ahbmi_hgrant : in std_logic_vector(n_cc*uhcgen downto 0);
ahbmi_hready : in std_ulogic;
ahbmi_hresp : in std_logic_vector(1 downto 0);
ahbmi_hrdata : in std_logic_vector(31 downto 0);
-- UHC ahb_slv_in_type unwrapped
uhc_ahbsi_hsel : in std_logic_vector(n_cc*uhcgen downto 1*uhcgen);
uhc_ahbsi_haddr : in std_logic_vector(31 downto 0);
uhc_ahbsi_hwrite : in std_ulogic;
uhc_ahbsi_htrans : in std_logic_vector(1 downto 0);
uhc_ahbsi_hsize : in std_logic_vector(2 downto 0);
uhc_ahbsi_hwdata : in std_logic_vector(31 downto 0);
uhc_ahbsi_hready : in std_ulogic;
-- EHC ahb_mst_out_type_unwrapped
ehc_ahbmo_hbusreq : out std_ulogic;
ehc_ahbmo_hlock : out std_ulogic;
ehc_ahbmo_htrans : out std_logic_vector(1 downto 0);
ehc_ahbmo_haddr : out std_logic_vector(31 downto 0);
ehc_ahbmo_hwrite : out std_ulogic;
ehc_ahbmo_hsize : out std_logic_vector(2 downto 0);
ehc_ahbmo_hburst : out std_logic_vector(2 downto 0);
ehc_ahbmo_hprot : out std_logic_vector(3 downto 0);
ehc_ahbmo_hwdata : out std_logic_vector(31 downto 0);
-- UHC ahb_mst_out_vector_type unwrapped
uhc_ahbmo_hbusreq : out std_logic_vector(n_cc*uhcgen downto 1*uhcgen);
uhc_ahbmo_hlock : out std_logic_vector(n_cc*uhcgen downto 1*uhcgen);
uhc_ahbmo_htrans : out std_logic_vector((n_cc*2)*uhcgen downto 1*uhcgen);
uhc_ahbmo_haddr : out std_logic_vector((n_cc*32)*uhcgen downto 1*uhcgen);
uhc_ahbmo_hwrite : out std_logic_vector(n_cc*uhcgen downto 1*uhcgen);
uhc_ahbmo_hsize : out std_logic_vector((n_cc*3)*uhcgen downto 1*uhcgen);
uhc_ahbmo_hburst : out std_logic_vector((n_cc*3)*uhcgen downto 1*uhcgen);
uhc_ahbmo_hprot : out std_logic_vector((n_cc*4)*uhcgen downto 1*uhcgen);
uhc_ahbmo_hwdata : out std_logic_vector((n_cc*32)*uhcgen downto 1*uhcgen);
-- UHC ahb_slv_out_vector_type unwrapped
uhc_ahbso_hready : out std_logic_vector(n_cc*uhcgen downto 1*uhcgen);
uhc_ahbso_hresp : out std_logic_vector((n_cc*2)*uhcgen downto 1*uhcgen);
uhc_ahbso_hrdata : out std_logic_vector((n_cc*32)*uhcgen downto 1*uhcgen);
uhc_ahbso_hsplit : out std_logic_vector((n_cc*16)*uhcgen downto 1*uhcgen);
uhc_ahbso_hirq : out std_logic_vector(n_cc*uhcgen downto 1*uhcgen);
-- grusb_out_type_vector unwrapped
xcvrsel : out std_logic_vector(((nports*2)-1) downto 0);
termsel : out std_logic_vector((nports-1) downto 0);
opmode : out std_logic_vector(((nports*2)-1) downto 0);
txvalid : out std_logic_vector((nports-1) downto 0);
drvvbus : out std_logic_vector((nports-1) downto 0);
dataho : out std_logic_vector(((nports*8)-1) downto 0);
validho : out std_logic_vector((nports-1) downto 0);
stp : out std_logic_vector((nports-1) downto 0);
datao : out std_logic_vector(((nports*8)-1) downto 0);
utm_rst : out std_logic_vector((nports-1) downto 0);
dctrlo : out std_logic_vector((nports-1) downto 0);
suspendm : out std_ulogic;
dbus16_8 : out std_ulogic;
dppulldown : out std_ulogic;
dmpulldown : out std_ulogic;
idpullup : out std_ulogic;
dischrgvbus : out std_ulogic;
chrgvbus : out std_ulogic;
txbitstuffenable : out std_ulogic;
txbitstuffenableh : out std_ulogic;
fslsserialmode : out std_ulogic;
txenablen : out std_ulogic;
txdat : out std_ulogic;
txse0 : out std_ulogic;
-- grusb_in_type_vector unwrapped
linestate : in std_logic_vector(((nports*2)-1) downto 0);
txready : in std_logic_vector((nports-1) downto 0);
rxvalid : in std_logic_vector((nports-1) downto 0);
rxactive : in std_logic_vector((nports-1) downto 0);
rxerror : in std_logic_vector((nports-1) downto 0);
vbusvalid : in std_logic_vector((nports-1) downto 0);
datahi : in std_logic_vector(((nports*8)-1) downto 0);
validhi : in std_logic_vector((nports-1) downto 0);
hostdisc : in std_logic_vector((nports-1) downto 0);
nxt : in std_logic_vector((nports-1) downto 0);
dir : in std_logic_vector((nports-1) downto 0);
datai : in std_logic_vector(((nports*8)-1) downto 0);
urstdrive : in std_logic_vector((nports-1) downto 0);
-- EHC transaction buffer signals
mbc20_tb_addr : out std_logic_vector(8 downto 0);
mbc20_tb_data : out std_logic_vector(31 downto 0);
mbc20_tb_en : out std_ulogic;
mbc20_tb_wel : out std_ulogic;
mbc20_tb_weh : out std_ulogic;
tb_mbc20_data : in std_logic_vector(31 downto 0);
pe20_tb_addr : out std_logic_vector(8 downto 0);
pe20_tb_data : out std_logic_vector(31 downto 0);
pe20_tb_en : out std_ulogic;
pe20_tb_wel : out std_ulogic;
pe20_tb_weh : out std_ulogic;
tb_pe20_data : in std_logic_vector(31 downto 0);
-- EHC packet buffer signals
mbc20_pb_addr : out std_logic_vector(8 downto 0);
mbc20_pb_data : out std_logic_vector(31 downto 0);
mbc20_pb_en : out std_ulogic;
mbc20_pb_we : out std_ulogic;
pb_mbc20_data : in std_logic_vector(31 downto 0);
sie20_pb_addr : out std_logic_vector(8 downto 0);
sie20_pb_data : out std_logic_vector(31 downto 0);
sie20_pb_en : out std_ulogic;
sie20_pb_we : out std_ulogic;
pb_sie20_data : in std_logic_vector(31 downto 0);
-- UHC packet buffer signals
sie11_pb_addr : out std_logic_vector((n_cc*9)*uhcgen downto 1*uhcgen);
sie11_pb_data : out std_logic_vector((n_cc*32)*uhcgen downto 1*uhcgen);
sie11_pb_en : out std_logic_vector(n_cc*uhcgen downto 1*uhcgen);
sie11_pb_we : out std_logic_vector(n_cc*uhcgen downto 1*uhcgen);
pb_sie11_data : in std_logic_vector((n_cc*32)*uhcgen downto 1*uhcgen);
mbc11_pb_addr : out std_logic_vector((n_cc*9)*uhcgen downto 1*uhcgen);
mbc11_pb_data : out std_logic_vector((n_cc*32)*uhcgen downto 1*uhcgen);
mbc11_pb_en : out std_logic_vector(n_cc*uhcgen downto 1*uhcgen);
mbc11_pb_we : out std_logic_vector(n_cc*uhcgen downto 1*uhcgen);
pb_mbc11_data : in std_logic_vector((n_cc*32)*uhcgen downto 1*uhcgen);
bufsel : out std_ulogic;
-- scan signals
testen : in std_ulogic;
testrst : in std_ulogic;
scanen : in std_ulogic;
testoen : in std_ulogic;
-- debug signals
debug_raddr : out std_logic_vector(15 downto 0);
debug_waddr : out std_logic_vector(15 downto 0);
debug_wdata : out std_logic_vector(31 downto 0);
debug_we : out std_ulogic;
debug_rdata : in std_logic_vector(31 downto 0));
end component;
component grspwc_net
generic(
tech : integer := 0;
sysfreq : integer := 40000;
usegen : integer range 0 to 1 := 1;
nsync : integer range 1 to 2 := 1;
rmap : integer range 0 to 2 := 0;
rmapcrc : integer range 0 to 1 := 0;
fifosize1 : integer range 4 to 32 := 32;
fifosize2 : integer range 16 to 64 := 64;
rxunaligned : integer range 0 to 1 := 0;
rmapbufs : integer range 2 to 8 := 4;
scantest : integer range 0 to 1 := 0;
nodeaddr : integer range 0 to 255 := 254;
destkey : integer range 0 to 255 := 0
);
port(
rst : in std_ulogic;
clk : in std_ulogic;
txclk : in std_ulogic;
--ahb mst in
hgrant : in std_ulogic;
hready : in std_ulogic;
hresp : in std_logic_vector(1 downto 0);
hrdata : in std_logic_vector(31 downto 0);
--ahb mst out
hbusreq : out std_ulogic;
hlock : out std_ulogic;
htrans : out std_logic_vector(1 downto 0);
haddr : out std_logic_vector(31 downto 0);
hwrite : out std_ulogic;
hsize : out std_logic_vector(2 downto 0);
hburst : out std_logic_vector(2 downto 0);
hprot : out std_logic_vector(3 downto 0);
hwdata : out std_logic_vector(31 downto 0);
--apb slv in
psel : in std_ulogic;
penable : in std_ulogic;
paddr : in std_logic_vector(31 downto 0);
pwrite : in std_ulogic;
pwdata : in std_logic_vector(31 downto 0);
--apb slv out
prdata : out std_logic_vector(31 downto 0);
--spw in
d : in std_logic_vector(1 downto 0);
nd : in std_logic_vector(9 downto 0);
dconnect : in std_logic_vector(3 downto 0);
--spw out
do : out std_logic_vector(1 downto 0);
so : out std_logic_vector(1 downto 0);
rxrsto : out std_ulogic;
--time iface
tickin : in std_ulogic;
tickout : out std_ulogic;
--irq
irq : out std_logic;
--misc
clkdiv10 : in std_logic_vector(7 downto 0);
dcrstval : in std_logic_vector(9 downto 0);
timerrstval : in std_logic_vector(11 downto 0);
--rmapen
rmapen : in std_ulogic;
rmapnodeaddr : in std_logic_vector(7 downto 0);
--clk bufs
rxclki : in std_logic_vector(1 downto 0);
--rx ahb fifo
rxrenable : out std_ulogic;
rxraddress : out std_logic_vector(4 downto 0);
rxwrite : out std_ulogic;
rxwdata : out std_logic_vector(31 downto 0);
rxwaddress : out std_logic_vector(4 downto 0);
rxrdata : in std_logic_vector(31 downto 0);
--tx ahb fifo
txrenable : out std_ulogic;
txraddress : out std_logic_vector(4 downto 0);
txwrite : out std_ulogic;
txwdata : out std_logic_vector(31 downto 0);
txwaddress : out std_logic_vector(4 downto 0);
txrdata : in std_logic_vector(31 downto 0);
--nchar fifo
ncrenable : out std_ulogic;
ncraddress : out std_logic_vector(5 downto 0);
ncwrite : out std_ulogic;
ncwdata : out std_logic_vector(8 downto 0);
ncwaddress : out std_logic_vector(5 downto 0);
ncrdata : in std_logic_vector(8 downto 0);
--rmap buf
rmrenable : out std_ulogic;
rmraddress : out std_logic_vector(7 downto 0);
rmwrite : out std_ulogic;
rmwdata : out std_logic_vector(7 downto 0);
rmwaddress : out std_logic_vector(7 downto 0);
rmrdata : in std_logic_vector(7 downto 0);
linkdis : out std_ulogic;
testclk : in std_ulogic := '0';
testrst : in std_ulogic := '0';
testen : in std_ulogic := '0'
);
end component;
component grspwc2_net is
generic(
rmap : integer range 0 to 2 := 0;
rmapcrc : integer range 0 to 1 := 0;
fifosize1 : integer range 4 to 32 := 32;
fifosize2 : integer range 16 to 64 := 64;
rxunaligned : integer range 0 to 1 := 0;
rmapbufs : integer range 2 to 8 := 4;
scantest : integer range 0 to 1 := 0;
ports : integer range 1 to 2 := 1;
dmachan : integer range 1 to 4 := 1;
tech : integer;
input_type : integer range 0 to 4 := 0;
output_type : integer range 0 to 2 := 0;
rxtx_sameclk : integer range 0 to 1 := 0;
nodeaddr : integer range 0 to 255 := 254;
destkey : integer range 0 to 255 := 0;
interruptdist : integer range 0 to 32 := 0;
intscalerbits : integer range 0 to 31 := 0;
intisrtimerbits : integer range 0 to 31 := 0;
intiatimerbits : integer range 0 to 31 := 0;
intctimerbits : integer range 0 to 31 := 0;
tickinasync : integer range 0 to 1 := 0;
pnp : integer range 0 to 2 := 0;
pnpvendid : integer range 0 to 16#FFFF# := 0;
pnpprodid : integer range 0 to 16#FFFF# := 0;
pnpmajorver : integer range 0 to 16#FF# := 0;
pnpminorver : integer range 0 to 16#FF# := 0;
pnppatch : integer range 0 to 16#FF# := 0;
num_txdesc : integer range 64 to 512 := 64;
num_rxdesc : integer range 128 to 1024 := 128
);
port(
rst : in std_ulogic;
clk : in std_ulogic;
rxclk : in std_logic_vector(1 downto 0);
txclk : in std_ulogic;
txclkn : in std_ulogic;
--ahb mst in
hgrant : in std_ulogic;
hready : in std_ulogic;
hresp : in std_logic_vector(1 downto 0);
hrdata : in std_logic_vector(31 downto 0);
--ahb mst out
hbusreq : out std_ulogic;
hlock : out std_ulogic;
htrans : out std_logic_vector(1 downto 0);
haddr : out std_logic_vector(31 downto 0);
hwrite : out std_ulogic;
hsize : out std_logic_vector(2 downto 0);
hburst : out std_logic_vector(2 downto 0);
hprot : out std_logic_vector(3 downto 0);
hwdata : out std_logic_vector(31 downto 0);
--apb slv in
psel : in std_ulogic;
penable : in std_ulogic;
paddr : in std_logic_vector(31 downto 0);
pwrite : in std_ulogic;
pwdata : in std_logic_vector(31 downto 0);
--apb slv out
prdata : out std_logic_vector(31 downto 0);
--spw in
d : in std_logic_vector(3 downto 0);
dv : in std_logic_vector(3 downto 0);
dconnect : in std_logic_vector(3 downto 0);
--spw out
do : out std_logic_vector(3 downto 0);
so : out std_logic_vector(3 downto 0);
--time iface
tickin : in std_logic;
tickinraw : in std_logic;
timein : in std_logic_vector(7 downto 0);
tickindone : out std_logic;
tickout : out std_logic;
tickoutraw : out std_logic;
timeout : out std_logic_vector(7 downto 0);
--irq
irq : out std_logic;
--misc
clkdiv10 : in std_logic_vector(7 downto 0);
dcrstval : in std_logic_vector(9 downto 0);
timerrstval : in std_logic_vector(11 downto 0);
--rmapen
rmapen : in std_ulogic;
rmapnodeaddr : in std_logic_vector(7 downto 0);
--rx ahb fifo
rxrenable : out std_ulogic;
rxraddress : out std_logic_vector(5 downto 0);
rxwrite : out std_ulogic;
rxwdata : out std_logic_vector(31 downto 0);
rxwaddress : out std_logic_vector(5 downto 0);
rxrdata : in std_logic_vector(31 downto 0);
--tx ahb fifo
txrenable : out std_ulogic;
txraddress : out std_logic_vector(5 downto 0);
txwrite : out std_ulogic;
txwdata : out std_logic_vector(31 downto 0);
txwaddress : out std_logic_vector(5 downto 0);
txrdata : in std_logic_vector(31 downto 0);
--nchar fifo
ncrenable : out std_ulogic;
ncraddress : out std_logic_vector(5 downto 0);
ncwrite : out std_ulogic;
ncwdata : out std_logic_vector(9 downto 0);
ncwaddress : out std_logic_vector(5 downto 0);
ncrdata : in std_logic_vector(9 downto 0);
--rmap buf
rmrenable : out std_ulogic;
rmraddress : out std_logic_vector(7 downto 0);
rmwrite : out std_ulogic;
rmwdata : out std_logic_vector(7 downto 0);
rmwaddress : out std_logic_vector(7 downto 0);
rmrdata : in std_logic_vector(7 downto 0);
linkdis : out std_ulogic;
testclk : in std_ulogic;
testrst : in std_logic;
testen : in std_logic;
rxdav : out std_logic;
rxdataout : out std_logic_vector(8 downto 0);
loopback : out std_logic;
-- interrupt dist. default values
intpreload : in std_logic_vector(30 downto 0);
inttreload : in std_logic_vector(30 downto 0);
intiareload : in std_logic_vector(30 downto 0);
intcreload : in std_logic_vector(30 downto 0);
irqtxdefault : in std_logic_vector(4 downto 0);
--SpW PnP enable
pnpen : in std_ulogic;
pnpuvendid : in std_logic_vector(15 downto 0);
pnpuprodid : in std_logic_vector(15 downto 0);
pnpusn : in std_logic_vector(31 downto 0)
);
end component;
component grlfpw_net
generic (tech : integer := 0;
pclow : integer range 0 to 2 := 2;
dsu : integer range 0 to 1 := 1;
disas : integer range 0 to 2 := 0;
pipe : integer range 0 to 2 := 0
);
port (
rst : in std_ulogic; -- Reset
clk : in std_ulogic;
holdn : in std_ulogic; -- pipeline hold
cpi_flush : in std_ulogic; -- pipeline flush
cpi_exack : in std_ulogic; -- FP exception acknowledge
cpi_a_rs1 : in std_logic_vector(4 downto 0);
cpi_d_pc : in std_logic_vector(31 downto 0);
cpi_d_inst : in std_logic_vector(31 downto 0);
cpi_d_cnt : in std_logic_vector(1 downto 0);
cpi_d_trap : in std_ulogic;
cpi_d_annul : in std_ulogic;
cpi_d_pv : in std_ulogic;
cpi_a_pc : in std_logic_vector(31 downto 0);
cpi_a_inst : in std_logic_vector(31 downto 0);
cpi_a_cnt : in std_logic_vector(1 downto 0);
cpi_a_trap : in std_ulogic;
cpi_a_annul : in std_ulogic;
cpi_a_pv : in std_ulogic;
cpi_e_pc : in std_logic_vector(31 downto 0);
cpi_e_inst : in std_logic_vector(31 downto 0);
cpi_e_cnt : in std_logic_vector(1 downto 0);
cpi_e_trap : in std_ulogic;
cpi_e_annul : in std_ulogic;
cpi_e_pv : in std_ulogic;
cpi_m_pc : in std_logic_vector(31 downto 0);
cpi_m_inst : in std_logic_vector(31 downto 0);
cpi_m_cnt : in std_logic_vector(1 downto 0);
cpi_m_trap : in std_ulogic;
cpi_m_annul : in std_ulogic;
cpi_m_pv : in std_ulogic;
cpi_x_pc : in std_logic_vector(31 downto 0);
cpi_x_inst : in std_logic_vector(31 downto 0);
cpi_x_cnt : in std_logic_vector(1 downto 0);
cpi_x_trap : in std_ulogic;
cpi_x_annul : in std_ulogic;
cpi_x_pv : in std_ulogic;
cpi_lddata : in std_logic_vector(31 downto 0); -- load data
cpi_dbg_enable : in std_ulogic;
cpi_dbg_write : in std_ulogic;
cpi_dbg_fsr : in std_ulogic; -- FSR access
cpi_dbg_addr : in std_logic_vector(4 downto 0);
cpi_dbg_data : in std_logic_vector(31 downto 0);
cpo_data : out std_logic_vector(31 downto 0); -- store data
cpo_exc : out std_logic; -- FP exception
cpo_cc : out std_logic_vector(1 downto 0); -- FP condition codes
cpo_ccv : out std_ulogic; -- FP condition codes valid
cpo_ldlock : out std_logic; -- FP pipeline hold
cpo_holdn : out std_ulogic;
cpo_dbg_data : out std_logic_vector(31 downto 0);
rfi1_rd1addr : out std_logic_vector(3 downto 0);
rfi1_rd2addr : out std_logic_vector(3 downto 0);
rfi1_wraddr : out std_logic_vector(3 downto 0);
rfi1_wrdata : out std_logic_vector(31 downto 0);
rfi1_ren1 : out std_ulogic;
rfi1_ren2 : out std_ulogic;
rfi1_wren : out std_ulogic;
rfi2_rd1addr : out std_logic_vector(3 downto 0);
rfi2_rd2addr : out std_logic_vector(3 downto 0);
rfi2_wraddr : out std_logic_vector(3 downto 0);
rfi2_wrdata : out std_logic_vector(31 downto 0);
rfi2_ren1 : out std_ulogic;
rfi2_ren2 : out std_ulogic;
rfi2_wren : out std_ulogic;
rfo1_data1 : in std_logic_vector(31 downto 0);
rfo1_data2 : in std_logic_vector(31 downto 0);
rfo2_data1 : in std_logic_vector(31 downto 0);
rfo2_data2 : in std_logic_vector(31 downto 0)
);
end component;
component grfpw_net
generic (tech : integer := 0;
pclow : integer range 0 to 2 := 2;
dsu : integer range 0 to 2 := 1;
disas : integer range 0 to 2 := 0;
pipe : integer range 0 to 2 := 0
);
port (
rst : in std_ulogic; -- Reset
clk : in std_ulogic;
holdn : in std_ulogic; -- pipeline hold
cpi_flush : in std_ulogic; -- pipeline flush
cpi_exack : in std_ulogic; -- FP exception acknowledge
cpi_a_rs1 : in std_logic_vector(4 downto 0);
cpi_d_pc : in std_logic_vector(31 downto 0);
cpi_d_inst : in std_logic_vector(31 downto 0);
cpi_d_cnt : in std_logic_vector(1 downto 0);
cpi_d_trap : in std_ulogic;
cpi_d_annul : in std_ulogic;
cpi_d_pv : in std_ulogic;
cpi_a_pc : in std_logic_vector(31 downto 0);
cpi_a_inst : in std_logic_vector(31 downto 0);
cpi_a_cnt : in std_logic_vector(1 downto 0);
cpi_a_trap : in std_ulogic;
cpi_a_annul : in std_ulogic;
cpi_a_pv : in std_ulogic;
cpi_e_pc : in std_logic_vector(31 downto 0);
cpi_e_inst : in std_logic_vector(31 downto 0);
cpi_e_cnt : in std_logic_vector(1 downto 0);
cpi_e_trap : in std_ulogic;
cpi_e_annul : in std_ulogic;
cpi_e_pv : in std_ulogic;
cpi_m_pc : in std_logic_vector(31 downto 0);
cpi_m_inst : in std_logic_vector(31 downto 0);
cpi_m_cnt : in std_logic_vector(1 downto 0);
cpi_m_trap : in std_ulogic;
cpi_m_annul : in std_ulogic;
cpi_m_pv : in std_ulogic;
cpi_x_pc : in std_logic_vector(31 downto 0);
cpi_x_inst : in std_logic_vector(31 downto 0);
cpi_x_cnt : in std_logic_vector(1 downto 0);
cpi_x_trap : in std_ulogic;
cpi_x_annul : in std_ulogic;
cpi_x_pv : in std_ulogic;
cpi_lddata : in std_logic_vector(31 downto 0); -- load data
cpi_dbg_enable : in std_ulogic;
cpi_dbg_write : in std_ulogic;
cpi_dbg_fsr : in std_ulogic; -- FSR access
cpi_dbg_addr : in std_logic_vector(4 downto 0);
cpi_dbg_data : in std_logic_vector(31 downto 0);
cpo_data : out std_logic_vector(31 downto 0); -- store data
cpo_exc : out std_logic; -- FP exception
cpo_cc : out std_logic_vector(1 downto 0); -- FP condition codes
cpo_ccv : out std_ulogic; -- FP condition codes valid
cpo_ldlock : out std_logic; -- FP pipeline hold
cpo_holdn : out std_ulogic;
cpo_dbg_data : out std_logic_vector(31 downto 0);
rfi1_rd1addr : out std_logic_vector(3 downto 0);
rfi1_rd2addr : out std_logic_vector(3 downto 0);
rfi1_wraddr : out std_logic_vector(3 downto 0);
rfi1_wrdata : out std_logic_vector(31 downto 0);
rfi1_ren1 : out std_ulogic;
rfi1_ren2 : out std_ulogic;
rfi1_wren : out std_ulogic;
rfi2_rd1addr : out std_logic_vector(3 downto 0);
rfi2_rd2addr : out std_logic_vector(3 downto 0);
rfi2_wraddr : out std_logic_vector(3 downto 0);
rfi2_wrdata : out std_logic_vector(31 downto 0);
rfi2_ren1 : out std_ulogic;
rfi2_ren2 : out std_ulogic;
rfi2_wren : out std_ulogic;
rfo1_data1 : in std_logic_vector(31 downto 0);
rfo1_data2 : in std_logic_vector(31 downto 0);
rfo2_data1 : in std_logic_vector(31 downto 0);
rfo2_data2 : in std_logic_vector(31 downto 0)
);
end component;
component leon3_net
generic (
hindex : integer := 0;
fabtech : integer range 0 to NTECH := DEFFABTECH;
memtech : integer range 0 to NTECH := DEFMEMTECH;
nwindows : integer range 2 to 32 := 8;
dsu : integer range 0 to 1 := 0;
fpu : integer range 0 to 63 := 0;
v8 : integer range 0 to 63 := 0;
cp : integer range 0 to 1 := 0;
mac : integer range 0 to 1 := 0;
pclow : integer range 0 to 2 := 2;
notag : integer range 0 to 1 := 0;
nwp : integer range 0 to 4 := 0;
icen : integer range 0 to 1 := 0;
irepl : integer range 0 to 3 := 2;
isets : integer range 1 to 4 := 1;
ilinesize : integer range 4 to 8 := 4;
isetsize : integer range 1 to 256 := 1;
isetlock : integer range 0 to 1 := 0;
dcen : integer range 0 to 1 := 0;
drepl : integer range 0 to 3 := 2;
dsets : integer range 1 to 4 := 1;
dlinesize : integer range 4 to 8 := 4;
dsetsize : integer range 1 to 256 := 1;
dsetlock : integer range 0 to 1 := 0;
dsnoop : integer range 0 to 6 := 0;
ilram : integer range 0 to 1 := 0;
ilramsize : integer range 1 to 512 := 1;
ilramstart : integer range 0 to 255 := 16#8e#;
dlram : integer range 0 to 1 := 0;
dlramsize : integer range 1 to 512 := 1;
dlramstart : integer range 0 to 255 := 16#8f#;
mmuen : integer range 0 to 1 := 0;
itlbnum : integer range 2 to 64 := 8;
dtlbnum : integer range 2 to 64 := 8;
tlb_type : integer range 0 to 3 := 1;
tlb_rep : integer range 0 to 1 := 0;
lddel : integer range 1 to 2 := 2;
disas : integer range 0 to 2 := 0;
tbuf : integer range 0 to 128 := 0;
pwd : integer range 0 to 2 := 2;
svt : integer range 0 to 1 := 1;
rstaddr : integer := 0;
smp : integer range 0 to 15 := 0;
iuft : integer range 0 to 4 := 0;
fpft : integer range 0 to 4 := 0;
cmft : integer range 0 to 1 := 0;
cached : integer := 0;
clk2x : integer := 1;
scantest : integer := 0;
mmupgsz : integer range 0 to 5 := 0;
bp : integer := 1;
npasi : integer range 0 to 1 := 0;
pwrpsr : integer range 0 to 1 := 0
);
port (
clk : in std_ulogic; -- free-running clock
gclk2 : in std_ulogic; -- gated 2x clock
gfclk2 : in std_ulogic; -- gated 2x FPU clock
clk2 : in std_ulogic; -- free-running 2x clock
rstn : in std_ulogic;
ahbi : in ahb_mst_in_type;
ahbo : out ahb_mst_out_type;
ahbsi : in ahb_slv_in_type;
-- ahbso : in ahb_slv_out_vector;
irqi_irl : in std_logic_vector(3 downto 0);
irqi_rst : in std_ulogic;
irqi_run : in std_ulogic;
irqi_rstvec : in std_logic_vector(31 downto 12);
irqi_iact : in std_ulogic;
irqi_index : in std_logic_vector(3 downto 0);
irqi_hrdrst : in std_ulogic;
irqo_intack : out std_ulogic;
irqo_irl : out std_logic_vector(3 downto 0);
irqo_pwd : out std_ulogic;
irqo_fpen : out std_ulogic;
irqo_idle : out std_ulogic;
dbgi_dsuen : in std_ulogic; -- DSU enable
dbgi_denable : in std_ulogic; -- diagnostic register access enablee
dbgi_dbreak : in std_ulogic; -- debug break-in
dbgi_step : in std_ulogic; -- single step
dbgi_halt : in std_ulogic; -- halt processor
dbgi_reset : in std_ulogic; -- reset processor
dbgi_dwrite : in std_ulogic; -- read/write
dbgi_daddr : in std_logic_vector(23 downto 2); -- diagnostic address
dbgi_ddata : in std_logic_vector(31 downto 0); -- diagnostic data
dbgi_btrapa : in std_ulogic; -- break on IU trap
dbgi_btrape : in std_ulogic; -- break on IU trap
dbgi_berror : in std_ulogic; -- break on IU error mode
dbgi_bwatch : in std_ulogic; -- break on IU watchpoint
dbgi_bsoft : in std_ulogic; -- break on software breakpoint (TA 1)
dbgi_tenable : in std_ulogic;
dbgi_timer : in std_logic_vector(30 downto 0);
dbgo_data : out std_logic_vector(31 downto 0);
dbgo_crdy : out std_ulogic;
dbgo_dsu : out std_ulogic;
dbgo_dsumode : out std_ulogic;
dbgo_error : out std_ulogic;
dbgo_halt : out std_ulogic;
dbgo_pwd : out std_ulogic;
dbgo_idle : out std_ulogic;
dbgo_ipend : out std_ulogic;
dbgo_icnt : out std_ulogic;
dbgo_fcnt : out std_ulogic;
dbgo_optype : out std_logic_vector(5 downto 0); -- instruction type
dbgo_bpmiss : out std_ulogic; -- branch predict miss
dbgo_istat_cmiss : out std_ulogic;
dbgo_istat_tmiss : out std_ulogic;
dbgo_istat_chold : out std_ulogic;
dbgo_istat_mhold : out std_ulogic;
dbgo_dstat_cmiss : out std_ulogic;
dbgo_dstat_tmiss : out std_ulogic;
dbgo_dstat_chold : out std_ulogic;
dbgo_dstat_mhold : out std_ulogic;
dbgo_wbhold : out std_ulogic; -- write buffer hold
dbgo_su : out std_ulogic;
-- fpui : out grfpu_in_type;
-- fpuo : in grfpu_out_type;
clken : in std_ulogic
);
end component;
component ssrctrl_net
generic (
tech: Integer := 0;
bus16: Integer := 1);
port (
rst: in Std_Logic;
clk: in Std_Logic;
n_ahbsi_hsel: in Std_Logic_Vector(0 to 15);
n_ahbsi_haddr: in Std_Logic_Vector(31 downto 0);
n_ahbsi_hwrite: in Std_Logic;
n_ahbsi_htrans: in Std_Logic_Vector(1 downto 0);
n_ahbsi_hsize: in Std_Logic_Vector(2 downto 0);
n_ahbsi_hburst: in Std_Logic_Vector(2 downto 0);
n_ahbsi_hwdata: in Std_Logic_Vector(31 downto 0);
n_ahbsi_hprot: in Std_Logic_Vector(3 downto 0);
n_ahbsi_hready: in Std_Logic;
n_ahbsi_hmaster: in Std_Logic_Vector(3 downto 0);
n_ahbsi_hmastlock:in Std_Logic;
n_ahbsi_hmbsel: in Std_Logic_Vector(0 to 3);
n_ahbsi_hirq: in Std_Logic_Vector(31 downto 0);
n_ahbso_hready: out Std_Logic;
n_ahbso_hresp: out Std_Logic_Vector(1 downto 0);
n_ahbso_hrdata: out Std_Logic_Vector(31 downto 0);
n_ahbso_hsplit: out Std_Logic_Vector(15 downto 0);
n_ahbso_hirq: out Std_Logic_Vector(31 downto 0);
n_apbi_psel: in Std_Logic_Vector(0 to 15);
n_apbi_penable: in Std_Logic;
n_apbi_paddr: in Std_Logic_Vector(31 downto 0);
n_apbi_pwrite: in Std_Logic;
n_apbi_pwdata: in Std_Logic_Vector(31 downto 0);
n_apbi_pirq: in Std_Logic_Vector(31 downto 0);
n_apbo_prdata: out Std_Logic_Vector(31 downto 0);
n_apbo_pirq: out Std_Logic_Vector(31 downto 0);
n_sri_data: in Std_Logic_Vector(31 downto 0);
n_sri_brdyn: in Std_Logic;
n_sri_bexcn: in Std_Logic;
n_sri_writen: in Std_Logic;
n_sri_wrn: in Std_Logic_Vector(3 downto 0);
n_sri_bwidth: in Std_Logic_Vector(1 downto 0);
n_sri_sd: in Std_Logic_Vector(63 downto 0);
n_sri_cb: in Std_Logic_Vector(7 downto 0);
n_sri_scb: in Std_Logic_Vector(7 downto 0);
n_sri_edac: in Std_Logic;
n_sro_address: out Std_Logic_Vector(31 downto 0);
n_sro_data: out Std_Logic_Vector(31 downto 0);
n_sro_sddata: out Std_Logic_Vector(63 downto 0);
n_sro_ramsn: out Std_Logic_Vector(7 downto 0);
n_sro_ramoen: out Std_Logic_Vector(7 downto 0);
n_sro_ramn: out Std_Logic;
n_sro_romn: out Std_Logic;
n_sro_mben: out Std_Logic_Vector(3 downto 0);
n_sro_iosn: out Std_Logic;
n_sro_romsn: out Std_Logic_Vector(7 downto 0);
n_sro_oen: out Std_Logic;
n_sro_writen: out Std_Logic;
n_sro_wrn: out Std_Logic_Vector(3 downto 0);
n_sro_bdrive: out Std_Logic_Vector(3 downto 0);
n_sro_vbdrive: out Std_Logic_Vector(31 downto 0);
n_sro_svbdrive: out Std_Logic_Vector(63 downto 0);
n_sro_read: out Std_Logic;
n_sro_sa: out Std_Logic_Vector(14 downto 0);
n_sro_cb: out Std_Logic_Vector(7 downto 0);
n_sro_scb: out Std_Logic_Vector(7 downto 0);
n_sro_vcdrive: out Std_Logic_Vector(7 downto 0);
n_sro_svcdrive: out Std_Logic_Vector(7 downto 0);
n_sro_ce: out Std_Logic);
end component;
component ftsrctrl_net
generic (
hindex : integer := 0;
romaddr : integer := 0;
rommask : integer := 16#ff0#;
ramaddr : integer := 16#400#;
rammask : integer := 16#ff0#;
ioaddr : integer := 16#200#;
iomask : integer := 16#ff0#;
ramws : integer := 0;
romws : integer := 2;
iows : integer := 2;
rmw : integer := 0;
srbanks : integer range 1 to 8 := 1;
banksz : integer range 0 to 15 := 15;
rombanks : integer range 1 to 8 := 1;
rombanksz : integer range 0 to 15 := 15;
rombankszdef : integer range 0 to 15 := 15;
pindex : integer := 0;
paddr : integer := 0;
pmask : integer := 16#fff#;
edacen : integer range 0 to 1 := 1;
errcnt : integer range 0 to 1 := 0;
cntbits : integer range 1 to 8 := 1;
wsreg : integer := 0;
oepol : integer := 0;
prom8en : integer := 0;
netlist : integer := 0;
tech : integer := 0
);
port (
rst: in Std_ULogic;
clk: in Std_ULogic;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type;
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type;
sri_data: in Std_Logic_Vector(31 downto 0); -- Data bus address
sri_brdyn: in Std_Logic;
sri_bexcn: in Std_Logic;
sri_writen: in Std_Logic;
sri_wrn: in Std_Logic_Vector(3 downto 0);
sri_bwidth: in Std_Logic_Vector(1 downto 0);
sri_sd: in Std_Logic_Vector(63 downto 0);
sri_cb: in Std_Logic_Vector(15 downto 0);
sri_scb: in Std_Logic_Vector(15 downto 0);
sri_edac: in Std_Logic;
sro_address: out Std_Logic_Vector(31 downto 0);
sro_data: out Std_Logic_Vector(31 downto 0);
sro_sddata: out Std_Logic_Vector(63 downto 0);
sro_ramsn: out Std_Logic_Vector(7 downto 0);
sro_ramoen: out Std_Logic_Vector(7 downto 0);
sro_ramn: out Std_ULogic;
sro_romn: out Std_ULogic;
sro_mben: out Std_Logic_Vector(3 downto 0);
sro_iosn: out Std_Logic;
sro_romsn: out Std_Logic_Vector(7 downto 0);
sro_oen: out Std_Logic;
sro_writen: out Std_Logic;
sro_wrn: out Std_Logic_Vector(3 downto 0);
sro_bdrive: out Std_Logic_Vector(3 downto 0);
sro_vbdrive: out Std_Logic_Vector(31 downto 0); --vector bus drive
sro_svbdrive: out Std_Logic_Vector(63 downto 0); --vector bus drive sdram
sro_read: out Std_Logic;
sro_sa: out Std_Logic_Vector(14 downto 0);
sro_cb: out Std_Logic_Vector(15 downto 0);
sro_scb: out Std_Logic_Vector(15 downto 0);
sro_vcdrive: out Std_Logic_Vector(15 downto 0); --vector bus drive cb
sro_svcdrive: out Std_Logic_Vector(15 downto 0); --vector bus drive cb sdram
sro_ce: out Std_ULogic;
sdo_sdcke: out Std_Logic_Vector( 1 downto 0); -- clk en
sdo_sdcsn: out Std_Logic_Vector( 1 downto 0); -- chip sel
sdo_sdwen: out Std_ULogic; -- write en
sdo_rasn: out Std_ULogic; -- row addr stb
sdo_casn: out Std_ULogic; -- col addr stb
sdo_dqm: out Std_Logic_Vector(15 downto 0); -- data i/o mask
sdo_bdrive: out Std_ULogic; -- bus drive
sdo_qdrive: out Std_ULogic; -- bus drive
sdo_vbdrive: out Std_Logic_Vector(31 downto 0); -- vector bus drive
sdo_address: out Std_Logic_Vector(16 downto 2); -- address out
sdo_data: out Std_Logic_Vector(127 downto 0); -- data out
sdo_cb: out Std_Logic_Vector(15 downto 0);
sdo_ce: out Std_ULogic;
sdo_ba: out Std_Logic_Vector(2 downto 0)); -- bank address
end component;
component grlfpw4_net
generic (tech : integer := 0;
pclow : integer range 0 to 2 := 2;
dsu : integer range 0 to 1 := 1;
disas : integer range 0 to 2 := 0;
pipe : integer range 0 to 2 := 0;
wrt : integer range 0 to 2 := 0
);
port (
rst : in std_ulogic; -- Reset
clk : in std_ulogic;
holdn : in std_ulogic; -- pipeline hold
cpi_flush : in std_ulogic; -- pipeline flush
cpi_exack : in std_ulogic; -- FP exception acknowledge
cpi_a_rs1 : in std_logic_vector(4 downto 0);
cpi_d_pc : in std_logic_vector(31 downto 0);
cpi_d_inst : in std_logic_vector(31 downto 0);
cpi_d_cnt : in std_logic_vector(1 downto 0);
cpi_d_trap : in std_ulogic;
cpi_d_annul : in std_ulogic;
cpi_d_pv : in std_ulogic;
cpi_a_pc : in std_logic_vector(31 downto 0);
cpi_a_inst : in std_logic_vector(31 downto 0);
cpi_a_cnt : in std_logic_vector(1 downto 0);
cpi_a_trap : in std_ulogic;
cpi_a_annul : in std_ulogic;
cpi_a_pv : in std_ulogic;
cpi_e_pc : in std_logic_vector(31 downto 0);
cpi_e_inst : in std_logic_vector(31 downto 0);
cpi_e_cnt : in std_logic_vector(1 downto 0);
cpi_e_trap : in std_ulogic;
cpi_e_annul : in std_ulogic;
cpi_e_pv : in std_ulogic;
cpi_m_pc : in std_logic_vector(31 downto 0);
cpi_m_inst : in std_logic_vector(31 downto 0);
cpi_m_cnt : in std_logic_vector(1 downto 0);
cpi_m_trap : in std_ulogic;
cpi_m_annul : in std_ulogic;
cpi_m_pv : in std_ulogic;
cpi_x_pc : in std_logic_vector(31 downto 0);
cpi_x_inst : in std_logic_vector(31 downto 0);
cpi_x_cnt : in std_logic_vector(1 downto 0);
cpi_x_trap : in std_ulogic;
cpi_x_annul : in std_ulogic;
cpi_x_pv : in std_ulogic;
cpi_lddata : in std_logic_vector(63 downto 0); -- load data
cpi_dbg_enable : in std_ulogic;
cpi_dbg_write : in std_ulogic;
cpi_dbg_fsr : in std_ulogic; -- FSR access
cpi_dbg_addr : in std_logic_vector(4 downto 0);
cpi_dbg_data : in std_logic_vector(31 downto 0);
cpo_data : out std_logic_vector(63 downto 0); -- store data
cpo_exc : out std_logic; -- FP exception
cpo_cc : out std_logic_vector(1 downto 0); -- FP condition codes
cpo_ccv : out std_ulogic; -- FP condition codes valid
cpo_ldlock : out std_logic; -- FP pipeline hold
cpo_holdn : out std_ulogic;
cpo_dbg_data : out std_logic_vector(31 downto 0);
rfi1_rd1addr : out std_logic_vector(3 downto 0);
rfi1_rd2addr : out std_logic_vector(3 downto 0);
rfi1_wraddr : out std_logic_vector(3 downto 0);
rfi1_wrdata : out std_logic_vector(31 downto 0);
rfi1_ren1 : out std_ulogic;
rfi1_ren2 : out std_ulogic;
rfi1_wren : out std_ulogic;
rfi2_rd1addr : out std_logic_vector(3 downto 0);
rfi2_rd2addr : out std_logic_vector(3 downto 0);
rfi2_wraddr : out std_logic_vector(3 downto 0);
rfi2_wrdata : out std_logic_vector(31 downto 0);
rfi2_ren1 : out std_ulogic;
rfi2_ren2 : out std_ulogic;
rfi2_wren : out std_ulogic;
rfo1_data1 : in std_logic_vector(31 downto 0);
rfo1_data2 : in std_logic_vector(31 downto 0);
rfo2_data1 : in std_logic_vector(31 downto 0);
rfo2_data2 : in std_logic_vector(31 downto 0)
);
end component;
component grfpw4_net
generic (tech : integer := 0;
pclow : integer range 0 to 2 := 2;
dsu : integer range 0 to 2 := 1;
disas : integer range 0 to 2 := 0;
pipe : integer range 0 to 2 := 0
);
port (
rst : in std_ulogic; -- Reset
clk : in std_ulogic;
fpuclk : in std_ulogic;
holdn : in std_ulogic; -- pipeline hold
cpi_flush : in std_ulogic; -- pipeline flush
cpi_exack : in std_ulogic; -- FP exception acknowledge
cpi_a_rs1 : in std_logic_vector(4 downto 0);
cpi_d_pc : in std_logic_vector(31 downto 0);
cpi_d_inst : in std_logic_vector(31 downto 0);
cpi_d_cnt : in std_logic_vector(1 downto 0);
cpi_d_trap : in std_ulogic;
cpi_d_annul : in std_ulogic;
cpi_d_pv : in std_ulogic;
cpi_a_pc : in std_logic_vector(31 downto 0);
cpi_a_inst : in std_logic_vector(31 downto 0);
cpi_a_cnt : in std_logic_vector(1 downto 0);
cpi_a_trap : in std_ulogic;
cpi_a_annul : in std_ulogic;
cpi_a_pv : in std_ulogic;
cpi_e_pc : in std_logic_vector(31 downto 0);
cpi_e_inst : in std_logic_vector(31 downto 0);
cpi_e_cnt : in std_logic_vector(1 downto 0);
cpi_e_trap : in std_ulogic;
cpi_e_annul : in std_ulogic;
cpi_e_pv : in std_ulogic;
cpi_m_pc : in std_logic_vector(31 downto 0);
cpi_m_inst : in std_logic_vector(31 downto 0);
cpi_m_cnt : in std_logic_vector(1 downto 0);
cpi_m_trap : in std_ulogic;
cpi_m_annul : in std_ulogic;
cpi_m_pv : in std_ulogic;
cpi_x_pc : in std_logic_vector(31 downto 0);
cpi_x_inst : in std_logic_vector(31 downto 0);
cpi_x_cnt : in std_logic_vector(1 downto 0);
cpi_x_trap : in std_ulogic;
cpi_x_annul : in std_ulogic;
cpi_x_pv : in std_ulogic;
cpi_lddata : in std_logic_vector(63 downto 0); -- load data
cpi_dbg_enable : in std_ulogic;
cpi_dbg_write : in std_ulogic;
cpi_dbg_fsr : in std_ulogic; -- FSR access
cpi_dbg_addr : in std_logic_vector(4 downto 0);
cpi_dbg_data : in std_logic_vector(31 downto 0);
cpo_data : out std_logic_vector(63 downto 0); -- store data
cpo_exc : out std_logic; -- FP exception
cpo_cc : out std_logic_vector(1 downto 0); -- FP condition codes
cpo_ccv : out std_ulogic; -- FP condition codes valid
cpo_ldlock : out std_logic; -- FP pipeline hold
cpo_holdn : out std_ulogic;
cpo_dbg_data : out std_logic_vector(31 downto 0);
rfi1_rd1addr : out std_logic_vector(3 downto 0);
rfi1_rd2addr : out std_logic_vector(3 downto 0);
rfi1_wraddr : out std_logic_vector(3 downto 0);
rfi1_wrdata : out std_logic_vector(31 downto 0);
rfi1_ren1 : out std_ulogic;
rfi1_ren2 : out std_ulogic;
rfi1_wren : out std_ulogic;
rfi2_rd1addr : out std_logic_vector(3 downto 0);
rfi2_rd2addr : out std_logic_vector(3 downto 0);
rfi2_wraddr : out std_logic_vector(3 downto 0);
rfi2_wrdata : out std_logic_vector(31 downto 0);
rfi2_ren1 : out std_ulogic;
rfi2_ren2 : out std_ulogic;
rfi2_wren : out std_ulogic;
rfo1_data1 : in std_logic_vector(31 downto 0);
rfo1_data2 : in std_logic_vector(31 downto 0);
rfo2_data1 : in std_logic_vector(31 downto 0);
rfo2_data2 : in std_logic_vector(31 downto 0)
);
end component;
component spictrl_net
generic (
tech : integer range 0 to NTECH := 0;
fdepth : integer range 1 to 7 := 1;
slvselen : integer range 0 to 1 := 0;
slvselsz : integer range 1 to 32 := 1;
oepol : integer range 0 to 1 := 0;
odmode : integer range 0 to 1 := 0;
automode : integer range 0 to 1 := 0;
acntbits : integer range 1 to 32 := 32;
aslvsel : integer range 0 to 1 := 0;
twen : integer range 0 to 1 := 1;
maxwlen : integer range 0 to 15 := 0;
automask0 : integer := 0;
automask1 : integer := 0;
automask2 : integer := 0;
automask3 : integer := 0);
port (
rstn : in std_ulogic;
clk : in std_ulogic;
apbi_psel : in std_ulogic;
apbi_penable : in std_ulogic;
apbi_paddr : in std_logic_vector(31 downto 0);
apbi_pwrite : in std_ulogic;
apbi_pwdata : in std_logic_vector(31 downto 0);
apbi_testen : in std_ulogic;
apbi_testrst : in std_ulogic;
apbi_scanen : in std_ulogic;
apbi_testoen : in std_ulogic;
apbo_prdata : out std_logic_vector(31 downto 0);
apbo_pirq : out std_ulogic;
spii_miso : in std_ulogic;
spii_mosi : in std_ulogic;
spii_sck : in std_ulogic;
spii_spisel : in std_ulogic;
spii_astart : in std_ulogic;
spii_cstart : in std_ulogic;
spio_miso : out std_ulogic;
spio_misooen : out std_ulogic;
spio_mosi : out std_ulogic;
spio_mosioen : out std_ulogic;
spio_sck : out std_ulogic;
spio_sckoen : out std_ulogic;
spio_enable : out std_ulogic;
spio_astart : out std_ulogic;
spio_aready : out std_ulogic;
slvsel : out std_logic_vector((slvselsz-1) downto 0));
end component;
component leon4_net
generic (
hindex : integer := 0;
fabtech : integer range 0 to NTECH := DEFFABTECH;
memtech : integer range 0 to NTECH := DEFMEMTECH;
nwindows : integer range 2 to 32 := 8;
dsu : integer range 0 to 1 := 0;
fpu : integer range 0 to 31 := 0;
v8 : integer range 0 to 63 := 0;
cp : integer range 0 to 1 := 0;
mac : integer range 0 to 1 := 0;
pclow : integer range 0 to 2 := 2;
notag : integer range 0 to 1 := 0;
nwp : integer range 0 to 4 := 0;
icen : integer range 0 to 1 := 0;
irepl : integer range 0 to 2 := 2;
isets : integer range 1 to 4 := 1;
ilinesize : integer range 4 to 8 := 4;
isetsize : integer range 1 to 256 := 1;
isetlock : integer range 0 to 1 := 0;
dcen : integer range 0 to 1 := 0;
drepl : integer range 0 to 2 := 2;
dsets : integer range 1 to 4 := 1;
dlinesize : integer range 4 to 8 := 4;
dsetsize : integer range 1 to 256 := 1;
dsetlock : integer range 0 to 1 := 0;
dsnoop : integer range 0 to 6 := 0;
ilram : integer range 0 to 1 := 0;
ilramsize : integer range 1 to 512 := 1;
ilramstart : integer range 0 to 255 := 16#8e#;
dlram : integer range 0 to 1 := 0;
dlramsize : integer range 1 to 512 := 1;
dlramstart : integer range 0 to 255 := 16#8f#;
mmuen : integer range 0 to 1 := 0;
itlbnum : integer range 2 to 64 := 8;
dtlbnum : integer range 2 to 64 := 8;
tlb_type : integer range 0 to 3 := 1;
tlb_rep : integer range 0 to 1 := 0;
lddel : integer range 1 to 2 := 2;
disas : integer range 0 to 2 := 0;
tbuf : integer range 0 to 64 := 0;
pwd : integer range 0 to 2 := 2; -- power-down
svt : integer range 0 to 1 := 1; -- single vector trapping
rstaddr : integer := 0;
smp : integer range 0 to 31 := 0; -- support SMP systems
iuft : integer range 0 to 4 := 0;
fpft : integer range 0 to 4 := 0;
cmft : integer range 0 to 1 := 0;
cached : integer := 0;
scantest : integer := 0
);
port (
clk : in std_ulogic;
gclk : in std_ulogic;
hclken : in std_ulogic;
rstn : in std_ulogic;
ahbix : in ahb_mst_in_type;
ahbox : out ahb_mst_out_type;
ahbsix : in ahb_slv_in_type;
ahbso : in ahb_slv_out_vector;
irqi_irl: in std_logic_vector(3 downto 0);
irqi_rst: in std_ulogic;
irqi_run: in std_ulogic;
irqi_rstvec: in std_logic_vector(31 downto 12);
irqi_iact: in std_ulogic;
irqi_index: in std_logic_vector(3 downto 0);
irqi_hrdrst: in std_ulogic;
irqo_intack: out std_ulogic;
irqo_irl: out std_logic_vector(3 downto 0);
irqo_pwd: out std_ulogic;
irqo_fpen: out std_ulogic;
irqo_idle: out std_ulogic;
dbgi_dsuen: in std_ulogic; -- DSU enable
dbgi_denable: in std_ulogic; -- diagnostic register access enable
dbgi_dbreak: in std_ulogic; -- debug break-in
dbgi_step: in std_ulogic; -- single step
dbgi_halt: in std_ulogic; -- halt processor
dbgi_reset: in std_ulogic; -- reset processor
dbgi_dwrite: in std_ulogic; -- read/write
dbgi_daddr: in std_logic_vector(23 downto 2); -- diagnostic address
dbgi_ddata: in std_logic_vector(31 downto 0); -- diagnostic data
dbgi_btrapa: in std_ulogic; -- break on IU trap
dbgi_btrape: in std_ulogic; -- break on IU trap
dbgi_berror: in std_ulogic; -- break on IU error mode
dbgi_bwatch: in std_ulogic; -- break on IU watchpoint
dbgi_bsoft: in std_ulogic; -- break on software breakpoint (TA 1)
dbgi_tenable: in std_ulogic;
dbgi_timer: in std_logic_vector(63 downto 0);
dbgo_data: out std_logic_vector(31 downto 0);
dbgo_crdy: out std_ulogic;
dbgo_dsu: out std_ulogic;
dbgo_dsumode: out std_ulogic;
dbgo_error: out std_ulogic;
dbgo_halt: out std_ulogic;
dbgo_pwd: out std_ulogic;
dbgo_idle: out std_ulogic;
dbgo_ipend: out std_ulogic;
dbgo_icnt: out std_ulogic;
dbgo_fcnt : out std_ulogic;
dbgo_optype : out std_logic_vector(5 downto 0); -- instruction type
dbgo_bpmiss : out std_ulogic; -- branch predict miss
dbgo_istat_cmiss: out std_ulogic;
dbgo_istat_tmiss: out std_ulogic;
dbgo_istat_chold: out std_ulogic;
dbgo_istat_mhold: out std_ulogic;
dbgo_dstat_cmiss: out std_ulogic;
dbgo_dstat_tmiss: out std_ulogic;
dbgo_dstat_chold: out std_ulogic;
dbgo_dstat_mhold: out std_ulogic;
dbgo_wbhold : out std_ulogic; -- write buffer hold
dbgo_su : out std_ulogic;
dbgo_ducnt : out std_ulogic);
end component;
component grpci2_phy_net is
generic(
tech : integer := DEFMEMTECH;
oepol : integer := 0;
bypass : integer range 0 to 1 := 1;
netlist : integer := 0
);
port(
pciclk : in std_logic;
pcii_rst : in std_ulogic;
pcii_gnt : in std_ulogic;
pcii_idsel : in std_ulogic;
pcii_ad : in std_logic_vector(31 downto 0);
pcii_cbe : in std_logic_vector(3 downto 0);
pcii_frame : in std_ulogic;
pcii_irdy : in std_ulogic;
pcii_trdy : in std_ulogic;
pcii_devsel : in std_ulogic;
pcii_stop : in std_ulogic;
pcii_lock : in std_ulogic;
pcii_perr : in std_ulogic;
pcii_serr : in std_ulogic;
pcii_par : in std_ulogic;
pcii_host : in std_ulogic;
pcii_pci66 : in std_ulogic;
pcii_pme_status : in std_ulogic;
pcii_int : in std_logic_vector(3 downto 0);
phyi_pcirstout : in std_logic;
phyi_pciasyncrst : in std_logic;
phyi_pcisoftrst : in std_logic_vector(2 downto 0);
phyi_pciinten : in std_logic_vector(3 downto 0);
phyi_m_request : in std_logic;
phyi_m_mabort : in std_logic;
phyi_pr_m_fstate : in std_logic_vector(1 downto 0);
phyi_pr_m_cfifo_0_data : in std_logic_vector(31 downto 0);
phyi_pr_m_cfifo_0_last : in std_logic;
phyi_pr_m_cfifo_0_stlast : in std_logic;
phyi_pr_m_cfifo_0_hold : in std_logic;
phyi_pr_m_cfifo_0_valid : in std_logic;
phyi_pr_m_cfifo_0_err : in std_logic;
phyi_pr_m_cfifo_1_data : in std_logic_vector(31 downto 0);
phyi_pr_m_cfifo_1_last : in std_logic;
phyi_pr_m_cfifo_1_stlast : in std_logic;
phyi_pr_m_cfifo_1_hold : in std_logic;
phyi_pr_m_cfifo_1_valid : in std_logic;
phyi_pr_m_cfifo_1_err : in std_logic;
phyi_pr_m_cfifo_2_data : in std_logic_vector(31 downto 0);
phyi_pr_m_cfifo_2_last : in std_logic;
phyi_pr_m_cfifo_2_stlast : in std_logic;
phyi_pr_m_cfifo_2_hold : in std_logic;
phyi_pr_m_cfifo_2_valid : in std_logic;
phyi_pr_m_cfifo_2_err : in std_logic;
phyi_pv_m_cfifo_0_data : in std_logic_vector(31 downto 0);
phyi_pv_m_cfifo_0_last : in std_logic;
phyi_pv_m_cfifo_0_stlast : in std_logic;
phyi_pv_m_cfifo_0_hold : in std_logic;
phyi_pv_m_cfifo_0_valid : in std_logic;
phyi_pv_m_cfifo_0_err : in std_logic;
phyi_pv_m_cfifo_1_data : in std_logic_vector(31 downto 0);
phyi_pv_m_cfifo_1_last : in std_logic;
phyi_pv_m_cfifo_1_stlast : in std_logic;
phyi_pv_m_cfifo_1_hold : in std_logic;
phyi_pv_m_cfifo_1_valid : in std_logic;
phyi_pv_m_cfifo_1_err : in std_logic;
phyi_pv_m_cfifo_2_data : in std_logic_vector(31 downto 0);
phyi_pv_m_cfifo_2_last : in std_logic;
phyi_pv_m_cfifo_2_stlast : in std_logic;
phyi_pv_m_cfifo_2_hold : in std_logic;
phyi_pv_m_cfifo_2_valid : in std_logic;
phyi_pv_m_cfifo_2_err : in std_logic;
phyi_pr_m_addr : in std_logic_vector(31 downto 0);
phyi_pr_m_cbe_data : in std_logic_vector(3 downto 0);
phyi_pr_m_cbe_cmd : in std_logic_vector(3 downto 0);
phyi_pr_m_first : in std_logic_vector(1 downto 0);
phyi_pv_m_term : in std_logic_vector(1 downto 0);
phyi_pr_m_ltimer : in std_logic_vector(7 downto 0);
phyi_pr_m_burst : in std_logic;
phyi_pr_m_abort : in std_logic_vector(0 downto 0);
phyi_pr_m_perren : in std_logic_vector(0 downto 0);
phyi_pr_m_done_fifo : in std_logic;
phyi_t_abort : in std_logic;
phyi_t_ready : in std_logic;
phyi_t_retry : in std_logic;
phyi_pr_t_state : in std_logic_vector(2 downto 0);
phyi_pv_t_state : in std_logic_vector(2 downto 0);
phyi_pr_t_fstate : in std_logic_vector(1 downto 0);
phyi_pr_t_cfifo_0_data : in std_logic_vector(31 downto 0);
phyi_pr_t_cfifo_0_last : in std_logic;
phyi_pr_t_cfifo_0_stlast : in std_logic;
phyi_pr_t_cfifo_0_hold : in std_logic;
phyi_pr_t_cfifo_0_valid : in std_logic;
phyi_pr_t_cfifo_0_err : in std_logic;
phyi_pr_t_cfifo_1_data : in std_logic_vector(31 downto 0);
phyi_pr_t_cfifo_1_last : in std_logic;
phyi_pr_t_cfifo_1_stlast : in std_logic;
phyi_pr_t_cfifo_1_hold : in std_logic;
phyi_pr_t_cfifo_1_valid : in std_logic;
phyi_pr_t_cfifo_1_err : in std_logic;
phyi_pr_t_cfifo_2_data : in std_logic_vector(31 downto 0);
phyi_pr_t_cfifo_2_last : in std_logic;
phyi_pr_t_cfifo_2_stlast : in std_logic;
phyi_pr_t_cfifo_2_hold : in std_logic;
phyi_pr_t_cfifo_2_valid : in std_logic;
phyi_pr_t_cfifo_2_err : in std_logic;
phyi_pv_t_diswithout : in std_logic;
phyi_pr_t_stoped : in std_logic;
phyi_pr_t_lcount : in std_logic_vector(2 downto 0);
phyi_pr_t_first_word : in std_logic;
phyi_pr_t_cur_acc_0_read : in std_logic;
phyi_pv_t_hold_write : in std_logic;
phyi_pv_t_hold_reset : in std_logic;
phyi_pr_conf_comm_perren : in std_logic;
phyi_pr_conf_comm_serren : in std_logic;
pcio_aden : out std_ulogic;
pcio_vaden : out std_logic_vector(31 downto 0);
pcio_cbeen : out std_logic_vector(3 downto 0);
pcio_frameen : out std_ulogic;
pcio_irdyen : out std_ulogic;
pcio_trdyen : out std_ulogic;
pcio_devselen : out std_ulogic;
pcio_stopen : out std_ulogic;
pcio_ctrlen : out std_ulogic;
pcio_perren : out std_ulogic;
pcio_paren : out std_ulogic;
pcio_reqen : out std_ulogic;
pcio_locken : out std_ulogic;
pcio_serren : out std_ulogic;
pcio_inten : out std_ulogic;
pcio_vinten : out std_logic_vector(3 downto 0);
pcio_req : out std_ulogic;
pcio_ad : out std_logic_vector(31 downto 0);
pcio_cbe : out std_logic_vector(3 downto 0);
pcio_frame : out std_ulogic;
pcio_irdy : out std_ulogic;
pcio_trdy : out std_ulogic;
pcio_devsel : out std_ulogic;
pcio_stop : out std_ulogic;
pcio_perr : out std_ulogic;
pcio_serr : out std_ulogic;
pcio_par : out std_ulogic;
pcio_lock : out std_ulogic;
pcio_power_state : out std_logic_vector(1 downto 0);
pcio_pme_enable : out std_ulogic;
pcio_pme_clear : out std_ulogic;
pcio_int : out std_ulogic;
pcio_rst : out std_ulogic;
phyo_pciv_rst : out std_ulogic;
phyo_pciv_gnt : out std_ulogic;
phyo_pciv_idsel : out std_ulogic;
phyo_pciv_ad : out std_logic_vector(31 downto 0);
phyo_pciv_cbe : out std_logic_vector(3 downto 0);
phyo_pciv_frame : out std_ulogic;
phyo_pciv_irdy : out std_ulogic;
phyo_pciv_trdy : out std_ulogic;
phyo_pciv_devsel : out std_ulogic;
phyo_pciv_stop : out std_ulogic;
phyo_pciv_lock : out std_ulogic;
phyo_pciv_perr : out std_ulogic;
phyo_pciv_serr : out std_ulogic;
phyo_pciv_par : out std_ulogic;
phyo_pciv_host : out std_ulogic;
phyo_pciv_pci66 : out std_ulogic;
phyo_pciv_pme_status : out std_ulogic;
phyo_pciv_int : out std_logic_vector(3 downto 0);
phyo_pr_m_state : out std_logic_vector(2 downto 0);
phyo_pr_m_last : out std_logic_vector(1 downto 0);
phyo_pr_m_hold : out std_logic_vector(1 downto 0);
phyo_pr_m_term : out std_logic_vector(1 downto 0);
phyo_pr_t_hold : out std_logic_vector(0 downto 0);
phyo_pr_t_stop : out std_logic;
phyo_pr_t_abort : out std_logic;
phyo_pr_t_diswithout : out std_logic;
phyo_pr_t_addr_perr : out std_logic;
phyo_pcirsto : out std_logic_vector(0 downto 0);
phyo_pr_po_ad : out std_logic_vector(31 downto 0);
phyo_pr_po_aden : out std_logic_vector(31 downto 0);
phyo_pr_po_cbe : out std_logic_vector(3 downto 0);
phyo_pr_po_cbeen : out std_logic_vector(3 downto 0);
phyo_pr_po_frame : out std_logic;
phyo_pr_po_frameen : out std_logic;
phyo_pr_po_irdy : out std_logic;
phyo_pr_po_irdyen : out std_logic;
phyo_pr_po_trdy : out std_logic;
phyo_pr_po_trdyen : out std_logic;
phyo_pr_po_stop : out std_logic;
phyo_pr_po_stopen : out std_logic;
phyo_pr_po_devsel : out std_logic;
phyo_pr_po_devselen : out std_logic;
phyo_pr_po_par : out std_logic;
phyo_pr_po_paren : out std_logic;
phyo_pr_po_perr : out std_logic;
phyo_pr_po_perren : out std_logic;
phyo_pr_po_lock : out std_logic;
phyo_pr_po_locken : out std_logic;
phyo_pr_po_req : out std_logic;
phyo_pr_po_reqen : out std_logic;
phyo_pr_po_serren : out std_logic;
phyo_pr_po_inten : out std_logic;
phyo_pr_po_vinten : out std_logic_vector(3 downto 0);
phyo_pio_rst : out std_ulogic;
phyo_pio_gnt : out std_ulogic;
phyo_pio_idsel : out std_ulogic;
phyo_pio_ad : out std_logic_vector(31 downto 0);
phyo_pio_cbe : out std_logic_vector(3 downto 0);
phyo_pio_frame : out std_ulogic;
phyo_pio_irdy : out std_ulogic;
phyo_pio_trdy : out std_ulogic;
phyo_pio_devsel : out std_ulogic;
phyo_pio_stop : out std_ulogic;
phyo_pio_lock : out std_ulogic;
phyo_pio_perr : out std_ulogic;
phyo_pio_serr : out std_ulogic;
phyo_pio_par : out std_ulogic;
phyo_pio_host : out std_ulogic;
phyo_pio_pci66 : out std_ulogic;
phyo_pio_pme_status : out std_ulogic;
phyo_pio_int : out std_logic_vector(3 downto 0);
phyo_poo_ad : out std_logic_vector(31 downto 0);
phyo_poo_aden : out std_logic_vector(31 downto 0);
phyo_poo_cbe : out std_logic_vector(3 downto 0);
phyo_poo_cbeen : out std_logic_vector(3 downto 0);
phyo_poo_frame : out std_logic;
phyo_poo_frameen : out std_logic;
phyo_poo_irdy : out std_logic;
phyo_poo_irdyen : out std_logic;
phyo_poo_trdy : out std_logic;
phyo_poo_trdyen : out std_logic;
phyo_poo_stop : out std_logic;
phyo_poo_stopen : out std_logic;
phyo_poo_devsel : out std_logic;
phyo_poo_devselen : out std_logic;
phyo_poo_par : out std_logic;
phyo_poo_paren : out std_logic;
phyo_poo_perr : out std_logic;
phyo_poo_perren : out std_logic;
phyo_poo_lock : out std_logic;
phyo_poo_locken : out std_logic;
phyo_poo_req : out std_logic;
phyo_poo_reqen : out std_logic;
phyo_poo_serren : out std_logic;
phyo_poo_inten : out std_logic;
phyo_poo_vinten : out std_logic_vector(3 downto 0)
);
end component;
end;
|
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2017.2 (win64) Build 1909853 Thu Jun 15 18:39:09 MDT 2017
-- Date : Wed Sep 20 21:07:50 2017
-- Host : EffulgentTome running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ zqynq_lab_1_design_axi_gpio_0_0_sim_netlist.vhdl
-- Design : zqynq_lab_1_design_axi_gpio_0_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_GPIO_Core is
port (
D : out STD_LOGIC_VECTOR ( 7 downto 0 );
gpio_io_o : out STD_LOGIC_VECTOR ( 7 downto 0 );
GPIO_xferAck_i : out STD_LOGIC;
gpio_xferAck_Reg : out STD_LOGIC;
ip2bus_rdack_i : out STD_LOGIC;
ip2bus_wrack_i_D1_reg : out STD_LOGIC;
gpio_io_t : out STD_LOGIC_VECTOR ( 7 downto 0 );
bus2ip_rnw_i_reg : in STD_LOGIC;
s_axi_aclk : in STD_LOGIC;
SS : in STD_LOGIC_VECTOR ( 0 to 0 );
bus2ip_rnw : in STD_LOGIC;
bus2ip_cs : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
\MEM_DECODE_GEN[0].cs_out_i_reg[0]\ : in STD_LOGIC_VECTOR ( 7 downto 0 );
rst_reg : in STD_LOGIC_VECTOR ( 0 to 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_GPIO_Core;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_GPIO_Core is
signal \^gpio_xferack_i\ : STD_LOGIC;
signal \^gpio_io_o\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \^gpio_xferack_reg\ : STD_LOGIC;
signal iGPIO_xferAck : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of iGPIO_xferAck_i_1 : label is "soft_lutpair4";
attribute SOFT_HLUTNM of ip2bus_rdack_i_D1_i_1 : label is "soft_lutpair4";
begin
GPIO_xferAck_i <= \^gpio_xferack_i\;
gpio_io_o(7 downto 0) <= \^gpio_io_o\(7 downto 0);
gpio_xferAck_Reg <= \^gpio_xferack_reg\;
\Not_Dual.ALLOUT_ND.READ_REG_GEN[0].GPIO_DBus_i_reg[24]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => \^gpio_io_o\(7),
Q => D(7),
R => bus2ip_rnw_i_reg
);
\Not_Dual.ALLOUT_ND.READ_REG_GEN[1].GPIO_DBus_i_reg[25]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => \^gpio_io_o\(6),
Q => D(6),
R => bus2ip_rnw_i_reg
);
\Not_Dual.ALLOUT_ND.READ_REG_GEN[2].GPIO_DBus_i_reg[26]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => \^gpio_io_o\(5),
Q => D(5),
R => bus2ip_rnw_i_reg
);
\Not_Dual.ALLOUT_ND.READ_REG_GEN[3].GPIO_DBus_i_reg[27]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => \^gpio_io_o\(4),
Q => D(4),
R => bus2ip_rnw_i_reg
);
\Not_Dual.ALLOUT_ND.READ_REG_GEN[4].GPIO_DBus_i_reg[28]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => \^gpio_io_o\(3),
Q => D(3),
R => bus2ip_rnw_i_reg
);
\Not_Dual.ALLOUT_ND.READ_REG_GEN[5].GPIO_DBus_i_reg[29]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => \^gpio_io_o\(2),
Q => D(2),
R => bus2ip_rnw_i_reg
);
\Not_Dual.ALLOUT_ND.READ_REG_GEN[6].GPIO_DBus_i_reg[30]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => \^gpio_io_o\(1),
Q => D(1),
R => bus2ip_rnw_i_reg
);
\Not_Dual.ALLOUT_ND.READ_REG_GEN[7].GPIO_DBus_i_reg[31]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => \^gpio_io_o\(0),
Q => D(0),
R => bus2ip_rnw_i_reg
);
\Not_Dual.gpio_Data_Out_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => E(0),
D => \MEM_DECODE_GEN[0].cs_out_i_reg[0]\(7),
Q => \^gpio_io_o\(7),
R => SS(0)
);
\Not_Dual.gpio_Data_Out_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => E(0),
D => \MEM_DECODE_GEN[0].cs_out_i_reg[0]\(6),
Q => \^gpio_io_o\(6),
R => SS(0)
);
\Not_Dual.gpio_Data_Out_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => E(0),
D => \MEM_DECODE_GEN[0].cs_out_i_reg[0]\(5),
Q => \^gpio_io_o\(5),
R => SS(0)
);
\Not_Dual.gpio_Data_Out_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => E(0),
D => \MEM_DECODE_GEN[0].cs_out_i_reg[0]\(4),
Q => \^gpio_io_o\(4),
R => SS(0)
);
\Not_Dual.gpio_Data_Out_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => E(0),
D => \MEM_DECODE_GEN[0].cs_out_i_reg[0]\(3),
Q => \^gpio_io_o\(3),
R => SS(0)
);
\Not_Dual.gpio_Data_Out_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => E(0),
D => \MEM_DECODE_GEN[0].cs_out_i_reg[0]\(2),
Q => \^gpio_io_o\(2),
R => SS(0)
);
\Not_Dual.gpio_Data_Out_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => E(0),
D => \MEM_DECODE_GEN[0].cs_out_i_reg[0]\(1),
Q => \^gpio_io_o\(1),
R => SS(0)
);
\Not_Dual.gpio_Data_Out_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => E(0),
D => \MEM_DECODE_GEN[0].cs_out_i_reg[0]\(0),
Q => \^gpio_io_o\(0),
R => SS(0)
);
\Not_Dual.gpio_OE_reg[0]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => s_axi_aclk,
CE => rst_reg(0),
D => \MEM_DECODE_GEN[0].cs_out_i_reg[0]\(7),
Q => gpio_io_t(7),
S => SS(0)
);
\Not_Dual.gpio_OE_reg[1]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => s_axi_aclk,
CE => rst_reg(0),
D => \MEM_DECODE_GEN[0].cs_out_i_reg[0]\(6),
Q => gpio_io_t(6),
S => SS(0)
);
\Not_Dual.gpio_OE_reg[2]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => s_axi_aclk,
CE => rst_reg(0),
D => \MEM_DECODE_GEN[0].cs_out_i_reg[0]\(5),
Q => gpio_io_t(5),
S => SS(0)
);
\Not_Dual.gpio_OE_reg[3]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => s_axi_aclk,
CE => rst_reg(0),
D => \MEM_DECODE_GEN[0].cs_out_i_reg[0]\(4),
Q => gpio_io_t(4),
S => SS(0)
);
\Not_Dual.gpio_OE_reg[4]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => s_axi_aclk,
CE => rst_reg(0),
D => \MEM_DECODE_GEN[0].cs_out_i_reg[0]\(3),
Q => gpio_io_t(3),
S => SS(0)
);
\Not_Dual.gpio_OE_reg[5]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => s_axi_aclk,
CE => rst_reg(0),
D => \MEM_DECODE_GEN[0].cs_out_i_reg[0]\(2),
Q => gpio_io_t(2),
S => SS(0)
);
\Not_Dual.gpio_OE_reg[6]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => s_axi_aclk,
CE => rst_reg(0),
D => \MEM_DECODE_GEN[0].cs_out_i_reg[0]\(1),
Q => gpio_io_t(1),
S => SS(0)
);
\Not_Dual.gpio_OE_reg[7]\: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => s_axi_aclk,
CE => rst_reg(0),
D => \MEM_DECODE_GEN[0].cs_out_i_reg[0]\(0),
Q => gpio_io_t(0),
S => SS(0)
);
gpio_xferAck_Reg_reg: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => \^gpio_xferack_i\,
Q => \^gpio_xferack_reg\,
R => SS(0)
);
iGPIO_xferAck_i_1: unisim.vcomponents.LUT3
generic map(
INIT => X"02"
)
port map (
I0 => bus2ip_cs,
I1 => \^gpio_xferack_reg\,
I2 => \^gpio_xferack_i\,
O => iGPIO_xferAck
);
iGPIO_xferAck_reg: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => iGPIO_xferAck,
Q => \^gpio_xferack_i\,
R => SS(0)
);
ip2bus_rdack_i_D1_i_1: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \^gpio_xferack_i\,
I1 => bus2ip_rnw,
O => ip2bus_rdack_i
);
ip2bus_wrack_i_D1_i_1: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^gpio_xferack_i\,
I1 => bus2ip_rnw,
O => ip2bus_wrack_i_D1_reg
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_address_decoder is
port (
\MEM_DECODE_GEN[0].cs_out_i_reg[0]_0\ : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
\Not_Dual.gpio_OE_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_arready : out STD_LOGIC;
s_axi_wready : out STD_LOGIC;
D : out STD_LOGIC_VECTOR ( 7 downto 0 );
\Not_Dual.ALLOUT_ND.READ_REG_GEN[0].GPIO_DBus_i_reg[24]\ : out STD_LOGIC;
s_axi_aclk : in STD_LOGIC;
rst_reg : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 2 downto 0 );
bus2ip_rnw_i_reg : in STD_LOGIC;
ip2bus_rdack_i_D1 : in STD_LOGIC;
is_read : in STD_LOGIC;
\INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
ip2bus_wrack_i_D1 : in STD_LOGIC;
is_write_reg : in STD_LOGIC;
s_axi_wdata : in STD_LOGIC_VECTOR ( 15 downto 0 );
start2_reg : in STD_LOGIC;
s_axi_aresetn : in STD_LOGIC;
gpio_xferAck_Reg : in STD_LOGIC;
GPIO_xferAck_i : in STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_address_decoder;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_address_decoder is
signal \MEM_DECODE_GEN[0].cs_out_i[0]_i_1_n_0\ : STD_LOGIC;
signal \^mem_decode_gen[0].cs_out_i_reg[0]_0\ : STD_LOGIC;
signal \^s_axi_arready\ : STD_LOGIC;
signal \^s_axi_wready\ : STD_LOGIC;
begin
\MEM_DECODE_GEN[0].cs_out_i_reg[0]_0\ <= \^mem_decode_gen[0].cs_out_i_reg[0]_0\;
s_axi_arready <= \^s_axi_arready\;
s_axi_wready <= \^s_axi_wready\;
\MEM_DECODE_GEN[0].cs_out_i[0]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"000000E0"
)
port map (
I0 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\,
I1 => start2_reg,
I2 => s_axi_aresetn,
I3 => \^s_axi_arready\,
I4 => \^s_axi_wready\,
O => \MEM_DECODE_GEN[0].cs_out_i[0]_i_1_n_0\
);
\MEM_DECODE_GEN[0].cs_out_i_reg[0]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => \MEM_DECODE_GEN[0].cs_out_i[0]_i_1_n_0\,
Q => \^mem_decode_gen[0].cs_out_i_reg[0]_0\,
R => '0'
);
\Not_Dual.ALLOUT_ND.READ_REG_GEN[7].GPIO_DBus_i[31]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFF7"
)
port map (
I0 => bus2ip_rnw_i_reg,
I1 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\,
I2 => gpio_xferAck_Reg,
I3 => GPIO_xferAck_i,
O => \Not_Dual.ALLOUT_ND.READ_REG_GEN[0].GPIO_DBus_i_reg[24]\
);
\Not_Dual.gpio_Data_Out[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAAAAAABAAAA"
)
port map (
I0 => rst_reg,
I1 => Q(1),
I2 => bus2ip_rnw_i_reg,
I3 => Q(0),
I4 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\,
I5 => Q(2),
O => E(0)
);
\Not_Dual.gpio_Data_Out[0]_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"FB08"
)
port map (
I0 => s_axi_wdata(7),
I1 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\,
I2 => Q(1),
I3 => s_axi_wdata(15),
O => D(7)
);
\Not_Dual.gpio_Data_Out[1]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"FB08"
)
port map (
I0 => s_axi_wdata(6),
I1 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\,
I2 => Q(1),
I3 => s_axi_wdata(14),
O => D(6)
);
\Not_Dual.gpio_Data_Out[2]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"FB08"
)
port map (
I0 => s_axi_wdata(5),
I1 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\,
I2 => Q(1),
I3 => s_axi_wdata(13),
O => D(5)
);
\Not_Dual.gpio_Data_Out[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"FB08"
)
port map (
I0 => s_axi_wdata(4),
I1 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\,
I2 => Q(1),
I3 => s_axi_wdata(12),
O => D(4)
);
\Not_Dual.gpio_Data_Out[4]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"FB08"
)
port map (
I0 => s_axi_wdata(3),
I1 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\,
I2 => Q(1),
I3 => s_axi_wdata(11),
O => D(3)
);
\Not_Dual.gpio_Data_Out[5]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"FB08"
)
port map (
I0 => s_axi_wdata(2),
I1 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\,
I2 => Q(1),
I3 => s_axi_wdata(10),
O => D(2)
);
\Not_Dual.gpio_Data_Out[6]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"FB08"
)
port map (
I0 => s_axi_wdata(1),
I1 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\,
I2 => Q(1),
I3 => s_axi_wdata(9),
O => D(1)
);
\Not_Dual.gpio_Data_Out[7]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"FB08"
)
port map (
I0 => s_axi_wdata(0),
I1 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\,
I2 => Q(1),
I3 => s_axi_wdata(8),
O => D(0)
);
\Not_Dual.gpio_OE[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAAAAAAEAAAA"
)
port map (
I0 => rst_reg,
I1 => Q(0),
I2 => Q(1),
I3 => bus2ip_rnw_i_reg,
I4 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\,
I5 => Q(2),
O => \Not_Dual.gpio_OE_reg[0]\(0)
);
s_axi_arready_INST_0: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAAAAAAEAAAA"
)
port map (
I0 => ip2bus_rdack_i_D1,
I1 => is_read,
I2 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\(2),
I3 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\(1),
I4 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\(3),
I5 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\(0),
O => \^s_axi_arready\
);
s_axi_wready_INST_0: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAAAAAAEAAAA"
)
port map (
I0 => ip2bus_wrack_i_D1,
I1 => is_write_reg,
I2 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\(2),
I3 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\(1),
I4 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\(3),
I5 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\(0),
O => \^s_axi_wready\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_slave_attachment is
port (
SR : out STD_LOGIC;
\Not_Dual.gpio_Data_Out_reg[0]\ : out STD_LOGIC;
\MEM_DECODE_GEN[0].cs_out_i_reg[0]\ : out STD_LOGIC;
s_axi_rvalid : out STD_LOGIC;
s_axi_bvalid : out STD_LOGIC;
s_axi_arready : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
\Not_Dual.gpio_OE_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wready : out STD_LOGIC;
D : out STD_LOGIC_VECTOR ( 7 downto 0 );
\Not_Dual.ALLOUT_ND.READ_REG_GEN[0].GPIO_DBus_i_reg[24]\ : out STD_LOGIC;
s_axi_rdata : out STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_aclk : in STD_LOGIC;
s_axi_arvalid : in STD_LOGIC;
s_axi_awvalid : in STD_LOGIC;
s_axi_wvalid : in STD_LOGIC;
s_axi_araddr : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_aresetn : in STD_LOGIC;
s_axi_rready : in STD_LOGIC;
s_axi_bready : in STD_LOGIC;
ip2bus_rdack_i_D1 : in STD_LOGIC;
ip2bus_wrack_i_D1 : in STD_LOGIC;
s_axi_wdata : in STD_LOGIC_VECTOR ( 15 downto 0 );
gpio_xferAck_Reg : in STD_LOGIC;
GPIO_xferAck_i : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 7 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_slave_attachment;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_slave_attachment is
signal \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^not_dual.gpio_data_out_reg[0]\ : STD_LOGIC;
signal \^sr\ : STD_LOGIC;
signal bus2ip_addr : STD_LOGIC_VECTOR ( 0 to 6 );
signal \bus2ip_addr_i[2]_i_1_n_0\ : STD_LOGIC;
signal \bus2ip_addr_i[3]_i_1_n_0\ : STD_LOGIC;
signal \bus2ip_addr_i[8]_i_1_n_0\ : STD_LOGIC;
signal \bus2ip_addr_i[8]_i_2_n_0\ : STD_LOGIC;
signal bus2ip_rnw_i06_out : STD_LOGIC;
signal clear : STD_LOGIC;
signal is_read : STD_LOGIC;
signal is_read_i_1_n_0 : STD_LOGIC;
signal is_write : STD_LOGIC;
signal is_write_i_1_n_0 : STD_LOGIC;
signal is_write_reg_n_0 : STD_LOGIC;
signal p_0_out : STD_LOGIC_VECTOR ( 1 downto 0 );
signal p_1_in : STD_LOGIC;
signal plusOp : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^s_axi_arready\ : STD_LOGIC;
signal \^s_axi_bvalid\ : STD_LOGIC;
signal s_axi_bvalid_i_i_1_n_0 : STD_LOGIC;
signal \s_axi_rdata_i[7]_i_1_n_0\ : STD_LOGIC;
signal \^s_axi_rvalid\ : STD_LOGIC;
signal s_axi_rvalid_i_i_1_n_0 : STD_LOGIC;
signal \^s_axi_wready\ : STD_LOGIC;
signal start2 : STD_LOGIC;
signal start2_i_1_n_0 : STD_LOGIC;
signal state : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \state1__2\ : STD_LOGIC;
signal \state[1]_i_3_n_0\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \INCLUDE_DPHASE_TIMER.dpto_cnt[0]_i_1\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \INCLUDE_DPHASE_TIMER.dpto_cnt[1]_i_1\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \INCLUDE_DPHASE_TIMER.dpto_cnt[2]_i_1\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \INCLUDE_DPHASE_TIMER.dpto_cnt[3]_i_2\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \bus2ip_addr_i[3]_i_1\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of bus2ip_rnw_i_i_1 : label is "soft_lutpair0";
attribute SOFT_HLUTNM of start2_i_1 : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \state[1]_i_3\ : label is "soft_lutpair1";
begin
\Not_Dual.gpio_Data_Out_reg[0]\ <= \^not_dual.gpio_data_out_reg[0]\;
SR <= \^sr\;
s_axi_arready <= \^s_axi_arready\;
s_axi_bvalid <= \^s_axi_bvalid\;
s_axi_rvalid <= \^s_axi_rvalid\;
s_axi_wready <= \^s_axi_wready\;
\INCLUDE_DPHASE_TIMER.dpto_cnt[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(0),
O => plusOp(0)
);
\INCLUDE_DPHASE_TIMER.dpto_cnt[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(0),
I1 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(1),
O => plusOp(1)
);
\INCLUDE_DPHASE_TIMER.dpto_cnt[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"78"
)
port map (
I0 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(0),
I1 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(1),
I2 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(2),
O => plusOp(2)
);
\INCLUDE_DPHASE_TIMER.dpto_cnt[3]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => state(0),
I1 => state(1),
O => clear
);
\INCLUDE_DPHASE_TIMER.dpto_cnt[3]_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"7F80"
)
port map (
I0 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(1),
I1 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(0),
I2 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(2),
I3 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(3),
O => plusOp(3)
);
\INCLUDE_DPHASE_TIMER.dpto_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => plusOp(0),
Q => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(0),
R => clear
);
\INCLUDE_DPHASE_TIMER.dpto_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => plusOp(1),
Q => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(1),
R => clear
);
\INCLUDE_DPHASE_TIMER.dpto_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => plusOp(2),
Q => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(2),
R => clear
);
\INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => plusOp(3),
Q => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(3),
R => clear
);
I_DECODER: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_address_decoder
port map (
D(7 downto 0) => D(7 downto 0),
E(0) => E(0),
GPIO_xferAck_i => GPIO_xferAck_i,
\INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\(3 downto 0) => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(3 downto 0),
\MEM_DECODE_GEN[0].cs_out_i_reg[0]_0\ => \MEM_DECODE_GEN[0].cs_out_i_reg[0]\,
\Not_Dual.ALLOUT_ND.READ_REG_GEN[0].GPIO_DBus_i_reg[24]\ => \Not_Dual.ALLOUT_ND.READ_REG_GEN[0].GPIO_DBus_i_reg[24]\,
\Not_Dual.gpio_OE_reg[0]\(0) => \Not_Dual.gpio_OE_reg[0]\(0),
Q(2) => bus2ip_addr(0),
Q(1) => bus2ip_addr(5),
Q(0) => bus2ip_addr(6),
bus2ip_rnw_i_reg => \^not_dual.gpio_data_out_reg[0]\,
gpio_xferAck_Reg => gpio_xferAck_Reg,
ip2bus_rdack_i_D1 => ip2bus_rdack_i_D1,
ip2bus_wrack_i_D1 => ip2bus_wrack_i_D1,
is_read => is_read,
is_write_reg => is_write_reg_n_0,
rst_reg => \^sr\,
s_axi_aclk => s_axi_aclk,
s_axi_aresetn => s_axi_aresetn,
s_axi_arready => \^s_axi_arready\,
s_axi_wdata(15 downto 0) => s_axi_wdata(15 downto 0),
s_axi_wready => \^s_axi_wready\,
start2_reg => start2
);
\bus2ip_addr_i[2]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"CCCACCCC"
)
port map (
I0 => s_axi_araddr(0),
I1 => s_axi_awaddr(0),
I2 => state(0),
I3 => state(1),
I4 => s_axi_arvalid,
O => \bus2ip_addr_i[2]_i_1_n_0\
);
\bus2ip_addr_i[3]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"CCCACCCC"
)
port map (
I0 => s_axi_araddr(1),
I1 => s_axi_awaddr(1),
I2 => state(0),
I3 => state(1),
I4 => s_axi_arvalid,
O => \bus2ip_addr_i[3]_i_1_n_0\
);
\bus2ip_addr_i[8]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"000000EA"
)
port map (
I0 => s_axi_arvalid,
I1 => s_axi_awvalid,
I2 => s_axi_wvalid,
I3 => state(1),
I4 => state(0),
O => \bus2ip_addr_i[8]_i_1_n_0\
);
\bus2ip_addr_i[8]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"CCCACCCC"
)
port map (
I0 => s_axi_araddr(2),
I1 => s_axi_awaddr(2),
I2 => state(0),
I3 => state(1),
I4 => s_axi_arvalid,
O => \bus2ip_addr_i[8]_i_2_n_0\
);
\bus2ip_addr_i_reg[2]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => \bus2ip_addr_i[8]_i_1_n_0\,
D => \bus2ip_addr_i[2]_i_1_n_0\,
Q => bus2ip_addr(6),
R => \^sr\
);
\bus2ip_addr_i_reg[3]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => \bus2ip_addr_i[8]_i_1_n_0\,
D => \bus2ip_addr_i[3]_i_1_n_0\,
Q => bus2ip_addr(5),
R => \^sr\
);
\bus2ip_addr_i_reg[8]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => \bus2ip_addr_i[8]_i_1_n_0\,
D => \bus2ip_addr_i[8]_i_2_n_0\,
Q => bus2ip_addr(0),
R => \^sr\
);
bus2ip_rnw_i_i_1: unisim.vcomponents.LUT3
generic map(
INIT => X"10"
)
port map (
I0 => state(0),
I1 => state(1),
I2 => s_axi_arvalid,
O => bus2ip_rnw_i06_out
);
bus2ip_rnw_i_reg: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => \bus2ip_addr_i[8]_i_1_n_0\,
D => bus2ip_rnw_i06_out,
Q => \^not_dual.gpio_data_out_reg[0]\,
R => \^sr\
);
is_read_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"3FFA000A"
)
port map (
I0 => s_axi_arvalid,
I1 => \state1__2\,
I2 => state(0),
I3 => state(1),
I4 => is_read,
O => is_read_i_1_n_0
);
is_read_reg: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => is_read_i_1_n_0,
Q => is_read,
R => \^sr\
);
is_write_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"0040FFFF00400000"
)
port map (
I0 => s_axi_arvalid,
I1 => s_axi_awvalid,
I2 => s_axi_wvalid,
I3 => state(1),
I4 => is_write,
I5 => is_write_reg_n_0,
O => is_write_i_1_n_0
);
is_write_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"F88800000000FFFF"
)
port map (
I0 => \^s_axi_rvalid\,
I1 => s_axi_rready,
I2 => \^s_axi_bvalid\,
I3 => s_axi_bready,
I4 => state(0),
I5 => state(1),
O => is_write
);
is_write_reg: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => is_write_i_1_n_0,
Q => is_write_reg_n_0,
R => \^sr\
);
rst_i_1: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => s_axi_aresetn,
O => p_1_in
);
rst_reg: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => p_1_in,
Q => \^sr\,
R => '0'
);
s_axi_bvalid_i_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"08FF0808"
)
port map (
I0 => \^s_axi_wready\,
I1 => state(1),
I2 => state(0),
I3 => s_axi_bready,
I4 => \^s_axi_bvalid\,
O => s_axi_bvalid_i_i_1_n_0
);
s_axi_bvalid_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => s_axi_bvalid_i_i_1_n_0,
Q => \^s_axi_bvalid\,
R => \^sr\
);
\s_axi_rdata_i[7]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => state(0),
I1 => state(1),
O => \s_axi_rdata_i[7]_i_1_n_0\
);
\s_axi_rdata_i_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \s_axi_rdata_i[7]_i_1_n_0\,
D => Q(0),
Q => s_axi_rdata(0),
R => \^sr\
);
\s_axi_rdata_i_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \s_axi_rdata_i[7]_i_1_n_0\,
D => Q(1),
Q => s_axi_rdata(1),
R => \^sr\
);
\s_axi_rdata_i_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \s_axi_rdata_i[7]_i_1_n_0\,
D => Q(2),
Q => s_axi_rdata(2),
R => \^sr\
);
\s_axi_rdata_i_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \s_axi_rdata_i[7]_i_1_n_0\,
D => Q(3),
Q => s_axi_rdata(3),
R => \^sr\
);
\s_axi_rdata_i_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \s_axi_rdata_i[7]_i_1_n_0\,
D => Q(4),
Q => s_axi_rdata(4),
R => \^sr\
);
\s_axi_rdata_i_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \s_axi_rdata_i[7]_i_1_n_0\,
D => Q(5),
Q => s_axi_rdata(5),
R => \^sr\
);
\s_axi_rdata_i_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \s_axi_rdata_i[7]_i_1_n_0\,
D => Q(6),
Q => s_axi_rdata(6),
R => \^sr\
);
\s_axi_rdata_i_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \s_axi_rdata_i[7]_i_1_n_0\,
D => Q(7),
Q => s_axi_rdata(7),
R => \^sr\
);
s_axi_rvalid_i_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"08FF0808"
)
port map (
I0 => \^s_axi_arready\,
I1 => state(0),
I2 => state(1),
I3 => s_axi_rready,
I4 => \^s_axi_rvalid\,
O => s_axi_rvalid_i_i_1_n_0
);
s_axi_rvalid_i_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => s_axi_rvalid_i_i_1_n_0,
Q => \^s_axi_rvalid\,
R => \^sr\
);
start2_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"000000F8"
)
port map (
I0 => s_axi_awvalid,
I1 => s_axi_wvalid,
I2 => s_axi_arvalid,
I3 => state(1),
I4 => state(0),
O => start2_i_1_n_0
);
start2_reg: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => start2_i_1_n_0,
Q => start2,
R => \^sr\
);
\state[0]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"77FC44FC"
)
port map (
I0 => \state1__2\,
I1 => state(0),
I2 => s_axi_arvalid,
I3 => state(1),
I4 => \^s_axi_wready\,
O => p_0_out(0)
);
\state[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"5FFC50FC"
)
port map (
I0 => \state1__2\,
I1 => \state[1]_i_3_n_0\,
I2 => state(1),
I3 => state(0),
I4 => \^s_axi_arready\,
O => p_0_out(1)
);
\state[1]_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"F888"
)
port map (
I0 => s_axi_bready,
I1 => \^s_axi_bvalid\,
I2 => s_axi_rready,
I3 => \^s_axi_rvalid\,
O => \state1__2\
);
\state[1]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"08"
)
port map (
I0 => s_axi_wvalid,
I1 => s_axi_awvalid,
I2 => s_axi_arvalid,
O => \state[1]_i_3_n_0\
);
\state_reg[0]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => p_0_out(0),
Q => state(0),
R => \^sr\
);
\state_reg[1]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => p_0_out(1),
Q => state(1),
R => \^sr\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_lite_ipif is
port (
bus2ip_reset : out STD_LOGIC;
bus2ip_rnw : out STD_LOGIC;
bus2ip_cs : out STD_LOGIC;
s_axi_rvalid : out STD_LOGIC;
s_axi_bvalid : out STD_LOGIC;
s_axi_arready : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
\Not_Dual.gpio_OE_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wready : out STD_LOGIC;
D : out STD_LOGIC_VECTOR ( 7 downto 0 );
\Not_Dual.ALLOUT_ND.READ_REG_GEN[0].GPIO_DBus_i_reg[24]\ : out STD_LOGIC;
s_axi_rdata : out STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_aclk : in STD_LOGIC;
s_axi_arvalid : in STD_LOGIC;
s_axi_awvalid : in STD_LOGIC;
s_axi_wvalid : in STD_LOGIC;
s_axi_araddr : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_aresetn : in STD_LOGIC;
s_axi_rready : in STD_LOGIC;
s_axi_bready : in STD_LOGIC;
ip2bus_rdack_i_D1 : in STD_LOGIC;
ip2bus_wrack_i_D1 : in STD_LOGIC;
s_axi_wdata : in STD_LOGIC_VECTOR ( 15 downto 0 );
gpio_xferAck_Reg : in STD_LOGIC;
GPIO_xferAck_i : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 7 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_lite_ipif;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_lite_ipif is
begin
I_SLAVE_ATTACHMENT: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_slave_attachment
port map (
D(7 downto 0) => D(7 downto 0),
E(0) => E(0),
GPIO_xferAck_i => GPIO_xferAck_i,
\MEM_DECODE_GEN[0].cs_out_i_reg[0]\ => bus2ip_cs,
\Not_Dual.ALLOUT_ND.READ_REG_GEN[0].GPIO_DBus_i_reg[24]\ => \Not_Dual.ALLOUT_ND.READ_REG_GEN[0].GPIO_DBus_i_reg[24]\,
\Not_Dual.gpio_Data_Out_reg[0]\ => bus2ip_rnw,
\Not_Dual.gpio_OE_reg[0]\(0) => \Not_Dual.gpio_OE_reg[0]\(0),
Q(7 downto 0) => Q(7 downto 0),
SR => bus2ip_reset,
gpio_xferAck_Reg => gpio_xferAck_Reg,
ip2bus_rdack_i_D1 => ip2bus_rdack_i_D1,
ip2bus_wrack_i_D1 => ip2bus_wrack_i_D1,
s_axi_aclk => s_axi_aclk,
s_axi_araddr(2 downto 0) => s_axi_araddr(2 downto 0),
s_axi_aresetn => s_axi_aresetn,
s_axi_arready => s_axi_arready,
s_axi_arvalid => s_axi_arvalid,
s_axi_awaddr(2 downto 0) => s_axi_awaddr(2 downto 0),
s_axi_awvalid => s_axi_awvalid,
s_axi_bready => s_axi_bready,
s_axi_bvalid => s_axi_bvalid,
s_axi_rdata(7 downto 0) => s_axi_rdata(7 downto 0),
s_axi_rready => s_axi_rready,
s_axi_rvalid => s_axi_rvalid,
s_axi_wdata(15 downto 0) => s_axi_wdata(15 downto 0),
s_axi_wready => s_axi_wready,
s_axi_wvalid => s_axi_wvalid
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_gpio is
port (
s_axi_aclk : in STD_LOGIC;
s_axi_aresetn : in STD_LOGIC;
s_axi_awaddr : in STD_LOGIC_VECTOR ( 8 downto 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_araddr : in STD_LOGIC_VECTOR ( 8 downto 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
ip2intc_irpt : out STD_LOGIC;
gpio_io_i : in STD_LOGIC_VECTOR ( 7 downto 0 );
gpio_io_o : out STD_LOGIC_VECTOR ( 7 downto 0 );
gpio_io_t : out STD_LOGIC_VECTOR ( 7 downto 0 );
gpio2_io_i : in STD_LOGIC_VECTOR ( 31 downto 0 );
gpio2_io_o : out STD_LOGIC_VECTOR ( 31 downto 0 );
gpio2_io_t : out STD_LOGIC_VECTOR ( 31 downto 0 )
);
attribute C_ALL_INPUTS : integer;
attribute C_ALL_INPUTS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_gpio : entity is 0;
attribute C_ALL_INPUTS_2 : integer;
attribute C_ALL_INPUTS_2 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_gpio : entity is 0;
attribute C_ALL_OUTPUTS : integer;
attribute C_ALL_OUTPUTS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_gpio : entity is 1;
attribute C_ALL_OUTPUTS_2 : integer;
attribute C_ALL_OUTPUTS_2 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_gpio : entity is 0;
attribute C_DOUT_DEFAULT : integer;
attribute C_DOUT_DEFAULT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_gpio : entity is 0;
attribute C_DOUT_DEFAULT_2 : integer;
attribute C_DOUT_DEFAULT_2 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_gpio : entity is 0;
attribute C_FAMILY : string;
attribute C_FAMILY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_gpio : entity is "zynq";
attribute C_GPIO2_WIDTH : integer;
attribute C_GPIO2_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_gpio : entity is 32;
attribute C_GPIO_WIDTH : integer;
attribute C_GPIO_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_gpio : entity is 8;
attribute C_INTERRUPT_PRESENT : integer;
attribute C_INTERRUPT_PRESENT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_gpio : entity is 0;
attribute C_IS_DUAL : integer;
attribute C_IS_DUAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_gpio : entity is 0;
attribute C_S_AXI_ADDR_WIDTH : integer;
attribute C_S_AXI_ADDR_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_gpio : entity is 9;
attribute C_S_AXI_DATA_WIDTH : integer;
attribute C_S_AXI_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_gpio : entity is 32;
attribute C_TRI_DEFAULT : integer;
attribute C_TRI_DEFAULT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_gpio : entity is -1;
attribute C_TRI_DEFAULT_2 : integer;
attribute C_TRI_DEFAULT_2 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_gpio : entity is -1;
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_gpio : entity is "yes";
attribute ip_group : string;
attribute ip_group of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_gpio : entity is "LOGICORE";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_gpio;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_gpio is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
signal AXI_LITE_IPIF_I_n_17 : STD_LOGIC;
signal AXI_LITE_IPIF_I_n_6 : STD_LOGIC;
signal AXI_LITE_IPIF_I_n_7 : STD_LOGIC;
signal DBus_Reg : STD_LOGIC_VECTOR ( 0 to 7 );
signal GPIO_xferAck_i : STD_LOGIC;
signal bus2ip_cs : STD_LOGIC;
signal bus2ip_reset : STD_LOGIC;
signal bus2ip_rnw : STD_LOGIC;
signal gpio_core_1_n_19 : STD_LOGIC;
signal gpio_xferAck_Reg : STD_LOGIC;
signal ip2bus_data : STD_LOGIC_VECTOR ( 24 to 31 );
signal ip2bus_data_i_D1 : STD_LOGIC_VECTOR ( 24 to 31 );
signal ip2bus_rdack_i : STD_LOGIC;
signal ip2bus_rdack_i_D1 : STD_LOGIC;
signal ip2bus_wrack_i_D1 : STD_LOGIC;
signal \^s_axi_rdata\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \^s_axi_wready\ : STD_LOGIC;
begin
gpio2_io_o(31) <= \<const0>\;
gpio2_io_o(30) <= \<const0>\;
gpio2_io_o(29) <= \<const0>\;
gpio2_io_o(28) <= \<const0>\;
gpio2_io_o(27) <= \<const0>\;
gpio2_io_o(26) <= \<const0>\;
gpio2_io_o(25) <= \<const0>\;
gpio2_io_o(24) <= \<const0>\;
gpio2_io_o(23) <= \<const0>\;
gpio2_io_o(22) <= \<const0>\;
gpio2_io_o(21) <= \<const0>\;
gpio2_io_o(20) <= \<const0>\;
gpio2_io_o(19) <= \<const0>\;
gpio2_io_o(18) <= \<const0>\;
gpio2_io_o(17) <= \<const0>\;
gpio2_io_o(16) <= \<const0>\;
gpio2_io_o(15) <= \<const0>\;
gpio2_io_o(14) <= \<const0>\;
gpio2_io_o(13) <= \<const0>\;
gpio2_io_o(12) <= \<const0>\;
gpio2_io_o(11) <= \<const0>\;
gpio2_io_o(10) <= \<const0>\;
gpio2_io_o(9) <= \<const0>\;
gpio2_io_o(8) <= \<const0>\;
gpio2_io_o(7) <= \<const0>\;
gpio2_io_o(6) <= \<const0>\;
gpio2_io_o(5) <= \<const0>\;
gpio2_io_o(4) <= \<const0>\;
gpio2_io_o(3) <= \<const0>\;
gpio2_io_o(2) <= \<const0>\;
gpio2_io_o(1) <= \<const0>\;
gpio2_io_o(0) <= \<const0>\;
gpio2_io_t(31) <= \<const1>\;
gpio2_io_t(30) <= \<const1>\;
gpio2_io_t(29) <= \<const1>\;
gpio2_io_t(28) <= \<const1>\;
gpio2_io_t(27) <= \<const1>\;
gpio2_io_t(26) <= \<const1>\;
gpio2_io_t(25) <= \<const1>\;
gpio2_io_t(24) <= \<const1>\;
gpio2_io_t(23) <= \<const1>\;
gpio2_io_t(22) <= \<const1>\;
gpio2_io_t(21) <= \<const1>\;
gpio2_io_t(20) <= \<const1>\;
gpio2_io_t(19) <= \<const1>\;
gpio2_io_t(18) <= \<const1>\;
gpio2_io_t(17) <= \<const1>\;
gpio2_io_t(16) <= \<const1>\;
gpio2_io_t(15) <= \<const1>\;
gpio2_io_t(14) <= \<const1>\;
gpio2_io_t(13) <= \<const1>\;
gpio2_io_t(12) <= \<const1>\;
gpio2_io_t(11) <= \<const1>\;
gpio2_io_t(10) <= \<const1>\;
gpio2_io_t(9) <= \<const1>\;
gpio2_io_t(8) <= \<const1>\;
gpio2_io_t(7) <= \<const1>\;
gpio2_io_t(6) <= \<const1>\;
gpio2_io_t(5) <= \<const1>\;
gpio2_io_t(4) <= \<const1>\;
gpio2_io_t(3) <= \<const1>\;
gpio2_io_t(2) <= \<const1>\;
gpio2_io_t(1) <= \<const1>\;
gpio2_io_t(0) <= \<const1>\;
ip2intc_irpt <= \<const0>\;
s_axi_awready <= \^s_axi_wready\;
s_axi_bresp(1) <= \<const0>\;
s_axi_bresp(0) <= \<const0>\;
s_axi_rdata(31) <= \<const0>\;
s_axi_rdata(30) <= \<const0>\;
s_axi_rdata(29) <= \<const0>\;
s_axi_rdata(28) <= \<const0>\;
s_axi_rdata(27) <= \<const0>\;
s_axi_rdata(26) <= \<const0>\;
s_axi_rdata(25) <= \<const0>\;
s_axi_rdata(24) <= \<const0>\;
s_axi_rdata(23) <= \<const0>\;
s_axi_rdata(22) <= \<const0>\;
s_axi_rdata(21) <= \<const0>\;
s_axi_rdata(20) <= \<const0>\;
s_axi_rdata(19) <= \<const0>\;
s_axi_rdata(18) <= \<const0>\;
s_axi_rdata(17) <= \<const0>\;
s_axi_rdata(16) <= \<const0>\;
s_axi_rdata(15) <= \<const0>\;
s_axi_rdata(14) <= \<const0>\;
s_axi_rdata(13) <= \<const0>\;
s_axi_rdata(12) <= \<const0>\;
s_axi_rdata(11) <= \<const0>\;
s_axi_rdata(10) <= \<const0>\;
s_axi_rdata(9) <= \<const0>\;
s_axi_rdata(8) <= \<const0>\;
s_axi_rdata(7 downto 0) <= \^s_axi_rdata\(7 downto 0);
s_axi_rresp(1) <= \<const0>\;
s_axi_rresp(0) <= \<const0>\;
s_axi_wready <= \^s_axi_wready\;
AXI_LITE_IPIF_I: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_lite_ipif
port map (
D(7) => DBus_Reg(0),
D(6) => DBus_Reg(1),
D(5) => DBus_Reg(2),
D(4) => DBus_Reg(3),
D(3) => DBus_Reg(4),
D(2) => DBus_Reg(5),
D(1) => DBus_Reg(6),
D(0) => DBus_Reg(7),
E(0) => AXI_LITE_IPIF_I_n_6,
GPIO_xferAck_i => GPIO_xferAck_i,
\Not_Dual.ALLOUT_ND.READ_REG_GEN[0].GPIO_DBus_i_reg[24]\ => AXI_LITE_IPIF_I_n_17,
\Not_Dual.gpio_OE_reg[0]\(0) => AXI_LITE_IPIF_I_n_7,
Q(7) => ip2bus_data_i_D1(24),
Q(6) => ip2bus_data_i_D1(25),
Q(5) => ip2bus_data_i_D1(26),
Q(4) => ip2bus_data_i_D1(27),
Q(3) => ip2bus_data_i_D1(28),
Q(2) => ip2bus_data_i_D1(29),
Q(1) => ip2bus_data_i_D1(30),
Q(0) => ip2bus_data_i_D1(31),
bus2ip_cs => bus2ip_cs,
bus2ip_reset => bus2ip_reset,
bus2ip_rnw => bus2ip_rnw,
gpio_xferAck_Reg => gpio_xferAck_Reg,
ip2bus_rdack_i_D1 => ip2bus_rdack_i_D1,
ip2bus_wrack_i_D1 => ip2bus_wrack_i_D1,
s_axi_aclk => s_axi_aclk,
s_axi_araddr(2) => s_axi_araddr(8),
s_axi_araddr(1 downto 0) => s_axi_araddr(3 downto 2),
s_axi_aresetn => s_axi_aresetn,
s_axi_arready => s_axi_arready,
s_axi_arvalid => s_axi_arvalid,
s_axi_awaddr(2) => s_axi_awaddr(8),
s_axi_awaddr(1 downto 0) => s_axi_awaddr(3 downto 2),
s_axi_awvalid => s_axi_awvalid,
s_axi_bready => s_axi_bready,
s_axi_bvalid => s_axi_bvalid,
s_axi_rdata(7 downto 0) => \^s_axi_rdata\(7 downto 0),
s_axi_rready => s_axi_rready,
s_axi_rvalid => s_axi_rvalid,
s_axi_wdata(15 downto 8) => s_axi_wdata(31 downto 24),
s_axi_wdata(7 downto 0) => s_axi_wdata(7 downto 0),
s_axi_wready => \^s_axi_wready\,
s_axi_wvalid => s_axi_wvalid
);
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
gpio_core_1: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_GPIO_Core
port map (
D(7) => ip2bus_data(24),
D(6) => ip2bus_data(25),
D(5) => ip2bus_data(26),
D(4) => ip2bus_data(27),
D(3) => ip2bus_data(28),
D(2) => ip2bus_data(29),
D(1) => ip2bus_data(30),
D(0) => ip2bus_data(31),
E(0) => AXI_LITE_IPIF_I_n_6,
GPIO_xferAck_i => GPIO_xferAck_i,
\MEM_DECODE_GEN[0].cs_out_i_reg[0]\(7) => DBus_Reg(0),
\MEM_DECODE_GEN[0].cs_out_i_reg[0]\(6) => DBus_Reg(1),
\MEM_DECODE_GEN[0].cs_out_i_reg[0]\(5) => DBus_Reg(2),
\MEM_DECODE_GEN[0].cs_out_i_reg[0]\(4) => DBus_Reg(3),
\MEM_DECODE_GEN[0].cs_out_i_reg[0]\(3) => DBus_Reg(4),
\MEM_DECODE_GEN[0].cs_out_i_reg[0]\(2) => DBus_Reg(5),
\MEM_DECODE_GEN[0].cs_out_i_reg[0]\(1) => DBus_Reg(6),
\MEM_DECODE_GEN[0].cs_out_i_reg[0]\(0) => DBus_Reg(7),
SS(0) => bus2ip_reset,
bus2ip_cs => bus2ip_cs,
bus2ip_rnw => bus2ip_rnw,
bus2ip_rnw_i_reg => AXI_LITE_IPIF_I_n_17,
gpio_io_o(7 downto 0) => gpio_io_o(7 downto 0),
gpio_io_t(7 downto 0) => gpio_io_t(7 downto 0),
gpio_xferAck_Reg => gpio_xferAck_Reg,
ip2bus_rdack_i => ip2bus_rdack_i,
ip2bus_wrack_i_D1_reg => gpio_core_1_n_19,
rst_reg(0) => AXI_LITE_IPIF_I_n_7,
s_axi_aclk => s_axi_aclk
);
\ip2bus_data_i_D1_reg[24]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => ip2bus_data(24),
Q => ip2bus_data_i_D1(24),
R => bus2ip_reset
);
\ip2bus_data_i_D1_reg[25]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => ip2bus_data(25),
Q => ip2bus_data_i_D1(25),
R => bus2ip_reset
);
\ip2bus_data_i_D1_reg[26]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => ip2bus_data(26),
Q => ip2bus_data_i_D1(26),
R => bus2ip_reset
);
\ip2bus_data_i_D1_reg[27]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => ip2bus_data(27),
Q => ip2bus_data_i_D1(27),
R => bus2ip_reset
);
\ip2bus_data_i_D1_reg[28]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => ip2bus_data(28),
Q => ip2bus_data_i_D1(28),
R => bus2ip_reset
);
\ip2bus_data_i_D1_reg[29]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => ip2bus_data(29),
Q => ip2bus_data_i_D1(29),
R => bus2ip_reset
);
\ip2bus_data_i_D1_reg[30]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => ip2bus_data(30),
Q => ip2bus_data_i_D1(30),
R => bus2ip_reset
);
\ip2bus_data_i_D1_reg[31]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => ip2bus_data(31),
Q => ip2bus_data_i_D1(31),
R => bus2ip_reset
);
ip2bus_rdack_i_D1_reg: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => ip2bus_rdack_i,
Q => ip2bus_rdack_i_D1,
R => bus2ip_reset
);
ip2bus_wrack_i_D1_reg: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => gpio_core_1_n_19,
Q => ip2bus_wrack_i_D1,
R => bus2ip_reset
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
port (
s_axi_aclk : in STD_LOGIC;
s_axi_aresetn : in STD_LOGIC;
s_axi_awaddr : in STD_LOGIC_VECTOR ( 8 downto 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_araddr : in STD_LOGIC_VECTOR ( 8 downto 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
gpio_io_o : out STD_LOGIC_VECTOR ( 7 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "zqynq_lab_1_design_axi_gpio_0_0,axi_gpio,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "axi_gpio,Vivado 2017.2";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
signal NLW_U0_ip2intc_irpt_UNCONNECTED : STD_LOGIC;
signal NLW_U0_gpio2_io_o_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_U0_gpio2_io_t_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_U0_gpio_io_t_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
attribute C_ALL_INPUTS : integer;
attribute C_ALL_INPUTS of U0 : label is 0;
attribute C_ALL_INPUTS_2 : integer;
attribute C_ALL_INPUTS_2 of U0 : label is 0;
attribute C_ALL_OUTPUTS : integer;
attribute C_ALL_OUTPUTS of U0 : label is 1;
attribute C_ALL_OUTPUTS_2 : integer;
attribute C_ALL_OUTPUTS_2 of U0 : label is 0;
attribute C_DOUT_DEFAULT : integer;
attribute C_DOUT_DEFAULT of U0 : label is 0;
attribute C_DOUT_DEFAULT_2 : integer;
attribute C_DOUT_DEFAULT_2 of U0 : label is 0;
attribute C_FAMILY : string;
attribute C_FAMILY of U0 : label is "zynq";
attribute C_GPIO2_WIDTH : integer;
attribute C_GPIO2_WIDTH of U0 : label is 32;
attribute C_GPIO_WIDTH : integer;
attribute C_GPIO_WIDTH of U0 : label is 8;
attribute C_INTERRUPT_PRESENT : integer;
attribute C_INTERRUPT_PRESENT of U0 : label is 0;
attribute C_IS_DUAL : integer;
attribute C_IS_DUAL of U0 : label is 0;
attribute C_S_AXI_ADDR_WIDTH : integer;
attribute C_S_AXI_ADDR_WIDTH of U0 : label is 9;
attribute C_S_AXI_DATA_WIDTH : integer;
attribute C_S_AXI_DATA_WIDTH of U0 : label is 32;
attribute C_TRI_DEFAULT : integer;
attribute C_TRI_DEFAULT of U0 : label is -1;
attribute C_TRI_DEFAULT_2 : integer;
attribute C_TRI_DEFAULT_2 of U0 : label is -1;
attribute downgradeipidentifiedwarnings of U0 : label is "yes";
attribute ip_group : string;
attribute ip_group of U0 : label is "LOGICORE";
begin
U0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_gpio
port map (
gpio2_io_i(31 downto 0) => B"00000000000000000000000000000000",
gpio2_io_o(31 downto 0) => NLW_U0_gpio2_io_o_UNCONNECTED(31 downto 0),
gpio2_io_t(31 downto 0) => NLW_U0_gpio2_io_t_UNCONNECTED(31 downto 0),
gpio_io_i(7 downto 0) => B"00000000",
gpio_io_o(7 downto 0) => gpio_io_o(7 downto 0),
gpio_io_t(7 downto 0) => NLW_U0_gpio_io_t_UNCONNECTED(7 downto 0),
ip2intc_irpt => NLW_U0_ip2intc_irpt_UNCONNECTED,
s_axi_aclk => s_axi_aclk,
s_axi_araddr(8 downto 0) => s_axi_araddr(8 downto 0),
s_axi_aresetn => s_axi_aresetn,
s_axi_arready => s_axi_arready,
s_axi_arvalid => s_axi_arvalid,
s_axi_awaddr(8 downto 0) => s_axi_awaddr(8 downto 0),
s_axi_awready => s_axi_awready,
s_axi_awvalid => s_axi_awvalid,
s_axi_bready => s_axi_bready,
s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0),
s_axi_bvalid => s_axi_bvalid,
s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0),
s_axi_rready => s_axi_rready,
s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0),
s_axi_rvalid => s_axi_rvalid,
s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0),
s_axi_wready => s_axi_wready,
s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0),
s_axi_wvalid => s_axi_wvalid
);
end STRUCTURE;
|
library ieee;
use ieee.std_logic_1164.all;
-- Add your library and packages declaration here ...
entity liaison_tb is
end liaison_tb;
architecture TB_ARCHITECTURE of liaison_tb is
-- Component declaration of the tested unit
component liaison
port(
clk : in STD_LOGIC;
reset : in STD_LOGIC;
di_ready : in STD_LOGIC;
mp_data : in STD_LOGIC_VECTOR(3 downto 0);
do_ready : out STD_LOGIC;
voted_data : out STD_LOGIC );
end component;
-- Stimulus signals - signals mapped to the input and inout ports of tested entity
signal clk : STD_LOGIC;
signal reset : STD_LOGIC;
signal di_ready : STD_LOGIC;
signal mp_data : STD_LOGIC_VECTOR(3 downto 0);
-- Observed signals - signals mapped to the output ports of tested entity
signal do_ready : STD_LOGIC;
signal voted_data : STD_LOGIC;
-- Add your code here ...
begin
stim_proc: process
begin
-- Setup and reset
di_ready <= '0';
mp_data <= "1111";
reset <= '0';
wait for 10 ns;
reset <= '1';
wait for 10 ns;
reset <= '0';
wait for 10 ns;
-- Test with alternating output and no errors
di_ready <= '1';
mp_data <= "0000";
wait for 10 ns;
di_ready <= '0';
mp_data <= "1111";
wait for 10 ns;
mp_data <= "0000";
wait for 10 ns;
mp_data <= "1111";
wait for 10 ns;
mp_data <= "0000";
wait for 10 ns;
mp_data <= "1111";
wait for 10 ns;
mp_data <= "0000";
wait for 10 ns;
mp_data <= "1111";
-- Wait while data is transmited
wait for 80 ns;
-- Test with alternating output and a single errorous bit
di_ready <= '1';
mp_data <= "0000";
wait for 10 ns;
di_ready <= '0';
mp_data <= "1110";
wait for 10 ns;
mp_data <= "0000";
wait for 10 ns;
mp_data <= "1111";
wait for 10 ns;
mp_data <= "0000";
wait for 10 ns;
mp_data <= "1111";
wait for 10 ns;
mp_data <= "0000";
wait for 10 ns;
mp_data <= "1111";
-- Wait while data is transmited
wait for 80 ns;
-- Test with alternating output and a single errorous bit
di_ready <= '1';
mp_data <= "0000";
wait for 10 ns;
di_ready <= '0';
mp_data <= "1110";
wait for 10 ns;
mp_data <= "0010";
wait for 10 ns;
mp_data <= "1111";
wait for 10 ns;
mp_data <= "0000";
wait for 10 ns;
mp_data <= "1111";
wait for 10 ns;
mp_data <= "0000";
wait for 10 ns;
mp_data <= "1111";
-- Wait while data is transmited
wait for 80 ns;
-- Test with alternating output and a single errorous bit
di_ready <= '1';
mp_data <= "0000";
wait for 10 ns;
di_ready <= '0';
mp_data <= "1110";
wait for 10 ns;
mp_data <= "0000";
wait for 10 ns;
mp_data <= "0111";
wait for 10 ns;
mp_data <= "0000";
wait for 10 ns;
mp_data <= "1111";
wait for 10 ns;
mp_data <= "0000";
wait for 10 ns;
mp_data <= "1111";
-- Wait while data is transmited
wait for 80 ns;
reset <= '1';
wait for 10 ns;
reset <= '0';
-- Test with alternating output immediately
di_ready <= '1';
mp_data <= "0000";
wait for 10 ns;
di_ready <= '0';
mp_data <= "1111";
wait for 10 ns;
mp_data <= "0000";
wait for 10 ns;
mp_data <= "1111";
wait for 10 ns;
mp_data <= "0000";
wait for 10 ns;
mp_data <= "1111";
wait for 10 ns;
mp_data <= "0000";
wait for 10 ns;
mp_data <= "1111";
wait;
end process;
-- Unit Under Test port map
UUT : liaison
port map (
clk => clk,
reset => reset,
di_ready => di_ready,
mp_data => mp_data,
do_ready => do_ready,
voted_data => voted_data
);
-- This drive the clock
clk_process :process
begin
clk <= '0';
wait for 5 ns;
clk <= '1';
wait for 5 ns;
end process;
end TB_ARCHITECTURE;
configuration TESTBENCH_FOR_liaison of liaison_tb is
for TB_ARCHITECTURE
for UUT : liaison
use entity work.liaison(liaison);
end for;
end for;
end TESTBENCH_FOR_liaison;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YaLsZ52y3ZVfENdu1oPuhyWXGqD1HgI88nJlN9D7xyw+SKSqu4D9pf5xVF9ZBDRb24+bz6tBuyRY
xLUmvtpDwg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
oVXciUdE3RIuQWZVH8yTQTh12ttJ2gqH8r6cCR/6gsQjSK9Mr4H5tI300dIgQS9vO/tbyVnj+ZO9
zfje8hPR2U5BBgA5z7tBTGn8Q/I4juQ23ZFrPItTNQaJicqlSmrqvgIdSirjGZQFKoyVI5pfmSOu
T06iVq395XOblsvHWCw=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cU5mAnqzF9kOMP4MZOKHlpi9+j2snXMHlaRVNaXn39rIEQ9fGGuovwhieDM1Pa4b7kHHV5EmGfGh
sJWQSbTCkvxHjUIyice9mtzj8AN+MMzBQCxPD+/ZPib30imuHsEvmV87xb99ZzDdysmkNOFA3kza
tHjYoahiBeFY9lcjZhqxbN8DFGVEof/qv3N6+BvqW9fRoiLWqrIXZst8sd2UhsJMOkkPT08/Cis9
0qIcZX6Tb0FXzJESEpzkvcRsj2P9F1fkF97mpAcx0DBpyPnh2a23vyvepHBr//bUcmQrLddFyk2p
SdfqRULmiEoZgovtYNkkZe2ntkcazfmVisiBig==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
dp2wILTSi5LTM1iAjtAUXkbwp8CjOsabqxQWS5HQuJN9pzHkRvX4rU/lKprYORA0zha0GmEIuvnz
1Vop4FMS8U9niph+v959iRs6D+AJ4Y+zYboojwoSUbLAVZ8M/HG4ePMoJrUQFQcqvf2eAz+fdC1B
1uKDSc5WE2Tm0c24ETM=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
G3Jb9vpLTBUJClsxXoI2raplZwYpv4072kGR1dDnYOH734HnadDldj+h6Tksf1OldW1MNmcioePo
JKjoSMqHDJIEJwj96a1yDChYA/X4v2apXWlLzspbW9eGDUOiuPlv26gJPBbfiW69KYOsc1IeEH/u
HwfSseFgVLkwalOYjxA1N1aSiDKiofnv07tr8k16/uG9iwtbbwbYVJDKldKvuLvd5Ntx8VeHc8PH
Vp6b762c+u8miLMJZtXaie7CXCt5BUNQpur6ZyfIo6JCDSvJ0i10rJOnen3Qd+tOT1m/gnqmmlAs
NXAMqt8r6o7WlnrFEw1ANziONLfdwuTr8Srz6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 45792)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YaLsZ52y3ZVfENdu1oPuhyWXGqD1HgI88nJlN9D7xyw+SKSqu4D9pf5xVF9ZBDRb24+bz6tBuyRY
xLUmvtpDwg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
oVXciUdE3RIuQWZVH8yTQTh12ttJ2gqH8r6cCR/6gsQjSK9Mr4H5tI300dIgQS9vO/tbyVnj+ZO9
zfje8hPR2U5BBgA5z7tBTGn8Q/I4juQ23ZFrPItTNQaJicqlSmrqvgIdSirjGZQFKoyVI5pfmSOu
T06iVq395XOblsvHWCw=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cU5mAnqzF9kOMP4MZOKHlpi9+j2snXMHlaRVNaXn39rIEQ9fGGuovwhieDM1Pa4b7kHHV5EmGfGh
sJWQSbTCkvxHjUIyice9mtzj8AN+MMzBQCxPD+/ZPib30imuHsEvmV87xb99ZzDdysmkNOFA3kza
tHjYoahiBeFY9lcjZhqxbN8DFGVEof/qv3N6+BvqW9fRoiLWqrIXZst8sd2UhsJMOkkPT08/Cis9
0qIcZX6Tb0FXzJESEpzkvcRsj2P9F1fkF97mpAcx0DBpyPnh2a23vyvepHBr//bUcmQrLddFyk2p
SdfqRULmiEoZgovtYNkkZe2ntkcazfmVisiBig==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
dp2wILTSi5LTM1iAjtAUXkbwp8CjOsabqxQWS5HQuJN9pzHkRvX4rU/lKprYORA0zha0GmEIuvnz
1Vop4FMS8U9niph+v959iRs6D+AJ4Y+zYboojwoSUbLAVZ8M/HG4ePMoJrUQFQcqvf2eAz+fdC1B
1uKDSc5WE2Tm0c24ETM=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
G3Jb9vpLTBUJClsxXoI2raplZwYpv4072kGR1dDnYOH734HnadDldj+h6Tksf1OldW1MNmcioePo
JKjoSMqHDJIEJwj96a1yDChYA/X4v2apXWlLzspbW9eGDUOiuPlv26gJPBbfiW69KYOsc1IeEH/u
HwfSseFgVLkwalOYjxA1N1aSiDKiofnv07tr8k16/uG9iwtbbwbYVJDKldKvuLvd5Ntx8VeHc8PH
Vp6b762c+u8miLMJZtXaie7CXCt5BUNQpur6ZyfIo6JCDSvJ0i10rJOnen3Qd+tOT1m/gnqmmlAs
NXAMqt8r6o7WlnrFEw1ANziONLfdwuTr8Srz6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 45792)
`protect data_block
HKowXxefZZWRsn72ZwPaPF2p10gSVUmhjs07Yvpqgppuxh+sAPThWRSuYyVqzbJpFpbuz6F5gZSp
O7f7Upp3wtzAv3xjFTMGTJj+fQvCTrZMvI9DOoz3He3t+YWbH/5zgAQiTMZJazasWz+cZl18KEG+
7QN+Zn+hDnjV6qS+0bv1NQh5kj1lfAAjLrPT1O3A5tge5dCEJHLvEvhFcTp6qC8Gu9NnVA1sVm2j
wN59zuarK/bJBkgBiqUdDlowoxDtn7bmgjEwQhNW7zC6J0NkNlfkkFdu/rHChbo1+qZCSOeKdlpJ
EWroXjIeLrbyEBr6a0d+gkqapjVMWo/WFnN5xcpsPu+FBUheSH0djD3OMJ05wlsUTFDm13Vc2SOR
iNo6Z9upqs0Cx+yl9tWvnml031utf1nDufzU9JC7nrL1O7aUKCxWT6RdxjB1nuD2ms9xBrFp/C0o
2aZMEVqd1oQpLLAEwjN6/7UtGBaVFwaRlZwMOwiWctfls+Hm/20cwo6HxqpuWRGLAJetrqKRy9KR
W/2GVI7JzNGupGlRODfuI9tIkp2yaY8YxAwb+oSrKpMMfw7MzvOX8+hSRPoKMJwaLkFqMxgwNjjy
nSQoNf55+Sk2JjPt+abltM9hQgqn7jbgrATaCbXwi556TlPZk2mlbJHsc77+SMpBNFSmlOZhm95P
qdDkN/BjWy3TzgyPDVv0GeROV4d0W+zyYNcFY8SqgUwMBq7vjftipp8YD5UsPi5pD0Phc+uZo9G/
oIpncEFw2BJ6A7nHy+J4PBjG4ohNpQ+ie2eklkNaupoUCFp2aEehj3MycgLP3G9lYH+0gHTMFH0F
EmvnzX3icFeTq/nAslJALlNAY3m/zETv6ckzAVnSPL8AsZfOgYgFfpB+H1hriIOwQsgJhNqb3T75
6Y7u7X6xkNeMeEA9TepQh4x4faDQuNejwficjX58koD/cWbIpNWKegbEqrM6kri8SDdu7FCpO7vF
KZPzplWJZwWc9v8m+84H8OV30RBmEoHVJNZhwUVM/SUFjCnA/R0cZaJeR7Vxr7JTCBO/CLClV05z
++ifpNXYPqowVUt6JV0eekv7VJGgz36MyNRb15DzTRMAgFBLkmEGmase5OC3k8MqGXzF1PCNa1v0
43adRRVHUzOxGqD6OZsNCev1IlbReicm8z9EugvPdVe9lOSaGk4pT953QUpWpzRK9oQatWX9akEW
aOWn2vS4ipau2Ak2nuWfULZJRjBoIFTqk5J8AfD7LQobReSOmmjDZNOdhmCQyfGVjvzCZG54PjJO
gWJWIKaWUcaSJ4zCD45Zw4KatkRL3cVzm7UcBHTWEtq245p3e7HX0JFv5B9hg32+WHP/cHuF6Z28
/KoMdg7pXc825jrjBiVU7TnmYCt3CFASsb6kqDqWfC9QKQ8kZOT/vkCDhGZY8YnBaL81ATXRkCBL
M6LZQ2JiQimnGBA9Wxlp2+pJ5B1zpF5+dcBW4kUoNsbNiqxV7apSrMPl0VzAvlrRr1un6cto65nS
sGX2D4kqtolaWrZhnq9XBf2z0V3Jt/nJt73Pjma4o4hUGT66EBNKhO/WoPSky5IKaXCO09qQAV+7
mBUwdsb/Ef048tuAw9NVuBIHigOM6mZp9IZLiMTjILcpdIycbY0xZNxdCW0TGPRCskZIhiCWmQYX
kpw+mvgFsUvcsgDCvGQZhK8okaf/VQbSbP7dojYlNO6wOnlzlFPAIh5RZSUZ1R5IZLHDPfVK08Yx
7QLG0Lsdt7rmirUVxEW7Miv8ISoeV6DC8EDPl/XjbG6wPdcoU7bby2jOjTawqc5u45ZiPVTTMVPd
umjU4f9bC1FyK7CIumTtWGLhkchhrathtUv24gadG+QLNJC0gTGVUh2CFRbdKNnMa206OPbFE0j1
cZYGrfOzXeUTg5+AN6BKIjm2lMZ/SL2sJy83IfZ4T8rKQOdW4EyfNpuPbbQ2ZEUGgRKSwyyRrvTX
u3koCEoo2K0LW+tT6dGLrAreC/ocppl8G1KgrKBwWfuH66H1FjPd+NCeBEYT8GQPDXmF9tvz4atL
Lu+w6cYnXF/twBokCTPQdBpaYgu99asBUwZ3Ua1H081W0H68cN8DO4cDTkgaIdajnzz849qDRP8v
t4CB+cJPaNvXZZ46SDrSmlIaiZ4ilNYCu1kKGGSfTKEARljPCxLHfhyhJEo6VVSphISJykqKWX4q
Wu7obOYKtHFoon0vJSmWcTIRFjilRiA0ahf309VHmKPRGyQ9GbbR8VLiYqhRomzkiwBfb8OiSODx
FpyDYOxmouePosVdVFEvgvAcuXBjcOs0wjxYsudkw3LvAZ4kWM1aO7fo7iBEyi+x0+s8kfrkK6uB
L4+Z3H6B0GzesPkB8Fu6npNpSp123zUCnC19SrX+NBfg26r2gGp8GmOcJQ1cPDWRgvxyITu0B7zN
z3wOYigdLcmwZgvZcSAKz9kPrESWpH6t8XwiQk1c1l6wqw6s8wqu4aJlV7GdE/RfdfQVFPpehzrb
bjCsHhLyDq28gHGUSA+yzqYAKf2pmY8EFOnGuwhKhCddkXkPTfTiztoFcp5wCvBMxqNk3i/BMdv5
yI6gPs2G7YqSJw7ovRuVQtSqhLX9AcLZPmOJP/CI/rWGslujnOjigm/Y0a9/vaEaoVYlk3PCLN1x
ZGw5AZycddHahzpe2HnuJbXCWkFTIlgfIfrkl/8+GroeKHWUmMpwrZDIC6Mqllh2yAhOEGmFr0Uk
aS62Lp+gR+rK7KSOSShpvp2BRCC6m5ENjE7BoSuZSQ17Ng4UiUGF0K6L6c90C+3D98IUWKFqvQzY
gdHeXoS8tG9ohCMHHPsFTH5xSyVpSL/9V9ENN6FKo7cqwqy1MoG/iaiqV9syy02PpO0TkYurRsmJ
tVLdv2SptdPx6QVHrze+uvuEg1PiyjpluFjV6Kyof7dpxkzMnfylrZoGeLaZILoYP8JG++G+gIlp
WhUQRPCQkvmQ3nBcUC8O3D/9Z9wDxJReGs2s67PndjRNpK/rhmCUw2VSJAAZiIHfJtFbzsGmKjg4
NXnuRRVzVwFuek5BUFRlyp3FgUoo+Ju6vpeSgPKob/6BOCIzVZZ9QgZ6+QZDzoin72ygZue7VsLB
IlmPQ7LxmWM/k3efGM20hvhaloiG2MO9qmEd2pJpFjf5toQ/yoVrwkZn2rsEsLRdxpmneya8iXKe
R/QqRiO9gp1h3GYZEKYeR+PsqM8Jpk+GRM1Oik2ZHlTZPttbKO6C9nQP/Y5Zp/7TRhSuKyU5Rmxq
hKa/MSOYD8rpRWjVmpdi2ABaAGxRUXNQpU5SDHt6mS9O8W0Ku4RZ601iRHiDsO+ug454PQCMyLGu
6+HaZI9Zpa5ZkDLN4t27z2I4IkM+tZRVqEvL9+FuxYd3PCg/TNXqgcxiCB9i7YIeqjjoZrGtF6Hb
2J70A2wrQQLnWk+3JB1rn/9jnSJRcJtvZL4R4A+jUBNmQkVrHw4L19hBCK5YZMT6jE9tZpFhCzrF
+oxv6jhwTFMncne6yZy2hJH3ix86BMPXiLdUVk3ODX0eFqsNxNaIItRKyeviKeX2MDHShA7BBt9n
q36KNGbEmYwFUsQpSbPJYvXH3NigpC83eJh6syX9J67IWqVp9eQc3rTWVZGcbOqLgKTr7BZxgVd1
wJzUN0Jcu4vxkdcQoyHDsIR5B0M+G5bMWuCjUjtbhTTTKMovU1OaXulLA02WzUUjJ++PAdLzPeRa
U0npinmUWiMhMiNg49bDn7RlXB/euvweWqWfWiOMkIwzjnduA18n5XxS5uidaPyOu+2NJACYMZiq
0eTwn6rolIvZd9SjgSnHGpbIgeBXH+Wz5ZPl77FY4gVd5SH1C5xdFYyJ6rHxVbfY0QPNQwh5uTcK
NUOHHjY5+i1b4/SgJAsPiNO4t24VOprGP0S73OUjza+qXD6SyQhHqsaLijJCwQlaKi+bhx2rYNBP
ePfbyB6HLsXbssz7BIV+SL/HAqd/ezwQDh5gPzp3B1t9j4FTi/niMRR3/uKzbfs6bz7Ydj61NynG
5FqnG075V2O25XWKndocc9l8TE5rw01l8ufXjdu2I6Sd5cd+wN2faPxwca1wCGkYW2kmSbfa8xnN
Pa++t11Izfpy8rXiMdNM97JL28g3rDyLZYHFaNKeY/br/8h4o8P1xAdBAtWu/rL9Y/8N7+Z/9MIv
IH/tf3ISUkiJ5VzIFeV8l7hjgMQikqizwlbsG43S2vrELHV9B8y2juw+sGGzwNsX3Q+FR+Fm2vIh
/RQ0G87ZKxY9akrau5XYSda8Ep2a5PXnukQxU1tE6RDQy9gGFWM5I6o50rrITVI+xCg5AgynJBsS
tdVXyKRsLVvr/vS7SpmsB16O6vnxTb+uLkpYK/AVsbJLG8COjjSQIc6LOTcvz5YABRS1qy96yjYZ
GfUSndJEPr9WgsSOMy26DWVvfKdDXLGsily0rz6cv6mZkmhBj04KQTJiPun3A8spBDwGyyhgradu
letD74KsGShexARasr873li9BGG3BWVJ3KSFBMPXrh2I9EnhLAuBUxArg3QsoB+eB+mZmqOckVE5
6pbpw2/mYX79Ry7AQwI8A/MyCn6ZeuYQVzr1RAlxgCcG4PaJ6/AuwovIpYJdzKZ89crrwdpteLNE
8EmU23yaPWgkUXWXJsmQQ5oLVZnMhyLiZwzBEHFRnPiaiesyBUnkCU4tAces1w1qWXUtLVBnKdVo
G//xpQk1h8n7D4APbCXI51qlbQ7/xCLAxT1ylEw7DHnD6s1lWmhLlhbQtJj7b+HD3M35QKzlZJus
CeGhKK0yzZNSAQg/hsj3PNi5ZEGCl5ZXB0a1AO52wIDeNvP1qTN9QHqxjCrUDUMk3ifBGneqNFhr
EiNmJNfH5UvGBam9gvl4e8a5ngLZs6LV9pM080t5y8eQ9PlLxidrs+g8kvjc+qw2DQG1Rw/ZeA1R
ia9p7P/JHOhmwj4dxbOr5UO1wiQXOpWNPyOsx76KSnjP2FwipIgeocNTsOJy8ryv2eb3L0TSd/eT
eSyYKK3esU9ydaXbBJoBjtv1eXIn5KIScuvdA0tTPY1OkRd6BEIT1R9EeOjP888T9FAMecOgebS1
c15ruZeb3pFC4Ljmdnfv3GBGfHwbIIecEIO4k8nymdiHb2nyGtOArvV9gyW8KDdO7/T8KKvQq5l+
cV3VR+q+hs0tGB7NVueYjaYFDmn5lV3d4mEXvcfXQBrahxGI/nlFNfBLZs1QI0Nlf+sHpqUyeNLV
Rw35L6gabg+7T0gWzrQIqKvMDsiZjw5SfH9uoky3cQJxjUAbWhkPfjByAIvSiaobkpB4XMLqIA7t
ZZWFLp3eO4XcNGCVm2r9UggRT6x4NIm2LRJctCp9T4yPWqr8rNcEdObe+J4wBSDHxLPOMkXAhAju
XJl7rniFF+ReVqmRFfjYaoFaaKiMYTiid315ycKUBu9RDd5nP9J/RxvUt6cqnzFUCUVxnV3LcM7w
eysiL3I3LVtK/S6HXaxLG3TVr3rszfV2YC0C7mWdIcZYNDC3oOlh4Za7aldMpx/TJBlkf7Yeo3/z
9w35fUAZIpyBoglOgI1H7Ag+zp84qv3EBtOLE+C8u2bhRNxg+yeDQJZFNrtbcQXAAS9YjVIOvmlA
KKpmy51RnefsFfGacsy6Qd1/EhSnt+0FliJyVcbE5hOLnyq7O0nyoX/NbWg/TECakyr3hSACueup
9FFmhGJBuPip0ep8p3p/jXhcMulaWeAFMS+6Ol/B0deezGwmb6vPPgkjnG1g721Y7Imx6E5UDyCo
iZzoyWtTbafzm4Fe49H0nY/cFdH+1CUl6v2810UvUa/2qptr/3bZWnu7sB5PWW9T1B3+8nu4PV0Q
35G0zpnTN0mg1ZK8Ex1QWpT/Rvs6Ub4kFLDuBHt3w8a0O9rDsAbPhV63mrmkjlzXsvFdYaXwiE4b
+LMXhKvBlxM/bBf7Ub2A4uOKpQpItrzJCw+8FI69FwTUyunV2k/CqlW2+cxLvzPmFjTVtA4zJ0vO
VtzYUIz7EMNrcO1u8sju4GUOhH5SotgSnbb8t1ZpK/rnmDB0JjILAfl558uBYt9e0Iu/bK0kTUDw
itKsqrkn5vVO7YLqXTgt4bYmdWVx2XsQGWCatqO2IdzWNa9djRx4c41woEVeYPUF4HuWh0RIF/BE
G+KP7gPGDj9Kvm9uTthEJDxd+B9D4s0FQKT25aiFPhp6C+8H2aOB84VqO0z+kVpV/ANvuOPb4/o1
Q5uElV2b+ZhPwjmH0zzRUIVZmKaRWp7M9vnQHBidgZl8hXqUSjjP3+iUdtL5S16p3/Y8eC+0Denw
2P1VfwABfgLNeq3/eAp1xvtjbNRTo0nFG4qdaasPkjiNvAsk5EMw6sD1kTT/1HYfohOeg57HbVnl
aNlCRa9mXyk5AfNvu1Y1iaX1mHeQlO5aWoOURvnA9YGtucyWWHdJL77bZcmU6xSpV7z2L9VBuFO3
a9mssqvIOaPaWq7Hg2iCbclW6F50N6LHtZNeJm3W9opYC5atHNr6ST61w8T740BQ5SR209aTTKV3
GCXjfJm9M7b/imoIbRf029M+FU4fszS5m4d7fAhH2rYpu0B+YmqwysBHy1kOrC055q3yqaAyWknH
ZdypqWTtF93OBxsuiLr1SKhrfxiG4d7oKsv+cNTE4v7xHUnqniuRe+Jwx0c0nS+NuAy9BHyTHS/R
BDAhLeK/Sxgd15cg7sABFlK/6IfVXcbjB6FzAawQm/K1iSBTJs4kldseScVs5nfvrFSSuGGSnRt6
kt3Eb2/fCDBQ2OmKdRkYt6Jamy3GowIRTj4j5CbStf3UIIqmKvp4eoyVHUui4lB9c8Nb+LiK/j7M
YG8x/Umwz3wY7UfMldfwHvGX8bFT+RFwvUsh4lUd9rLbaWq1/lJSkBp1wmFGCHxKe88KpFa/1/St
Go9vo/u4Yp4RL41zMWuVG8UDp5wfKjEIaCQRAq02CdzY4Bip4DUyI5Fv3SbXiR2JY6SQ4Qw0vavT
72iGvVAP7Xj28bCeY3Csu6aLm6D9sbnwv5+EPpRZmmyzJ11dGqOK/HUv/2Shp9beoHy8Vg7cCTMf
+TXSa0GRSnUGcgjiDHv9emRPgNXxTuYia3QKzdxvgEFbNP3jeD3b0dZhzhSzKcUNM+vrlCyOaD/m
R2Hyir780upCyAAUhX1bCc7xHQkNzKu+f7hWoXU0wOg3aF5yHk+SRfo3OQBSU7BzEdfdXwofTmM0
FhHnwDaGhMH8VgxMwSHiPQ7u1mEo/Kv3aTd/+rdxZlsMx8FiaBVx5bhm7NK/+TzqBTptEMrZubrB
MqDEmzOhAE/BO3etPGrQHdwhy9zTGvTTOx808atx4fjUBrrXnIhG7q5YPRa9j63f8Nkq9NOJN4ly
Kkgka+O0ZyVAyhzQileN8ioMDBhplGMjqPKEuHVmrROCgVLZn7KQs+D/LXnkZZbO/aT5jZBnF3j0
JXRt1b2vnm1/cJXGr2YqKkPuC92whHQnHkwtAAis+TJR24Yjzay6OMxmn+x8i0l1NsZQWVrK8YAU
hvlwGelSnZEpbRJWk26dnPiQeLXgTvsmWoUyPCcDBYKhIvxxDNsphwot+ZM/Y7na8xiFb3xh6aJr
ILgoQagpQvO/SudiXbianvYZahnO4OGo1gTlXS9+1UA2yBr0n8UPuPZnGA4ycAneEGNdCgezkin9
fuX7RR+rEzronMblwm/7WLD944K7kyCVDemGjHD7zf0aLwyuhwl5RyORx2degfvyBvoAz2zBL0tG
qrp3fRwr//kUz9Q3smTZvXKrT8I5p4Jq/mVa4iYfOT9FOeDyCPhsdCoNxmiL9QRzQvIjUIpknL7l
p8t9V998KRpbhVKj2K8BEc8wvGHtYlRSXpV2HX855tW+9XH27IHa3WfYg6Xtix/yYAyBPlasynCF
A3nObOnt4nrO+IJn4lCCYQTFtfxjQMHZRCRiG7TKM99S6Vz/+AM3JlS1/NQdo2A7kk9nmyIMRwRs
1+rpAnjGkTM1o5yglj/NUhLIC0wAY7tFAcU0uKaUb4uqQW4yZrEc/Vqn/1D7AhAQXPOTd4esIXxq
JGw+sEpFOWFk1iFLmPbcuKqbb/QaVoRUP4dw5FnrJciSHcxfngDUzIYaVSOefqR6AVuEFlxjsbch
BeNjoYtGOpc6ijL6AzacAoyTtZVvp0fF5M8uNaFctmTWL54t9EEeBbpzZ5Tzl9JvA/fYXHxkrRc3
NiR2CDu3RrbrmJBuJ8zWNO6AbT161GbNyhAAuNXbkL2kl1UbL+vUSxcjBLqGAIvxgO5CEzfnn2N8
60jQzU0J8ZoDlnHzSe7Pmb6pAeQZthFQ3PH0LuQZzvwl0EUuaETy/WfRNvUg5ex5Ci1sdjUItrED
i0vBNmmX4vtol3q/FoNvpWq8TO2C37TlzjAqFQ/VVOv29ijC4eF5klu9U9lf/OMJ6es7rAs2gjhH
QGojD+8eZKvcJIjGsuAh9vjNAAemIjyhIzb+LxpCWtOxiq8NLZ8p4NYyBZlXEB/U0b9KUPuG5ulS
utDrIZVQ0rkNzBruUMGqmFNVt5MBMBzjA9AcM1+0H7tPhDLRrnJ3XFRKGo7HKgELfMFKq3Vd9T+f
LcB+wUbjravKBDAKDtd4cnpxg7EYe6IhSRBG0J6PnZ25bCI2wl1AKp9UQ+r54EqbK0XQgdtlKUVt
tdAOa6g6JkVxvYEMEttKmyytjky8D8fCrdja78hwTK9Tq1Wkyj1sPkKoiezTnY1pidroizCX25nh
+ovkqDN3KAEkdtI0m6Zbu8k0hpO3YoHj7u3ZSsXX37DLEJHtQL5lobC8DtMVQPCfQXUg6OQn7KTT
pTWbDDcQfSMYQc4MqTDP/iA7blQhWb/4K3487WN1sj6lRklr2zNJtONVEoGVA/w7ggcx/6A8tkpy
GjTzidt7eTPEkH2jnaQh+x3k6D3CwfQtOcglxFqLvi7MLS6/hNdnd85GzCno8Tqu7wJEijDGxKfX
gMe693caGl1E7YJUlVfFON+9xvBNGAdwhfzFpsGrz9eG3jTUvGpkEgaip/UJZTawr5hRiysZArFp
EyS0cT3uCx3vCIuog6ny9Mousqa0ZWy2kdOP9I5iFjrthQnV3YSni2hvtVErE8SN25uo0ZF3L0GB
DQ4NVAtRgWF6EyUg3m4ChIj/DvSJ8+ruBuZ+NYOvuX1US2mJlNb7c6iR7SxAXBygqfKmUCw1rk2e
wDXHD7wVcBTAuKNN4lN3F6EWgLg9A58liu6OsuzVtyUkOeGOrqngegEvtX4YZV3VnoWVMPpMw4nN
qGO9pnYRQ4wd7SGCYV7K6FQPVp9v6bko7i/IEYJFhX4UVPXnAeBM9cqmpEp+kxDr85kCD0TxtcVA
MgR0Ib7u6rZR5Kr05yavVAtSL0pWNqoR4zvD6cxmw2clSJo/XxKMPbSjMyTF0vc5ti9eZL3Yi7L3
wn/AgRFwxyH7z5XrDl3aoFLQzFiXWcK7t/I3MoYKdkOHrnbKb5Zha83APyngtknZm8NskmBRkSUf
n4nuLZNbMFgpiPyDETvsFbsQCQ8MjojwFRnPRuFK00RExmO4BTJlktM1UzoCbMGz8ll7JbgTwHWN
/EQLMf+XO4GL/vazxvUPXBECQkV//z2kUHeW8Y8yJK+p4N3Du73V9mIx145HRfquyBgpgKYKFFYa
b87oOgbi/KKhK2eF2gjhQRogDRT1Lc9jtzqt3Bw6qg7qCR2yieowYaHa+hWdWMK0o6tm4ICr15qJ
sgjD9KhfjNIRjCOWIj29Ski2dHvKk1EJR8IXo7C180GN6WQWSjpHK8AnevHC7kxBwvo30iGtnZ70
UC/FJwYW68pXDXoH4dYlasV8oxsdayKRzLf5dQflDRXo05Z5tfuL0Mx+I8BLDdnras2UEJDjMEYf
42xoLEaVhQIiGTwPhgGjRppa8UMJuO3M6CjVYiflCIZKazDVczA4ebP4FjF53a71v0xWZio/kFI1
0MVZctDgvajFj1GRBoVm4FlxP7jje/0rbxVDQWOLPCBN9dvjRneUmAr0tsYNk/KOl5J9V6aV6Wpd
nyMkO13fhUoVm5RZSQyDP66nKaTvKce9U/lFo7gWgcI1YOiz10k88bJSRRFnsuEVBw8ZyTEtJZbn
B7f8EFdbKhH8icy/hXVZN3k3lq93qpWSyXeHN4MMGFS3YRN6dpNIptHiYHvq4ui/kyeEMRA37hJ5
AlbRQejqUT67PfIS4myE5QgZwr7H+F73Iz0LqPbfSnUwD31/uU79K5ZhKIVKRNrkNe9PC4mFOwh1
Ftn3+LubUAWtUOWRyjefA+Qy2/a2cO4MeLcbr/zv/4ErkNzZvzmAvfFVRCTS+y2ZlkCbJ7lBVPbE
ugQ/iiGcLDERs/dmi2uM8apqOHzC/UN8nzGaa8CJINgDIlgV9/YLk9Nhd0V+x/pFVGs2L/vU3RzN
cI1AVqak2UCvVRkFkDd/FwbZFWgxDGlGP0+a46decjJbHExvlA1NmaaH2Q5oZz0pSR0UJcfN8nIf
4B6ne88lO94e6p99DlRwS1zt5M01w14l5Pf+mZ0dpxOCiD2Fyax/meel3FyNkn5CvtTENI9A5PL2
kwqHyN2ZIdBMs+gbIWdpo6V3e2fVH4AM5bEj9PxbsgnjbGGBAMNUsXKLrNAB3TT0yeOA7zVblgqM
n3+3N6NSPIMJ7sKsnzzT1vxKDJ3Ssm2nVtW7Ubnk5Z6smdIYejW9eNkrh2s3pWetirSQ2hKx9Lm6
fazjMg+hX4fNEpnwIT1AbUukpGz7BeAXk5LyLD7eeA5Ln6S8EwoqknHGkqpfG+EzSOwgHgmDG/9u
SI5v1Jyh8G03TnLk4g17h1L/JOJE+EoMOUad4jsqbTIYgxHIvGuq4OHj4ck/EOjmkfBaDnE3NdbD
vN73957gEMd9DI1kHDi0QayBcBzb6WkzwAF8El+cl1Q2VTqlHtfJs88uu9ZsSpPpuFbm4h4deUGb
kWAqyHnV9a0CqKcyMGiDa4dm8aqcaSEgKkCKbMEv0k4K1Ad+4dJlM+yXxMGu7CJxRrHWc8mTMtyp
zaOWt/GcbPVKYSSErXlcwzoDywtQC8vZoNDDBuZ/b7+IRv6mtfOkp26gITtNwkSNmZkp0aS6zjyV
aofrAII20dfKdCLp4qYwC8GqLqkmdVpNq5xa7ZtxwgJTMaYZ2/wU4e1CtrLOMpshb8nTUgNvuPCH
sjaBaDg9ofLqJF9SqRV3L9GDcH6XL1XxtrBtBIZKR6xzlK6AAfjsiwOMzG/SN3IV+sXZv5naUV2K
j/FCg9ub752ip89vJCUROoXpZn6KRX6hZd3G6PAYTSjQ3U3rWGj07lu99rTYS7r8uW4TZ0hYtGA1
J+tJ+/8sgV5CsAOBVvdmlXc/FqHBYYFictDi9mN0zPXJPt7rYtYvIQwM0RPWWLK59I1MsnhC2GGo
7QPBtM6KiQi++50J6GD6Tld7pIC12PVi2TWnr3chSjbFGJHrchyGgVfQ0XBkLZ3CkhsVG6fFg31x
aJ5bYx/RPdYSBRy95hDWN/NmMhm/3N4wqL+bZh78uy6FRtPaAbqLfC3gXZjcLpPrgnutybCPnxFf
Un7OnSQhDrorn2uHXb5J25SHO7bR7WE3b4xozlo1hcytlNEjOd1BHTLFh0XSuWOdXTJl0PdzAIUh
7+Tu/JlIzCGedvCcYM0UYhVpXePZd3znAvp/KLpvr8ss2mzxMoir2Vv+GMgHJSiwh1dUnQ1IKkI9
SCrBdy+BfzJ6VfBORUCswNJvKfNOtknXCWSgGpl9SBT2R0+dFyiA4FpuRZiomn1jg1sXURL+dBfb
Qxp9Xk4A1zln821RLglYaimtqd9P3GBqBjN89zIljNK2xNHfrHRfbu++6if1gwHX8cZJLl/WXD3R
taK+n6EjhkDeDYL0N1mWxV7MkLIkKkH+CAYnEzczUGUbZ220yt5kHPrXMa1Ur6zV5ORy7Y00temA
Lxd+W7ojveoYBLn7T52mSaqRCc/IWOSuP2IeiUGKO0T2HpL5Hnt47z9OygQkldebhYjzd3JT0scd
wonDnw4OlJr9pmdy9rGkB8jLsqjMWyxPgfZKk6/3iQigkMQMndcnWgYfK0s40KV/N8vR49RveOQd
4e5sTvnoWUN7MvxY+GROjS5UTheYwKXXiDGeQLZU4pCkQ9MJTIvPkWBYccskvlF4+tQNTSSD0s0n
2UDJXmT86/O7wqYp/nFT1a4TvS3JBPwLFEy3S8cO4tLv0nXFtANDXXRMnFeHfHcdCAIKDt3mfgs3
kk0ItWjr//DIDN5HTcYteB1nbwz/ts0FsR7b2nOizPYKxJj1U3fFP0WjstjmsSXwhuU3zodgtHhw
xR5wHnqi3s5ENs2aYqbRDfh+Ltt6FuLNUOwlWV1I48wvbKtJkdN4hUDoKg7g4nxaMwQKWvlnbIjJ
oiYpUxmt8u4j1WO5URFw7FRdoVQ8XXfzMlqsN3Wvh6l71emMp/dckkh35eItxA1droQ87DumpLSH
gsZ0nqzdYAIT6XoThCJGimGGNcLf8a8naoDSSqZklTZsXjZplup4hDLGZYTgLlS/2VGd6p8AB0vg
WbmDoFrZs1vo9brYc9WkIb4SpXtJVEsowEOZn5xEReAh4Dq3BHTvHkSoL8mNqWvzo92NtPAU4UmT
S3pWi1P5vJJzfLngpSdJ4ut+Yfu00Y+Atw4gdZy/YhbEZaRCv6qch16Rn2Oykjw0jW1ogXOR4xn3
V0YF9L9e2BzwQWHdMQy2Yy209cA+V2tkSsllTk19EIJLOMsWeXlwcUBQpjzZav+szWaYQ1u8T9L4
I23vyIMDvib3W652ckMP54yPG0MGZhntGau+AzN4nROZJU/VRUskqNd6ywNrhrM1wibGBrYtaTNc
r7tXwkPJtdFltbYO/Wka7NLjMLb5X7ZWPmFoVMCL6IPjhHt8eV/WSkjBsZ67i7oXpvcilpRBc3Md
Ha/T+IP2O3XyETK4bY2e/00gWcqTyIsxYIUtYJ8wFewTF+ilj07/9n+zEmLIlOEDpx85LxKt+DbY
dhuGfcvAHQ0E7/clEjdsDsGVSxK8G5Rnk27YU7qiN6TmxnSOTBzvTCUEfQcXUE3HKzGwMAnq468Z
fLO0DLRafb7qlXxUbZw6U4nwqKrHaO8OtSKMd8s9lwLF/uFgHMN1fUO2OfPcgTsKrMB4jbc3mw1m
0w8gxaHMq76LW9E6XsiYT5sAG6X5ilh7yZ46k/tL5ty5/CXMhSVNKD2yqZLyCwPy/CJqECljXam4
nTcSSkgA9f1dWIAixTqZyVWh96qB1IrgbmqbI1e2ayEY+vOjyTcbJKkJiNcuC6uwu9gOVbwffPYT
fZiSMQ3+fCkazzcIxaQ0wkPCh3jcvlToRnBBNyquD8nEt09HoXo+jzWMFfxZ1a6+uBdiGTseeAmA
dwCrr439caPzJpi2a39AUCkXu8zmQi7NzMDS72w08JFcNGC+u49cI5E43LE9EySfjGcvjdxf1sFY
SjN0bj/XVPcsThUGV4xNtDmf2cYAdoROlCuel/MvUv+aQQHmiUAIJ14dEFITCDGJYRHikrabL69c
BWdZznWwXFg/HEqfRM/u3D6aj9OOCqsoqcud3MEkdk9WP9xMXlNlmYZBWO7wU4SV97A/aDCV7qvR
oj0LsfGnoTobrLaRVq0100QpnwVrvfNU3z2mKVSmXXn2XTCSbXDiQ6Omdu7BvOQm+OopeFmrPIBw
wVq+wMCn6dgLcIbf5wF/74mf55xFb+J64U1HJs0ESxcrAFfh2FLK6IUTF26uWUEgRh8yJPM42s7m
dOqkNInadTRmnyopJ14Ij/Vfh4ADAwoqVStQRi7mQe++ByDK16cl5hV2ZNj1z6NP84hyqUUI29SD
D2UXMV/RXTUMZVE9lDpVzcuQgKn7AKcW9UhwjVXos6OOm76OzW4sgiHj5rLFQtM/G7kyr8N9+heQ
urqM86JaGreTqkGOtOUtlSLpfAOIz0xFOexosOWsGm4CrC04VU6PBl7zgkxtXh6VdNhnVbsqfo8Z
AshEvwbFwU7C35NrwtF8R0G3JcJLWrGpLiJOdsZBBArYq46nbjCPMamhJ70YjEIwcBxc0bCwrmc7
2G4oj+JOoGiT8tRaJ1B2q/35C0mDkFUMbKXA86oXhx9BkCj+FRFdApc86qpBJOmO+QyrncYqV6CL
D7v9LZWfQLcbJxltfEFqKT9vmzirzo7WHOJelnZ1Z89LcVnf96VHEfXwAowyXXodEorKB955aLnx
9CSoiwCUJYQUSGF59ViJqlNN5ZFWEF5MYPIBnPb85xH6J0CZY/JCpHDRNkv0VsfZHLYdTFxCXai+
ZvcEbo3qBWw9PrMLG8SI41EKSFGv9eKR6EG3x8a3SAtGPhqhudj9Xv0UPH89FJZcIeG191KLO65r
13461FAo0kz9r2L9yrN438JbBU/bUbw1VVLzMf9mFShp85rX5R/aCtfjbigmSLx/UKerG9i+7GIB
AZNhIGQ6I4JnfOIGAIVglHNrsoaqSthHiW99LpLquPvOxnSL6YV/0iikmxX8hElO4eaZkQHIdZVB
6tRdozMul2x9Ed2rikheryS9m362B54xRxPVu7yAN38FhNhgNkSGj45A/f1j2C7ePPXgdHp3a92O
xd1Ape/4WHqQ+kddlgjHfnjYjY2kYzsP0hzfe3mx607bemOzGYiXOFXGO6/6ZIBrB76aDWhDh5QX
0n4wc2+ta5qjhHElyYoyBou9aU7VT7KMwVoPhpAMtfiu7fD+bzYU3+G5cWrQvce4NnX8C4iQd5Ro
z9kavcaUMd+ktcxb3pg6c9AjNiRvfGvI4Dgo8ymgW9t2JgVugQ4PDbcX5QgjTM0iDaEYlIG/ayFJ
1R/ORFTcaAxz/3x6fsNPFcLkR00UbcWEeLT+dtxU/VpLPxYL9bWwJjf/y4pc3FojLYusUj/knwqL
fPOqJ+mRYyuibpWjbp5LQ1q4WiZfmb3crBduNhBvjfzw6Wr+Ngo7CiZVUQ+91k/SqZ9Y3UJPR3mg
wlKs4a0vzFBuNn4AAy7DS8vZbzA/iMAP3vUyW6y2iXVoX9Jf+pqyyFr/TMwhgnbHEbEiDVTTo08w
FQYqcGbTNg3fGet2EAskuuhVbq/GQOepkMdfQZkdDAagzcxo/cloCfbQ7ES4TPUrSNZV2C5Kn0ep
hOb/ZgAYWrmmpiVG1UDa9SoL6KYSEyS7QTpnA1rsjHkOfKgyF5wSsHR+LXGa2keXpEH/EL06fsb8
qrxVLPtxlA7rJFROcME3gROS25garRzLqnSUyeDUOcGUcSqdflGIg67o9G0WNjJeReSBovXhSqhg
8YXE2VJPhCLxBjdxuNAfhe8wI6E1u3hyTbKixjuhD4esDh+MtR4ntdDUa5wuuUBVtcfCF985oRfL
dOpz7GR+p1vt7aooAVgv21Jpc7hidYkc6YRF6v/mAakG2BqgU6KmqJv6mwGC6v33D9GxPAK2+YQG
GLkiG7S1Z7niymzfcxPucbAvs5CWCDbLdvCVGC54SCGN+eWoW1Jlloxxcz1CxetkfJjgzqdxgllS
qE6LZV5TZiiwksgMhQjZJA+2e9Uy026iFs8J/AicOri1GzIqal0NbmVOZw7jb/IGO0jTR185iyEr
7HHYnlzdxTabk9KbN5cSTHwcHu1AYeBHQM2i3BOm/5oouWraE7jcAUjmHOwLfvQ6gnreljUJAejI
qWNOWrQCamDiMkGv6mjCzMIcFRBJVTPLJrW5+QTgK9XiMtMSA0m7hqgpalTKzVWG3vKBzLFJDlLY
MsBKBkYGj/WfwBNAXyeoy9xM3IeLIkujbBecsZmRf3rEryXzKq5ZkbxJQ268Wq4OtY0ZU06Pim42
ks/ouC6nlLd+dATdnmi+RTU3qHaaSnF6yBCueTN+i7PgY0Yg0CKLDIkHXDDSjTlU43VczD9LcJHx
ZT7W/lUTw37/mztmTLRnvq54eM6lI9fxCkEHBRG5SRIiHrE2JuCpKa2HQxqSX/ZtoXi4IchHMvXW
vy1iXzepcZbIpdPfD9T8pqQGrFrh7DwPMDPLXGMZRT1VX++HQ4QxwE05wy61+lA+UeEJ3hme5+tJ
2ru7e15dTzH3Aot8GzXgkPN1yAZG+OymQWwTEu94pRYconN1yE9v2idd0BIp3obSMHwyn0yMlz5I
VYYSyeS/7iTB8QpyBgzWS5cGbEG4AVpOCyeLEIQNX6qVkfp+CXZWY6K+PNrB8K1SwO6KFzkZIXiV
lV0e6l/aPUodT3q62dNLC/qyJsFy3qNS1wIgaNOE/opOsXfCA2/8c6DfXBcBt9D036y+mKZmedny
zanG9PrhU1h4/WgoRJbmAIWK+N4OsFfp1IdR5pqP+FTeyRyJhTyAsZV+3cmxINVsQCOzSrAQCAPL
Dlx1U1t8WS4PUyVzINQEZhLTPwj1AHAX5ies4PX/jJPSNaVjuIWIb/yZx+YGw25JLvTwSIZ1Y7ov
QytXk/jVCd8u+Mlv03wH0k12ED1BNPhthF9ixUoJSvkWOLARYh1CnbcAcp6MIQ7+uTHaQ/3URsqH
NebMR7xD+C6OiF878PekS8+6/jvHf4jNsc8GmE/K9heOW7jGyJC2/rGQmy2LYk2ZTyFg486uYCmd
1E5UPX7p6urb29HCDIIb8qUuwUsnWpofXaIerT3SlLzayEs4f4YSO7czMhbe/b7CYRObI3TkjmT9
/a5fVdljSvRXcAnwQRe9k04nN2IrErTRHuuuG7TI5RPm013/JeOXAGAobhPqR30QmExh6WrvgrEM
KBqyVjCqDybSkJBD2BVbtoF1sD4W7vlZrnrY17gCzw3qIquFp6vxlY9CCD2f2coMNzDC3Qlyqmyu
niZTfHPuhauGKdkyph8fQjJxE1vbFSNxPca5pvuphMs8agt2X9ZfW82P2r3pm77vLW9FIsXuZ7hO
kset3aHVA8KPsdqJV1JnqVLao6ThumEJuwFXK6vjyNagwf300jbnf0rgtGndjx6icSR2HLe73Z8B
OsLxc0ZbfH5Z3hejRC4/zJwO9mIQTOxuI5RhJlTrtJcYbhLBBm4X7qfisTOAd+Z7bshaszBPNojZ
ZHcKLVRWH9oHic/FmhNGz3qNYSZNVZUz65KbczxWFCfew/usEauaI+3KhAgO7z9WvA8Xhahsr38c
GF5TSUrtEsJ9rTjwtMtSyEDoV+LfXESQIx8K/mV6NyemoziPErZHPhPq+faYWpb2+dWHkwyqAMM+
aASYOrTeBfGTBLGoMpA5Af6lFjwAu/ZahzuDsKkIJPHCuShguAzpQ58BP7eB5Ve3NAAI6m3QHm6G
zc0OB5b+AA9SK1S4KoZMcPK5/pVNcSAPhbbhUhw4zEBzE3oJfHgkQqEGOrIwk9FPs03O+CCiZ/Vl
95A3dXfIvu4chh1GWvBr87cX3tIwhFGkLah6kxESffFIbqQK8LKVOA7LkOBVFw8OgCw3Am4k8UD2
7mZTNOjr3qnFYYKwp6tFJ2BegeXglg6R9HXrETauZL2R5I2vpil3sl0OK1Iq8hMoGYFm10FoaFrc
FfqXde/MoP+QDW1M+wc50sAt+Le6bs4B0C11YMbHV9o0+MbNZ410nDz5cVdA3d/7FqJxXbK9qcmV
9UX7/ovxLJc5jiPjiXoOzEZesABSAbmG4Tvr0JSb8sy1tSNmAw1DQW+k+xi7Wk9jZ88p6BRXry6u
IAksmL0ewSPQd1RV6Fh78Thz7uJjn7XYtweSSDejKprnsZfamNxn7rqzqNZVXi8hUOcLIlVTcYqA
57LiFkT4jKTniQyfRbnPCjFg0dPDaq7q+0+r7UfZEWt7bIrB+twkJcrxx/HOkR2KkEFRti8aUUOh
xxi/f+0IwLqgG9eBfiVFz3ltvm+Qo5bpYl4bKb1tUXI0jvPnaLt1+8G4rwKkmzSRT5s2wpV0m97b
GllVPnS1UTVWH9y8dSdTXwdcK1kEbwS7ViLGaHy9UY7Wqb2eRwjmOHBbI045QFjG3P26j/0bYwNr
Dr9tAW2EttGPJ02OeOH0GuSHUrOdLqQHHVpGyVsp8/IGPRkId57bBtsoHxqd0XmEvb3xEBzGJFTQ
WqWVghCllnVk2ocviFwDctr1wCwaHI0mgc4ZJibsgfehfZS3vbh/a75F+WpQsSoHfysaKSzh/zgF
MBCp+mBVeXUMVTqjM46Vw0TBaHJ3WX7OabGbja0e4opOzHLm/ooUp5mrS54qrMKlK+97mZvBmMdt
ZeRnPAHtThnz65k1z05QZF2Hu+kfg+9qjxZIxNvjtE0/sa5stThYwXK/M9GEYsn45ad8MOADChFd
m7iDArMyq0sgS8xHeKIyAxLaNEsgEEUVYoDN3qA3eLfQUQ6XVGUMJEgkViWZKOIR1WFEQRX2wJAn
2ETMJ0GN5Ilq8zyLouwwM6gPr8OnVouicQ8uzMtJneloPwYtZcOsO5CZpn7vl1LgwrfJKV4RnA1x
0fpQOq1ZlOZVCriW6hag3vCK+EUz0lowO4Ts2vS4EAStzKKRaV/5deLRwpfHXJ0J/gUNEMXay5y1
Io17upyuG1VtFx3Rd6OjKiiXkP+9WhiSNOrdh/CVULeaAiVuk13j5jmSQBn8fTzs74bStK76GyxI
hOQ0SMdTKRh9dcTT7cqw6jRHQKo5rLhBbqXe/KecSAO8WBpSO1H0Xkru/ZcPHdkvEdDgpdHEIhfY
qqjF7QTbrWlonwsEObdS3Ecnk9t1jmtrZP0xMyRT8n+bg/laZn7LA2JYigt47gRDIgHBzL7S1K70
5+jPHPQe8OIjGKUodbw1lpxUzyFnpgTThZeH9gocdn+0TylcQmW569Gp7cqk3JYzb0Md4Fy8/IaO
X2kbn8T+XTHUA+eqBOFMUH9sZCcMJHBMW/4KFxSFSKeixxMPnsrBm4Iz948X2pKDYhxATslklXQ4
sYOweCwy/n3pI67xE9kX8upvnNKdr1CGG8c1+Dgw7OfcR0LwdsOtkUjFRqkWTOyt08h+MY+qtJtx
4KME8jsKd6xaiyQwJh7fb9yggoiW4cRJHy1dRvmUD3zvmbGZEIWC9TYjflNEo3Iih4LjDU+VxsOv
F2vbFwVPKwXpqUIu4I9Knn9nVWjPMaSAbKk/P5tnKWuAV85rElXodas3PFnwDiGjZk7Xx7SrSF8m
Y606Qg+AqwriCq0af+t5JqqiQMz6NiFQpT5u1hjVqZ7OZNlUHs/sfpdMVBKkqj8OXEzub+AZYM1u
0z06olqb4PM6hnvZBgOY4hzyz9CbyE7P0uNiMvtIJI8JQ7jdrp4zfbfVnHWkp0REDRt6vcwDkmKE
oATCOMeIa0hwp+QxgiJsF/35uwlGRnfRNCHnq1QymdACkty/3/GHfQJ8HGP5rv4pnWXFPeDAUsYm
fMFAtlCro1L0+gSe6wuUvkwUv1ycJbRuSK2VNHchsmoJR4s9ifM8hWKa72RAVY5MR00x1Z9Yxpwy
88kKsFVE+dN5FPhjcrhG91i5lC4ktV5SwLR72h4Qt++DLM1m266iQhLnJ0KY6H82cBU9I6M7gOgX
K988U1zKRfCpxnOkm/+KNA70dr8G1o69ieCQ2m8x47G31t/OBRyzjWNIBjtfWgzcvrYZH4abjfO0
AimKhKi3sbiJk476pl2kn2rmZzBNIc9lvyQx2dIhFo8cLQLMsro96boAcg13w7lwX0cfLBToCEvN
oZbbPAMtDgUg5qaa6Qwwbb8SVNKKKKeMWzuD3OEBlpUWaXJFRnfT7qxcgcMGyyEzO0EyVqeY5rTL
pVTrruiOLu3Spfdzxx6PdT+kfBNlMwSRd+sMg6yz7B9RBfHrljLJgmhekPL+fO9QDXJuHyCIlyDl
OcwVvoUJUrwa9mgfKTORGvA8Q/dcaGldnZx8V+i88wArcSe8etULMTOykTlAUP+T4jAI2mIPcBEO
JTYAJR9o48GBS2d249KWaGqRoh0QvrZyp/hoFJBLo3CdRUgH+JMWSKGXdkgo9q0jRlKl/rYRXb+b
nXYHNMB7fiorIoeKuRm8hTGZNQWn1TULshUMpIvqbeQ95NW+CvrA+ev9SUIbdyel9Ma3EUD+TQsn
VzTLcEDeCZ6lUXwvDA5igJcIMJCedEnGQv1u3u/9qq6hI8M9j3cfYnNXde9p0iGZeQIfhwjPYmrI
puaCu921RRDFvNskpctEh6IOZlxbSBngpDKgXo53j6dAEJ7MlMoZ22qQM93OAMnaVt/YZfAgsCgk
ma6S4aZHVdX5/xul5QjJbDe00LcpoQGrQdQOrXmD92bMAnulqj3JKirq/b94IMUOfcQNrqu8JJkV
L2ktAnACbAKCCDCDrRy/yb8RgyLEzHX7QtFrS1FMFBQMJ8susOW0yoJrtCQEeKkq2HT0UnY3Yb/G
I+4jfB0hQfwuDas9azNuxvzWmDDmxq7UA4DpyvaeC9FPs2rqgbz3so4phDgxbXEHpb17ng94Z8hO
n9eZfnGMOYCjfflrPsYdizYtKttj2JhivqquKx2K5ZaGIdfTc5NLP3ItOA7TRpYTvvzkZ3SkGTcc
eZ4cflxovNx/gPWd5fwtSnn0F8H5yHADHpPs1236Hx7w8CbkyQ74orZLOdhCjjiNEciiI9vYvRhU
nCBQOcvlM6BI94OClgEyELbFcMkwdkLinssilq4kX6cMDrqSToF6K9+B8F5TkZX0vs9s8KdoNvTo
bq7X5YlRoL1eDaKryHVvKn7JtGM2HdvTM9KVn2eScaqFMgczxrIgqiNZxaP7yAgvsuL4/DxjZmgS
U0YhLkDlf22U+k9yIU7xMLBusGm2lk8xC2i8WU6/5AViRmbJGtlrVC8N4D336WaALRXzB1bAflo2
ZokJ0mDyQqHClB0pfwqVxQCXk2TMIXDxOoqsBD7cjbLS6RLHzGpn5vWWVZEBzrYzNc/SE8fkRzZx
1FaR0twIEq1ODCD4cC6Yzzz2OcLjcCr8336Q57LCO85tgfNJ/YOc/AFIvE8WYPoipjU7yWdqXGMU
2zz6/ZSZjKZoP4HJ7gBPSh4tvUfodSlxWru8KuNhGqNPY2F6sEysLeMFr2oQ4oCJ5OiU0Mu+deHo
5JdnyCUU3AKP+mhvrnYfWMMJ97oT+GsScj8axZmt9KL5yJ5mgsXp8QBjVKhKyOBJBaX3+IPo6xly
Rl5vBRYRIqN2nj8wWidpQUUx7c0gMjEfd/RjBHIUmU9B7iPphQNKf1GPkUQYcIe5mdnPyVZr9KHq
/zJqxd1kg0BK9M6ibUd59DounjZzKpQMycKL2Z6hWCcWO4co1wtYxfoxd0G3AcidAw81m3nAs4Rn
c1EeuPdiDGYSmU9MZCUnZPyMX6qNLL/t1QNsDoWfR7E1gxYGBCSxmDhB2wP0hav92R7XDSOOeHsk
2H9dVY7+2cuFEq6uDDsSXnxJNUl8pKxzPHYo+3ceBtZJ/VlL8BK8Bi44s9YNk9D7FIB5N01wqYiS
oaCCepl8TUG/4JskN+OFNZ9IrdOvVhUI3lzCZY68/i/HUwg2nF4V4s4RohAWTjwC3caohiBugG9D
F2DsbklnNHmZR/+857q9w55zJkanxNylEiUI1MlX+d8C4CvSeObdNZ4rYRRXMmGnj40zUoeEP+97
veHUkTPbfzdg7vPnOv6mt9svQKwdOq3gAGjeFNCYJ6AMI3bNLcfT9jbXWsDSTcZnuqwYfPRxV6vO
NpY2ZljElYzcnpffi3wTt5oqsz1F4Lk6XUMxdKPloPzKdFvu8vBnqPUebVQtDJMuVFmNXesMiZBg
p4xq8x763NJOBS91J2lit9MfkNA7rAzGR2jxifezaeWZVhg34R4Nxpb/p6LMfmbECnDRRaYDPyLS
G+5LBCLAzCMbIGcC6gPfLXnnJp9J5OmVUYsc6lafL/tRRKZzOfAOHMtVjS82ugJn1WRGEbmcH6qq
loVkz3KsbNeUsLUFDrn8ZoxxYV43m6FurR1GgSQdKEl5n/GVk/AFU+g/tO3BOjV02UPsYSJhB81x
IhMU5QRPkcumpHrbGPRZp7ajx6knlkTyVFUYuATHJeeSixsvhGQhUXfxnTv4bGX3+/wNAZJ87W82
YKxL+neYDHCryeaLWJUeCdj92v1ODZw2dOYlR8nhwIB8fDP2NXIm2CvvRuuO15zpYF1uCM8htbj8
iDObWBfIa0w0FPPxlnsFPiZN4ayGc72D03q2hX0gaPb3KuF8girqinfq74lhY2CxH0121Wg9wQFa
kINGsBukmSsLFin9QOVEFi5rKvOAVgKSbBqCddldo9WrtI81g77SjtjprPy161kCB1sSnii6mF7t
btWXnmHGG60vXNPjDVWDPD75gCB1Txt7Z4wucKxPyhghWHv8kP+f0qOJzvpLZMKOy9jInu+UJwZ7
vZ9ut1jzHq07dW995A9ktCZ0p47KT1Xvd5PTTTzKkbBxoTx/R2B2bzeh8k2ydiWKoQ1r+8Qz3NYw
q2o5xMg187tgnBOgpM7h2vS5fikmTn7Ak3pKobVjT+dYwelQbgpgp4mcjpUYq5gi9gyXx4oDnOJ/
OJ00vxd4V9T3gTAxffunTKWtxaPl2Uv24a6Qz9ZkrGHSiZ7V8sCdufSbSv1jCjy+QvxZhCSwZqQx
5cBsRk4Bn89xazlGfBZ1TSzFFAW+OxUWySDqEU17hhGxfTC4XsZC7YdU2zZcrcegYIkX6ojFzY/Q
k+41Fq1BNlrykx9hk69f32NvqFDpUTtyYmWrx15lfpFlzBm9ApLk5PtVYw8M+SQ+Lsjpsu7rRhPB
E4fYhJppctJNao3ytL3msylZO1m6k5Zrnps9YR3DI1gjw+WpYxjUX0pz84GLU1ZIP2e11emg7Zlz
gd5qLsy2Slj9y5RBIOub50niQsvcwhfHiFIpwjn/dXToFhi2cov3tIg0BkXQUGbTXCjhgoQymJOU
OT3LMMIgT94R1Akga3PXszqw3IpBCsYHTEJiEzEESmat8X0WvCX7kElEqQS5Ds/KIEqhlFiWyFZP
qsAHt6dkQOu9zFMJbofQNfVgAN/6+bC3R93ce7vKw+sd4qN+s9segljuwt3QZBfTM7fbQCwPtq8P
F7HM9Vh9RzjP9e7KBWmSNRmQ5lGHL2EtnRbfFUf0KCz0B/YxkQo/Mbm4bTn47o5KjhII4PGiXHsK
ffcsi6gFTK9iNgaAj5Eo+YK132bcDUzNXMy6N5cpRfeBgr+EprgBHFUMTBPsBO9Of4VttXF/O29q
/H4A2DjV2XTXnEygyLSOvBM8EBQ6TQ6P1bu/0Mm6gWtgfZYFj3ayUf4u1o+9SR/FjLyFLrbTYxhy
4Lfj11Fu8sCfXxnJ5sAly2u2nOnIh8aMtjHnntft9F6ldp7VVuNEOLtr8lS38d9bFaKRuQ8g8OP7
lVUh+77Y1Hbw3BT6VmNmhAoJFO8PIzZo5qj8xDF6EsnBD4G7HOq4kF7NrEvym+0bmCTgsi2k58P7
Hz64I+GBaHHZCMgcarlXnvnKhiUDyppfybmCI0CVWmZO8ggNlh0lfpK1yTGSVmKmlK1rndojWIU+
zxir18ZBQng1TX8+3JYTrsbuB/XAjr5TGZAELMezzQOfTolLqAMRl3ki6IKXVDchqhxballSJnbG
W/YVLTvOWc7490jUKfVo2eusbsqbllnFpPpt63Pu0ZHxHgKvMwDh2xyBbPfyOBHOpY8Hbs2wrVlG
/c0nDIu7b0vGIJZD+B9Otqu2eOQaKq/uDUtjfzplKhwM827P33SLmseTBfnWpQkAsNclmojBn/cV
qqbeQ7MK66fo7u7RAyGtCsNVUiD9R4fzjNG4NMdf1TU7UW2T1Tc2i0flQ0XwCaUZlbpBkh5+qZaZ
ly7Ba2AywIYehntOfQnc5iEhLs4wWybS66kD6yuCbf23UNvCvYU7v0eTWDC9glm/4+KMRzHxgrbW
/Wd16OPYHrgaRh2wHHfSuExo2y9cJQaiyakCSc+El7JBrPWR/KutT1yyjpg2A6ddSip5SB7eqaGb
gKbdUK3XFAWl4f21WaGM0mXY4HOEGXa+yZbNbxeXbTHFyovJrZdkym8eb739Fc2mur3ClT3O8zbf
qQZMtyhFXlG5pqu+zUxYClcV/m35YTFdWf0HGAR8oGZvIVAuL+RVvady4OnFDfThPwqVnKQogtTt
cAShWNFzngfhH8sFioVqn50kVx7y3rxqxN8kroyP/enJi4chjPGQs0qxP3v+JZzoe0vGArnYjZeb
v81albMdI0nOiOdrDOYW6a2cyY1uWH4r6DclXYExMnjy/5lkIemDh/b3Gw/k5KNhDZ3/3aYcwxCP
t5worFPIsnGwe7fy47y6zu23Jk7TZiJ7RYkeoAV4UuPYT2wOevg7Vo/2WSpgqpK3RxXyDrbiJW7u
jn8Fx0uEX5FHhUHr7YvLBVTTAmZvLt+NgcLYz0HAUQUZS9svErBOBht/5B0Xnj/n48QJliOGB8Pa
EtPOCUec0E2Eivwa2AFtqukpJVcErL5bXC2sz0oG4qVCF5+opjAro0mCfA2yT7pKR5ANJS+E2dxS
SxsYUiTfsma0X6rAYktGBlbyjxKwRmdpOrevd2IHoz62hx6QQ8p5TrV/NEIxyvy+7s/Dmak5b5pl
yfRWm/jzKBj9gbzGVkQuAjBiWSdAX9D6dyfAaWG7N+IYY1nm4p+3zI3pN1o/OHXYuzG9lZDpV8n7
A4X57bl1K3aJGoVpdYfu7eAav57LX2m06DoZgSJAYlPHS8nHINeEe6YrqJ0OdNefhifi48OKTNk/
unXLnSlXb7AjLLgw0BU1f5rI0Krp+sX5AVWVcVKUgCsuhabqKPAJO4fAg9Y9ddrOahgcxZlCqJzw
tPuwYlYTmITWd0yv2cNCCzcPsbalHhl1NscAOjOFEnQn4ygIfjAic06TvQgIo+vn4EOTqQjJZjuG
+P3LqyWTo8adgVZCvaGa0Y6xZmVBHtlTg9PKMhI0Cf2/K7uo9HwGqxcGT8mILzrdmWXjFWaYSzA8
joouPQBLIpEC9ZMWgNu5UJsR8qMX512MqDZs4ZWsdUip7BFisGqxplm8EOJh4IFMrPMmEBU9pg/c
TaJ0YiKdosMJ5AKzucwPkgc9l0Y89wbKy08DCXCg/NbH+86YhTBnq1Q92jqWIXGaa3BeujwSm2kr
hj8aueecmFSJgTG4l2Ew9smliEJRqKs7NHOfAc1fCRxGPYkqPaq59ZUn0vQOA2ghSwxHChhkFDkL
Pr0AUQKj70NJn3x2s1NCBnUboJrt0D7DX8x6wI5F55SBWuuTyP0N3iQnoCAokbadM/DN+WlbybWo
uQ7nsiYXnvqx0B1EWnRvSVxG/Wwxnv+jn0Lv5c708HWFVS9hyG2laqO8RJd3ZPVrr++wJUB+LSVH
bRG1/EqTc9ZjafU+heVwHHlWFsXoMAgNAOStYSYErP90fJQ9YjTS+LiEkzOinEGSJDMvK53pHCVe
gUsM8n8j8wFMdvbIK/h9pXdEsAJsLA9TYWs2scnfBME9K9shE94q6qn6VtyjQJ5hzfb2NtdNdXR7
OYLh503uVcYC4gG4JDODjgKsqeYphluAGZG/TD2Sit6MLsxYPtQ+RnZRWxeRVKdGzm+WikFIU83k
ZVjX3uRlVOPibeRsQJLPeoevR++z5yZWd0Q8n/JEgdB7EqdGqhQN3GGroV5HYuSZqyBk2lzrlVgz
1xlo59QL0+yxcaa3FkYU5hZM5/SdixZJhfgNku7mdy1KA0A2Jbl3F3mExA1RANl3MF916kDQ/cHK
FVQ0REJQxm8Jnf9aHZbA9BcmnSqQ5mpp8LqdR/E5LAvWfXNPDsSvdyvz05THoGyoCZy+staRSeIM
lyNt5OkUboPTh9Eoq1figWgyf+WePo+6SfFuRAMD3C81rcz7neIf2dX2/7TPK+jWro3bhGRdaO8n
bvxZNZFOIONmfK0Wow63IjjUyTlZAxn5uspIkgC9tBM9V3OHSpr+FA524txgkZKXKAcaoUTKEuRm
Xzcsd75GOkN63rpNFzJYvIlL/l9ZUPq601ohEnbf7Sg3YcIMillvzib+ZRpe/HvFRVHcWJc/YSIO
vZLrOPYmJ4Px6oPxmKqPsPuVMTj+G5b56s70WwV8QdrFsWMpE5jn1uM5tCP2AUTFn/trzyrPJOCT
UVGA39jCiyRKdrN1KqOGSzeeWxIMaFGggFT9d0I1r3SA//30/dfL7Uw9+8F9nWHQpARzuJ+w7WgH
ZTWrGaTsaUsyy+MBriXa+aNqXPponLS9v/cw9WrwGYXaifz2yMy1Kbembu/ZHc+CaPD4ZdclAkl9
Jq3s5ege+OOHuQxmimdBA6dmh8eLBJLoTbVQ1F3XNi9oPhhOl0pXBYwA6jiECMjGgsmEEHGVSoCL
JVujvaT7OIje8xpU6extdmYQz5MXlMKNAwlQL3Psb9iIZ2nIwO/bJ69ufyn6GkTP5Y1Q+lPqUSj+
YK0ekWocIhj0juin0RqAEZd7A7a5cYc5j+R3mTtZpQUSsuAzpafe2QFJtrw8x9Zo6Yao07fwpouq
V6sAU+XaU+6wC2y5FWm6rd/YxjEBanCMGPGy5v4dPjG7SCgQESHd90UGIs+e666vjgN0b8ehPJx5
QMz5ZIT1GUDY09zCsEviFRxD3Hp0F4nvreNZri8Xxpz0gqVDzuUIJ8GRGY7cLdDCVN96eQNhYHYO
oSerdho/4AoNc8Z0LNWMmzsGbREXo+sTnNvEVlzmwdYCyD3THsltp0uTwigK/A9OM28GBE0smTXW
uQBjk7g3TPksevQo/hR7nZkiNAe5PBtpEcEf/ED/oUPZb5BlpjGCq2HwVvZxWno0LjMKiu1ITYxp
c2GrNRsHxtMYzWSXQnRLu7lHBZc307GDLKnWQTQntZ7V2tIeCpvqHsE/Y85YVIk61nAE+L5swcNd
GiuPu+EcX4J06LsxmdvOcgB0wy7ZJdNUQAzDM5dT6Y5nVlxQocZiHK2fERQTp33YbKV0m0g3s7Sq
vwIbzJ+Si68cVKhPOBhLkNtl3wEJTCqXpVCD7Rs2fuvj5qRtEfTX405Jqeat0BlzzUg85B4muoNC
FcBMVM9LvFnJard6Vbc3/JcGVH98lVF78y2gzu2SfhujYAuD8V6FWqi7Af+qhJgusZ9fBCvWxuJW
Kp5PQ8cCtZhEvOiEdXcWkSyd9zvxDCdpGrIbEsnYVRyGNrtlVXgtqHjquKVEt1gxjadF1ami/TcQ
i8gRxFV9eEZdntBGXxd8OjAyvkIrz/XEaCCNwPdEUvWjmzo/r0QSsMM8EWbMHnlP2M0fXl2Fo/mF
Kskju5f/M7d/Y8XEPb2nOPT/7iajSuYwV5pcmq3ole5uhIb6e3dJKuUknO69FHyqJ1SKT1K8JOZV
1UhhT7pufCSU30M5EP+lVQY+6dx0tKcNKrSdmXq3qWzKTnbTUhULVBGpG2QcEPWOBb6f6F6ZPvaM
ghN4ZKgkRLIwgvt/SD6h9j4c1LTNLhtM+w/QTkVdVvx/Kq4kzgiLpv9Kjobp3uLHM/cRbEvlqjq5
06UDBTIVh6Va57ognN07sYr0PUAGbe0Z+3jamFCA5YcOWo/GoNDF+y+LL3tVf8lO4vJqyHEPBUyP
T0Ce3764HcEXdIwTs8LXU+qWKG3F5thDlO+5Vrj9p9UYWe0rXqn6MTioa5FZO2a3xHFbTvqREf6P
sozCwDplsY7YReeaTta2luVdJgP1JP9lDJxUXs65NjdpXv4uVwMjEvEqytrEKsQIcS9WWBUMQ2vm
ku0IHzmVf99fuSG7EfohfCPKlSC/SJLP/HBlX52i+i5bmYLWIqaz2LTuqzolV7ozZ8R6xjGo7LxR
3AnZGvjxJNx1z/AcYHJpJ8evs2tQbDnKiQHq84fqgm5nWK4h9RqImQQMYbVwJxBuKVJA9AiOxnme
BGr9lyH5RwLeZRc+p9IyD9ZRtX67QELdcYSfRjZTFDeNzWt+6oEWwcbKoaGnLarmo2p/XtTSKECc
X+MXWMDCwdgyU76j4/j+MLaJpyt2CIp9PQ9f5PNBkYWIfupooaGD/Jfs526BUvPW4wmhv08lp4Km
qBcPnob362N6Oaqyphd9Ix7o59ISnf0eCN7xGJZD49xtNs4oJuNwvJueyDmxwdPeKSA9T5F74r6Z
g1mELPrWunQ67QEE+nl7GSMfpfJVJcGHDBes7Ua5Ffjy5BfQTxe+HSZfI0XAeyOq7dBuQD3NZFud
p6DMdXKJ2whJPaYTR+CmrG25kc1s927VKKXen7fBGuIrlf3f6hGAkqc9iH3mYZ5/lWV8+qi137Tm
nCWOL5uFP4LGdCC14DRIFqewrXSuzQ64hXc/xyyyu9LMR89sQaNoZAobrXqkcXLqiSQXaEpa7lEp
GBNmkf/1vKgNkLUEfcnN+uPYTORIGQ8VDX85TCch9IvV0a1HqA5YNO81CyJCKj9zY7BPyQb11fRq
F6i3oK0Z7fK3rhVvx43zcZMa8kinX15cOxKiGuooaNFT7hgfMr5kZZy45nzyyX6F/Axz43sAwLlu
/DKIx55ht58N9bVIYRgF0oDhHIqG2Q482e5avWbzPRQVibxjnagn7Xz7gfDIbaNnQIqb42KofG8l
w+Na1Wj9iLkcH8IO2YKMlJX9Duq/A4D/gWjIJJSVhrIqRmB//q6IVkWPkNkxsXY7jul4aCuuSDdD
xh3FLKHK378glqe1c4Hjll/ld4Lkm9EUDutZzRm8hRGK+UpbvBft3lLLYu5I4TnTVfiJKnGkE5pp
gMbaXYyI5Gugfiu2NZNjWOgS3OL9h7S1u0F4yK2aQuIQuZqivXYBf498R0+fObC6Ghyuj2u8kkn+
Zaxp/UyUMEg6/pNsp6rZrGDVn9Ngl82+kyffRQgR0ZGkJ+l1SLJSCUBcIc5+H0klfV9DWQ9fvxQ5
94rPUqNOVu8z7qor14+pzg+a8oSvqTf/uFWs7W+DZjaQu2N5Rwhsbni9080R8U9XRATRH1mz6i10
kLM0IY/4YnqNNvRJYlEcWinKkBQA+94dC+iUtlnkP4D8eE0sLmPN1+prKOINASjQWeoa+97uDQX8
Fk7a0S3idWRghUOnVyCawoD9i4NYU16+DIQNjlm3uzPanZdy9NQJz57k6TuAe7XUzlIdUjuMbIKE
/lE23+4axlyNu7BOyNig4zhApfHY6HfcNT2b4CfGvKx+ahRzzfqMcSnR7S+s6DfA2KQjRtpaWHJe
tgXV/v1EI6hPGXyDR4GWiV8bRC87IrhVXU1ian16fPOntnX028uTepns9Ncbh6EwYy3vyBdwCoHn
KwMom7cvtBcu6Rz8AYX3FD0RY0rEVmuK4GY7GlO6ugU53HtEsrMtWjz5+6iUDZhbw1bNtxrOppGK
ZKikeZpXqjVjbGCno9M5LOfmuarRCKV3hFPSauIIuMaHhpFPSc1q5YqiGQzq/iAD3gaIOlza9UE/
2JVYplnDX97RxilqQqyAWQcRahrzQpdeOi3a/8CuJxmd7qoxM99sResguSH27W+TY6CS15/ccapG
ZRI1x2UO1giqgVrh6lDh3ekg5vSDpa6bv8AiRG5dhovPItGJPhwQ355Jh6AzEvFeCKS2uajr74md
x3WvWOzxhiB8aCnJY/Q/2zI0gcYIMohoC+ik2YaIRF1AJveXby522tR+lzo5ooNwuDnX5f3/Yl4L
+pJ9jZMjAptR6BqVdh6GWHyq1Ao66Hrh+nfWb8RZvrHJtPMWV+cgmy4j9kvM7r2jMfl+y7//nLKf
UmFJotA6+pz9Z1HqMjho5VQLcsM2QKrJnJnURMolfNb55KWsDflGJTBflfc0oqbSrMako1BBKBtb
Kg6JgD+qydP8pevxZiqcrxQPHdyO9NRPw3R1QVliMnv4dAweiASxkMWormUb+skeHQPViIH3R2zL
hiCnRF0/eTggf7ZjxTB4zPTcacBIPXr/d3jYoSlvFRsfBV1onIX/ZC72pVz/k+VD1cEKkC6RP0n5
oyjGWSlOY4e2NBEa38Sx12kSK0CszHE1ZTPcXfMNsdyjllY+kJIKcF3vSrHI/V9h8N2eZUqJVG2X
aQzC48Cw30KbXZsnUJWKixeecJA1MiVPvM3Mxdw0SBMci1d0j30xg0qXs6yuPmhQXdT3qTrD5ljr
uSrw6iekW9VX7KnTTZBxWES3Dq0hH8pWH8CF0V09GX218xzPyLh5xlOmfqiTNCyGHLpRMSXtBpOf
RnMph6ojSmwr3SHPf0xuWFFlgsZRm2rJNEW5zxLjp5b6cTyhAFnrTtG3sm6eT3LLLW8BT+GJmxWT
M88UBbu67Jw+IuYbVB01zxIDg0pNQikxpQL0nyyTGW6ryp9eSYeBnMesustfayAazBbgXYR+d9pG
3NgpZTJKJbEVPAD+fXG6osMGAZwEODj5dCW4pr9OzjeQZoBWKdqR2DBa2qmaWpIgejXGP1IdaEUf
iR8A4EVFhnXXHc8TWYELWWsM9UTyGMder1C3sGIaOB2CwRv7gZMIkrG3Rg2w3hMl9SOePZX3shaN
9+yOAvRKAekaGWp2nJ2YZVPb0Uquv5APkYh2KwQlQvDTrgFh3vaovyhEOpeaTpu9WBrgi4z3Pjkj
isyFDxDC5uUjdzXegZJSwyP8bYq8iY3LYSB3A5Pb1CBj8yz4A+YpKNVL0LvMM8r1WV88Rfz+M5tJ
xrOBE/KEBw0BV9mnTY5GZZ0lgLT8HH8NdupVpBbpooWUw8r7EHIcxQKHhUYEMewlj3f1+BOF3TnT
up+DgYgqujmQYBlm+5IUuKNMoYFlBVbn9D7DoGtkLJ9Hh2nvPX6EA/f0pSYOek+fWHzSQlzCJgrm
DCpcTeuDaBriGYxfmeY7DksS3lu1WUeq9a84v6Z8MFNfZhguZCWYaraLXrI5qbRfRAsLGYwrBF3x
xD7+i+pv1Q9UkNNveIob2YV3GWz1GpWNj0OxjuBVbE7NpmRW4MobFIfw6JDl1zL/oBi8tpsnVjTV
UoUO6Stb2x2IQTqiXlSIdT/iDEht7RaaVnuEST6jUTwHAxQ41ZwXyBAkVjk2V86JF1S6w92QQA79
/g1khpG2HMiTGA6nsKOU5cKW8D6z9fVtub4lDxSvtr1grSB9hNU+BD+Oi43PA0VCQM7gfQMbBdvg
fKmALRjFwk32NKpYkClF4tEvBtvWWYjpB168Ylqmr0gO3Wgny+jPGq8XX7UUKgnkS3Xi9NGQdQTK
peLsjXWEb6zUfzIs+DF/RooEnvIGBRMTH/FrUpgLKOgsl7kMmEURjdQiMcKSlRlqvM/IqQr8Zvh1
kQvKPxDexwuxV9mZkbRDgbA8WeHsEo8FejCvETuJPvi0MaI5hobFxl8jCRoDsRsJx4nWnOXkqLtD
I0DpT7QoFVlevd8y6npljCAs64AMmAQY3lnAQkTVhadM5H5aMWAsFs4OV1kGAWwZMuv4Sg+1W/c1
NZ0bDrMbHQEnbuECmsPDI+nc14V8mM69MJtEH1ka045xgDsIMH0VlPuVkDH9kusB8FB6c+OQKK9e
50fbMHVPc2vvMi3CX6RdWsAr27UABHIlWydQk8C3o3jx/P3EMJ2doJ4CB0cjFGVW4hreFwEOThcB
ulAjKr8gXiYywUH8TOBje56ODfPynCCh1OklLvTNGiCXNaYo2vkOAREXEGefRXR/EXPZ3I/rxEom
2bBaQtlH91H1iekEAJbkybNB7CFXO42fuQMpW0C8i237mJKADZa2iL5obz6LpxpMhUyDsSTzGslY
Wq/20QEheWK6N5usknSds63nW4drJULfDvrODzi9e6RNvLtr1x2S+W7VwsAwiCEY8VVy3g0/UlkV
QfJqPvaKtSKr1QeZrKaiAIBMhwHrfFftTktBpyS2t+O2wRZExUUrdctgT0P/RnHFDjAUDzF76zgh
+Fy6uDpFjw8ChQ2IQ4TK2zAO4EIuR2kSBUkdhJfxpvfKrWh793R11nEBauo3BrgEGlkYL2fL4yNF
mAf2yh2Z4NyEp90exETyYR4rXWrlreCSID24Am6hC9o3LSnQx/eb0VS86BDwDsa+OGZJb3LFMBKk
0v8KVJzFerPy778bdJAvrc/XM1ovSlm8s6SZF1FVrGh4AMJkYgqdjMacP6rPL66tXLpbnxwy88nE
CeAwXAx1nw8yk25Den6h0LODT5Kx+/fB4DVx+qwSzkU6PqOCg2SSl+q+Wg3cF4UmrxUg04Z+1sB7
AldkehxZek/mHRnjoJazNBmBZKQCocow0nHdW2ej4Bcs2L1tuIiEbNCUbbtMTx0GxJJV4rNYiVFz
7kUm/IdgGg06WzId3jBUdVqZYobKmGa6yjh+URwKTCWxmYlx5s4uw48qIJ02ysSIp59k52PBBSjT
RMb8gqayyC0Y/yquEaArM737eJKT5KFNmbXjmsNp0yxozxoBgD9VGJQBjTo2W0wLpSQMhkLo0Z1Q
2bK5qvdTCv802UGmWRieUHWi2GR4eBBLvdc7ZgyUcktyZawIM1yvNfiawMsjgAoCr0uHUDqIp6VY
m/SBOJ9o/7HjFcaDmb1iliLqzba1/S6pkwr+hfRTZDlF0TQmZPYo9xEhqPzRDKvztsQdcArPkjqd
bGJLGDdAwD/yKPz5xL4d5AqjypeFDp5GOzm1ytUnOL6PVw5yj76yeafrL79Z9x+pizeTL9+W07DD
BzQeY++TiT4yajXG8/mIqepj6E1HfPAukqe2ySQSrdy9bvkxuU/ikstxYyIH4CUmVK4hrNWF3MdF
foSl0PdnL3ESuRArSSFGP3F/6LcMrmpsFZazzJqoEEqK+qFtNi3a/StoCxVZaq4M5FGrphweZ6DJ
dCwcL7UTxrahquC2I5uDCRTL5B5bijJuP93slCR19R7UIAVX6Fq/L4CgflZSzM0nv+Vjn3fh68zy
KAGrhvA7hrivqRHd63DKYQ0DsAPS0TffXmNIdbuXFShXIjC9Bp0Z2bsGvwb46ricHDEyE+FETybv
pjF8s0XV39C/9CClJdWMPrdXHNBtUTPXEi2M5RXnnngKBMMebjf4TNmzZ/+q/oKTPUn/Q0e/RMvs
EwBXIr4y8pL+ROVXq/knw0c9d16nS/W7Yf+dDh0moTnM09/gZ9tPoE/jUIBVs4FiFqMkK5gtBclQ
8mp/JofIk60Qe8jMgviP8OoB7oB3RSNHO7ipmJt9thgV9IX6IQbcwxq3Fqu74v6ZJmHx9YqQNor3
w4k/286THz0yA19wLGgrj+rY9KFdKxH6NomtUvGPQOdhHCp6qwzDv3YHA4wTKKweQ02BJu7Sl9cX
zSB/0qq1M7V0m+QNOw4RRChzDbU1e5Za9M/h+17pNjEUNqpWIaCan5JZHiE8azksUVal+5nmyxfO
5DpWCAw3NOAkTalw1HwEzgVCRGA/MGh3NGIbpNOwtEl6Ixq8zqOTGNKE28ymBo+wT9nf82dW2uas
k5WL7Sm5frWUEDPNvRZbNnDfwPvdvLgxZQa4B4TmrBqLYy3tqWlFrJx4gOt7wKepyOD+rdBIXPOT
QMYq2nOlOP1H8Bf6px2KJ1s+qg5CuXLf+I6DrvtDqZrV0w7/3GEEZU4VuPBOLNUwi24a9b0uHZOa
bm0At2ESqsHNVGDksBFZVTOwFg4y33lBPc7Vsa6jQfE13/gfLLgScOi5rMNmyhDMYAlCsDTiGB9q
sfIaBzw50kuSbYF6r9VAYCC4J0YxJZMaI6Uh73WIY+X8ZzggCzeyOnmtow3dXCuLVE7U1PlbLsiC
QkrwwKZnV7TQN3A1brgTRIKSVmZZJ9hnoOyrFFsfnkqJFoL9HbdGOVH88kz1zMYYMd93T25FLDCV
MSC0zqBbqnWzytojtOCPMuj9NKptOmdEP/b1l8Zx1RPKNjZgSH8UXL3fLM+HHl5kVgXa094BdLcG
WCC40P8rKec5akUwVj10dnejSRn4FSlVNcq5s86vWygSAFlskbSpmEmpXa4dazLrFVZ3RVBgwGxf
t7JG43GSEqDKx8rwZoqBQVRvUhIG4Qh4Ctr04ttd6jXC6GpYOELmej4ykSe/nLBNwU7xZTSUp/Ms
qbnH66RmAFgUdBfK74wBGy+TerQPfQrGnqx00FFgg/Kx3MS+y4eKWsoF0grMNYrj6k0FwTZFNJ5r
MRCf1g7dHx4UkMn2vTOA8+MPzryh0VMCI8NHV+XH3fP1kZxU6WbKQEvFwvcKOP0DnC21+2y1ciDN
9Tabz+b8/58Dl3D3mMPCLnABZH9OmdedITeUyI0SDHRG24RfSpxZgJUDaEley+RqzcQ+PLSXq1fJ
cdhBpfhL2zwdIOJuEOuMGSaoCdxVYgBpUc8DUIFAQFLtc+lXhxb3IMlBfyHe5UfW8P0S5UV9VS46
Z8AqV/jqVvZhqEYbYif0WjM8Lhpk7188fuuZuRL/q21MnZNdiiuo5wG8qcCdooGiZN6d4BOj1lWQ
OQDTAh7vuhoMIB4qgo+ikpWC7sDWQ2kIjvdAMEqydn0v/CfOTpaTbJ0V/6mRwv6jYa3dIIjtW/SC
skXttf4UNsd6j/B0hrgLR5o2meqWgWKn08TG3r0TLj8/rUDzh3CLNLRemaTS7JlkyVViM/JEZqcd
XBSHk0NnxuYhnC9/pI6ufpYpPG64DR6ibUenot7v6mmDAC9lfFCZTz9ptda/hH0KmWlsfNz35qYx
WzgZ4myE6iZardhi4y8q0NYEGcvMdk3khKw9LHTQH6IPVoS5TfMEeb20NXKVB55NaNhDv2b2PCCs
tlDlbQUE8mhzMSpAq2k+9GrhA9Y4y3oBMsP7UbHWSuK0mHNHRU9okCKQLSmV/HCHJurI6BFJgBLA
8NvvRnCY2dvqiU1bs3n/qxvU0xdDRTVT9lZ/Iisr55tevhIE54ae7yXIVugX6BnZWi7MiGocfrS2
GH6v+QIBopVug0wJ76RBsye/z5TDwDVJ65ybwW0fnPUhTOirA5Wg1sTIRR17jOkVY2Y334CH9wSv
EXaBTeDsMs7Xrwb3AYhmznhOezUDfJH8BosBC7or6LzYR2PW51DchfIpRPhTka5jE5nbbBKa0jDA
EsUaeiB6pN/bcvuWXgTs+X92ddfBtLh8yv2k6WaeenCdsm5l+j0RNr5ZTOuY89Q4IeiZ5HkJx4BB
mElMDxt49+SNan4gA7aC3Fcftb1OWe9wlDoEuZEmgmig5KIQrykl4JVcwOA215AlSGkODIFmCbs/
HA2YSK6YDed79LAuMME91hIBGXl9TzGZDvOwv1nD2nARlaQDmkQUeDsiMVUGDIR680dbsMtWDg0P
YZe6myWPB3+6iiI55aeQ6hg4ZZ1sn3CFc55nBu2UWfguiO9qOxuy3Ty1km4t/ozj6MMymgBqsz7E
2SVHiiyTBCGlUAXJbCitD15Dvyeg3S/mioyk0zleOdlZ1yJmX6unBg1FdQzLcUJnJjHCmct8dCdZ
3/RA/h/JEn69w4Ja6g+m5zZb0CUDFqmC366m1x0jtWmfh0im7EyAZO+l1s+z67iydmOqx1KkBgdU
oGhDbEV5o4/kioF9B4XLPViD56xa+oEMx+QI/DLDg1tUYqC6HZ84/b900ujiPtOh5o20OtcADywU
8cmmvxKUkMoQyP/qssR4UGzKEP4v2MhY4Rklr7jaSCqEN7UeUZu9hwAOkS8KycEPbQos6wuur3/P
rDExEtNTWGZIYv64EjHS9jGrHnQKdoUUSBvxqK/d8YBnwwQyepB7t4Lrn3D1hyTey0o1qaiDitgd
KyKJs8PUfnn6eGHf7SsG3fUjAQCf2DtSj+9bYydpLJzwNi9X7TUNUnF6sKObQetbBVTP74pZ+T1G
2ZAF2p69nFXJ6v1uGxP9Vbezk3/47Qor4uuIq+NCDV39KwRO0m3rh0o0V0oZMnFGhbLNrPqNHk52
yCdVKMKCeJ6hj/Mh6o0j35CU8pyn41vDcUPTX/SnXmMS+FDaEnQe7rT/YxYvbT0vPLvvxJpWyB8Z
iBgHZRze/86Qp2ldUytJasv8p9BeEES6rIN58+S0u9J/BdKGGKwwvnXZ7UrnLgSgS2wMKxx/MwEl
N5/0+xJviBjvQMc7MhpNwKRF5b0yaElRZuxBI3Jn6/O9onNRLVG++yX0noTlZYIw49sKO9Fwgk+V
SLgAYrtXcfGhwDwL8hyUok8xtapdC14zPClMezKkifi0AUzzcsMk9rQZfbKR+Z9oB51iuS42vQqp
aAVivstBpD70smotBttV1eX8YYbyjZGMcTt3mLhFgtx+BWGunRdeO/w6ssdJytC3+nZWlF4xjXfR
9hHD67ehZPO7GWP8ik6RW1VxNURYKK+Mx+TWpB1StyIu67bi2LF5tsNQ5SHihf3YEoZKqrY5V5Rn
A036SbzDkPLSilnQfmUG+gZZmGzcZo1yHMHW+VkztYaSsrzGtC4+GHcPgsvTrxHVtoiIDRqv4un+
YGWJxuMdkGQHWmOVGhWuTQdN7LedNeJcHlnL8YCyMUVsKLFG7DMroxkpYEBX51WZX0LbZMM/mUyi
0mr3ySL24KHAIMiveDBqVoeB3gZ4ylolbTgjZVnlJxiirJbdK76IaN37IhB7KIpiJeyRLmVEJogN
I/00mF7pA99u/7WSqYLfehAth75hfTFdeWHtcZAYX4SDlW3d6FvHVC2SqC+dqmabHAWxWahnUH5h
MVRc2mSafkIFv75djchRinlN+v+GvvGf1MQEejLA0OdWE61hWEJs/cb3VvuxNBR+66pYgxq2UCRf
gTvl+O4trhPY8Y3dQ8q1LRVR/VuPeL7qvXtsXnr+jbwdniC0ctpQT340tZjgExHOJNXjcCmwBMIo
QnLG8lu/ZoiQkBWOp9is+Gmn1GCb/NvLX2ivFfLiijehA3Jpl/Vxmee0IR80/T7hP+Qwb/moSNmd
A243n0j3nW2RCe82Mh8AglbZ245dcyT1iZXUTgfOAiGYywDsGDqsprpZa7Br/Ojm1A/W+NCi+qIG
mp1nTEChf5BWKhVnx9tAd/61Dmcf2jsUJanuDl4Elv8VWoh9grrdgYpxHN1QOLoff61TQRnJ7QvO
dJ5gfZj2v7PiSWTexrfII3ODn8JK9vEBSPJmuaY9CKe5bbzEkzbt5O2ShWE6f3c3xuKcJVBzqMa+
2FFScEydpf1RzoE9UHXKdlfeFRWE3oyfNdc4/KjKz67AwiAXqHFwXDN41lmd/aaJVwtczzIK/dS8
XAd8PortLRQ9yQAe5XeTNwW1DdXigN4rzd4zzJcvqoMoC+CPy6B+lfBpHEDPpKJc3wv24VXZZhc+
4jkvbxqn9uRJIX5L/RNvcmTU+/xVTYOtUIlP/GMdn/TzMJ1+wvYR+u6K2qLEpc7ufOU3KuH9NOJD
TYgzfZd4GeaUWwmb1kCQGA4hNTj++w9PEb6M+ChNekh4ArB/IzorYCbQ6qcYI0SGQb6duxD2g34f
j4cR9kjoBoRhBpAvnxwKjeYKP+rhvAIXpNogBI2cEXbMKMrweMEoXOotPLAKMDoPGxRAew1MnFtX
Hi4pT0bOtX5HYEhIDogqNFlskhtTsmyrE1kIzV6ipnHYADClVXs1v/VM0XZ+76vangW9/3fS2pa+
uSyA/GF9MOvgqKG8DBDhQEh8MvSUwDgKEKlFEwPknHfPKDOYmyXcPSe9pTxIR6QDFmdYpt8s+iBM
w3Y3/g9jpQ7//dc7CfMpTRg0DMl7mLKXeKx+rJ79Gvt5OGW5+UKCIV/BPtyZsmsjCZF3rg7U6+qr
9IxXVLXXHW6k6aAUgjOxpt2Ipw7PkSgqXAO3A6boegmD7vLzHzBddQRYAckpnlPeH5Usj2uomBcO
4JZPnu9qisMD+dRLSC916ZE7cmHNOuoi9Q1iLnTPFFJi9igPebIv1XnQXhlIzvtMuFXp267l4+be
3c6LsQPxegfboqDY+ET3d6lQCiAm04iNybJDVOWSfl1M7MmCVPp8CmrIDxyvuTlCmYmnRbeEcIp7
fUivQQbk0oxAwHbjkAhsdZA+NoFNGuvvoUuLH8185ifhzjwvLNPqxOph/z2Zu0UbqYtXDTt7GI39
m+2pSEscWNXU3oi9mZGgp4f0x5w/H4lH2dM3ektFHmlhh6w4lWM6Sfi8TeP+95qF1XIwl5xAfhg9
I5y2rUqmnZGaZq7L9FrAbEqb527Fvjs2w+h9z9+5b4AhU0Dbej8UjmoiWyIeQF+2b5hqatVXLSi+
F/o2Tc3N67h0+2kN8v7FKX9OypSHXzYqT0O7jiF36YFYiTnk/sufb9+DvdFpGYobQCbUwSSpySpf
Nsw2zzYtytEU0/7UbTT8qXjiBlkf+g5ezYpGeXVd8gJ3n3nCe2TqARjRZwwDphovfr8A8im1ftrj
hez/L6ooB7BC5XYMCOEkNrzUqfCzoSD9in1D4+Fx9aeqkLSwf6kKn3/vJuhnDUIQB2P5IxZPR6rM
JpTERobsT9Lpalb18b4XE3zzd82cBccTvWOT9vJmdMpdLGtlUqzygs4OcUkQs7RP4vXvSSaCpL1a
dciOiKoigZZ/eDnZsV8F1KswQhCCP1gZwiknF3IHR/rj0sILhjfJmh8lhHdNqa9tuotITYSu1CXF
nUoN8kvTJABMeEpG+y1qqSbgBXrKh4XiFIca9XTh4HyZkrZhKj85VSw8KczYnSu3BMFWJaGlkJAv
wATiZUWsMVFqZGYNbpm5IAtAa/PJ+7X8qC1PnDYsZw3bORKWDe3Bqya8MU78bgL2LqKAk08YYar8
/REW6xGR1tTHH+DdrYIs4aCGGPeI6L4wsyxS60O7dFfhZhj0Nv7q1mvh62JPZCBJKi0LBDIq5OwC
rC0O+584OUZw+GdCnnkFuCqONODrnu5zIULqNeMkHhPGZZzyErYBZqxLHuMLMurJrszKQBafTFk1
VnKvAyET4OF6mGw+x9JpeovyUo3yoBlOdRXaJSJUQj2/UI9KIjbWWJgBCnuk1i2LnGrkeUy6SCcW
BKOJXoOzzD1pW7GtEs2vyWUgDWGlrxaeoRJscSNIMpRoh4gPKvfcsmQXdGmqsOVr4cCMwOY2owMd
o9QnLizFUPEfgxuOro0Nr3+cdb+KcmvAiQQTCdpet3vq4JA9DuIFMcBtChdrAOXLtcKheNkxHAXs
TROydxRoW9Ttc93Ci629vPPgGMih1nGUzayy1BINqvyAhM9JcAU9bJcRVuWkFt9k+eYH9678WQfF
Uey0Yy4GbKojGRlFQ02EbZMaYsvR1UI4G8dPDNCMHvEL09aBVSx9O0EJk4fzO1bC/g1uGU72nPTU
yqzlI7ukj+AYN5y3X9Sou1+3rVgI3wBw+YRd0lyGZQW9rUuyyi91yfJ3ewwyYDFQiDhXY/uoVsHX
7abgn+T9FPzAeOJgtRJ4YzBtm3KTeyQcsCqKr4gli9WihoBqWKxeHwa+XN/qdJKskE7P1d/vlrhR
wahLftBKl6dfNkuW6q5daCff7VqDgq9p4SftKywDKSZqUjRNAi1Z7WvZoTGnunfVfPvA/BU8+q1n
wuaZXT2C+vc3HgcXZFW9VRzZtLkrH7qmyQc/cPybnj2QJ6+B0CHftMMfeOwGm5+hcxK2mBfpAR4X
IbiwPZjP1fi6+NnRfZUTAPxbSeNSJT+tR4zEJHKnbQSZdr8IRTQbjkFiU1cq9fuMHq+gDnqxfUM+
RY+JybgiOs7i94kchs9UDRECACFN3WIcIidcm8rvxHQgQylegFRyznJdZd9Y9R2Obs+N1kQPgNQL
R1XkgBrLkfAqYyr14kZWHqpI/5tNwf+M/Xoz+Rq5V7cYC5Awei1h0h0z+8Iw8tTBSsYYUQQZk4A9
IBSigO8qSfG7DlcYFOfHJJDgyfNi1yxDQEO8HRxeyModRpsz0W//Kf7+v6k0aDNgnZgJIu1hDgnM
7N66wdSoxY+PqWV3GseLo70W2bdfvOBeYGuoxloiGdkAJGgvWxekPVhBFYa7cQ2HNOo+TmnvQW3w
j8mC20BGJUhgdoflecwMu2h3GmfJ2qFP32nX+jzyIleswTQwEpVq8QsMB5mfZIZ+4t8GHQguSDQg
0fhcx6h+0j+yYfs0rQgDypoSMLsZcDotxN5/i1a4w963c6tIJDnVA7q9tfqOO7Z826Z6pr0TotLU
+IKK3rFd0uDEa/uWsvfcl4hQPe2dNfAG0wf4/wPTdjcRXeIbH9cUAHnjFWqyU75LAMkKp1IVySBF
yXeEKzq7Y47JvQnvwl0t+7DevVxA298andLe6SwA22DxP//I3VV0Jc4tbWn9E6SX7N3VV9vvzhEu
WC0SwpAYjAFAlRk/qumLt18+RKivqruJHkoPoA915p5xC+LX6jxwoKpsaEi3ILjiLElrqxtD/WWe
knRzXqgwGZrkNQAxNBTaKxmQqkrPMVH/M1Kb313gVVWi16UFY2sysygkU57BMQ8vxaWYKsCX4rUt
YxW6TX5dftS/tFqZ/9p5ZapC4h1AN/qcCSNidEAj/S9uADC2/bvqy57VF97Vk39f+P4rQRgl/aSG
BNbSAgq6CzjvPiCAePM3xUI8TIl3EQY7gSRiBD0BHy+wnjedY72D9YbSax7sGPe/drAT52SsOhjv
5Jfp/XK8J0IDm3oiqbNtDUhpS4+rAZVIzDOGLXSQxFlARUGld+HOSRykmtZKOTTy0VbuLqw4T/tq
0RIdQZkPdjPlpItIBT+tzSCDmdOlI+GsfOgcehV98EH+NWfYLjl/YfVEOTBr6onob0x+MD3AdIII
p9nLrOGRY5DQU4esz1P1x+1IIZDQxytJ0anZP+2ath9YDX2MR7g5pjXkj20uRY2ZfTXTFq3IjujC
8l7nsfAU141Oum04vR5DOePEkDJlFkpPKd3Jr0GzDPF7JqxiYqZVwdjxl4ovtMGjplxjAy25zwBb
FzAj+dIWsy3UeZO8ohxDwiaMdXZCLkOZmF2NI2V0EMIgs9tUasmuyzOtBmZqkU3sZZa6ydcJ8AGB
uknr73qEcZQ81fVdl3/nEaciqLPn4kNvR/SkN2R78CVo/vwPGDJZS+7JmIth41eDJ2n2WowZczDQ
ySW8Qdo2Qyi/Re3ekDuu0ZbADExLqjcPH//uZOPzGDV3lc6R/w2aWQgko+SPoiCG69DAmy7E/+Zn
UiGNhZbnTYyYgHwGXvVXwAeKUe6Q4AAQD3qJf/rDQ6Ls687U7D1ArxfXeg8KyW229bzQs3AUz6rs
7a3/R2Grq4duEBZ/SVCMt8+V1u0IRx9WEoTmXir6JaDzngHg9ZlIC7kbGGNQshlRUFELJbJ94/Ak
En1mfnsYnpcgrCseGafRu02UPHTNx/kwYeagU/ZYB8aNxdvs1PS9djt4x1xufptTgyqPIA3pzDTB
cW8/pZ5A/L10pwbWopPggjXnnrVytQ6kR0OZyLDN3bAX2CRlHz4jcRxEXFnG3bNLRw/6LtoZqm/x
94+xljo84pjbG2WJKVhrOiFuGmiQCDRSPPHJHT1RNXy3dCBEDNSiwLzg8M2w6M1k9xwFDTl8vKBC
+3D4kS8dKBAJix99SNoUNIbI9lhJgQQma93lribRLCPsOW7PlBuGZXbMz1Bi6TM1xbWLEi8t/kbt
hFNt9Jcj+sPoJYyoOIRSQ/uOXQ8fn5UaXxUqmh+JcjvWOtkpdDH0WX4xiRu42yvak42q0z3mQkA+
9RoIY77k2+lbf/iuLPpnyCEibBqieuUW4js6gSmudOnWBD8R77JlXZPbiGAYNJ+DcB3QDHpiSgGn
MoxUscHwUZ4Hwyv4VldLQcvbh99JYcrRiaZzh7PAoQ1r9yWpi87JYNHekt4snOAWqjRZVNbWHAcL
WT9SzGy9eVfh13BxvgfoiMIDSCm3UFzD+fxeY8nUgiOCExSVhVPQGttx1oZTjhvDpmBz6XicRF9F
kQZaNZ7gOx/AA2JvN/1P9+PFyXJD7ilZ/x+fE8fWDO2yvvQR9Iq4qn9+voYkR/XUk1UnQBafUkTe
J28rrQXZrVKcS02aUqj3G0wLOOGFpaWEMD+KeZtgNfuD2Yl/C9BwiWSHfYtm74Wd5WobI3J+Q7Zl
SzsCf2BpRTtN8ySKACmrhK3fdqmPMaF4fUIoXKFMLiNQF6+HDuU+sKY4WCV1UZrbNxvrcWliQyID
czJ4Q9V7mvukvexGL2cX4E4a91RXU+JkvGuZeKlS5NpWcbzoL5EEKOWVFsGlaLfMDe9P0GqfiVgx
Q7r5DMcrZYcW/zf1Pp/TykjxV8H/ju4Rc8PGYDTXLtHo41ikNeYJIYc4xl3wQ1B/JzmUQ7pmnZkb
Z9KyPPprE9GuSRsZCTVd2+rO9jyHgf6EQ7TcEkTd1L5hSKu5mqEvQyZkDvIdUnVg261dZb/ObVSh
FzUgq54dZ1IE2qWKDw/3lBbr45JEE1bjJIMpSWUVnTiKvGQAafGi4/klNNrUjDzSFUq2ZItaFILJ
Ps1j2wZ/g23tG0aNiXQB5Bsey/8Q05TC/MR+x3ubSu1p80EMk5645bd/XANyCj8gPkpuupFKKqLh
Wxv8LZ5TfKUPc8DVzSBrwNkj6rfK3zedpB3pXj6kQCkDM1CvOgZQgfQvUuUoCI4GFnh5mhSyybWN
LfZvgcgUxrijEAylbbnTyKylXmbw4cz7SFDw7yqsv1XSIYlrtcM+aLxPAoSQ5fEv4HE0Kmxu2hk7
jGcfv2raD2iT41fKmGIkCE/GwO/yVCKZM6RxMT7JtX9uo+orp6XYu977UZImCmD+vgrnL9ZnFHIs
reKsBUck2BGuG0d4vzARW5IGr062x2G+846DUoNT54fZ3aqsyRuAmDs4fVU/GJzI7eYcXclONb/e
ep0e9hyWmioGyAeM6XJ/IvkPGeuiSdFUYb5N9I66z2QOhQFN8yu4B0X9I+k7FqDv0u6TKsZm7nxW
YEz6dqsBnjKDqsRRsvQnTHeHmjFsnA0QKuzVV1sEwPDDZDeTnCp0+IqmYxPlSpVcqJ+ir2u917Wo
Hzqu/xZguqaawp8OokP/1S663WBsYohRNVZEr391KGzjy1Nv5HP3rKUDQtqfgJGcr0pMzYotTEfl
oZsFkzifTeKmbUSxJ7Do2Quz9I1vVKhr5uDeZYnMbcAiyKfcPwVYtNDnksgEqyCEWyn6nU4k2Bzq
RpFn+q2P6D6aZ+LaQ7Q0RKFWbX9nnT9z4qod921kcc+kRuqOabLsFo/HLMmHyDM/1bQyWczEQEwR
uP+tLc2QxO/nfOV4NxDexV7Vr/04m2+zV2HdBBnyo0p/xNR1U+ReL9Mw9nTU2qu63rDXyj23xpeK
H3SCZ8tgmKi5UfzCNDJyX9t7zRJKbvYtmHNbb8oXmMBPhJtQFG3ut0qgFWbwj+qNvSAJIbE+fIX/
xS6TXVdOTF8wREsC1GhS1HeOSJKgPUjijCQrtdIwDdmhThbfZkkPRgyjp7A9Y3GurZel1eC/lUg5
XUkFRJRzdIMGCEWLHCl9oQwiqxLr99GymeETjbNJAPe2V8HASL3Ulp0rhaQRKqz9cgL9euIZlfA/
ShJnp5gHPS25DB/ML86Yi+waNlh55dLacaiM08+m0d1Qt/43Vk9utCVTFiMIjkuNGDIsPHB8X/Ym
f7QKKooBwNpf4St2dKaIynYFlgKPnl//c/Ik6hd0jCG0KIy0hW8gyHDP3HHFPYNnogCDs/D4CpqT
Qz5vAeLfa/CDucGoaidCYmIQh/72buTwXjKetXSYlGwz8sBqZXLEBbDItEVGItqG/3GSW7Pm9x43
ZJ9N4Pbdr06/RU/3S+lNOaMfnWUxDgzI74zQSzau4f8nIBn7xOvC0gSD7RkmNXa+g98JN4Zl3P7h
qMsR1LfFNCVwKkRo8MohK6D46ENtdhxXtMAHgkOdIm4zDQZeAwtXHm4R6soPDxzcaM/BB85Z1ftH
ESuNS3cv1Py6VR3vICut/oE4ztnNmqxmw+0wjYgkwABl46gcRgCfG54MKdQ7MUgUeiqS0TSweK9v
Rv9KN8T6CFqjRmwTr1EZPlLSNAy5+yBwa8bLuD3qTgGJRYv8eDD92w6yXCwnul78bIvW3smeYR3o
ZIDPQXaRtH/Z40Tk8xsLqKp+KaTpsQ+xcZp7yFZHbkKX7OBi+r4Y/X5VZ2zEtufb9pfjfTxYoMZ7
ozkWOeiKaaUgl0+AiTFCvNd78HAcCBiD1WhTAH2d7lTbnuT2cZLD1uatlV5dfI8BivQc3LsOzjEs
vEE69iRT4P15pkt+I8v2VMwS9XatiLJmmY6uFN63vOSjVqBOqcd5HJyRIFMj8n8hZaTa+JdW5GjT
Yp1puvVJjemAkyEt+2YdvMHowKMwiEl2loM3Qazs+ZgOVrjM63EYvwRZAOQwpdvjPn+JGKGP3W9t
Tp7QfE/TrtxXUaaUNAm770yzJqmFu3bYFwMyxxFnjbPrXW42CWZIThtEQOgICuitSIL0Mv+7SDOL
HCyrNeUgdNQol4FdvJdhJcL6Pbhnzqs37NWZ4HqY5+SsytBJDrvkDkKjk5jNNSsqdtddgzcg1FkG
18j2333vX3RqZdlADyKXmDyNrUioWz6/HNPyblRU++i6Uj+gAzjUqLOl/U/04QqIT/nA6n7M1LHq
uhzcuY/S6dUiq+GkHYMULxr+T4Wywa67kAK/eREDcfzt28aW9nZmGHj2wF/wmduMo9vQKa/SiaOk
u0AnTicOcHkysG2jwr8B3rTNKQfFQLZvh1ywSPTv4dzfzA8RDuUUdv4TqI1Jcb81GWJeKfr/tlTR
syE6mlxAWSCldrsO6uPvey32Jlmyg58ksphuAhPNxY7VaiT04KNak0/vFCCpjMht9VFQzyl5z3bw
r48LZvQKKMvDhSf/Q02fv6EIAYVS+tIQzQCYd92aRgbix/bmUTL6vgnM/gjZpEcu1OZsVrrWsqqy
vrq5TS7I7f9JFaXltUn1n8FoCN8FnFq/yjWC6m/tgBa9qJ1o5Ne0doWLHvlilBXzXBBCNRBI5NH/
gPqMgea4mkfKKNDsvQtloslHYtekXuJ84yoAe2dQDTuSSHIMZl8r1BDtz4ArwLD/BxFt+dGjmerL
WjHoxlWl1w/8PovOcbpva2xFuh5wy65Z0t4cPMaYmMS2fkr7ZY46hkb7Ek0mhjttA7uwXFIBcc/0
5k1MCkmXydlDKHerTNTMBAKdWhm01sngv37628Iq62fcIjqHXG+bxoLnWQ/M/xKcUQGHxhj2aXuh
OT8Ppvpe1K5yuktyFfb9o7biBdA8fNuFcaXE85rdvU0iohcvbB1bIoce4toEQ9e7NUyObxRGxBPt
Oad01BtPTFSeMukgBE3+fBgR/WA2LOqkvFMj9KAz2uaVInzoqGDM265QoYk/Lu223OlTuYg3Jd7K
5TeBOxHpNYAiA6d8xQ8jtnou6ZVf16yWpZ8f94OuS2UYSVLrc8+9Y9FirNXbedz+jFAZgb0+ipBR
O1L2ZEnmPjkhZZHr+RWSYotZLR7DV+BWiHo3PZEHesvZiQh+9+Z7aPohMShbHl4f1hBq2WzjFat/
TGciLf6RrSEE9qwEM5IzMNixYSP6d7PMvgqE+UFgHf4OVFEjV4PIfurc2j2mmJGQbgwmFgNb+Q49
M4dW6VWFXqhVbSfAS20I5H3jrVGXFzk/A/t+Skb/dR738f1dxqpcC2HsA7JW/n91KeOpNDE+oRvZ
ArSlYEnBGrtahio+haIrZ1gmHP6B9LSRulzDyDUXoBcIxmh7vkYnlfyExy9paEQpHw3SaEJqgMRw
29hCkqhR687PFrSvX1lH5cfGfD+KW1tLdDCd1q45z4SQW6wizT7FokUbylmlNunhxC7ckFKf+0a5
KHmZ/rnHehVxWekqBDLfmXTzOqyVEJGdZjmZRxHKGog7ydZ7fP8yAaBzf74VNy9WDBrYPkUlfg10
gR6F/4ZvMJJlkbfbMHfozQSxuxJgKdtUwvwduKImlEZueGUGnaDubTMVmIynIU0davOJD2fJ/pL1
wfGpjTf5gzFetLx/fdPrkp0iKF2PM8TctJcfy1V0jkpFYZUJzHxFitOQOunWlGKHuGKbnvc8zMiH
BeORxEEtJYL4Pl28xKpiEQuh/YjL+h/+4Q3jietCMoKk6Hiep1m5IHh92MBJJNjUZ4g8+DSuCce2
yVs5T0Jj173KB2EBaWK0E1kWOeyqyQrnpbXPfZeMA75T+wofuPYxtfoTExNMIVmLGqL0F9t8izLj
V8RCo8YaaEXrZL9K/jMQ15wkmK36c0aJZP5kGPTvO7wQFbA4RKZrZ4Gy39xVbw8a29kNX8A995aO
33uCOK1BC7AQ1i+woaO7sjOnVGihIuvfGB6NfkOpTErRLgtzFwfOoe/grS8ez2uOczJTT7bv4Lao
Tr+sZBkcpkVNumHEmZJ/j0y8TE97NQsdwsoGaW5pyRZ4R09uFMV7YtWIxVTjw0TBtZhYBXQkY5lC
SE2IugkCLxtkneSp0tOsd67IHsNU/OXxCxe9montfh7MW5lWE8lJHQhQHUw3i9tKQvSk+CnyLN2p
O8sPVX4aM3zUCVkpVNvANLGe51qcNLnsOY6p+YE2j83S6Zz76NeAuyRKJPvhi6ykwCZ+GLId/SdS
d+p7h6BOnbrB/niCa1iebGaQyPmN66UQihHUqiL3UGxSFiOIjMFCtrz9i0AFOY3hK1UBG1TudaaM
0vLKcZDct0dIHksxeYr2vMm53rIRAP5sMM3JSfk8vCYU21YsKf3T6aZDiDR4jxK6D9CAGvR1abEa
9TxbMdsUkxJVrvHlNq/SpBhiqDmFiEvo4/JXkOh7zr1cHj214SzXwUZcMh1oewHjI4VRkfmGbtyZ
SpFcGa9XaWd5oe4EwKHqX4ghpApOw12x4O5PzJaHv6FOERqDgfHIGd1NaOxDxlkwNsqT/22szSjg
tY7cSWtKBNEQutrhK+IYFCiSorlFWDGPNWvKZ41BnnInyzkFoTtZi75NZl3gMwlCgjBfEmGYDMwl
qd4sBXIFYbwQMHUVAzfkZQ1WuoKfl024aVuFHfrRMkcw0jLnG64XvlqhH2ghY12C47An4Cx6YP7a
HYVPE/kiENaw6q2mYSTTWcrkJU/+JA0LCOHCMWZUUADtaK3NVkVqlQlUF/kVeP0pEcGv/HRGGVk0
y1EZDMLEjvmCuwYEP+U7bwUTmZaqkiOD0OUyhcGOPlDj2zCK/o74diV2laj4j/Eq9qWSDKOi9bnV
mp3/EeFJWAw9tgCpRaNZILgzmLc/Ykm5oZ+qR1A7ea/Bw7qiHgilxhMxu0CpMkhChBSWXkhr6GcR
ylphHNBu4ooteUSiVJkKP+9tuSzEW5NyHLN681WPGN3oNjX1/p6RdiE+zhS77R75D4mNP4eCJGsB
nGlM4Nyq9j1GhjYKllDUaJzo4VN9jbKZxhVobVMWJ5b+bfDjwE6WMH6vPnPh/w9SB165s6o4Wv8t
OW0AkkFTOpGn0JKr5rvP1w83zcdrgRab+Ik0fzifa4wV1WmMrNvAdlX3EapMqGZBjcAmy82TTwkB
sLwEiwFL9p7A359158GVBmu74c5jmMsz6mf0vpIcoPm3rYtMNqE0gl/6lykjqZ6vw54W/sAGWoDU
AOWM+jhMydUPpkA6X79i0cGlZrUwuRQINLxIWYkZaDGKIgbVMEbdh3skE9YvLHR1xHKXgGQuiTaa
F0l5dzWvpYGjwyvWmtKIS4KDdREQgY0rT6W4YaXhv4veIvDmqFrO6ZVAdRBRJdOtG3j8LYzQ7UQO
xgIwIzQQy8QdKbAHBFCcsWq3jBLRmw46liWsjhCxRUAni7OcSYlHyxzcNK6+IA1I/E6cbldg5a4a
MLDvIQtH9Qy9qX2Xq4uprhqqRwJ66pSW+uWvef9ydIQtelYnqZZvmbx7Se3V2Dr7FjfEKuXL3tzb
RjemiV1CjhP2o2Bdj9pKBUA0BL3wx04ozH4l5P5pVtnQQ5K5F/ps2OxcEQFz/Ck4SbNwwtb6CwhW
tacO07qsHUyPfbItdpKV2/j+VvXcufBf9cvFjRB2x7idc2OoNQ079WOtXiGVfpVQQyPSqDDkDj5S
F0YDBCs5iwmN8hx4+YA2vW8YjhjWOpiHckwrv8jVc/3U4FeqI//sMCBmxYWtfFa4xfUODYaWk3sE
Crz7TLu4tNUS0B7ROvYuw/3M1sFs0pKk9EJJUxLYi2I5Exdh/scjlkrGQYYjAq82u9vTUaLSQYP5
84DGgjRabIDhF0F+jMSS6Jaeqxsh4w8DcfFELRshLhC7o+gi3dnXndgcEF3+pB/9Sgh0hXexHrGK
tYu4TQs1vnI16etUWd8e9Ho/jheFDNs4DbiXg0jkT7xnm/PhyTJ5PLpFo/0Kt+lUvd/ZLMf/9boS
twDLncyV6X1g/ZrraeW5rftphQIp1+ZWHbZVAGmNfss4iz3INfS4i9sQi9ZdeYaQ2rstKlX6u48i
44NNSOdBtHBHeXuTU1nTHC7rtVcCNZVypBBiFBuqqZzvoQH/HSbbGrQvhNlT0mJR99DOa3aCt936
TSHBzIV1Jz4w7NFCNhJo0zA73XhJS9yzkGjJ4UcqwDJrO/hxwpLqS3dYMpz9dTtxU4k8I/o3nINF
46WxF4RTUQ73mPx9GRasOmXeQCwdFvhqRUqb/ZHgxlJLV1cyWCbCv6Umc/OVT+WmyfVpod5ewH1a
5kFXsPu8XQHQcHlr1SW1xv3lWF1OSVhlubqeUOiUBaCSYMyjwJGJXXqsU1RL6RryKXlQMziObLYH
qCV9+c21uXD3sqIJmG/5RrnooMOd3FFnRdavu2GythAzaRKdpRYNTEn5PzUJD2TLMNgigOeU06DC
/kNWKQeXF5FrVIaBlhs5dai6BRiEjRDeKDAFL/OTZexp8ngDxly08jzH3J2jQeI445b+bOnIrPgZ
UfRW8c3B6Dj2BPUgJ2bztRIU8q7wdop7tpQ/LNQWA+72cZQvaO5ZYl/ouSpHW2aismeJTfA2jj0D
DEDdXYhpkZ5QIiAl99Njo4Ufwu40OqTTdVWP3vHV83YHkSXeUTYFEh0Tv223MLj93s4a+sHxplpe
j0SDGIQJ3PtjbH+Ct/SB5dUnzu8fgfrbY8AE2AhLPzLtZouy2uBhgCAqoo9DiB8Mn5LJtHd1csoB
zvXWq1g9vkd3V9t2198I+bnV9beKnwgXF7YYnmqYFSuCoemx4tu3b0ljNlLE1PBgW3oAd3DHxe7g
5z9ctUkOO4lMVmOzEC7mFbm+8OdiQOSDVgXCcoo0fXaMUQ3HmHR8PoGyK1eQRB8JJjmpA04dGCyn
D+jHP35k001EuNM7/YCFY2Bd+AP3G0zHasd5NGaOW7ePQSQwJWpcjkJv+3xv7OiilNtl1kWFla/d
MX2LL7zNuqr3T7g7L7Nzfo87b0dSg2VIExN9XWR8HEByTsHOL8OaYTUpVIThSuq6+sCWN3ONKP6L
Ig70pt99Mahjq8VSwEJs2fJnnC6tNW0mQIdOrK9TIlTGmF/jtcWepZqXS9P3a/lKUdTvI4NiOJ8g
hakh9Zd4Y/cjkZnoeTpFL2w94MnYIRh3TZ9ICwXO21Trem6aM2L2e831f+B3YDbVoR6p3DSDwRM+
h5C/EJT9/YiDMv6OsaIVhNOCq2sMKIbAWlKR19Ss83y81ipHsY9cLldqrC2nV9jAXsQJoh+HIkcM
2KXSXevH/fWCDpvuOMScy/yBkwhUuZJmXeJIRaOYuxb2vvc3wyOev5D0sz2JJGKFycON0Q8dbIaq
Sn7bDuVfpgtVudwfjfpgMudtdIYLMAB17ZAxqSy53G+hK8B+LvEbDUNGw12cqfqz4+UaI0NXJzDn
lRzNimow9Buqpk6mh6YDqEy6P9wb5xkrfhGKpUEMkd8bFPL7YhWDvSW+jDU00m6u3Ex8HaC//DrO
cB0Fcj/u6Ran8eiT21TQIljSfXHpwcYBolEG5fgeeUwxITnzZgqHRCTP4Yxxp2Ggoo1v+fBeCPTC
49FnOigsqtEyVryw4Mzt01RSYwjcmT9p43bkEYBaVCTigsI3S5OEIxz/TegeRMnZCvklsuKL4BtO
Aca8EMUV2pUmVPlWLdqnfQAxfU6VXYsZkXR9M7Kp2ibWILd/5ZDD1VdNrAwKsBasMcFeLp726mE2
5GgfvMrdeXVnWgOW9ZGFedvhWY5k73bmlNor2H3JclPfeiEpA6+YeWdNdW9gG/ybJ6CAS/Bmj56R
t03f7QDFkfyZ9h14VNVvOlxe4zXOHizL7lFzIkbdMesQ+pmjXqWu1zqkbF+FFfXO9ZEjFtQdUmDf
Q+Tc39TXBJlutEmvdCdN3eRvGdm7lMZZfrKaq90c1jM615jgNs5j3i4HVP2XBVhi8Lw06IW3CW9y
JgVboopkaTy0ej4k4m7JiCTsBOljY6x5eei6yGkcnXACPU3yW1NRFxcn7Swky6Mdn4qk3lQVJ906
SWIh3pNuIgNYobP8LpCfUdWE+bLAD06CNEd5RUf+px/T3ZFb498h/BKNpKrQacYhU92WCaUF/Y6+
Z9SX/oSz8oNHMFEnkThp41YuXoaiwyviiDkCS0c5o42kl1N4voG4QsP8zyTA3fVtlEcsbIrJJUBi
1zajZOUyRVbhko6JYVmxWPOV4CavRAV59ks7eRQO7F5+GItk2C51Z4K/d9a1ce06+lhwFhMmUpaQ
ilE3U3/JIpN+daX4m780d0B5W2ylvt2/Fefj92xUG2gNuGy6q8qEJPil4J2+hGlJkau6wPEGp8HV
ucKIAl4qUeQY/tUGftwU3fUtFXZLtkqruX3nO6m0u4u8QU2C+LdqpG8xeKP/3665CZasGQ6cMe5a
L+Vh6eacI1NHcCEFJu9UcdUoUQTcGrGQjbgwYGdyqVcyMzii5VbJO44scmRGU2o46MGb31HRf9Zw
9wzXyTj06grUi0Fg44GouWPEqFdvKv+JAz3NHBokEXDhGUam3kGtYUU73Ekm/hif8QOqKGGpijvJ
KMKt0yXec+H18NjqZriKTD2M9YkDkz3vODb7znVnAtbECQw/q3+1bG7MKyKGobSJ8Xh3HT+ioFpK
GIvnzsTqIs/6WXW8nHwXCWHXgjemAX/ShenRNdeaBTAfWV8yyVSIgqYK9k8C1BNFDb5fnZ6fEMuf
XVZymhTQMVO7nUxPplAYLj9Xvo9BDqe3DyiIczssZht/9G3PENVL90/Z/amHKFCs4SpevaWFE2aF
HOIDEi1ubL8rYyu7ae0KfDp+4e+y/QK7oEEpp7kL9wMEuiKBriZ+jfx+JGjnUmnJLNCFJq76AN6W
qEgL9v7F7DtOHb2FY7DRuerx0SZIFoocDbZ+j6A/i8buxM3KOfze8GlSPkME2vZx6JZUWFPzvs78
laxZaIIJF46Y8yzSfU/HSEnuI1Au6HjzhWASao2iEceu/oBSkY5gXmzA/ytDg+hnGh7J5mCcd8M3
a5NQVeb7hkehWcLpjEHX/TskFhGlvxMUpWGb+2Uif4EqCA5ic7knIKkbe/qbwW93IHUKTY+9nlkU
bbgNGTV3QaS+UT3a7Qi4p5/HhL+WhaRRQmgtjttQ4d1/tjfyHOhOTGBTz5Wn23MEv/X60yd3a9ra
5BzObuUwvjUh0dbyT5qTEq2LTl5ydbRxHa5+JSCx3yQbYC+dNY9kAE9/zCRplk8974v5jwXTWXLk
w6Tw+DGW4cRx9sDotJmd4tDuupIujI9+A7vEJrf66CswKALcivNoEbFeIwstX2IyYJa/PblzupNh
j1A6Er+yFGvrU/wcn/dxQCQEqNNedrtR8Q/67zp/p1FVm//Pm1PFhkfKKLj0JR4jAb7/ltgsF4dm
L/BLwsZU5oizeddIJtmhRkBdaoqPm6IJhZ0XBjDZXOk7MXiGqWL40qiqojWejNSES/R1Q6IHqTGB
qyQzK3QLyxxTwZaEusgm8nR8jWJsyLW+SMz78cEwxqZso7pvS8kzCQDXpdmU4vFT8V8biaH7JLz/
CEIjTpTXvqzb1ISm2R6ZQdFPduvtL4GbaNf1PfTIFgtd6Hc7W/ZXONqoeqKyP5x6oyKMWWRVSJlX
QQfTzpnrHFb0BLh3Bi9MpcXpFfd409tIru/QB2MVtUakITwMDbskhhosEv5ObMaVr2G1jpuL6lNe
9m21Qn1R6DpXBQnBq6jEFktzlEwfk+VAglxDX5bJSOrBLrG9z99TatEnokSRf8bUQ8/AN4aLkqlO
/FNEvYSIiXtMX7jVGTWg2ooAeqMLSyIjbQSokYHpDjwewuODC79TMxLLCpwiaaL+zfuTwDKinzsq
Xyki6e+7x/8cLreDaN5h2CnthL0H0ZloL9SKk3OngqOnR3t6ktOAY7y2wB/jpZO7w3TzLrJWj9CI
8uKaO3zw23DkxfD6/L+h/LLiqC50n1rD5gf7yyIVM/MLt3imItAYgeSu9o9oz0OjcCPxUvfVTob5
kqRTmnIh0No6fpPfnR2tEwxjSSgWu4sIWr0vYnICblpz2Raa7coW9wE+S/m9p3MgVtSkyKZKsj8N
g0u+dmZulfSgCF+AKx8hBHDpzcbKuY9fdgyZysJZnYkBnGBLfKJ7zLBE2Sw3VwhQPfm+3ydlBJzI
7hS3OYqCldEeP29AI0vf8mZBvu13oOIN/Brm7DA3U6D8WkD/QKXqOjjYP6IiVp+ZA3nA/51iIAaP
mMjHdOuQZpzg5FXF/wjCo5PzkgL79XveOrS+m6DWFwuLXiSvufsq4/rS+IBOpRWj5v2JytwmvnNu
XgFliCmZxtfMLMGa9thToiu+4A5xnpIMz3uEI/h3+tu7xU854Ai3z7YxUfCf9e7z6aECjNOf/jj7
OJ/uRxnukb+poO4xe/EiWzc7b1ftnlgE0+bvPNhc9XVwtzo9BE3RTAZ6UlcsHyGFfEqwInsACufn
P3gv0bstUeP1L5JXn5nb4Lc9sMV7fA1f+v8tHmuWlMF5Ysewud8U4lmffhx578OY4HY6Tovypo7W
oJ3qZZE3pJzaKKYTNwiINIdqYlHW103YcadBYjAJVFxbcukgLgMsXaXDcLzlEH4qaUty90dtW436
YfgsSBC5rsBe9ehJKF56xLl3Juf4W+qVdjNHQnh8LmTkNqFnM5nj0gHcSEc0J73SVuilesEdHgBH
Ks8YN0kyGHZeTQnWJpfZVgtNBykYEfQIl424czP4lXJ67raB+rWVAIYY0sdghjaJhIjXy4BOWaDv
WPCFEC0ZCJQ8gJY8bgIrmwN5e5LKWkH6LqqR8g4MgOofqvo0Q8k8JnjPlTG0vEI1s1YXmEMaJTWI
eBIvE/Er6CSzjgWC/oFXeDY41Wdb3yZT2GNKdKldm5z9Cb2CRnubNf5vpt0eMNZdv22qvegK6LMn
ezX6NG8piK7QORJFsMi555twJvPqJt5/9pl9BV6LhMObOtDAZp3a2qMSCFeYYeZh7SNvxxrEGVqy
g4reC2wnNO3gnW8S9yO5ZJNG/s2Q7ybzezVaUvICQQf/ZnyX3IiERIOo7kYEtnp4Vz2QkSpjiQS8
djoEDvHSVOodfhHuSVDWadp61fBfTxYrH9VwHKiEFugSs0sJDiXCPGomJ3d7GtbbNNLhyTvh76Ob
h1Y4ONDNUyocUH/jOMDUqQsqoidUhA+T2xvVvd0eqTz35F+CXq63PwvAyOF3rW5jMBCZ5PxluXnC
iW2FDft2vOymNyrZM+9q6g1t01JDmtfq+4aqtg4mldbHzOZ9dvUppPPIdZHP4n9lFLtEenlSFm2V
5EeIYgRJadefk2GitVElUDA05oHCnVxF5Gt0iTImHkNYgpD2Q02IkolbMrjD8nlVFIEa2IvW+/yu
Kd/0SwFt/Fw83tKyXn0R3pCgySoQYsjl9FSU3nvkoPiW6H5Qu47YTQEuIZB+SqeFPgjPRFoDD04o
QRsZxHUkHkI9JNobpejKBlJ1Tv0DdEHjpqH878U0OG/Bq3tLs8Tju8aNF7rgeek4mK6XuyKHqUAJ
Tl3l5NPXbnIakK/kQHu84EUX8WWyZTJeCZMgqrYX+Qeey695TjVtrIxVYQ9bfhgEW/39nXbwbHgj
3rDo237Ij4NWCv35CT+343s4jvn+nw5kRoFzHf5CdBPXlhTCAv3p03Xnwp97PrOZ07fZWzI369ZX
M+7rj5A3kIYjpktCb2W9gengHrds9Len3zJ+2atv5/cJUO10KcdXcdXWAWfcawgFBsqlGYytkFKJ
WGBDOdIRKDxt+boyJpGAXxmRM19DOub4GRSE1BdpGQjFPV0ZPfzmQ2EMbbP5CpqipTwdjvm+/jKf
lSNL6qdQsblmcPkJWI35euLJJhjl7kqh0GOnQe0Sn3PAOAOUt51cgswp8IZ6PG7Q7Hksi5g8t5wn
MSoeAjtqq2MEQ6wWPhechGEwq8aLrT+NiUB+Au6jPjUCt3Rh/C4hfjmog+rCPt4AxU5DIAVHD6EC
ITQwSRvIHge82buFtxU8YGSM0utEtrvB2ezqSbcjYbNaJ6Fj84DiZj46/BcFZprIGx8pcnDTOUB2
auQnFdk/i80xj7QfoTAYEgZ8v7oeYNBX+DVvxuaULXyTubc+1JmaB7CzAXEJ7jGQ0k/96Nolx6dD
/g6usBHygc4CF5u/afnLAvAIHNaryGFnuVFN4+hOF6ZOom147euFwaJccLjp/j3tfK/u442fkkcC
ai5dhWUumbocPWPX2/U7ZxyNU0i3NRYMA6loE55TWwQF9XgpDrq5LB3EvO9H+8tRbs+b5FdtjiV1
W9zKuV1daZbLvUzHzF+k21z5tVzwVGki+BNiLJYbvE0SJdPDCbTusKplGNqdiJF2fq6DwQ+R2zOT
sfHzxqONPQClVwAW7sQD3WgR8xcAheTuPkB+xJLZtfO69sVJ5gskqThK7V/QOl9d9hcf52SYM90z
9T9I2gM1+yQli0XKNDmRSvCngB+Fxieulg9iLUKxDz7IWL2QAabZ362hrFH6xisl2hS0RQlyBgkc
kmol6Khb5DXp8P9wCxc5gLkNDZBRhKU1FIZnkNPbUr93NU88tlw8EeOLrJ38SfLnY6PJQAETzpXK
xkeh2XkxHM3iNIPUuw6DCPKbctBY1m7ziVUv+WPuKmHOyS4gWdkZ/mJKUvuf67mRL+LYJ2bsPuvm
wbD7ioNMVJel0hDYDA+T6zScgbhGyycN2AemMDXFq/uQZ8mMGV83o5xs7hVrmJiCpgLCrjPE8/4D
7oeKwGsolvJNt8pEd7fNnWSw6JDkIcR8dWIERQsFOuyeu7Bk1t4Ckn5+MP7zsc7k4vE0it8bg15v
uBJGXI0BTYUSH+80r4tuFJlF2voPewLG99MReg5SMF/arWJmKyXxJ3Zw4quz1BI66aRp+LsVifE3
kpH6R9IqyfpTqoj+SU7MZpkbkyH3OJdOgD9/DoSCUZAZsaVL7qAK9BKAbOkfZF0rkwJe8bu4Fw52
Y1Fmi/tVfRFW4cvYAPSNKEsGPntymuE7ccCIoUBdaPibl1TYG/3wWaFoT8fTzJIBOenoTluR3v4t
Ull+2NtAhvv0KL404qbAMzGIjoYe1pYDSRGGHB58H4F4FdWMcek6JbkjBs+u6A//haPAbV8/Ljeu
4FeXDKvInOT++/nnxraLQZ0Z2ShmcgHqG0azUYc3P0OojXQvQ4u1T0BnmAXJvQyuBaIHNQyblG0w
ljR2P5Q2DgYTgE4Lsah+JiQBCb90dpXHHkGllVZut2Z/sf4uoUhQZCm/4k9wh6cY17PlWa/EGjqk
casWuHETyjZHjWkfnSQ1gA4Y4DZQTbmxjkaTtGW5RDJQEVpkwIdxJJ9YlCefJ8XIt2Y5m8mD8w16
XR89RsJhHfixpbL7rmFM/PgZynp9FBLLESVnFtyTqZIB863OD8iLYtlQ6zBc1wWOgEcpBtMDJEmj
dwm/S6mvvCJIS88TweQqfjferYfqEIvcmodw2xCC/H54TgPcYUJgR++O4KVkO22vt5xf1frYIXnH
WY+wcQo8wCHhDAWjmn0j692nfTKo8UHxjpE8BOhwRSeE3A3xzSbysZugXTOOohxnEEt6+MQV7EI7
u1zoOTVDF7RkfyvQfV2B0LjwlrYdbLaCUMISfOQwWbSPJ4BxFjuTLTNtY6jhsFBjTLa4Rl9iDpoC
cerPoPWYKRHssqedx39mx5w+MEBcDQPZ9MkumERLZRUb5RD+Cq9FNtvrkAFshcjHQEe/hrTLutFB
oMVe1ezDwxxLDyTiGqAzy3fg9tr6i6iISYUfXBAMi9Y52f05IaqSny+j3EONYD5m62RfUm6NTicC
EZMK8fqha9USMUAgq99ycIYZMRpBWy3ZWQ09ZRYJluzUo4eqNtQa08MgoGSJco6G5zfwsBWkPKnk
F0HduWKyLy0b0HNVTz0lrgH6/7yiPjg39h1Z1J6uc1IAi41o+mMnrHupRTA/yKGbSh1YHpqtVuCL
z713U0RyQPn8lR8rxlJP+OMEsvJmawkf3+OiviJ/0qTiwBTVRMbvXcRdS1dYi7cWi0/nCETHA1ti
F80w+ZDTqZG3FsMAAl+MTPdW/0vXd8VZcybeTaYB93nop9ZM+imNrbHWlmSNQFUSsLjf4V/CMlSz
JyEfMDO5Hs0lvRkVeWkcWMPHiIo3X7FKu18NQo6LB/5UkZPHSSQ+5NSUAMKBn+VG+pMmeuaW70C7
6SB80K8hLcNSJPmbjOVcmjWGVh11UOSMS82h8Wg4paMynP+O48oihPI5ApJBUd1N3gOXmb+G/icD
gj75SXP2KO3jAfdqgr/5IHcGXktu6cCZ5o455D5p/EuVP/1vddbwMXyhrfeJ3113b2JREm0so9M1
l94Wxn4h1SvhEiA+nwWpfFWr6yjxFqeZppUR2b1JjgecUbnnqRVQWFvO/pqQ7sWYQ1oFmTG2I+bR
TyNBl98THS7dXuMvUEkuDXZqWkO+6osR/KsWJDUbXqt6W8B9gpVDsvOZypkX/Hw5q4DCnSac1b6Y
8ig/7tV9K781eNwtW0t7be2nhPdsBsVc8M4ETsKyt8wZm4DOVgdZeN5eK/F142X3/3t3nxJwoj1h
DP7Dxzy4rgw1Qh1TWFjduEEbG2i+de4nk3FDK1kDj3Kg9GNzRzWHvdD4l8NscanAH9rnqS7b8ikL
jOyfwdxrL7txhpMsP63X8rzDUu5qJiJyT7p8vci/MqnDtoGSBwJiTCUrE4TEUT+ImQtArQaMN0K/
p2FqpSTDsML29AiSSzuvnZ9eosJ05yOtkJWPS8uzFA/6TLCTEE2nrSIj1ruVMNMXTZI5TQYjPwu/
GSOX39Oi626SCMNpJsXLKpQWU1AHxuxrm7zQuZq1i62DG175rsQ3Od1AT1mQmOQZJ5TmmIkcAQPf
8ndfsxTNYnw0bBVvwF+L4sgSs5cbP/mT3+bvszxL0VAnMxEJggsZvneguLjZe/ymAM/My9+jkJhQ
411PgyC/PuNCIGzN8pavSmiNwpUUADbTYBTVHVSFG9IHA1B7ST1Nx1a9sH5HnzDGHlFygVV7UL+b
nxEXHG4bcJotx7XEGnchqDXOZGQzbN1dv42eDj/YLlrXQkCU/27zrd8xXmoxDkGv0cZEjOIem7WW
1XZvYIsABbPr52jkfM8faz+ooOH9utzXC4+GJK09tCvI1xz7Fe5bcFJDtCEPtDifFTjLIiJ1+SPa
2eBF4o7Z/1IqQnX2QWWP1H0XVXBtyE751yDZwvKZnqYvtCLGpexF2wUk12jgnLWWCdd5ffUF9aDf
SuYqJRyl5u6ApbWTvdRhzwkyJF5kctQ4IUZZ60GYj/XzW8rWNTsAGqa6PW4VCo/mKmBPp7nVyKUI
aeplLEogyYPp3fERhQpyZ/Sltu6tU13FzL0tWpm6OuaclT07brjl0vPAXtmRnoDbDLp021Td7S8z
zUawMkERv1NEmxa7+BWpmUHj2dyx8npIwYVv6O6I5O0pA+ERoE9OwEubj3CfECBywE9XgxNkHqMp
2mSdgQr/tJnGcUrxCvRa1Z9O0u6wPLj6m3I3jdT43G4aAIXs6idC7/7PrwyxBL7Es494bi8vrGGv
YW09doUbkSHzJ4LnKFEui5rER6VkcoUXH7rsb3LkMn2t+PAu0Qi6RiFI3iyabYQSe3VzUkxPRtTK
Xh42mg03I0G74DY4RWrHEXef+gOAF5hFY4d9+pXhl5ZkwaJ3TosCNUf+sq/7heD1tCjVwN2X48sA
UfPe1JKeuc7d12nrc+7ULi76cuLLUUX6Zkl3Tfmw135famH/PsRJEEub9A5lHr85tQLGPjLm7wHB
BdnWwtedPnklAypbSDnlZQgL8EJkI95KPjW4+L335SRWxy+6syA31U8pbf8D0E1iYTH/ICchNMe/
p8TNxT097FFxvRtrF2dAvaCwcXI+xV4rP5DOsqMk2cKuNQgW4MosE4zmOE3xrtu02dlwTb+9CNj7
IQVXJPhcM62SeAZn1RfvLlyyUNIPjmajufktnQ95LXIAK7YpQ8tM0CB7Jl2jsyIsIuNhLihzv3ru
CGZL7AQiCtpTQkoKnhCV798Xwqrmi/DOwv3O13faMQe4WSla9PrR3f8YqIutDLzK1IZ99OTuLw5U
IjSV1Dyj8Y9OW4loCCwCOyEgh8qerXOc1ktDE9pVXx7nHKyNFXCFQaobIrqMhwIHLqrReZxCLz+e
tk5lr+RSQL1CCNrKqjl6L+i6g91LiyetgUYVpA3pe+vebz3UR7NPS9ixNcng97L1IFik/6GEvtMV
WMCFLWmAC5pHhC8/Wz0aUoRRYs93q1s79HlFuF2if7PASS2zUMBjTEAtOb83x4hmPlMOsGkdmjs3
0KcIaa+74ai/sh86jgaYw+E8+vuG1EORH9R50L22imr+KfvUsQUUCDytc9ymC4zQY1DsIkzmf/kW
3xbTG8be85vlnJfztDNhGJ25iiHKf19LcSQep66bXdwPJdbq3zs+em5RzLfZBxP98m58ZU5MaVxX
6nw8PegXIozFBdeGjyU3EZgX3L46E6ux3NeT+G0Av2AkLcSP7iDoO/HstN09OKp3IDoOXOmaq6Hg
nRLaTBoiOESxUWr5+B+4QUxXqf7U7DxggjJ3IM+CEGpAbhoXaIunv9klA1uzV/sySQaEuxYpEJNj
uHHKS0WxuxcNw8hhZQNOMOiyN8WOg5jhg8014HvSdk9ad2PxbYC3A/TP/yeg3VkVxR5pnCEqsO4N
QhI8EKYIVCUltIm0GjeE90gqmWjH+NWwa06KSTrGMFvjz0w1z4DwqOqnATIMEjX6Wx2eebE3WuJB
C3hSXJ54BZRiKT9Vjkr0dhUmpj4e71dz9iJooTysVwOIc6mUdo5Ds0ihgme6deTDIUm67yTfMO62
ZKoDCljXtSFde2yVR3ohuOUblXXTr3fHgDOgdLgrTygvLAjTCh+6P7c6VKHT/It5ZKdog0Ku/+ix
wjulAVWzsQzQwO5yhEItewM9zRqa4XAaO12DMxUZKjtLc4l/V+UbtkldRJLelBGjUPtIvOjRxYfu
vA9kVb2uQJ5DcwOnh3zm6hpdmhDUkWy6AOVlcJwNWhgWDqbzMvDPtoV+3TcA0Q4jFCmRBIe5lKKU
6b7WfCQLQW+yyuS+nYO5W/XCDx9Jeu5kVg52qIcMtLvJvQZmzlDULO8Jrrk6GPN+jeWpChvOV/GO
vbVHW2X+0ysmd1UrzPLUVUMg+zdz3p34cWhrBxAY0ehBl8dGJWS3Qk/ipqwn5nF6p2QEDX9NXpDE
rnxb9tWPvHBHhfMMhZ3Epk8LzCHJRsUy/zTNlhaxv7RklXBxxX/kkYTAQWaAC3h0WTcp8YuKH6sB
UHN+b0NrWlZDanZwU/JMe+9kBR72iBm5WydQ7V5ItXwIqLxK39/nzIleozmP02HmwELaw0Nj33vy
VQ6KM4m1k3/W0agJva2CwlbJj2Ylj7Na1XNfWaHPZVe1f2gAGhiH+no1+M1tBuM49KdaFZ1yHq4o
ZL7c7qt4ehcTK7lio3/Ytu24Cez4YnH+blhulc0z2JRE6YEtFQhbkhuCPeNtJE8RZtlNHBMhqnPm
PFOOLIvVYuaZpr7cEOfNovCAGxJc6AxFF3xRTvvHpaNdPyA5B5smfR1D8LXWkYnB+32kgb3+ggdB
FoFLSZEGvAIiBP3xGMFznl0H8qiVJ/yksGHvn9eoEmtlhFHcdNzrHMuI6c8ISMCldMti7xSV/oYk
O6GuZSBkFer0u9jCgpzUWSr3lsi3tEzeMImFVaqqAD5FFdAPRbuZcZE6CLSXJYUZUYGgKdU1Or0S
bKDGxJ1oFMg8ZmOomzN639KMq0rmgsjFB5mUjPKT0L9fiT/CIbaDiqx29vkFqV8Jd7SMLOcqc+Gn
1NDFXY+dN8pRJ1hkaqGv1O/tqGpQYDKZ5htkBi5LsfupeIGPU2KIOw2Qlp8F176VCCFQ1bemOxWX
bDaIr5JkzSi44pVAIsI9K6z8vKfqoPNCJLfnbQf+Gyrnbg6ihzWSzY/UJuKMclTuQKaxrCUYo+ZU
CiQzjnB7KqpFqmShN5HBh/Ucvbna4qg2W7HSV8CnUcsMVmSGQRi/jN5MGmW1b75hA1vUWqLpN8gC
f57n3GEY883MFyJTWUkJ4MnIFtklgdYcabwCjhkqTYv2vEAwJLxujVaVkrgUrwgBaDVEHyYcEalF
4kgsUT7x75tEzi1T1doFkhUgpuVkBsQHFJRaSwsFMq+5uPPvtzlGLRLyzEG8G3da+36Hx4w2dVr6
9I/HkMV5bA9dJr0e3S4ajwW9h6RkSy6Gq7OntdcgYiZSTLkBU9xY4NB4b4ImCnaksq4Syq6JF0tv
DXbxFjw7Dj44yVTTukHoJ22LowD9As/vOlAH90bcPgfz1RTO+yAFsbWVHO34Jxg0+4E9EJSTqJo0
kjppJlMW/Y9b1GG5YZDKtaa7VsiNqo0sFUT2Lm7Ya3jhw/NS5Cbt+bssVrMk04VMRg9Orazy+cmd
DxyCUCleNf8qU/689lRrJPnaThTKGxcGUqfrcqfRlVeeRMasknQ3TUBmi25meF8Ia2OHK8VBEUjY
92oWEoSYXPQ2UeMHF8zTI698gG5JDJCSnR88C56vFShyafTuhDhnKWY5fwb/tNiYF5mSaShRea2z
gnN6PN7ppvfAIe7niVn7SnCpXAymmZPx0egAMaBDxk2p724BmX5NvvWHFIaQZQ3ndEOKLZEvViGc
e5a/1kbqDAifnD3OM4RpTmkFfeDDORqdlg6CJSyVGkAfVSjeWY0CZ38etFXZXX9apJ4PxgBykyPK
CtpJcJi6lp/xjTCIJQOwy99z+ieGmUceM2CzI7M4AC06f5qLO4XmziutNzQtOhAAjKi2RTOrHaw4
ymWaxC6/asanov8a9LfmIftm9oHkjNMO4vaSjopdMIlhfXR2WqWafMhyoUnolwgGWxpzwPQYXv0U
7tvYq5IFIntFtUv/pfhBgmir61uMHTjwidmcdpsEd98E4Kk+iH8jV3uXCU0O/dCnzHPcF+aQdJdO
4JfWl9EEJ7j4ShfLj5B44ELuRA8W/IzQbwbFAjntxdJj9JX/P+/OXloPk7keomElpB12gzTHq/1P
ho1AG8M6WkZXlCcM9A4e9NUfdXoS
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YaLsZ52y3ZVfENdu1oPuhyWXGqD1HgI88nJlN9D7xyw+SKSqu4D9pf5xVF9ZBDRb24+bz6tBuyRY
xLUmvtpDwg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
oVXciUdE3RIuQWZVH8yTQTh12ttJ2gqH8r6cCR/6gsQjSK9Mr4H5tI300dIgQS9vO/tbyVnj+ZO9
zfje8hPR2U5BBgA5z7tBTGn8Q/I4juQ23ZFrPItTNQaJicqlSmrqvgIdSirjGZQFKoyVI5pfmSOu
T06iVq395XOblsvHWCw=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cU5mAnqzF9kOMP4MZOKHlpi9+j2snXMHlaRVNaXn39rIEQ9fGGuovwhieDM1Pa4b7kHHV5EmGfGh
sJWQSbTCkvxHjUIyice9mtzj8AN+MMzBQCxPD+/ZPib30imuHsEvmV87xb99ZzDdysmkNOFA3kza
tHjYoahiBeFY9lcjZhqxbN8DFGVEof/qv3N6+BvqW9fRoiLWqrIXZst8sd2UhsJMOkkPT08/Cis9
0qIcZX6Tb0FXzJESEpzkvcRsj2P9F1fkF97mpAcx0DBpyPnh2a23vyvepHBr//bUcmQrLddFyk2p
SdfqRULmiEoZgovtYNkkZe2ntkcazfmVisiBig==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
dp2wILTSi5LTM1iAjtAUXkbwp8CjOsabqxQWS5HQuJN9pzHkRvX4rU/lKprYORA0zha0GmEIuvnz
1Vop4FMS8U9niph+v959iRs6D+AJ4Y+zYboojwoSUbLAVZ8M/HG4ePMoJrUQFQcqvf2eAz+fdC1B
1uKDSc5WE2Tm0c24ETM=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
G3Jb9vpLTBUJClsxXoI2raplZwYpv4072kGR1dDnYOH734HnadDldj+h6Tksf1OldW1MNmcioePo
JKjoSMqHDJIEJwj96a1yDChYA/X4v2apXWlLzspbW9eGDUOiuPlv26gJPBbfiW69KYOsc1IeEH/u
HwfSseFgVLkwalOYjxA1N1aSiDKiofnv07tr8k16/uG9iwtbbwbYVJDKldKvuLvd5Ntx8VeHc8PH
Vp6b762c+u8miLMJZtXaie7CXCt5BUNQpur6ZyfIo6JCDSvJ0i10rJOnen3Qd+tOT1m/gnqmmlAs
NXAMqt8r6o7WlnrFEw1ANziONLfdwuTr8Srz6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 45792)
`protect data_block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`protect end_protected
|
--Copyright (C) 2016 Siavoosh Payandeh Azad
library ieee;
use ieee.std_logic_1164.all;
use work.component_pack.all;
entity arbiter_out is
port (
reset: in std_logic;
clk: in std_logic;
X_N_Y, X_E_Y, X_W_Y, X_S_Y, X_L_Y :in std_logic; -- From LBDR modules
credit: in std_logic_vector(1 downto 0);
grant_Y_N, grant_Y_E, grant_Y_W, grant_Y_S, grant_Y_L : out std_logic; -- Grants given to LBDR requests (encoded as one-hot)
-- fault injector shift register with serial input signals
TCK: in std_logic;
SE: in std_logic; -- shift enable
UE: in std_logic; -- update enable
SI: in std_logic; -- serial Input
SO: out std_logic; -- serial output
-- Checker outputs
err_Requests_state_in_state_not_equal,
err_IDLE_req_X_N, err_North_req_X_N, err_North_credit_not_zero_req_X_N_grant_N,
err_North_credit_zero_or_not_req_X_N_not_grant_N, err_East_req_X_E,
err_East_credit_not_zero_req_X_E_grant_E, err_East_credit_zero_or_not_req_X_E_not_grant_E,
err_West_req_X_W, err_West_credit_not_zero_req_X_W_grant_W, err_West_credit_zero_or_not_req_X_W_not_grant_W,
err_South_req_X_S, err_South_credit_not_zero_req_X_S_grant_S, err_South_credit_zero_or_not_req_X_S_not_grant_S,
err_Local_req_X_L, err_Local_credit_not_zero_req_X_L_grant_L, err_Local_credit_zero_or_not_req_X_L_not_grant_L,
err_IDLE_req_X_E, err_North_req_X_E, err_East_req_X_W, err_West_req_X_S, err_South_req_X_L, err_Local_req_X_N,
err_IDLE_req_X_W, err_North_req_X_W, err_East_req_X_S, err_West_req_X_L, err_South_req_X_N, err_Local_req_X_E,
err_IDLE_req_X_S, err_North_req_X_S, err_East_req_X_L, err_West_req_X_N, err_South_req_X_E, err_Local_req_X_W,
err_IDLE_req_X_L, err_North_req_X_L, err_East_req_X_N, err_West_req_X_E, err_South_req_X_W, err_Local_req_X_S,
err_state_in_onehot, err_no_request_grants, err_request_IDLE_state,
err_request_IDLE_not_Grants, err_state_North_Invalid_Grant, err_state_East_Invalid_Grant,
err_state_West_Invalid_Grant, err_state_South_Invalid_Grant, err_state_Local_Invalid_Grant,
err_Grants_onehot_or_all_zero : out std_logic
);
end;
architecture behavior of arbiter_out is
----------------------------------------
-- Signals related to fault injection --
----------------------------------------
-- Total: 7 bits
signal FI_add_sta: std_logic_vector (6 downto 0); -- 5 bits for fault injection location address (ceil of log2(17) = 5)
-- 2 bits for type of fault (SA0 or SA1)
signal non_faulty_signals: std_logic_vector (16 downto 0); -- 17 bits for internal- and output-related signals (non-faulty)
signal faulty_signals: std_logic_vector(16 downto 0); -- 17 bits for internal- and output-related signals (with single stuck-at fault injected in one of them)
----------------------------------------
--TYPE STATE_TYPE IS (IDLE, North, East, West, South, Local);
SIGNAL state, state_in : std_logic_vector (5 downto 0) := IDLE; -- : STATE_TYPE := IDLE;
SIGNAL grant_Y_N_sig, grant_Y_E_sig, grant_Y_W_sig, grant_Y_S_sig, grant_Y_L_sig : std_logic; -- needed for connecting output ports
-- of Arbiter_out to checker inputs
-- Signal(s) used for creating the chain of injected fault locations
-- Total: 17 bits ??!!
-- Arbiter_out internal-related signals
signal state_faulty, state_in_faulty: std_logic_vector(5 downto 0);
-- Arbiter_out output-related signals
signal grant_Y_N_sig_faulty, grant_Y_E_sig_faulty, grant_Y_W_sig_faulty, grant_Y_S_sig_faulty, grant_Y_L_sig_faulty: std_logic;
begin
-------------------------------------
---- Related to fault injection -----
-------------------------------------
-- Total: 17 bits
-- for grant_Y_N, ... , grant_Y_L output signals, not sure whether to include them or the signals with _sig suffix in their names ??!!
non_faulty_signals <= state & state_in & grant_Y_N_sig & grant_Y_E_sig & grant_Y_W_sig & grant_Y_S_sig & grant_Y_L_sig;
-- Fault injector module instantiation
FI: fault_injector generic map(DATA_WIDTH => 17, ADDRESS_WIDTH => 5)
port map (data_in=> non_faulty_signals , address => FI_add_sta(6 downto 2), sta_0=> FI_add_sta(1), sta_1=> FI_add_sta(0), data_out=> faulty_signals
);
-- Extracting faulty values for internal- and output-related signals
-- Total: 17 bits
state_faulty <= faulty_signals (16 downto 11);
state_in_faulty <= faulty_signals (10 downto 5);
grant_Y_N_sig_faulty <= faulty_signals (4);
grant_Y_E_sig_faulty <= faulty_signals (3);
grant_Y_W_sig_faulty <= faulty_signals (2);
grant_Y_S_sig_faulty <= faulty_signals (1);
grant_Y_L_sig_faulty <= faulty_signals (0);
-- Total: 7 bits
SR: shift_register_serial_in generic map(REG_WIDTH => 7)
port map ( TCK=> TCK, reset=>reset, SE=> SE, UE=> UE, SI=> SI, SO=> SO, data_out_parallel=> FI_add_sta
);
-------------------------------------
-------------------------------------
-- We did this because of the checker outputs!
grant_Y_N <= grant_Y_N_sig;
grant_Y_E <= grant_Y_E_sig;
grant_Y_W <= grant_Y_W_sig;
grant_Y_S <= grant_Y_S_sig;
grant_Y_L <= grant_Y_L_sig;
-- Sequential part
process (clk, reset)begin
if reset = '0' then
state <= IDLE;
elsif clk'event and clk ='1' then
state <= state_in;
end if;
end process;
-- Arbiter_out checkers module instantiation
ARBITER_OUT_ONE_HOT_CHECKERS:
Arbiter_out_one_hot_pseudo_checkers
port map (
credit => credit,
req_X_N => X_N_Y, req_X_E => X_E_Y, req_X_W => X_W_Y, req_X_S => X_S_Y, req_X_L => X_L_Y,
state => state_faulty,
grant_Y_N => grant_Y_N_sig_faulty,
grant_Y_E => grant_Y_E_sig_faulty,
grant_Y_W => grant_Y_W_sig_faulty,
grant_Y_S => grant_Y_S_sig_faulty,
grant_Y_L => grant_Y_L_sig_faulty,
state_in => state_in_faulty,
-- Checker outputs
err_Requests_state_in_state_not_equal => err_Requests_state_in_state_not_equal,
err_IDLE_req_X_N => err_IDLE_req_X_N, err_North_req_X_N => err_North_req_X_N,
err_North_credit_not_zero_req_X_N_grant_N => err_North_credit_not_zero_req_X_N_grant_N,
err_North_credit_zero_or_not_req_X_N_not_grant_N => err_North_credit_zero_or_not_req_X_N_not_grant_N,
err_East_req_X_E => err_East_req_X_E,
err_East_credit_not_zero_req_X_E_grant_E => err_East_credit_not_zero_req_X_E_grant_E,
err_East_credit_zero_or_not_req_X_E_not_grant_E => err_East_credit_zero_or_not_req_X_E_not_grant_E,
err_West_req_X_W => err_West_req_X_W,
err_West_credit_not_zero_req_X_W_grant_W => err_West_credit_not_zero_req_X_W_grant_W,
err_West_credit_zero_or_not_req_X_W_not_grant_W => err_West_credit_zero_or_not_req_X_W_not_grant_W,
err_South_req_X_S => err_South_req_X_S,
err_South_credit_not_zero_req_X_S_grant_S => err_South_credit_not_zero_req_X_S_grant_S,
err_South_credit_zero_or_not_req_X_S_not_grant_S => err_South_credit_zero_or_not_req_X_S_not_grant_S,
err_Local_req_X_L => err_Local_req_X_L,
err_Local_credit_not_zero_req_X_L_grant_L => err_Local_credit_not_zero_req_X_L_grant_L,
err_Local_credit_zero_or_not_req_X_L_not_grant_L => err_Local_credit_zero_or_not_req_X_L_not_grant_L,
err_IDLE_req_X_E => err_IDLE_req_X_E, err_North_req_X_E => err_North_req_X_E, err_East_req_X_W => err_East_req_X_W,
err_West_req_X_S => err_West_req_X_S, err_South_req_X_L => err_South_req_X_L, err_Local_req_X_N => err_Local_req_X_N,
err_IDLE_req_X_W => err_IDLE_req_X_W, err_North_req_X_W => err_North_req_X_W, err_East_req_X_S => err_East_req_X_S,
err_West_req_X_L => err_West_req_X_L, err_South_req_X_N => err_South_req_X_N, err_Local_req_X_E => err_Local_req_X_E,
err_IDLE_req_X_S => err_IDLE_req_X_S, err_North_req_X_S => err_North_req_X_S, err_East_req_X_L => err_East_req_X_L,
err_West_req_X_N => err_West_req_X_N, err_South_req_X_E => err_South_req_X_E, err_Local_req_X_W => err_Local_req_X_W,
err_IDLE_req_X_L => err_IDLE_req_X_L, err_North_req_X_L => err_North_req_X_L, err_East_req_X_N => err_East_req_X_N,
err_West_req_X_E => err_West_req_X_E, err_South_req_X_W => err_South_req_X_W, err_Local_req_X_S => err_Local_req_X_S,
err_state_in_onehot => err_state_in_onehot,
err_no_request_grants => err_no_request_grants,
err_request_IDLE_state => err_request_IDLE_state,
err_request_IDLE_not_Grants => err_request_IDLE_not_Grants,
err_state_North_Invalid_Grant => err_state_North_Invalid_Grant,
err_state_East_Invalid_Grant => err_state_East_Invalid_Grant,
err_state_West_Invalid_Grant => err_state_West_Invalid_Grant,
err_state_South_Invalid_Grant => err_state_South_Invalid_Grant,
err_state_Local_Invalid_Grant => err_state_Local_Invalid_Grant,
err_Grants_onehot_or_all_zero => err_Grants_onehot_or_all_zero
);
-- anything below here is pure combinational
process(state, X_N_Y, X_E_Y, X_W_Y, X_S_Y, X_L_Y, credit)
begin
grant_Y_N_sig <= '0';
grant_Y_E_sig <= '0';
grant_Y_W_sig <= '0';
grant_Y_S_sig <= '0';
grant_Y_L_sig <= '0';
case state is
when IDLE =>
if X_N_Y ='1' then
state_in <= North;
elsif X_E_Y = '1' then
state_in <= East;
elsif X_W_Y = '1' then
state_in <= West;
elsif X_S_Y = '1' then
state_in <= South;
elsif X_L_Y = '1' then
state_in <= Local;
else
state_in <= IDLE;
end if;
when North =>
if credit /= "00" and X_N_Y = '1' then
grant_Y_N_sig <= '1';
end if;
if X_N_Y ='1' then
state_in <= North;
elsif X_E_Y = '1' then
state_in <= East;
elsif X_W_Y = '1' then
state_in <= West;
elsif X_S_Y = '1' then
state_in <= South;
elsif X_L_Y = '1' then
state_in <= Local;
else
state_in <= IDLE;
end if;
when East =>
if credit /= "00" and X_E_Y = '1' then
grant_Y_E_sig <= '1';
end if;
if X_E_Y = '1' then
state_in <= East;
elsif X_W_Y = '1' then
state_in <= West;
elsif X_S_Y = '1' then
state_in <= South;
elsif X_L_Y = '1' then
state_in <= Local;
elsif X_N_Y ='1' then
state_in <= North;
else
state_in <= IDLE;
end if;
when West =>
if credit /= "00" and X_W_Y = '1' then
grant_Y_W_sig <= '1';
end if;
if X_W_Y = '1' then
state_in <= West;
elsif X_S_Y = '1' then
state_in <= South;
elsif X_L_Y = '1' then
state_in <= Local;
elsif X_N_Y ='1' then
state_in <= North;
elsif X_E_Y = '1' then
state_in <= East;
else
state_in <= IDLE;
end if;
when South =>
if credit /= "00" and X_S_Y = '1' then
grant_Y_S_sig <= '1';
end if;
if X_S_Y = '1' then
state_in <= South;
elsif X_L_Y = '1' then
state_in <= Local;
elsif X_N_Y ='1' then
state_in <= North;
elsif X_E_Y = '1' then
state_in <= East;
elsif X_W_Y = '1' then
state_in <= West;
else
state_in <= IDLE;
end if;
when others =>
if credit /= "00" and X_L_Y = '1' then
grant_Y_L_sig <= '1';
end if;
if X_L_Y = '1' then
state_in <= Local;
elsif X_N_Y ='1' then
state_in <= North;
elsif X_E_Y = '1' then
state_in <= East;
elsif X_W_Y = '1' then
state_in <= West;
elsif X_S_Y = '1' then
state_in <= South;
else
state_in <= IDLE;
end if;
end case;
end process;
end;
|
--Copyright (C) 2016 Siavoosh Payandeh Azad
library ieee;
use ieee.std_logic_1164.all;
use work.component_pack.all;
entity arbiter_out is
port (
reset: in std_logic;
clk: in std_logic;
X_N_Y, X_E_Y, X_W_Y, X_S_Y, X_L_Y :in std_logic; -- From LBDR modules
credit: in std_logic_vector(1 downto 0);
grant_Y_N, grant_Y_E, grant_Y_W, grant_Y_S, grant_Y_L : out std_logic; -- Grants given to LBDR requests (encoded as one-hot)
-- fault injector shift register with serial input signals
TCK: in std_logic;
SE: in std_logic; -- shift enable
UE: in std_logic; -- update enable
SI: in std_logic; -- serial Input
SO: out std_logic; -- serial output
-- Checker outputs
err_Requests_state_in_state_not_equal,
err_IDLE_req_X_N, err_North_req_X_N, err_North_credit_not_zero_req_X_N_grant_N,
err_North_credit_zero_or_not_req_X_N_not_grant_N, err_East_req_X_E,
err_East_credit_not_zero_req_X_E_grant_E, err_East_credit_zero_or_not_req_X_E_not_grant_E,
err_West_req_X_W, err_West_credit_not_zero_req_X_W_grant_W, err_West_credit_zero_or_not_req_X_W_not_grant_W,
err_South_req_X_S, err_South_credit_not_zero_req_X_S_grant_S, err_South_credit_zero_or_not_req_X_S_not_grant_S,
err_Local_req_X_L, err_Local_credit_not_zero_req_X_L_grant_L, err_Local_credit_zero_or_not_req_X_L_not_grant_L,
err_IDLE_req_X_E, err_North_req_X_E, err_East_req_X_W, err_West_req_X_S, err_South_req_X_L, err_Local_req_X_N,
err_IDLE_req_X_W, err_North_req_X_W, err_East_req_X_S, err_West_req_X_L, err_South_req_X_N, err_Local_req_X_E,
err_IDLE_req_X_S, err_North_req_X_S, err_East_req_X_L, err_West_req_X_N, err_South_req_X_E, err_Local_req_X_W,
err_IDLE_req_X_L, err_North_req_X_L, err_East_req_X_N, err_West_req_X_E, err_South_req_X_W, err_Local_req_X_S,
err_state_in_onehot, err_no_request_grants, err_request_IDLE_state,
err_request_IDLE_not_Grants, err_state_North_Invalid_Grant, err_state_East_Invalid_Grant,
err_state_West_Invalid_Grant, err_state_South_Invalid_Grant, err_state_Local_Invalid_Grant,
err_Grants_onehot_or_all_zero : out std_logic
);
end;
architecture behavior of arbiter_out is
----------------------------------------
-- Signals related to fault injection --
----------------------------------------
-- Total: 7 bits
signal FI_add_sta: std_logic_vector (6 downto 0); -- 5 bits for fault injection location address (ceil of log2(17) = 5)
-- 2 bits for type of fault (SA0 or SA1)
signal non_faulty_signals: std_logic_vector (16 downto 0); -- 17 bits for internal- and output-related signals (non-faulty)
signal faulty_signals: std_logic_vector(16 downto 0); -- 17 bits for internal- and output-related signals (with single stuck-at fault injected in one of them)
----------------------------------------
--TYPE STATE_TYPE IS (IDLE, North, East, West, South, Local);
SIGNAL state, state_in : std_logic_vector (5 downto 0) := IDLE; -- : STATE_TYPE := IDLE;
SIGNAL grant_Y_N_sig, grant_Y_E_sig, grant_Y_W_sig, grant_Y_S_sig, grant_Y_L_sig : std_logic; -- needed for connecting output ports
-- of Arbiter_out to checker inputs
-- Signal(s) used for creating the chain of injected fault locations
-- Total: 17 bits ??!!
-- Arbiter_out internal-related signals
signal state_faulty, state_in_faulty: std_logic_vector(5 downto 0);
-- Arbiter_out output-related signals
signal grant_Y_N_sig_faulty, grant_Y_E_sig_faulty, grant_Y_W_sig_faulty, grant_Y_S_sig_faulty, grant_Y_L_sig_faulty: std_logic;
begin
-------------------------------------
---- Related to fault injection -----
-------------------------------------
-- Total: 17 bits
-- for grant_Y_N, ... , grant_Y_L output signals, not sure whether to include them or the signals with _sig suffix in their names ??!!
non_faulty_signals <= state & state_in & grant_Y_N_sig & grant_Y_E_sig & grant_Y_W_sig & grant_Y_S_sig & grant_Y_L_sig;
-- Fault injector module instantiation
FI: fault_injector generic map(DATA_WIDTH => 17, ADDRESS_WIDTH => 5)
port map (data_in=> non_faulty_signals , address => FI_add_sta(6 downto 2), sta_0=> FI_add_sta(1), sta_1=> FI_add_sta(0), data_out=> faulty_signals
);
-- Extracting faulty values for internal- and output-related signals
-- Total: 17 bits
state_faulty <= faulty_signals (16 downto 11);
state_in_faulty <= faulty_signals (10 downto 5);
grant_Y_N_sig_faulty <= faulty_signals (4);
grant_Y_E_sig_faulty <= faulty_signals (3);
grant_Y_W_sig_faulty <= faulty_signals (2);
grant_Y_S_sig_faulty <= faulty_signals (1);
grant_Y_L_sig_faulty <= faulty_signals (0);
-- Total: 7 bits
SR: shift_register_serial_in generic map(REG_WIDTH => 7)
port map ( TCK=> TCK, reset=>reset, SE=> SE, UE=> UE, SI=> SI, SO=> SO, data_out_parallel=> FI_add_sta
);
-------------------------------------
-------------------------------------
-- We did this because of the checker outputs!
grant_Y_N <= grant_Y_N_sig;
grant_Y_E <= grant_Y_E_sig;
grant_Y_W <= grant_Y_W_sig;
grant_Y_S <= grant_Y_S_sig;
grant_Y_L <= grant_Y_L_sig;
-- Sequential part
process (clk, reset)begin
if reset = '0' then
state <= IDLE;
elsif clk'event and clk ='1' then
state <= state_in;
end if;
end process;
-- Arbiter_out checkers module instantiation
ARBITER_OUT_ONE_HOT_CHECKERS:
Arbiter_out_one_hot_pseudo_checkers
port map (
credit => credit,
req_X_N => X_N_Y, req_X_E => X_E_Y, req_X_W => X_W_Y, req_X_S => X_S_Y, req_X_L => X_L_Y,
state => state_faulty,
grant_Y_N => grant_Y_N_sig_faulty,
grant_Y_E => grant_Y_E_sig_faulty,
grant_Y_W => grant_Y_W_sig_faulty,
grant_Y_S => grant_Y_S_sig_faulty,
grant_Y_L => grant_Y_L_sig_faulty,
state_in => state_in_faulty,
-- Checker outputs
err_Requests_state_in_state_not_equal => err_Requests_state_in_state_not_equal,
err_IDLE_req_X_N => err_IDLE_req_X_N, err_North_req_X_N => err_North_req_X_N,
err_North_credit_not_zero_req_X_N_grant_N => err_North_credit_not_zero_req_X_N_grant_N,
err_North_credit_zero_or_not_req_X_N_not_grant_N => err_North_credit_zero_or_not_req_X_N_not_grant_N,
err_East_req_X_E => err_East_req_X_E,
err_East_credit_not_zero_req_X_E_grant_E => err_East_credit_not_zero_req_X_E_grant_E,
err_East_credit_zero_or_not_req_X_E_not_grant_E => err_East_credit_zero_or_not_req_X_E_not_grant_E,
err_West_req_X_W => err_West_req_X_W,
err_West_credit_not_zero_req_X_W_grant_W => err_West_credit_not_zero_req_X_W_grant_W,
err_West_credit_zero_or_not_req_X_W_not_grant_W => err_West_credit_zero_or_not_req_X_W_not_grant_W,
err_South_req_X_S => err_South_req_X_S,
err_South_credit_not_zero_req_X_S_grant_S => err_South_credit_not_zero_req_X_S_grant_S,
err_South_credit_zero_or_not_req_X_S_not_grant_S => err_South_credit_zero_or_not_req_X_S_not_grant_S,
err_Local_req_X_L => err_Local_req_X_L,
err_Local_credit_not_zero_req_X_L_grant_L => err_Local_credit_not_zero_req_X_L_grant_L,
err_Local_credit_zero_or_not_req_X_L_not_grant_L => err_Local_credit_zero_or_not_req_X_L_not_grant_L,
err_IDLE_req_X_E => err_IDLE_req_X_E, err_North_req_X_E => err_North_req_X_E, err_East_req_X_W => err_East_req_X_W,
err_West_req_X_S => err_West_req_X_S, err_South_req_X_L => err_South_req_X_L, err_Local_req_X_N => err_Local_req_X_N,
err_IDLE_req_X_W => err_IDLE_req_X_W, err_North_req_X_W => err_North_req_X_W, err_East_req_X_S => err_East_req_X_S,
err_West_req_X_L => err_West_req_X_L, err_South_req_X_N => err_South_req_X_N, err_Local_req_X_E => err_Local_req_X_E,
err_IDLE_req_X_S => err_IDLE_req_X_S, err_North_req_X_S => err_North_req_X_S, err_East_req_X_L => err_East_req_X_L,
err_West_req_X_N => err_West_req_X_N, err_South_req_X_E => err_South_req_X_E, err_Local_req_X_W => err_Local_req_X_W,
err_IDLE_req_X_L => err_IDLE_req_X_L, err_North_req_X_L => err_North_req_X_L, err_East_req_X_N => err_East_req_X_N,
err_West_req_X_E => err_West_req_X_E, err_South_req_X_W => err_South_req_X_W, err_Local_req_X_S => err_Local_req_X_S,
err_state_in_onehot => err_state_in_onehot,
err_no_request_grants => err_no_request_grants,
err_request_IDLE_state => err_request_IDLE_state,
err_request_IDLE_not_Grants => err_request_IDLE_not_Grants,
err_state_North_Invalid_Grant => err_state_North_Invalid_Grant,
err_state_East_Invalid_Grant => err_state_East_Invalid_Grant,
err_state_West_Invalid_Grant => err_state_West_Invalid_Grant,
err_state_South_Invalid_Grant => err_state_South_Invalid_Grant,
err_state_Local_Invalid_Grant => err_state_Local_Invalid_Grant,
err_Grants_onehot_or_all_zero => err_Grants_onehot_or_all_zero
);
-- anything below here is pure combinational
process(state, X_N_Y, X_E_Y, X_W_Y, X_S_Y, X_L_Y, credit)
begin
grant_Y_N_sig <= '0';
grant_Y_E_sig <= '0';
grant_Y_W_sig <= '0';
grant_Y_S_sig <= '0';
grant_Y_L_sig <= '0';
case state is
when IDLE =>
if X_N_Y ='1' then
state_in <= North;
elsif X_E_Y = '1' then
state_in <= East;
elsif X_W_Y = '1' then
state_in <= West;
elsif X_S_Y = '1' then
state_in <= South;
elsif X_L_Y = '1' then
state_in <= Local;
else
state_in <= IDLE;
end if;
when North =>
if credit /= "00" and X_N_Y = '1' then
grant_Y_N_sig <= '1';
end if;
if X_N_Y ='1' then
state_in <= North;
elsif X_E_Y = '1' then
state_in <= East;
elsif X_W_Y = '1' then
state_in <= West;
elsif X_S_Y = '1' then
state_in <= South;
elsif X_L_Y = '1' then
state_in <= Local;
else
state_in <= IDLE;
end if;
when East =>
if credit /= "00" and X_E_Y = '1' then
grant_Y_E_sig <= '1';
end if;
if X_E_Y = '1' then
state_in <= East;
elsif X_W_Y = '1' then
state_in <= West;
elsif X_S_Y = '1' then
state_in <= South;
elsif X_L_Y = '1' then
state_in <= Local;
elsif X_N_Y ='1' then
state_in <= North;
else
state_in <= IDLE;
end if;
when West =>
if credit /= "00" and X_W_Y = '1' then
grant_Y_W_sig <= '1';
end if;
if X_W_Y = '1' then
state_in <= West;
elsif X_S_Y = '1' then
state_in <= South;
elsif X_L_Y = '1' then
state_in <= Local;
elsif X_N_Y ='1' then
state_in <= North;
elsif X_E_Y = '1' then
state_in <= East;
else
state_in <= IDLE;
end if;
when South =>
if credit /= "00" and X_S_Y = '1' then
grant_Y_S_sig <= '1';
end if;
if X_S_Y = '1' then
state_in <= South;
elsif X_L_Y = '1' then
state_in <= Local;
elsif X_N_Y ='1' then
state_in <= North;
elsif X_E_Y = '1' then
state_in <= East;
elsif X_W_Y = '1' then
state_in <= West;
else
state_in <= IDLE;
end if;
when others =>
if credit /= "00" and X_L_Y = '1' then
grant_Y_L_sig <= '1';
end if;
if X_L_Y = '1' then
state_in <= Local;
elsif X_N_Y ='1' then
state_in <= North;
elsif X_E_Y = '1' then
state_in <= East;
elsif X_W_Y = '1' then
state_in <= West;
elsif X_S_Y = '1' then
state_in <= South;
else
state_in <= IDLE;
end if;
end case;
end process;
end;
|
--Copyright (C) 2016 Siavoosh Payandeh Azad
library ieee;
use ieee.std_logic_1164.all;
use work.component_pack.all;
entity arbiter_out is
port (
reset: in std_logic;
clk: in std_logic;
X_N_Y, X_E_Y, X_W_Y, X_S_Y, X_L_Y :in std_logic; -- From LBDR modules
credit: in std_logic_vector(1 downto 0);
grant_Y_N, grant_Y_E, grant_Y_W, grant_Y_S, grant_Y_L : out std_logic; -- Grants given to LBDR requests (encoded as one-hot)
-- fault injector shift register with serial input signals
TCK: in std_logic;
SE: in std_logic; -- shift enable
UE: in std_logic; -- update enable
SI: in std_logic; -- serial Input
SO: out std_logic; -- serial output
-- Checker outputs
err_Requests_state_in_state_not_equal,
err_IDLE_req_X_N, err_North_req_X_N, err_North_credit_not_zero_req_X_N_grant_N,
err_North_credit_zero_or_not_req_X_N_not_grant_N, err_East_req_X_E,
err_East_credit_not_zero_req_X_E_grant_E, err_East_credit_zero_or_not_req_X_E_not_grant_E,
err_West_req_X_W, err_West_credit_not_zero_req_X_W_grant_W, err_West_credit_zero_or_not_req_X_W_not_grant_W,
err_South_req_X_S, err_South_credit_not_zero_req_X_S_grant_S, err_South_credit_zero_or_not_req_X_S_not_grant_S,
err_Local_req_X_L, err_Local_credit_not_zero_req_X_L_grant_L, err_Local_credit_zero_or_not_req_X_L_not_grant_L,
err_IDLE_req_X_E, err_North_req_X_E, err_East_req_X_W, err_West_req_X_S, err_South_req_X_L, err_Local_req_X_N,
err_IDLE_req_X_W, err_North_req_X_W, err_East_req_X_S, err_West_req_X_L, err_South_req_X_N, err_Local_req_X_E,
err_IDLE_req_X_S, err_North_req_X_S, err_East_req_X_L, err_West_req_X_N, err_South_req_X_E, err_Local_req_X_W,
err_IDLE_req_X_L, err_North_req_X_L, err_East_req_X_N, err_West_req_X_E, err_South_req_X_W, err_Local_req_X_S,
err_state_in_onehot, err_no_request_grants, err_request_IDLE_state,
err_request_IDLE_not_Grants, err_state_North_Invalid_Grant, err_state_East_Invalid_Grant,
err_state_West_Invalid_Grant, err_state_South_Invalid_Grant, err_state_Local_Invalid_Grant,
err_Grants_onehot_or_all_zero : out std_logic
);
end;
architecture behavior of arbiter_out is
----------------------------------------
-- Signals related to fault injection --
----------------------------------------
-- Total: 7 bits
signal FI_add_sta: std_logic_vector (6 downto 0); -- 5 bits for fault injection location address (ceil of log2(17) = 5)
-- 2 bits for type of fault (SA0 or SA1)
signal non_faulty_signals: std_logic_vector (16 downto 0); -- 17 bits for internal- and output-related signals (non-faulty)
signal faulty_signals: std_logic_vector(16 downto 0); -- 17 bits for internal- and output-related signals (with single stuck-at fault injected in one of them)
----------------------------------------
--TYPE STATE_TYPE IS (IDLE, North, East, West, South, Local);
SIGNAL state, state_in : std_logic_vector (5 downto 0) := IDLE; -- : STATE_TYPE := IDLE;
SIGNAL grant_Y_N_sig, grant_Y_E_sig, grant_Y_W_sig, grant_Y_S_sig, grant_Y_L_sig : std_logic; -- needed for connecting output ports
-- of Arbiter_out to checker inputs
-- Signal(s) used for creating the chain of injected fault locations
-- Total: 17 bits ??!!
-- Arbiter_out internal-related signals
signal state_faulty, state_in_faulty: std_logic_vector(5 downto 0);
-- Arbiter_out output-related signals
signal grant_Y_N_sig_faulty, grant_Y_E_sig_faulty, grant_Y_W_sig_faulty, grant_Y_S_sig_faulty, grant_Y_L_sig_faulty: std_logic;
begin
-------------------------------------
---- Related to fault injection -----
-------------------------------------
-- Total: 17 bits
-- for grant_Y_N, ... , grant_Y_L output signals, not sure whether to include them or the signals with _sig suffix in their names ??!!
non_faulty_signals <= state & state_in & grant_Y_N_sig & grant_Y_E_sig & grant_Y_W_sig & grant_Y_S_sig & grant_Y_L_sig;
-- Fault injector module instantiation
FI: fault_injector generic map(DATA_WIDTH => 17, ADDRESS_WIDTH => 5)
port map (data_in=> non_faulty_signals , address => FI_add_sta(6 downto 2), sta_0=> FI_add_sta(1), sta_1=> FI_add_sta(0), data_out=> faulty_signals
);
-- Extracting faulty values for internal- and output-related signals
-- Total: 17 bits
state_faulty <= faulty_signals (16 downto 11);
state_in_faulty <= faulty_signals (10 downto 5);
grant_Y_N_sig_faulty <= faulty_signals (4);
grant_Y_E_sig_faulty <= faulty_signals (3);
grant_Y_W_sig_faulty <= faulty_signals (2);
grant_Y_S_sig_faulty <= faulty_signals (1);
grant_Y_L_sig_faulty <= faulty_signals (0);
-- Total: 7 bits
SR: shift_register_serial_in generic map(REG_WIDTH => 7)
port map ( TCK=> TCK, reset=>reset, SE=> SE, UE=> UE, SI=> SI, SO=> SO, data_out_parallel=> FI_add_sta
);
-------------------------------------
-------------------------------------
-- We did this because of the checker outputs!
grant_Y_N <= grant_Y_N_sig;
grant_Y_E <= grant_Y_E_sig;
grant_Y_W <= grant_Y_W_sig;
grant_Y_S <= grant_Y_S_sig;
grant_Y_L <= grant_Y_L_sig;
-- Sequential part
process (clk, reset)begin
if reset = '0' then
state <= IDLE;
elsif clk'event and clk ='1' then
state <= state_in;
end if;
end process;
-- Arbiter_out checkers module instantiation
ARBITER_OUT_ONE_HOT_CHECKERS:
Arbiter_out_one_hot_pseudo_checkers
port map (
credit => credit,
req_X_N => X_N_Y, req_X_E => X_E_Y, req_X_W => X_W_Y, req_X_S => X_S_Y, req_X_L => X_L_Y,
state => state_faulty,
grant_Y_N => grant_Y_N_sig_faulty,
grant_Y_E => grant_Y_E_sig_faulty,
grant_Y_W => grant_Y_W_sig_faulty,
grant_Y_S => grant_Y_S_sig_faulty,
grant_Y_L => grant_Y_L_sig_faulty,
state_in => state_in_faulty,
-- Checker outputs
err_Requests_state_in_state_not_equal => err_Requests_state_in_state_not_equal,
err_IDLE_req_X_N => err_IDLE_req_X_N, err_North_req_X_N => err_North_req_X_N,
err_North_credit_not_zero_req_X_N_grant_N => err_North_credit_not_zero_req_X_N_grant_N,
err_North_credit_zero_or_not_req_X_N_not_grant_N => err_North_credit_zero_or_not_req_X_N_not_grant_N,
err_East_req_X_E => err_East_req_X_E,
err_East_credit_not_zero_req_X_E_grant_E => err_East_credit_not_zero_req_X_E_grant_E,
err_East_credit_zero_or_not_req_X_E_not_grant_E => err_East_credit_zero_or_not_req_X_E_not_grant_E,
err_West_req_X_W => err_West_req_X_W,
err_West_credit_not_zero_req_X_W_grant_W => err_West_credit_not_zero_req_X_W_grant_W,
err_West_credit_zero_or_not_req_X_W_not_grant_W => err_West_credit_zero_or_not_req_X_W_not_grant_W,
err_South_req_X_S => err_South_req_X_S,
err_South_credit_not_zero_req_X_S_grant_S => err_South_credit_not_zero_req_X_S_grant_S,
err_South_credit_zero_or_not_req_X_S_not_grant_S => err_South_credit_zero_or_not_req_X_S_not_grant_S,
err_Local_req_X_L => err_Local_req_X_L,
err_Local_credit_not_zero_req_X_L_grant_L => err_Local_credit_not_zero_req_X_L_grant_L,
err_Local_credit_zero_or_not_req_X_L_not_grant_L => err_Local_credit_zero_or_not_req_X_L_not_grant_L,
err_IDLE_req_X_E => err_IDLE_req_X_E, err_North_req_X_E => err_North_req_X_E, err_East_req_X_W => err_East_req_X_W,
err_West_req_X_S => err_West_req_X_S, err_South_req_X_L => err_South_req_X_L, err_Local_req_X_N => err_Local_req_X_N,
err_IDLE_req_X_W => err_IDLE_req_X_W, err_North_req_X_W => err_North_req_X_W, err_East_req_X_S => err_East_req_X_S,
err_West_req_X_L => err_West_req_X_L, err_South_req_X_N => err_South_req_X_N, err_Local_req_X_E => err_Local_req_X_E,
err_IDLE_req_X_S => err_IDLE_req_X_S, err_North_req_X_S => err_North_req_X_S, err_East_req_X_L => err_East_req_X_L,
err_West_req_X_N => err_West_req_X_N, err_South_req_X_E => err_South_req_X_E, err_Local_req_X_W => err_Local_req_X_W,
err_IDLE_req_X_L => err_IDLE_req_X_L, err_North_req_X_L => err_North_req_X_L, err_East_req_X_N => err_East_req_X_N,
err_West_req_X_E => err_West_req_X_E, err_South_req_X_W => err_South_req_X_W, err_Local_req_X_S => err_Local_req_X_S,
err_state_in_onehot => err_state_in_onehot,
err_no_request_grants => err_no_request_grants,
err_request_IDLE_state => err_request_IDLE_state,
err_request_IDLE_not_Grants => err_request_IDLE_not_Grants,
err_state_North_Invalid_Grant => err_state_North_Invalid_Grant,
err_state_East_Invalid_Grant => err_state_East_Invalid_Grant,
err_state_West_Invalid_Grant => err_state_West_Invalid_Grant,
err_state_South_Invalid_Grant => err_state_South_Invalid_Grant,
err_state_Local_Invalid_Grant => err_state_Local_Invalid_Grant,
err_Grants_onehot_or_all_zero => err_Grants_onehot_or_all_zero
);
-- anything below here is pure combinational
process(state, X_N_Y, X_E_Y, X_W_Y, X_S_Y, X_L_Y, credit)
begin
grant_Y_N_sig <= '0';
grant_Y_E_sig <= '0';
grant_Y_W_sig <= '0';
grant_Y_S_sig <= '0';
grant_Y_L_sig <= '0';
case state is
when IDLE =>
if X_N_Y ='1' then
state_in <= North;
elsif X_E_Y = '1' then
state_in <= East;
elsif X_W_Y = '1' then
state_in <= West;
elsif X_S_Y = '1' then
state_in <= South;
elsif X_L_Y = '1' then
state_in <= Local;
else
state_in <= IDLE;
end if;
when North =>
if credit /= "00" and X_N_Y = '1' then
grant_Y_N_sig <= '1';
end if;
if X_N_Y ='1' then
state_in <= North;
elsif X_E_Y = '1' then
state_in <= East;
elsif X_W_Y = '1' then
state_in <= West;
elsif X_S_Y = '1' then
state_in <= South;
elsif X_L_Y = '1' then
state_in <= Local;
else
state_in <= IDLE;
end if;
when East =>
if credit /= "00" and X_E_Y = '1' then
grant_Y_E_sig <= '1';
end if;
if X_E_Y = '1' then
state_in <= East;
elsif X_W_Y = '1' then
state_in <= West;
elsif X_S_Y = '1' then
state_in <= South;
elsif X_L_Y = '1' then
state_in <= Local;
elsif X_N_Y ='1' then
state_in <= North;
else
state_in <= IDLE;
end if;
when West =>
if credit /= "00" and X_W_Y = '1' then
grant_Y_W_sig <= '1';
end if;
if X_W_Y = '1' then
state_in <= West;
elsif X_S_Y = '1' then
state_in <= South;
elsif X_L_Y = '1' then
state_in <= Local;
elsif X_N_Y ='1' then
state_in <= North;
elsif X_E_Y = '1' then
state_in <= East;
else
state_in <= IDLE;
end if;
when South =>
if credit /= "00" and X_S_Y = '1' then
grant_Y_S_sig <= '1';
end if;
if X_S_Y = '1' then
state_in <= South;
elsif X_L_Y = '1' then
state_in <= Local;
elsif X_N_Y ='1' then
state_in <= North;
elsif X_E_Y = '1' then
state_in <= East;
elsif X_W_Y = '1' then
state_in <= West;
else
state_in <= IDLE;
end if;
when others =>
if credit /= "00" and X_L_Y = '1' then
grant_Y_L_sig <= '1';
end if;
if X_L_Y = '1' then
state_in <= Local;
elsif X_N_Y ='1' then
state_in <= North;
elsif X_E_Y = '1' then
state_in <= East;
elsif X_W_Y = '1' then
state_in <= West;
elsif X_S_Y = '1' then
state_in <= South;
else
state_in <= IDLE;
end if;
end case;
end process;
end;
|
----------------------------------------------------------------------------------
-- Company: NTU ATHENS - BNL
-- Engineer: Paris Moschovakos
--
-- Copyright Notice/Copying Permission:
-- Copyright 2017 Paris Moschovakos
--
-- This file is part of NTUA-BNL_VMM_firmware.
--
-- NTUA-BNL_VMM_firmware is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- NTUA-BNL_VMM_firmware is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with NTUA-BNL_VMM_firmware. If not, see <http://www.gnu.org/licenses/>.
--
-- Create Date: 25.06.2016
-- Design Name:
-- Module Name: packet_formation.vhd - Behavioral
-- Project Name: MMFE8
-- Target Devices: Artix7 xc7a200t-2fbg484 and xc7a200t-3fbg484
-- Tool Versions: Vivado 2017.1
--
-- Changelog:
-- 22.08.2016 Changed readout trigger pulse from 125 to 100 ns long (Reid Pinkham)
-- 09.09.2016 Added two signals for ETR interconnection (Christos Bakalis)
-- 26.02.2016 Moved to a global clock domain @125MHz (Paris)
-- 06.04.2017 Hard setting latency to 300ns as configurable latency was moved to trigger module (Paris)
-- 25.04.2017 Added vmm_driver module. (Christos Bakalis)
-- 06.06.2017 Added ART header a handling (Paris)
--
----------------------------------------------------------------------------------
library IEEE;
library UNISIM;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.std_logic_unsigned.all;
use IEEE.NUMERIC_STD.ALL;
use UNISIM.VComponents.all;
entity packet_formation is
Generic(is_mmfe8 : std_logic;
vmmReadoutMode : std_logic;
artEnabled : std_logic);
Port(
clk : in std_logic;
newCycle : in std_logic;
trigVmmRo : out std_logic;
vmmId : out std_logic_vector(2 downto 0);
vmmWord : in std_logic_vector(15 downto 0);
vmmWordReady : in std_logic;
vmmEventDone : in std_logic;
UDPDone : in std_logic;
pfBusy : out std_logic; -- Control signal to ETR
glBCID : in std_logic_vector(11 downto 0); -- glBCID counter from ETR
packLen : out std_logic_vector(11 downto 0);
dataout : out std_logic_vector(15 downto 0);
wrenable : out std_logic;
end_packet : out std_logic;
rd_ena_buff : out std_logic;
rst_l0 : out std_logic;
tr_hold : out std_logic;
reset : in std_logic;
rst_vmm : out std_logic;
linkHealth_bmsk : in std_logic_vector(8 downto 1);
rst_FIFO : out std_logic;
latency : in std_logic_vector(15 downto 0);
dbg_st_o : out std_logic_vector(4 downto 0);
trraw_synced125 : in std_logic;
vmmArtData125 : in std_logic_vector(5 downto 0);
vmmArtReady : in std_logic
);
end packet_formation;
architecture Behavioral of packet_formation is
signal artHeader : std_logic_vector(15 downto 0) := ( others => '0' );
signal header : std_logic_vector(63 downto 0) := ( others => '0' );
signal header_l0 : std_logic_vector(47 downto 0) := ( others => '0' );
signal vmmId_i : std_logic_vector(2 downto 0) := b"000";
signal globBcid : std_logic_vector(15 downto 0) := x"FFFF"; --( others => '0' );
signal precCnt : std_logic_vector(7 downto 0) := x"00"; --( others => '0' );
signal globBcid_i : std_logic_vector(15 downto 0);
signal globBCID_etr : std_logic_vector(11 downto 0) := (others => '0'); --globBCID counter as it is coming from ETR
signal eventCounter_i : unsigned(31 downto 0) := to_unsigned(0, 32);
signal wait_Cnt : integer range 0 to 31 := 0;
signal vmmId_cnt : integer range 0 to 7 := 0;
signal trigLatencyCnt : integer := 0;
signal trigLatency : integer := 140; -- 700ns (140x5ns)
signal pfBusy_i : std_logic := '0'; -- control signal to be sent to ETR
signal daqFIFO_wr_en_hdr : std_logic := '0';
signal daqFIFO_wr_en_drv : std_logic := '0';
signal sel_wrenable : std_logic := '0';
signal drv_enable : std_logic := '0';
signal drv_done : std_logic := '0';
signal daqFIFO_din : std_logic_vector(15 downto 0) := ( others => '0' );
signal triggerVmmReadout_i : std_logic := '0';
signal selectDataInput : std_logic_vector(2 downto 0) := (others => '0');
signal sel_cnt : unsigned(2 downto 0) := (others => '0');
signal vmmWord_i : std_logic_vector(15 downto 0) := ( others => '0' );
signal packLen_i : unsigned(11 downto 0) := x"000";
signal packLen_drv2pf_unsg : unsigned(11 downto 0) := x"000";
signal packLen_drv2pf : std_logic_vector(11 downto 0) := x"000";
signal packLen_cnt : unsigned(11 downto 0) := x"000";
signal end_packet_int : std_logic := '0';
signal artValid : std_logic := '0';
signal trraw_synced125_prev : std_logic := '0';
signal clearValid : std_logic := '0';
type stateType is (waitingForNewCycle, increaseCounter, waitForLatency, captureEventID, setEventID, sendHeaderStep1, sendHeaderStep2,
sendHeaderStep3, triggerVmmReadout, waitForData, sendVmmDataStep1, sendVmmDataStep2, formTrailer, sendTrailer, packetDone,
isUDPDone, isTriggerOff, S2, eventDone);
signal state : stateType;
-------------------- Debugging ------------------------------
signal probe0_out : std_logic_vector(132 downto 0);
signal probe1_out : std_logic_vector(200 downto 0);
signal debug_state : std_logic_vector(4 downto 0);
-----------------------------------------------------------------
---------------------- Debugging ------------------------------
-- attribute mark_debug : string;
-- attribute mark_debug of header : signal is "true";
-- attribute mark_debug of globBcid : signal is "true";
-- attribute mark_debug of globBcid_i : signal is "true";
-- attribute mark_debug of precCnt : signal is "true";
-- attribute mark_debug of vmmId_i : signal is "true";
-- attribute mark_debug of daqFIFO_din : signal is "true";
-- attribute mark_debug of vmmWord_i : signal is "true";
-- attribute mark_debug of packLen_i : signal is "true";
-- attribute mark_debug of packLen_cnt : signal is "true";
-- attribute mark_debug of end_packet_int : signal is "true";
-- attribute mark_debug of triggerVmmReadout_i : signal is "true";
-- attribute mark_debug of debug_state : signal is "true";
-- attribute mark_debug of artValid : signal is "true";
-- attribute mark_debug of trraw_synced125 : signal is "true";
-- attribute mark_debug of vmmArtReady : signal is "true";
component ila_pf
port (
clk : in std_logic;
probe0 : in std_logic_vector(132 downto 0);
probe1 : in std_logic_vector(200 downto 0)
);
end component;
component vio_0
port (
clk : in std_logic;
probe_out0 : out std_logic_vector ( 11 downto 0 )
);
end component;
component vmm_driver
port(
------------------------------------
------ General/PF Interface --------
clk : in std_logic;
drv_enable : in std_logic;
drv_done : out std_logic;
pack_len_drv : out std_logic_vector(11 downto 0);
------------------------------------
----- VMM_RO/FIFO2UDP Interface ----
wr_en_fifo2udp : out std_logic;
rd_en_buff : out std_logic;
vmmWordReady : in std_logic
);
end component;
-----------------------------------------------------------------
begin
packetCaptureProc: process(clk, newCycle, vmmEventDone, vmmWordReady, wait_Cnt, UDPDone)
begin
if rising_edge(clk) then
if reset = '1' then
debug_state <= "11111";
eventCounter_i <= to_unsigned(0, 32);
tr_hold <= '0';
pfBusy_i <= '0';
triggerVmmReadout_i <= '0';
rst_l0 <= '1';
sel_wrenable <= '0';
rst_FIFO <= '1';
daqFIFO_wr_en_hdr <= '0';
packLen_cnt <= x"000";
wait_Cnt <= 0;
sel_cnt <= (others => '0');
drv_enable <= '0';
triggerVmmReadout_i <= '0';
end_packet_int <= '0';
state <= waitingForNewCycle;
else
case state is
when waitingForNewCycle =>
debug_state <= "00000";
pfBusy_i <= '0';
triggerVmmReadout_i <= '0';
rst_l0 <= '0';
sel_wrenable <= '0';
drv_enable <= '0';
trigLatencyCnt <= 0;
sel_cnt <= (others => '0');
rst_FIFO <= '0';
if newCycle = '1' then
pfBusy_i <= '1';
state <= increaseCounter;
end if;
when increaseCounter =>
debug_state <= "00001";
eventCounter_i <= eventCounter_i + 1;
state <= waitForLatency;
when waitForLatency =>
debug_state <= "00010";
tr_hold <= '1'; -- Prevent new triggers
if(trigLatencyCnt > trigLatency and is_mmfe8 = '1')then
state <= S2;
elsif(trigLatencyCnt > trigLatency and is_mmfe8 = '0')then
state <= captureEventID;
else
trigLatencyCnt <= trigLatencyCnt + 1;
end if;
when S2 => -- wait for the header elements to be formed
debug_state <= "00010";
-- --tr_hold <= '1'; -- Prevent new triggers
packLen_cnt <= x"000"; -- Reset length count
sel_wrenable <= '0';
vmmId_i <= std_logic_vector(to_unsigned(vmmId_cnt, 3));
state <= captureEventID;
when captureEventID => -- Form Header
debug_state <= "00011";
packLen_cnt <= x"000";
state <= setEventID;
when setEventID =>
debug_state <= "00100";
rst_FIFO <= '0';
daqFIFO_wr_en_hdr <= '0';
if(wait_Cnt < 3)then
wait_Cnt <= wait_Cnt + 1;
state <= setEventID;
else
wait_Cnt <= 0;
state <= sendHeaderStep1;
end if;
when sendHeaderStep1 =>
debug_state <= "00101";
daqFIFO_wr_en_hdr <= '1';
packLen_cnt <= packLen_cnt + 1;
state <= sendHeaderStep2;
when sendHeaderStep2 =>
debug_state <= "00110";
daqFIFO_wr_en_hdr <= '0';
if(wait_Cnt < 3)then
wait_Cnt <= wait_Cnt + 1;
state <= sendHeaderStep2;
else
wait_Cnt <= 0;
state <= sendHeaderStep3;
end if;
when sendHeaderStep3 =>
if(sel_cnt < 5 and vmmReadoutMode = '0')then -- incr the counter to select the other parts of the header
sel_cnt <= sel_cnt + 1;
state <= setEventID;
elsif(sel_cnt < 4 and vmmReadoutMode = '1')then
sel_cnt <= sel_cnt + 1;
state <= setEventID;
else -- the whole header has been sent
state <= triggerVmmReadout;
end if;
when triggerVmmReadout => -- Creates an 136ns pulse to trigger the readout if not at level0 mode
debug_state <= "00111";
sel_cnt <= "110"; -- fix the counter to 4 to select the VMM data for the next steps
sel_wrenable <= '1'; -- grant control to driver
if wait_Cnt < 30 and vmmReadoutMode = '0' then
wait_Cnt <= wait_Cnt + 1;
triggerVmmReadout_i <= '1';
else
triggerVmmReadout_i <= '0';
wait_Cnt <= 0;
state <= waitForData;
end if;
when waitForData =>
debug_state <= "01000";
if (vmmWordReady = '1') then
state <= sendVmmDataStep1;
elsif (vmmEventDone = '1') then
state <= sendTrailer;
end if;
when sendVmmDataStep1 =>
debug_state <= "01001";
drv_enable <= '1';
state <= sendVmmDataStep2;
when sendVmmDataStep2 =>
debug_state <= "01010";
if(drv_done = '1')then
state <= formTrailer;
else
state <= sendVmmDataStep2;
end if;
when formTrailer =>
debug_state <= "01011";
if (vmmEventDone = '1') then
state <= sendTrailer;
elsif (vmmEventDone = '0' and vmmWordReady = '0') then
state <= waitForData;
else -- (vmmWordReady = '1') then
state <= formTrailer;
end if;
when sendTrailer =>
debug_state <= "01100";
packLen_i <= packLen_cnt + packLen_drv2pf_unsg;
state <= packetDone;
when packetDone =>
debug_state <= "01101";
end_packet_int <= '1';
if(is_mmfe8 = '1')then
state <= eventDone;
else
state <= isUDPDone;
end if;
when eventDone =>
debug_state <= "01110";
end_packet_int <= '0';
drv_enable <= '0';
if vmmId_cnt = 7 then
vmmId_cnt <= 0;
state <= isUDPDone;
else
vmmId_cnt <= vmmId_cnt + 1;
sel_cnt <= "000";
sel_wrenable <= '0';
state <= S2;
end if;
-- when resetVMMs =>
-- debug_state <= "01111";
-- rst_vmm <= '1';
-- state <= resetDone;
-- when resetDone =>
-- debug_state <= "10000";
-- if resetting = '0' then
-- rst_vmm <= '0';
-- state <= isUDPDone;
-- rst_vmm <= '0'; -- Prevent from continuously resetting while waiting for UDP Packet
-- end if;
when isUDPDone =>
debug_state <= "01110";
drv_enable <= '0';
end_packet_int <= '0';
rst_l0 <= '1'; -- reset the level0 buffers and the interface with packet_formation
-- pfBusy_i <= '0';
if (UDPDone = '1') then -- Wait for the UDP packet to be sent
state <= isTriggerOff;
end if;
when isTriggerOff => -- Wait for whatever ongoing trigger pulse to go to 0
debug_state <= "01111";
if trraw_synced125 /= '1' then
tr_hold <= '0'; -- Allow new triggers
state <= waitingForNewCycle;
end if;
when others =>
tr_hold <= '0';
state <= waitingForNewCycle;
end case;
end if;
end if;
end process;
muxFIFOData: process( sel_cnt, header, header_l0, vmmWord, vmmArtData125 )
begin
case sel_cnt is
when "000" => daqFIFO_din <= b"1111000" & artValid & "01" &
vmmArtData125(0) & vmmArtData125(1) & vmmArtData125(2) & vmmArtData125(3) & vmmArtData125(4) & vmmArtData125(5);
when "001" => daqFIFO_din <= b"0000000" & artValid & "00" &
vmmArtData125(0) & vmmArtData125(1) & vmmArtData125(2) & vmmArtData125(3) & vmmArtData125(4) & vmmArtData125(5);
when "010" => if (vmmReadoutMode = '0') then daqFIFO_din <= header(63 downto 48); else daqFIFO_din <= header_l0(47 downto 32); end if;
when "011" => if (vmmReadoutMode = '0') then daqFIFO_din <= header(47 downto 32); else daqFIFO_din <= header_l0(31 downto 16); end if;
when "100" => if (vmmReadoutMode = '0') then daqFIFO_din <= header(31 downto 16); else daqFIFO_din <= header_l0(15 downto 0); end if;
when "101" => daqFIFO_din <= header(15 downto 0);
when "110" => daqFIFO_din <= vmmWord;
when others => daqFIFO_din <= (others => '0');
end case;
end process;
muxWrEn: process( sel_wrenable, daqFIFO_wr_en_hdr, daqFIFO_wr_en_drv )
begin
case sel_wrenable is
when '0' => wrenable <= daqFIFO_wr_en_hdr;
when '1' => wrenable <= daqFIFO_wr_en_drv;
when others => wrenable <= '0';
end case;
end process;
vmm_driver_inst: vmm_driver
port map(
------------------------------------
------ General/PF Interface --------
clk => clk,
drv_enable => drv_enable,
drv_done => drv_done,
pack_len_drv => packLen_drv2pf,
------------------------------------
----- VMM_RO/FIFO2UDP Interface ----
wr_en_fifo2udp => daqFIFO_wr_en_drv,
rd_en_buff => rd_ena_buff,
vmmWordReady => vmmWordReady
);
triggerEdgeDetection: process(clk) --125
begin
if rising_edge(clk) then
if trraw_synced125_prev = '0' and trraw_synced125 = '1' then
clearValid <= '1';
trraw_synced125_prev <= trraw_synced125;
else
clearValid <= '0';
trraw_synced125_prev <= trraw_synced125;
end if;
end if;
end process;
LDCE_inst : LDCE
generic map (
INIT => '0')
port map (
Q => artValid,
CLR => clearValid,
D => '1',
G => vmmArtReady,
GE => artEnabled
);
globBcid_i <= globBcid;
vmmWord_i <= vmmWord;
dataout <= daqFIFO_din;
packLen <= std_logic_vector(packLen_i);
end_packet <= end_packet_int;
trigVmmRo <= triggerVmmReadout_i;
vmmId <= vmmId_i;
trigLatency <= 37 + to_integer(unsigned(latency)); --(hard set to 300ns )--to_integer(unsigned(latency));
pfBusy <= pfBusy_i;
globBCID_etr <= glBCID;
artHeader <= b"0000000000" & vmmArtData125;
-- header of level 0 has three 16-bit words from FPGA + one 16-bit word from VMM
header_l0(47 downto 16) <= std_logic_vector(eventCounter_i);
header_l0(15 downto 0) <= b"00000" & vmmId_i & linkHealth_bmsk;
-- 5 & 3 & 8 ;
header(63 downto 32) <= std_logic_vector(eventCounter_i);
header(31 downto 0) <= precCnt & globBcid & b"00000" & vmmId_i;
-- 8 & 16 & 5 & 3
dbg_st_o <= debug_state;
packLen_drv2pf_unsg <= unsigned(packLen_drv2pf);
--ilaPacketFormation: ila_pf
--port map(
-- clk => clk,
-- probe0 => probe0_out,
-- probe1 => probe1_out
--);
probe0_out(9 downto 0) <= std_logic_vector(to_unsigned(trigLatencyCnt, 10));
probe0_out(19 downto 10) <= std_logic_vector(to_unsigned(trigLatency, 10));
probe0_out(20) <= '0';
probe0_out(21) <= artValid;
probe0_out(22) <= trraw_synced125;
probe0_out(23) <= vmmArtReady;
probe0_out(29 downto 24) <= vmmArtData125;
probe0_out(132 downto 30) <= (others => '0');--vmmId_i;
probe1_out(63 downto 0) <= (others => '0');--daqFIFO_din;
probe1_out(64) <= vmmWordReady;
probe1_out(65) <= vmmEventDone;
probe1_out(66) <= '0';
probe1_out(67) <= newCycle;
probe1_out(79 downto 68) <= std_logic_vector(packLen_i);
probe1_out(91 downto 80) <= std_logic_vector(packLen_cnt);
probe1_out(92) <= end_packet_int;
probe1_out(93) <= triggerVmmReadout_i;
probe1_out(109 downto 94) <= latency;
probe1_out(110) <= '0';
probe1_out(142 downto 111) <= std_logic_vector(eventCounter_i);
probe1_out(147 downto 143) <= debug_state;
probe1_out(200 downto 148) <= (others => '0');
end Behavioral; |
-------------------------------------------------------------------------------
-- iic_pkg.vhd - Package
-------------------------------------------------------------------------------
-- ***************************************************************************
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This file contains proprietary and confidential information of **
-- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license **
-- ** from Xilinx, and may be used, copied and/or disclosed only **
-- ** pursuant to the terms of a valid license agreement with Xilinx. **
-- ** **
-- ** XILINX is PROVIDING THIS DESIGN, CODE, OR INFORMATION **
-- ** ("MATERIALS") "AS is" WITHOUT WARRANTY OF ANY KIND, EITHER **
-- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT **
-- ** LIMITATION, ANY WARRANTY WITH RESPECT to NONINFRINGEMENT, **
-- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx **
-- ** does not warrant that functions included in the Materials will **
-- ** meet the requirements of Licensee, or that the operation of the **
-- ** Materials will be uninterrupted or error-free, or that defects **
-- ** in the Materials will be corrected. Furthermore, Xilinx does **
-- ** not warrant or make any representations regarding use, or the **
-- ** results of the use, of the Materials in terms of correctness, **
-- ** accuracy, reliability or otherwise. **
-- ** **
-- ** Xilinx products are not designed or intended to be fail-safe, **
-- ** or for use in any application requiring fail-safe performance, **
-- ** such as life-support or safety devices or systems, Class III **
-- ** medical devices, nuclear facilities, applications related to **
-- ** the deployment of airbags, or any other applications that could **
-- ** lead to death, personal injury or severe property or **
-- ** environmental damage (individually and collectively, "critical **
-- ** applications"). Customer assumes the sole risk and liability **
-- ** of any use of Xilinx products in critical applications, **
-- ** subject only to applicable laws and regulations governing **
-- ** limitations on product liability. **
-- ** **
-- ** Copyright 2009 Xilinx, Inc. **
-- ** All rights reserved. **
-- ** **
-- ** This disclaimer and copyright notice must be retained as part **
-- ** of this file at all times. **
-- ***************************************************************************
-------------------------------------------------------------------------------
-- Filename: iic_pkg.vhd
-- Version: v1.01.b
-- Description: This file contains the constants used in the design of the
-- iic bus interface.
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
--
-- axi_iic.vhd
-- -- iic.vhd
-- -- axi_ipif_ssp1.vhd
-- -- axi_lite_ipif.vhd
-- -- interrupt_control.vhd
-- -- soft_reset.vhd
-- -- reg_interface.vhd
-- -- filter.vhd
-- -- debounce.vhd
-- -- iic_control.vhd
-- -- upcnt_n.vhd
-- -- shift8.vhd
-- -- dynamic_master.vhd
-- -- iic_pkg.vhd
--
-------------------------------------------------------------------------------
-- Author: USM
--
-- USM 10/15/09
-- ^^^^^^
-- - Initial release of v1.00.a
-- ~~~~~~
--
-- USM 09/06/10
-- ^^^^^^
-- - Release of v1.01.a
-- ~~~~~~
--
-- NLR 01/07/11
-- ^^^^^^
-- - Release of v1.01.b
-- ~~~~~~
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
package iic_pkg is
----------------------------------------------------------------------------
-- Constant Declarations
----------------------------------------------------------------------------
constant RESET_ACTIVE : std_logic := '1'; -- Reset Constant
constant NUM_IIC_REGS : integer := 11; -- should be same as C_NUM_IIC_REGS in axi_iic top
constant DATA_BITS : natural := 8; -- FIFO Width Generic
constant TX_FIFO_BITS : integer range 0 to 256 := 4; -- Number of addr bits
constant RC_FIFO_BITS : integer range 0 to 256 := 4; -- Number of addr bits
--IPIF Generics that must remain at these values for the IIC
constant INCLUDE_DEV_PENCODER : BOOLEAN := False;
constant IPIF_ABUS_WIDTH : INTEGER := 32;
constant INCLUDE_DEV_ISC : Boolean := false;
type STD_LOGIC_VECTOR_ARRAY is array (0 to NUM_IIC_REGS-1) of std_logic_vector(24 to 31);
type INTEGER_ARRAY is array (24 to 31) of integer;
----------------------------------------------------------------------------
-- Function and Procedure Declarations
----------------------------------------------------------------------------
function num_ctr_bits(C_S_AXI_ACLK_FREQ_HZ : integer;
C_IIC_FREQ : integer) return integer;
function ten_bit_addr_used(C_TEN_BIT_ADR : integer) return std_logic_vector;
function gpo_bit_used(C_GPO_WIDTH : integer) return std_logic_vector;
function count_reg_bits_used(REG_BITS_USED : STD_LOGIC_VECTOR_ARRAY) return
INTEGER_ARRAY;
end package iic_pkg;
-------------------------------------------------------------------------------
-- Package body
-------------------------------------------------------------------------------
package body iic_pkg is
----------------------------------------------------------------------------
-- Function Definitions
----------------------------------------------------------------------------
-- Function num_ctr_bits
--
-- This function returns the number of bits required to count 1/2 the period
-- of the SCL clock.
--
----------------------------------------------------------------------------
function num_ctr_bits(C_S_AXI_ACLK_FREQ_HZ : integer;
C_IIC_FREQ : integer) return integer is
variable num_bits : integer :=0;
variable i : integer :=0;
begin
-- for loop used because XST service pack 2 does not support While loops
if C_S_AXI_ACLK_FREQ_HZ/C_IIC_FREQ > C_S_AXI_ACLK_FREQ_HZ/212766 then
for i in 0 to 30 loop -- 30 is a magic number needed for for loops
if 2**i < C_S_AXI_ACLK_FREQ_HZ/C_IIC_FREQ then
num_bits := num_bits + 1;
end if;
end loop;
return (num_bits);
else
for i in 0 to 30 loop
if 2**i < C_S_AXI_ACLK_FREQ_HZ/212766 then
num_bits := num_bits + 1;
end if;
end loop;
return (num_bits);
end if;
end function num_ctr_bits;
----------------------------------------------------------------------------
-- Function ten_bit_addr_used
--
-- This function returns either b"00000000" for no ten bit addressing or
-- b"00000111" for ten bit addressing
--
----------------------------------------------------------------------------
function ten_bit_addr_used(C_TEN_BIT_ADR : integer) return std_logic_vector is
begin
if C_TEN_BIT_ADR = 0 then
return (b"00000000");
else
return (b"00000111");
end if;
end function ten_bit_addr_used;
----------------------------------------------------------------------------
-- Function gpo_bit_used
--
-- This function returns b"00000000" up to b"11111111" depending on
-- C_GPO_WIDTH
--
----------------------------------------------------------------------------
function gpo_bit_used(C_GPO_WIDTH : integer) return std_logic_vector is
begin
if C_GPO_WIDTH = 1 then
return (b"00000001");
elsif C_GPO_WIDTH = 2 then
return (b"00000011");
elsif C_GPO_WIDTH = 3 then
return (b"00000111");
elsif C_GPO_WIDTH = 4 then
return (b"00001111");
elsif C_GPO_WIDTH = 5 then
return (b"00011111");
elsif C_GPO_WIDTH = 6 then
return (b"00111111");
elsif C_GPO_WIDTH = 7 then
return (b"01111111");
elsif C_GPO_WIDTH = 8 then
return (b"11111111");
end if;
end function gpo_bit_used;
----------------------------------------------------------------------------
-- Function count_reg_bits_used
--
-- This function returns either b"00000000" for no ten bit addressing or
-- b"00000111" for ten bit addressing
--
----------------------------------------------------------------------------
function count_reg_bits_used(REG_BITS_USED : STD_LOGIC_VECTOR_ARRAY)
return INTEGER_ARRAY is
variable count : INTEGER_ARRAY;
begin
for i in 24 to 31 loop
count(i) := 0;
for m in 0 to NUM_IIC_REGS-1 loop --IP_REG_NUM - 1
if (REG_BITS_USED(m)(i) = '1') then
count(i) := count(i) + 1;
end if;
end loop;
end loop;
return count;
end function count_reg_bits_used;
end package body iic_pkg;
|
-------------------------------------------------------------------------------
-- iic_pkg.vhd - Package
-------------------------------------------------------------------------------
-- ***************************************************************************
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This file contains proprietary and confidential information of **
-- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license **
-- ** from Xilinx, and may be used, copied and/or disclosed only **
-- ** pursuant to the terms of a valid license agreement with Xilinx. **
-- ** **
-- ** XILINX is PROVIDING THIS DESIGN, CODE, OR INFORMATION **
-- ** ("MATERIALS") "AS is" WITHOUT WARRANTY OF ANY KIND, EITHER **
-- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT **
-- ** LIMITATION, ANY WARRANTY WITH RESPECT to NONINFRINGEMENT, **
-- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx **
-- ** does not warrant that functions included in the Materials will **
-- ** meet the requirements of Licensee, or that the operation of the **
-- ** Materials will be uninterrupted or error-free, or that defects **
-- ** in the Materials will be corrected. Furthermore, Xilinx does **
-- ** not warrant or make any representations regarding use, or the **
-- ** results of the use, of the Materials in terms of correctness, **
-- ** accuracy, reliability or otherwise. **
-- ** **
-- ** Xilinx products are not designed or intended to be fail-safe, **
-- ** or for use in any application requiring fail-safe performance, **
-- ** such as life-support or safety devices or systems, Class III **
-- ** medical devices, nuclear facilities, applications related to **
-- ** the deployment of airbags, or any other applications that could **
-- ** lead to death, personal injury or severe property or **
-- ** environmental damage (individually and collectively, "critical **
-- ** applications"). Customer assumes the sole risk and liability **
-- ** of any use of Xilinx products in critical applications, **
-- ** subject only to applicable laws and regulations governing **
-- ** limitations on product liability. **
-- ** **
-- ** Copyright 2009 Xilinx, Inc. **
-- ** All rights reserved. **
-- ** **
-- ** This disclaimer and copyright notice must be retained as part **
-- ** of this file at all times. **
-- ***************************************************************************
-------------------------------------------------------------------------------
-- Filename: iic_pkg.vhd
-- Version: v1.01.b
-- Description: This file contains the constants used in the design of the
-- iic bus interface.
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
--
-- axi_iic.vhd
-- -- iic.vhd
-- -- axi_ipif_ssp1.vhd
-- -- axi_lite_ipif.vhd
-- -- interrupt_control.vhd
-- -- soft_reset.vhd
-- -- reg_interface.vhd
-- -- filter.vhd
-- -- debounce.vhd
-- -- iic_control.vhd
-- -- upcnt_n.vhd
-- -- shift8.vhd
-- -- dynamic_master.vhd
-- -- iic_pkg.vhd
--
-------------------------------------------------------------------------------
-- Author: USM
--
-- USM 10/15/09
-- ^^^^^^
-- - Initial release of v1.00.a
-- ~~~~~~
--
-- USM 09/06/10
-- ^^^^^^
-- - Release of v1.01.a
-- ~~~~~~
--
-- NLR 01/07/11
-- ^^^^^^
-- - Release of v1.01.b
-- ~~~~~~
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
package iic_pkg is
----------------------------------------------------------------------------
-- Constant Declarations
----------------------------------------------------------------------------
constant RESET_ACTIVE : std_logic := '1'; -- Reset Constant
constant NUM_IIC_REGS : integer := 11; -- should be same as C_NUM_IIC_REGS in axi_iic top
constant DATA_BITS : natural := 8; -- FIFO Width Generic
constant TX_FIFO_BITS : integer range 0 to 256 := 4; -- Number of addr bits
constant RC_FIFO_BITS : integer range 0 to 256 := 4; -- Number of addr bits
--IPIF Generics that must remain at these values for the IIC
constant INCLUDE_DEV_PENCODER : BOOLEAN := False;
constant IPIF_ABUS_WIDTH : INTEGER := 32;
constant INCLUDE_DEV_ISC : Boolean := false;
type STD_LOGIC_VECTOR_ARRAY is array (0 to NUM_IIC_REGS-1) of std_logic_vector(24 to 31);
type INTEGER_ARRAY is array (24 to 31) of integer;
----------------------------------------------------------------------------
-- Function and Procedure Declarations
----------------------------------------------------------------------------
function num_ctr_bits(C_S_AXI_ACLK_FREQ_HZ : integer;
C_IIC_FREQ : integer) return integer;
function ten_bit_addr_used(C_TEN_BIT_ADR : integer) return std_logic_vector;
function gpo_bit_used(C_GPO_WIDTH : integer) return std_logic_vector;
function count_reg_bits_used(REG_BITS_USED : STD_LOGIC_VECTOR_ARRAY) return
INTEGER_ARRAY;
end package iic_pkg;
-------------------------------------------------------------------------------
-- Package body
-------------------------------------------------------------------------------
package body iic_pkg is
----------------------------------------------------------------------------
-- Function Definitions
----------------------------------------------------------------------------
-- Function num_ctr_bits
--
-- This function returns the number of bits required to count 1/2 the period
-- of the SCL clock.
--
----------------------------------------------------------------------------
function num_ctr_bits(C_S_AXI_ACLK_FREQ_HZ : integer;
C_IIC_FREQ : integer) return integer is
variable num_bits : integer :=0;
variable i : integer :=0;
begin
-- for loop used because XST service pack 2 does not support While loops
if C_S_AXI_ACLK_FREQ_HZ/C_IIC_FREQ > C_S_AXI_ACLK_FREQ_HZ/212766 then
for i in 0 to 30 loop -- 30 is a magic number needed for for loops
if 2**i < C_S_AXI_ACLK_FREQ_HZ/C_IIC_FREQ then
num_bits := num_bits + 1;
end if;
end loop;
return (num_bits);
else
for i in 0 to 30 loop
if 2**i < C_S_AXI_ACLK_FREQ_HZ/212766 then
num_bits := num_bits + 1;
end if;
end loop;
return (num_bits);
end if;
end function num_ctr_bits;
----------------------------------------------------------------------------
-- Function ten_bit_addr_used
--
-- This function returns either b"00000000" for no ten bit addressing or
-- b"00000111" for ten bit addressing
--
----------------------------------------------------------------------------
function ten_bit_addr_used(C_TEN_BIT_ADR : integer) return std_logic_vector is
begin
if C_TEN_BIT_ADR = 0 then
return (b"00000000");
else
return (b"00000111");
end if;
end function ten_bit_addr_used;
----------------------------------------------------------------------------
-- Function gpo_bit_used
--
-- This function returns b"00000000" up to b"11111111" depending on
-- C_GPO_WIDTH
--
----------------------------------------------------------------------------
function gpo_bit_used(C_GPO_WIDTH : integer) return std_logic_vector is
begin
if C_GPO_WIDTH = 1 then
return (b"00000001");
elsif C_GPO_WIDTH = 2 then
return (b"00000011");
elsif C_GPO_WIDTH = 3 then
return (b"00000111");
elsif C_GPO_WIDTH = 4 then
return (b"00001111");
elsif C_GPO_WIDTH = 5 then
return (b"00011111");
elsif C_GPO_WIDTH = 6 then
return (b"00111111");
elsif C_GPO_WIDTH = 7 then
return (b"01111111");
elsif C_GPO_WIDTH = 8 then
return (b"11111111");
end if;
end function gpo_bit_used;
----------------------------------------------------------------------------
-- Function count_reg_bits_used
--
-- This function returns either b"00000000" for no ten bit addressing or
-- b"00000111" for ten bit addressing
--
----------------------------------------------------------------------------
function count_reg_bits_used(REG_BITS_USED : STD_LOGIC_VECTOR_ARRAY)
return INTEGER_ARRAY is
variable count : INTEGER_ARRAY;
begin
for i in 24 to 31 loop
count(i) := 0;
for m in 0 to NUM_IIC_REGS-1 loop --IP_REG_NUM - 1
if (REG_BITS_USED(m)(i) = '1') then
count(i) := count(i) + 1;
end if;
end loop;
end loop;
return count;
end function count_reg_bits_used;
end package body iic_pkg;
|
--------------------------------------------------------------------------------
--
-- FIFO Generator Core - core top file for implementation
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: RxTstFIFO2K_exdes.vhd
--
-- Description:
-- This is the FIFO core wrapper with BUFG instances for clock connections.
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library unisim;
use unisim.vcomponents.all;
--------------------------------------------------------------------------------
-- Entity Declaration
--------------------------------------------------------------------------------
entity RxTstFIFO2K_exdes is
PORT (
WR_CLK : IN std_logic;
RD_CLK : IN std_logic;
RST : IN std_logic;
WR_EN : IN std_logic;
RD_EN : IN std_logic;
DIN : IN std_logic_vector(8-1 DOWNTO 0);
DOUT : OUT std_logic_vector(16-1 DOWNTO 0);
FULL : OUT std_logic;
EMPTY : OUT std_logic);
end RxTstFIFO2K_exdes;
architecture xilinx of RxTstFIFO2K_exdes is
signal wr_clk_i : std_logic;
signal rd_clk_i : std_logic;
component RxTstFIFO2K is
PORT (
WR_CLK : IN std_logic;
RD_CLK : IN std_logic;
RST : IN std_logic;
WR_EN : IN std_logic;
RD_EN : IN std_logic;
DIN : IN std_logic_vector(8-1 DOWNTO 0);
DOUT : OUT std_logic_vector(16-1 DOWNTO 0);
FULL : OUT std_logic;
EMPTY : OUT std_logic);
end component;
begin
wr_clk_buf: bufg
PORT map(
i => WR_CLK,
o => wr_clk_i
);
rd_clk_buf: bufg
PORT map(
i => RD_CLK,
o => rd_clk_i
);
exdes_inst : RxTstFIFO2K
PORT MAP (
WR_CLK => wr_clk_i,
RD_CLK => rd_clk_i,
RST => rst,
WR_EN => wr_en,
RD_EN => rd_en,
DIN => din,
DOUT => dout,
FULL => full,
EMPTY => empty);
end xilinx;
|
--------------------------------------------------------------------------------
--
-- FIFO Generator Core - core top file for implementation
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: RxTstFIFO2K_exdes.vhd
--
-- Description:
-- This is the FIFO core wrapper with BUFG instances for clock connections.
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library unisim;
use unisim.vcomponents.all;
--------------------------------------------------------------------------------
-- Entity Declaration
--------------------------------------------------------------------------------
entity RxTstFIFO2K_exdes is
PORT (
WR_CLK : IN std_logic;
RD_CLK : IN std_logic;
RST : IN std_logic;
WR_EN : IN std_logic;
RD_EN : IN std_logic;
DIN : IN std_logic_vector(8-1 DOWNTO 0);
DOUT : OUT std_logic_vector(16-1 DOWNTO 0);
FULL : OUT std_logic;
EMPTY : OUT std_logic);
end RxTstFIFO2K_exdes;
architecture xilinx of RxTstFIFO2K_exdes is
signal wr_clk_i : std_logic;
signal rd_clk_i : std_logic;
component RxTstFIFO2K is
PORT (
WR_CLK : IN std_logic;
RD_CLK : IN std_logic;
RST : IN std_logic;
WR_EN : IN std_logic;
RD_EN : IN std_logic;
DIN : IN std_logic_vector(8-1 DOWNTO 0);
DOUT : OUT std_logic_vector(16-1 DOWNTO 0);
FULL : OUT std_logic;
EMPTY : OUT std_logic);
end component;
begin
wr_clk_buf: bufg
PORT map(
i => WR_CLK,
o => wr_clk_i
);
rd_clk_buf: bufg
PORT map(
i => RD_CLK,
o => rd_clk_i
);
exdes_inst : RxTstFIFO2K
PORT MAP (
WR_CLK => wr_clk_i,
RD_CLK => rd_clk_i,
RST => rst,
WR_EN => wr_en,
RD_EN => rd_en,
DIN => din,
DOUT => dout,
FULL => full,
EMPTY => empty);
end xilinx;
|
----------------------------------------------------------------------------------
-- Module Name: test_source_800_600_RGB_444 - Behavioral
--
-- Description: Generate a valid DisplayPort symbol stream for testing. In this
-- case 800x600 white screen.
--
----------------------------------------------------------------------------------
-- FPGA_DisplayPort from https://github.com/hamsternz/FPGA_DisplayPort
------------------------------------------------------------------------------------
-- The MIT License (MIT)
--
-- Copyright (c) 2015 Michael Alan Field <[email protected]>
--
-- Permission is hereby granted, free of charge, to any person obtaining a copy
-- of this software and associated documentation files (the "Software"), to deal
-- in the Software without restriction, including without limitation the rights
-- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
-- copies of the Software, and to permit persons to whom the Software is
-- furnished to do so, subject to the following conditions:
--
-- The above copyright notice and this permission notice shall be included in
-- all copies or substantial portions of the Software.
--
-- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
-- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
-- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
-- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
-- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
-- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
-- THE SOFTWARE.
------------------------------------------------------------------------------------
----- Want to say thanks? ----------------------------------------------------------
------------------------------------------------------------------------------------
--
-- This design has taken many hours - 3 months of work. I'm more than happy
-- to share it if you can make use of it. It is released under the MIT license,
-- so you are not under any onus to say thanks, but....
--
-- If you what to say thanks for this design either drop me an email, or how about
-- trying PayPal to my email ([email protected])?
--
-- Educational use - Enough for a beer
-- Hobbyist use - Enough for a pizza
-- Research use - Enough to take the family out to dinner
-- Commercial use - A weeks pay for an engineer (I wish!)
--------------------------------------------------------------------------------------
-- Ver | Date | Change
--------+------------+---------------------------------------------------------------
-- 0.1 | 2015-09-17 | Initial Version
----------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity test_source_800_600_RGB_444_ch1 is
port (
-----------------------------------------------------
-- The MSA values (some are range reduced and could
-- be 16 bits ins size)
-----------------------------------------------------
M_value : out std_logic_vector(23 downto 0);
N_value : out std_logic_vector(23 downto 0);
H_visible : out std_logic_vector(11 downto 0);
V_visible : out std_logic_vector(11 downto 0);
H_total : out std_logic_vector(11 downto 0);
V_total : out std_logic_vector(11 downto 0);
H_sync_width : out std_logic_vector(11 downto 0);
V_sync_width : out std_logic_vector(11 downto 0);
H_start : out std_logic_vector(11 downto 0);
V_start : out std_logic_vector(11 downto 0);
H_vsync_active_high : out std_logic;
V_vsync_active_high : out std_logic;
flag_sync_clock : out std_logic;
flag_YCCnRGB : out std_logic;
flag_422n444 : out std_logic;
flag_YCC_colour_709 : out std_logic;
flag_range_reduced : out std_logic;
flag_interlaced_even : out std_logic;
flags_3d_Indicators : out std_logic_vector(1 downto 0);
bits_per_colour : out std_logic_vector(4 downto 0);
stream_channel_count : out std_logic_vector(2 downto 0);
clk : in std_logic;
ready : out std_logic;
data : out std_logic_vector(72 downto 0) := (others => '0')
);
end test_source_800_600_RGB_444_ch1;
architecture arch of test_source_800_600_RGB_444_ch1 is
type a_test_data_blocks is array (0 to 64*6-1) of std_logic_vector(8 downto 0);
constant DUMMY : std_logic_vector(8 downto 0) := "000000011"; -- 0xAA
constant SPARE : std_logic_vector(8 downto 0) := "011111111"; -- 0xFF
constant ZERO : std_logic_vector(8 downto 0) := "000000000"; -- 0x00
constant PIX_80 : std_logic_vector(8 downto 0) := "011001100"; -- 0x80
constant SS : std_logic_vector(8 downto 0) := "101011100"; -- K28.2
constant SE : std_logic_vector(8 downto 0) := "111111101"; -- K29.7
constant BE : std_logic_vector(8 downto 0) := "111111011"; -- K27.7
constant BS : std_logic_vector(8 downto 0) := "110111100"; -- K28.5
constant SR : std_logic_vector(8 downto 0) := "100011100"; -- K28.0
constant FS : std_logic_vector(8 downto 0) := "111111110"; -- K30.7
constant FE : std_logic_vector(8 downto 0) := "111110111"; -- K23.7
constant VB_VS : std_logic_vector(8 downto 0) := "000000001"; -- 0x00 VB-ID with Vertical blank asserted
constant VB_NVS : std_logic_vector(8 downto 0) := "000000000"; -- 0x00 VB-ID without Vertical blank asserted
constant Mvid : std_logic_vector(8 downto 0) := "001101000"; -- 0x68
constant Maud : std_logic_vector(8 downto 0) := "000000000"; -- 0x00
constant test_data_blocks : a_test_data_blocks := (
--- Block 0 - Junk
DUMMY, DUMMY, DUMMY, DUMMY, DUMMY, DUMMY,
DUMMY, DUMMY, DUMMY, DUMMY, DUMMY, DUMMY,
DUMMY, DUMMY, DUMMY, DUMMY, DUMMY, DUMMY,
DUMMY, DUMMY, DUMMY, DUMMY, DUMMY, DUMMY,
DUMMY, DUMMY, DUMMY, DUMMY, DUMMY, DUMMY,
DUMMY, DUMMY, DUMMY, DUMMY, DUMMY, DUMMY,
DUMMY, DUMMY, DUMMY, DUMMY, DUMMY, DUMMY,
DUMMY, DUMMY, DUMMY, DUMMY, DUMMY, DUMMY,
DUMMY, DUMMY, DUMMY, DUMMY, DUMMY, DUMMY,
SPARE, SPARE, SPARE, SPARE, SPARE, SPARE, SPARE, SPARE, SPARE, SPARE,
--- Block 1 - 8 white pixels and padding
PIX_80, PIX_80, PIX_80, PIX_80, PIX_80, PIX_80,
PIX_80, PIX_80, PIX_80, PIX_80, PIX_80, PIX_80,
PIX_80, PIX_80, PIX_80, PIX_80, PIX_80, PIX_80,
PIX_80, PIX_80, PIX_80, PIX_80, PIX_80, PIX_80,
FS, DUMMY, DUMMY, DUMMY, DUMMY, DUMMY,
DUMMY, DUMMY, DUMMY, DUMMY, DUMMY, DUMMY,
DUMMY, DUMMY, DUMMY, DUMMY, DUMMY, DUMMY,
DUMMY, DUMMY, DUMMY, DUMMY, DUMMY, DUMMY,
DUMMY, DUMMY, DUMMY, DUMMY, DUMMY, FE,
SPARE, SPARE, SPARE, SPARE, SPARE, SPARE, SPARE, SPARE, SPARE, SPARE,
--- Block 2 - 8 white pixels and padding, VB-ID (-vsync), Mvid, MAud and junk
PIX_80, PIX_80, PIX_80, PIX_80, PIX_80, PIX_80,
PIX_80, PIX_80, PIX_80, PIX_80, PIX_80, PIX_80,
PIX_80, PIX_80, PIX_80, PIX_80, PIX_80, PIX_80,
PIX_80, PIX_80, PIX_80, PIX_80, PIX_80, PIX_80,
BS, VB_NVS, MVID, MAUD, VB_NVS, MVID,
MAUD, VB_NVS, MVID, MAUD, VB_NVS, MVID,
MAUD, DUMMY, DUMMY, DUMMY, DUMMY, DUMMY,
DUMMY, DUMMY, DUMMY, DUMMY, DUMMY, DUMMY,
DUMMY, DUMMY, DUMMY, DUMMY, DUMMY, DUMMY,
SPARE, SPARE, SPARE, SPARE, SPARE, SPARE, SPARE, SPARE, SPARE, SPARE,
--- Block 3 - 8 white pixels and padding, VB-ID (+vsync), Mvid, MAud and junk
PIX_80, PIX_80, PIX_80, PIX_80, PIX_80, PIX_80,
PIX_80, PIX_80, PIX_80, PIX_80, PIX_80, PIX_80,
PIX_80, PIX_80, PIX_80, PIX_80, PIX_80, PIX_80,
PIX_80, PIX_80, PIX_80, PIX_80, PIX_80, PIX_80,
BS, VB_VS, MVID, MAUD, VB_VS, MVID,
MAUD, VB_VS, MVID, MAUD, VB_VS, MVID,
MAUD, DUMMY, DUMMY, DUMMY, DUMMY, DUMMY,
DUMMY, DUMMY, DUMMY, DUMMY, DUMMY, DUMMY,
DUMMY, DUMMY, DUMMY, DUMMY, DUMMY, DUMMY,
SPARE, SPARE, SPARE, SPARE, SPARE, SPARE, SPARE, SPARE, SPARE, SPARE,
--- Block 4 - DUMMY,Blank Start, VB-ID (+vsync), Mvid, MAud and junk
DUMMY, DUMMY, DUMMY, DUMMY, DUMMY, DUMMY,
DUMMY, DUMMY, DUMMY, DUMMY, DUMMY, DUMMY,
DUMMY, DUMMY, DUMMY, DUMMY, DUMMY, DUMMY,
DUMMY, DUMMY, DUMMY, DUMMY, DUMMY, DUMMY,
BS, VB_VS, MVID, MAUD, VB_VS, MVID,
MAUD, VB_VS, MVID, MAUD, VB_VS, MVID,
MAUD, DUMMY, DUMMY, DUMMY, DUMMY, DUMMY,
DUMMY, DUMMY, DUMMY, DUMMY, DUMMY, DUMMY,
DUMMY, DUMMY, DUMMY, DUMMY, DUMMY, DUMMY,
SPARE, SPARE, SPARE, SPARE, SPARE, SPARE, SPARE, SPARE, SPARE, SPARE,
--- Block 5 - just blank end
DUMMY, DUMMY, DUMMY, DUMMY, DUMMY, DUMMY,
DUMMY, DUMMY, DUMMY, DUMMY, DUMMY, DUMMY,
DUMMY, DUMMY, DUMMY, DUMMY, DUMMY, DUMMY,
DUMMY, DUMMY, DUMMY, DUMMY, DUMMY, DUMMY,
DUMMY, DUMMY, DUMMY, DUMMY, DUMMY, DUMMY,
DUMMY, DUMMY, DUMMY, DUMMY, DUMMY, DUMMY,
DUMMY, DUMMY, DUMMY, DUMMY, DUMMY, DUMMY,
DUMMY, DUMMY, DUMMY, DUMMY, DUMMY, DUMMY,
DUMMY, DUMMY, DUMMY, DUMMY, DUMMY, BE,
SPARE, SPARE, SPARE, SPARE, SPARE, SPARE, SPARE, SPARE, SPARE, SPARE);
signal index : unsigned (8 downto 0) := (others => '0'); -- Index up to 32 x 64 symbol blocks
signal d0: std_logic_vector(8 downto 0) := (others => '0');
signal d1: std_logic_vector(8 downto 0) := (others => '0');
signal line_count : unsigned(9 downto 0) := (others => '0');
signal row_count : unsigned(7 downto 0) := (others => '0');
signal switch_point : std_logic := '0';
begin
M_value <= x"012F68";
N_value <= x"080000";
H_visible <= x"320"; -- 800
V_visible <= x"258"; -- 600
H_total <= x"420"; -- 1056
V_total <= x"274"; -- 628
H_sync_width <= x"080"; -- 128
V_sync_width <= x"004"; -- 4
H_start <= x"0D8"; -- 216
V_start <= x"01b"; -- 37
H_vsync_active_high <= '0';
V_vsync_active_high <= '0';
flag_sync_clock <= '1';
flag_YCCnRGB <= '0';
flag_422n444 <= '0';
flag_range_reduced <= '0';
flag_interlaced_even <= '0';
flag_YCC_colour_709 <= '0';
flags_3d_Indicators <= (others => '0');
bits_per_colour <= "01000";
stream_channel_count <= "001";
ready <= '1';
data(72) <= switch_point;
data(71 downto 18) <= (others => '0');
data(17 downto 0) <= d1 & d0;
process(clk)
begin
if rising_edge(clk) then
d0 <= test_data_blocks(to_integer(index+0));
d1 <= test_data_blocks(to_integer(index+1));
if index(5 downto 0) = 52 then
index(5 downto 0) <= (others => '0');
if row_count = 131 then
row_count <= (others => '0');
if line_count = 627 then
line_count <= (others => '0');
else
line_count <= line_count + 1;
end if;
else
row_count <= row_count +1;
end if;
--- Block 0 - Junk
--- Block 1- 8 white pixels and padding
--- Block 2 - 8 white pixels and padding, VB-ID (-vsync), Mvid, MAud and junk
--- Block 3 - 8 white pixels and padding, VB-ID (+vsync), Mvid, MAud and junk
--- Block 4 - DUMMY,Blank Start, VB-ID (+vsync), Mvid, MAud and junk
--- Block 5 - just blank end
index(8 downto 6) <= "000"; -- Dummy symbols
switch_point <= '0';
if line_count < 599 then -- lines of active video (except first and last)
if row_count < 1 then index(8 downto 6) <= "101"; -- Just blank end BE
elsif row_count < 100 then index(8 downto 6) <= "001"; -- Pixels plus fill
elsif row_count = 100 then index(8 downto 6) <= "010"; -- Pixels BS and VS-ID block (no VBLANK flag)
end if;
elsif line_count = 599 then -- Last line of active video
if row_count < 1 then index(8 downto 6) <= "101"; -- Just blank end BE
elsif row_count < 100 then index(8 downto 6) <= "001"; -- Pixels plus fill
elsif row_count = 100 then index(8 downto 6) <= "011"; -- Pixels BS and VS-ID block (with VBLANK flag)
end if;
else
-----------------------------------------------------------------
-- Allow switching to/from the idle pattern during the vertical blank
-----------------------------------------------------------------
if row_count < 100 then switch_point <= '1';
elsif row_count = 100 then index(8 downto 6) <= "100"; -- Dummy symbols, BS and VS-ID block (with VBLANK flag)
end if;
end if;
else
index <= index + 2;
end if;
end if;
end process;
end architecture; |
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
--library ims;
--use ims.coprocessor.all;
entity MINIMUM_32b is
port (
INPUT_1 : in STD_LOGIC_VECTOR(31 downto 0);
INPUT_2 : in STD_LOGIC_VECTOR(31 downto 0);
OUTPUT_1 : out STD_LOGIC_VECTOR(31 downto 0)
);
end;
architecture rtl of MINIMUM_32b is
begin
-------------------------------------------------------------------------
-- synthesis translate_off
process
begin
wait for 1 ns;
ASSERT false REPORT "(IMS) MINIMUM_32b : ALLOCATION OK !";
wait;
end process;
-- synthesis translate_on
-------------------------------------------------------------------------
-------------------------------------------------------------------------
process (INPUT_1, INPUT_2)
begin
if( SIGNED(INPUT_1) < SIGNED(INPUT_2) ) then
OUTPUT_1 <= INPUT_1;
else
OUTPUT_1 <= INPUT_2;
end if;
end process;
-------------------------------------------------------------------------
end;
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity top_level is
Port (
mclk : in std_logic;
rst : in std_logic;
CQ_write_en : in STD_LOGIC;
VQ_read_en : in STD_LOGIC;
-- switches : in STD_LOGIC_VECTOR(7 downto 0);
memory_address_bus: inout std_logic_vector(22 downto 0);
memory_data_bus : inout std_logic_vector(15 downto 0);
anodes : out STD_LOGIC_VECTOR(3 downto 0);
decoder_out : out STD_LOGIC_VECTOR(6 downto 0);
RAM_oe : out std_logic;
RAM_we : out std_logic;
RAM_adv : out std_logic;
RAM_clk : out std_logic;
RAM_ub : out std_logic;
RAM_lb : out std_logic;
RAM_ce : out std_logic;
RAM_cre : out std_logic;
RAM_wait : in std_logic;
LEDs : out std_logic_vector(7 downto 0)
);
end top_level;
architecture Behavioral of top_level is
component DCMi_80 is
port ( CLKIN_IN : in std_logic;
CLKFX_OUT : out std_logic;
CLK0_OUT : out std_logic);
end component;
component four_dig_7seg is
Port ( clock : in STD_LOGIC;
display_data : in STD_LOGIC_VECTOR (15 downto 0);
anodes : out STD_LOGIC_VECTOR (3 downto 0);
to_display : out STD_LOGIC_VECTOR (6 downto 0));
end component;
component memory is
Port (
clk80 : in std_logic;
rst : in std_logic;
cam_vs : in STD_LOGIC;
vid_vs : in STD_LOGIC;
empty : out STD_LOGIC;
full : out STD_LOGIC;
CQ_write_en : in STD_LOGIC;
-- CQ_write_clk : in STD_LOGIC;
CQ_data_in : in STD_LOGIC_VECTOR(15 downto 0);
VQ_read_en : in STD_LOGIC;
-- VQ_read_clk : in STD_LOGIC;
VQ_data_out : out STD_LOGIC_VECTOR(15 downto 0);
-- VQ_data_out_dumb : out STD_LOGIC_VECTOR(15 downto 0);
RAM_addr : out std_logic_vector(22 downto 0);
RAM_data_out : out std_logic_vector(15 downto 0);
RAM_data_in : in std_logic_vector(15 downto 0);
RAM_oe : out std_logic;
RAM_we : out std_logic;
RAM_adv : out std_logic;
RAM_clk_en : out std_logic;
RAM_ub : out std_logic;
RAM_lb : out std_logic;
RAM_ce : out std_logic;
RAM_cre : out std_logic;
RAM_wait : in std_logic;
led : out std_logic_vector(7 downto 0)
);
end component;
signal clk80, dcm_clk_25, RAM_clk_en : std_logic;
signal count : std_logic_vector(24 downto 0);
signal CQ_write_clk : STD_LOGIC;
signal VQ_read_clk : STD_LOGIC;
signal display_data : STD_LOGIC_VECTOR(15 downto 0);
signal RAM_addr_s : std_logic_vector(22 downto 0);
signal RAM_data_in, RAM_data_out, RAM_data_reg : std_logic_vector(15 downto 0);
signal RAM_oe_s, RAM_oe_reg, RAM_we_s, RAM_adv_s, RAM_ub_s, RAM_lb_s, RAM_ce_s, RAM_cre_s : std_logic;
signal pclk25, clk25:std_logic;
signal clk_625 : STD_LOGIC;
signal CQ_data_in_sig : STD_LOGIC_VECTOR(15 downto 0);
begin
clk25 <= count(0);
pclk25 <= clk25;
RAM_clk <= clk80; --when RAM_clk_en='1' else 'Z';
--CQ_write_clk <= count(3);
--VQ_read_clk <= count(3);
LEDs(0) <= count(24);
--50 MHz clock divider
mclk_proc: process(mclk, rst)
begin
if rst = '1' then
count <= (others => '0');
elsif mclk'event and mclk = '1' then
count <= count + 1;
end if;
end process;
--Note, clk80 is now at 100 MHz, not 80
DCM1: DCMi_80 port map (
CLKIN_IN => pclk25,
CLKFX_OUT => clk80,
CLK0_OUT => dcm_clk_25
);
display: four_dig_7seg port map(
clock => mclk,
display_data => display_data,
anodes => anodes,
to_display => decoder_out);
MainMem: memory Port map (
clk80 => clk80,
rst => rst,
empty => LEDs(1),
full => LEDs(2),
cam_vs => '0',
vid_vs => '1',
CQ_write_en => CQ_write_en,
--CQ_write_clk => CQ_write_clk,
CQ_data_in => CQ_data_in_sig,
VQ_read_en => VQ_read_en,
--VQ_read_clk => VQ_read_clk,
VQ_data_out => display_data,
RAM_addr => RAM_addr_s,
RAM_data_out => RAM_data_out,
RAM_data_in => RAM_data_in,
RAM_oe => RAM_oe_s,
RAM_we => RAM_we_s,
RAM_adv => RAM_adv_s,
RAM_clk_en => RAM_clk_en,
RAM_ub => RAM_ub_s,
RAM_lb => RAM_lb_s,
RAM_ce => RAM_ce_s,
RAM_cre => RAM_cre_s,
RAM_wait => RAM_wait
);
OutputRegs: process(clk80, rst)
begin
if rst='1' then
memory_address_bus <= (others=>'0');
RAM_data_reg <= (others=>'0');
RAM_oe_reg <= '1';
RAM_we <= '1';
RAM_adv <= '1';
RAM_ub <= '1';
RAM_lb <= '1';
RAM_ce <= '1';
RAM_cre <= '0';
elsif clk80'event and clk80='0' then
memory_address_bus <= RAM_addr_s;
RAM_data_reg <= RAM_data_out;
RAM_oe_reg <= RAM_oe_s;
RAM_we <= RAM_we_s;
RAM_adv <= RAM_adv_s;
RAM_ub <= RAM_ub_s;
RAM_lb <= RAM_lb_s;
RAM_ce <= RAM_ce_s;
RAM_cre <= RAM_cre_s;
end if;
end process;
RAM_oe <= RAM_oe_reg;
memory_data_bus <= RAM_data_reg when RAM_oe_reg='1' else (others=>'Z');
RAM_data_in <= memory_data_bus;
CQ_data_in_sig <= x"6E6E";
LEDs(7 downto 3) <= (others => '0');
end Behavioral;
|
------------------------------------------------------------------------------
---- ----
---- Testbench for the ZPU Wishbone bridge ----
---- ----
---- Description: ----
---- ----
---- To Do: ----
---- - ----
---- ----
---- Author: ----
---- - Koen Martens, gmc sonologic.nl ----
---- ----
------------------------------------------------------------------------------
---- ----
---- Copyright (c)
---- ----
---- Distributed under the BSD license ----
---- ----
------------------------------------------------------------------------------
---- ----
---- Design unit:
---- File name:
---- Note: None ----
---- Limitations: None known ----
---- Errors: None known ----
---- Library: work ----
---- Dependencies: IEEE.std_logic_1164 ----
---- IEEE.numeric_std ----
---- Target FPGA:
---- Language: VHDL ----
---- Wishbone: No ----
---- Synthesis tools: N/A ----
---- Simulation tools:
---- Text editor:
---- ----
------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library zpu;
use zpu.wishbone.all;
entity zpu_wishbone_intercon_tb is
end entity zpu_wishbone_intercon_tb;
architecture Behave of zpu_wishbone_intercon_tb is
constant ADR_MSB : natural:=31;
constant ADR_LSB : natural:=0;
constant PAGE_BIT : natural:=4;
constant NUNITS : natural:=8;
constant CLK_FREQ : positive:=50; -- 50 MHz clock
constant CLK_S_PER : time:=1 us/(2.0*real(CLK_FREQ)); -- Clock semi period
-- testbench
signal break : std_logic:='0';
signal clk : std_logic;
-- wishbone
signal wb_rst : std_logic;
signal wb_stb : std_logic;
signal wb_cyc : std_logic;
signal wb_adr_i : std_logic_vector(ADR_MSB downto ADR_LSB);
signal wb_adr_o : std_logic_vector(PAGE_BIT-1 downto 0);
signal stb : std_logic_vector(NUNITS-1 downto 0);
begin
INTERCON : zpu_wishbone_intercon
generic map(
ADR_MSB => ADR_MSB,
ADR_LSB => ADR_LSB,
PAGE_BIT => PAGE_BIT,
NUNITS => NUNITS
)
port map(
rst_i => wb_rst,
stb_i => wb_stb,
cyc_i => wb_cyc,
adr_i => wb_adr_i,
adr_o => wb_adr_o,
stb_o => stb
);
do_clock:
process
begin
clk <= '0';
wait for CLK_S_PER;
clk <= '1';
wait for CLK_S_PER;
if break='1' then
-- print("* Break asserted, end of test");
wait;
end if;
end process do_clock;
do_sim:
process
begin
wb_rst <= '0';
wb_stb <= '0';
wb_cyc <= '0';
wait for 1 us;
wb_adr_i <= std_logic_vector(to_unsigned(10, 32));
wb_stb <= '1';
wb_cyc <= '1';
wait for 1 us;
wb_stb <= '0';
wait for 1 us;
wb_cyc <= '0';
wait for 1 us;
wb_adr_i <= std_logic_vector(to_unsigned(54, 32));
wb_stb <= '1';
wb_cyc <= '1';
wait for 1 us;
wb_stb <= '0';
wait for 1 us;
wb_cyc <= '0';
wait for 1 us;
end process do_sim;
end architecture Behave; -- Entity: zpu_wishbone_bridge_tb
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
GVgRO7Dr/KsjnVa35ieIUqOl4nqUKmu8XsjgW4UckaPlmajxFL9W0N5oPN2UnA3cGV8L7ALNikVv
1kAPCg6Bvg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
bkWq8f+reSrHy52xcAyiQE0oA2m9qup51X/HDFvssQqEzJrKhDENiv8uQSLbpPulVdR4ZmnTWeOs
v9PQfvYyDJxEX1pdb2tbbhp8NIfz7u/RByiC3xydeBPYUxtIWUZ6VrLYI6eYb7AFTUFRLXxKi+W7
MBjtq0jooJMKYMxl7JM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
qxSPrd2yauidnrkqnXBq2SIFZuTeYt3LAMsh8r6iVDhFAL2qfddoX2Vq05FCdpzJ+SMOi+pD83+V
QjXo2qa+tlRjvW08+3DHtUfpiSuODST0ZMrvbSC6n69/T6AE285Ci/Gb+zeHtsgXQjctURZbqOi3
VIivc6V7135r6gfK+nJjwc0VQdmvkk7PV8FNU1lvgqM8hwofAj88v7DNMGHYw/aGeAMPGo+geiHi
4V31hVWpZAMEZZTc/IVAqhPIwqkRpu2OTe6n16i1V5xNvsdDhGcJ8b7wLBAqvMK6h7GrXKJ29gQ0
Kv9ldlCYdxvJDoLphfmorjKhXu5PnbLWtlyUeQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LtRBT5aRmHIdup+rQlBa3QhkBHtfBEOGSBQZQ7Bqj75D7A6uAv61byEeNKPc6Tay0oeO18s2zSJj
k9R/Ewl4KveqGLBBh5G/fatkgyS+hOfyBw9uY5MKsXu6IU4dKRPU536sbQ3kbGwV9WVZK6y9EEf2
cVYPT/DXNibgrYnm9y8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
SkcYV9qXe13gJBvZWoWJgcZb0cO19U+qA+h6jHvcsXnyku1rL/E64GkomYdJtJ88v7BfAmT1Del5
+pdA91cCgMkIObztKiQHDDZGHZOVW1u65dpqje2LNOVc+gQZVnzcf/XKjl8tIUGQhYPlZzErMdCt
Q7F7rnsNtawtK9FRR/CF8w9ULwQ6nDTT7hgGyrHgUE9C4cgCNyuzdXdY8D1oxbn1Bpq9GP74ZCIY
4ivDWlnNOb8MMmNQKrHQnsxf2+RT+BgOdd7C0Vaj1u2aNzqpOl7iWm6Sh0ihvdePfXjjLbKVMeKr
b9SIP8OsFGOWkA0xNe8gZ9y14jW5yP6/BaIJGA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 448848)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
GVgRO7Dr/KsjnVa35ieIUqOl4nqUKmu8XsjgW4UckaPlmajxFL9W0N5oPN2UnA3cGV8L7ALNikVv
1kAPCg6Bvg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
bkWq8f+reSrHy52xcAyiQE0oA2m9qup51X/HDFvssQqEzJrKhDENiv8uQSLbpPulVdR4ZmnTWeOs
v9PQfvYyDJxEX1pdb2tbbhp8NIfz7u/RByiC3xydeBPYUxtIWUZ6VrLYI6eYb7AFTUFRLXxKi+W7
MBjtq0jooJMKYMxl7JM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
qxSPrd2yauidnrkqnXBq2SIFZuTeYt3LAMsh8r6iVDhFAL2qfddoX2Vq05FCdpzJ+SMOi+pD83+V
QjXo2qa+tlRjvW08+3DHtUfpiSuODST0ZMrvbSC6n69/T6AE285Ci/Gb+zeHtsgXQjctURZbqOi3
VIivc6V7135r6gfK+nJjwc0VQdmvkk7PV8FNU1lvgqM8hwofAj88v7DNMGHYw/aGeAMPGo+geiHi
4V31hVWpZAMEZZTc/IVAqhPIwqkRpu2OTe6n16i1V5xNvsdDhGcJ8b7wLBAqvMK6h7GrXKJ29gQ0
Kv9ldlCYdxvJDoLphfmorjKhXu5PnbLWtlyUeQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LtRBT5aRmHIdup+rQlBa3QhkBHtfBEOGSBQZQ7Bqj75D7A6uAv61byEeNKPc6Tay0oeO18s2zSJj
k9R/Ewl4KveqGLBBh5G/fatkgyS+hOfyBw9uY5MKsXu6IU4dKRPU536sbQ3kbGwV9WVZK6y9EEf2
cVYPT/DXNibgrYnm9y8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
SkcYV9qXe13gJBvZWoWJgcZb0cO19U+qA+h6jHvcsXnyku1rL/E64GkomYdJtJ88v7BfAmT1Del5
+pdA91cCgMkIObztKiQHDDZGHZOVW1u65dpqje2LNOVc+gQZVnzcf/XKjl8tIUGQhYPlZzErMdCt
Q7F7rnsNtawtK9FRR/CF8w9ULwQ6nDTT7hgGyrHgUE9C4cgCNyuzdXdY8D1oxbn1Bpq9GP74ZCIY
4ivDWlnNOb8MMmNQKrHQnsxf2+RT+BgOdd7C0Vaj1u2aNzqpOl7iWm6Sh0ihvdePfXjjLbKVMeKr
b9SIP8OsFGOWkA0xNe8gZ9y14jW5yP6/BaIJGA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 448848)
`protect data_block
o3NtXHCO3r5Jzy/Z/xvOIfHtptNFHPkwFepo574zeFpSNaItLhfMKstxz2BRSwbUn2221X7kNWaP
TRM+El585lCF6TpazQGKiNl28PFHJiWjmgScFfEUDndfLgtudw940DnPLGVaIJolKWRua/SQ5uaI
W4mMZ0JtKdZNNkfV2rU3WtnC6kF/2KFU91eBxb5Upx9CY0hPUd/3s/AobH0hVAqRKOij2IIayeY2
cHNun14+T/QQSz4eADuzVwQ7ddH23NWUimmmaWkvuQSkiTE/nq55khr5xCrWgwNOoMuEXD2KvZNb
GKvvd7ithl+gHejd/ODqTgBi38yIs3yU9tO6WSQtBHF8LodPrSyQdK3sIxCOiJxJeMx7ypUHjqh1
bV+/YYnVoKtYzgl+d0Vjq3//npvETYyUBa3N+r+R5/EznMKg93jyNnu8j6w+6fPFvgZ+hIf0haC0
Rlpzk/TC8hIn+P6DaPbiFWtBmgmuLprmRwG7wtoGthBoBzeFfKnI96A573OEkvBS8SU9zGtJD70x
dunj/2kvmR0xumL+tDdsOIYxIhVrqTdcLlWf59O5WIBEUcgV/EpM6Dz/ffaJ0aSOCT1NvBrnLlZL
s74GKLXa+6jJ/3DL37iH+c1WjVfGRQg+NGa/HZwFPtKAo9xg6E7+2HLZCOf2Bl0ln5U0sb9KOFW7
/NHQBkz8hnUf49hMxksTbnWlfaXHmc0nv24RdaeoAkELl352EvI+X/xf/+CwzxHZNmfkbiwgINQa
PYtTRR5Yv1k6mJKO54KqSjGvB3vbf/1GX15GTn1Em0krjsKYSSi6ypkj6yk+VQKlBV7mjdc40S4p
bll1vzdXN3pC6tz0n0A/EPiX9ngA692NJdh9dBDUdxVxKB6Cy8kG2ZqgS5QcnL67VSLqYXODAx7n
qgrvcRlvaYkdFP3j78yqXX50dywV5OYCBgJaXlR3aSUzcihCkZ9QV0cxFnzZeD8JDBfLT/uSwtwx
7mf3fntDz52bJLs1HAWDc9OIBWvn7T03Du25FDTRBD+uLwi511gFLJT9YbIkL4xKI8sP/jEAj86k
7ioFtXCQMnpS/laoO0x02ptnHV7QYafL0V+psVAuFk5lzXiJfPoIMFy35AlvRnxoEkv+Vq2NlY3v
oRa22O9HkEaoezpFkm1N/T7iNBVT8Es1vGxsDnxKhGwJoJXIQBWIJgTx6r1vM3cL0VHs5moygbPr
q3t1ThuYAXk7RhS0BNpD7stKtYw+YlkqwHyTpWXqvP4hG2MwHJkFAChwlhFBBhV67gdEHpXTQSky
L/gvARaSN+xZlVcpcujqU67jEWpozfet7WMJ3x8U+mu+8Deu3AArIM9ZORVIfx70JURy38JbBpRz
V5YKA8HQswNzKyQlj1VMY2RDiBLIwOO9xZBHM8VL9Pdco6o1ylJ6BkhabGOCAz8owHoDAjZ5NjEu
GxUwZd1DmZjtqXholXb6XemARfOXXPF3rpZSe54PnN42jeuPqFxbAwYclMJQxvy7BOCcTdE/BJPp
fFocdbogPZwAcYQdo9WBdP5dRnyCFhOVH8PYcUOcPlvsrB9dc0d3X4zIy1L6XYWk3vRDdrpbcKV5
+k2nYhiq72Q4CFDp+bl3u/FHj06P4WWF1MAu6n2XzjAnziNp2nHnYlTRL69m2tzOSfxEzxZtgNSz
xgOpS9FIZzeQQMgUIU4XMuOaebmXIhAtDQXCokZdT65A4n7RuoIJG7ysf9FmFq3hi/Mt+6ej31+I
AO3rlR+XSpxwqZwh9tCnc4ALBojexDaZDADz0DOeohRLp1Ws9Ngo06oECbNEcPNCTyyiJWcvHcmZ
rYUJTom2fnikX/v32YXPw7PZdJb/lYNceV1tvYuKTQ8IeLodNM45X98uMn/njQFxURhjnZaqVoBD
QWOJzPiMIgHbAqwMnozA4J7TRusV8HRNueOt7+5L3M95JLRQfQPYAMt3tRRcrur03MUIasrgXf4I
2cX8Um5kMtepbE+Z0NM/t1lkGr7wbHEu+hGIA5QlNg0jLjwMWsrtuAtC1KOguQ/r4uBWbzXxgkx0
C3v8fkCVt7JQ4e5oJbfdeYQ4k4Cgfn0Fijv/TPTD0nCfB8vi0T3glvmMFjZZ8GHnwpfkRB0UNmwA
x00brk19z+VlpkSW61v5RyUErmlBGEp2gOJfe2IcDa4qM4oo2bhdVmflqF40OGi6IU7LaHy64b4m
RzooQd7O8KZ+wKlIEYSsfbzde8GhrdYk30QV3djZnshd3OaH9OBdgFieUr3pOYba/Ek2FfvLwmep
eaER4QiBpIPTaTNd3qgM0ByZztseERnN9tAy5ofxpjOVJtu8G3cIn4Gkkl4W6UictAWPLiLz2j/Q
vWZu29WQSRodNz69VcB0WUZqKuX/QgiIKpF0hOmmYZm8bI2AFXPVFiTYVpeWUTDWWYTZ33uB2P8w
8N5mIn2U+nPbMJ5W8yMC1gr15QJq0g4+X07FvhbsdbEGFYz7BtGZ5e4gRxgAcoRNxFGCs5yLBWle
TDn2IOmrOk+zFmdQ9NhHVTQu1niqeXAmJC8tLBFBUhRrhg8X6fIrZvXyzrqVTZT9K9i0QYBFZfYw
5bbPVrTvWFbvcYhkkIGEJNmeH/pFcX0UnrEhPd9VcxmN0JyJ7J3opNeD04GK8fDWF73MtZXJJf+z
cwPWSjAi0xopBHkmYU2GKPISyiQNuz9uzh7xXpuYRsG4QnHn5TCl04766tfRwn52vI6rzE4JBMau
WKJhYvUwMwkiQrhV9sgldn149wqFHG2boLcG+f1P+13nMA48GJWCIawQtFuAQou8rimmZwLJOrwv
6JOzSEZQbQf2vCAGuaG1Taoz/0d4omMsh1ZaJfyUTKySULuH9Wsz1fyd9fXMLJSbnAViv8ksRzQ6
HS8kze9R8vXj+Oi3f44oYy6kqrxQLWJ7WXSNYIFwWrPPLoVIfAi1bIb5VymbvjnFvwtzOkd0QUfx
03SShYLWGGw8Om+B1UaNVVJqsDuvqljdjqcDacZDveZwXgnIP4UrUsZr8pu1CERZUKLZA4WtH1dg
qDw1elrTC+AOUngNE5PjbjMG2oYBg2Hb3nE7n9xFTXKWbrdB1Rtu+RnRvwnFnsrcsQPPyrDeMrOW
o/SB6lYdSD0TqYEAxcnDgGLJ/NLcGG7Rm6wD+l8Rr5C82lNluyMMT20JmvChZmaDVzgw+9i4J0o0
h2IHOR27nlkjtWNML5NUyzaP2VqphvP3LWzjr7I75cRGKcCB0t4gCSulp3MX9h9eR3QTSFIFlUye
x73ylepumbxKwbuqmdOsXu5hsiM9HPL/pMUyTdfUlk2jxcdXmgCNUiFYPSsvoAyNQtFLT/FOQ4bE
sHWkyRT5q10mphZchge6sQW5xtzSKUdg8S0Om0cuLhq0iBNegvFszss5Wi6JblLyMN2BMFgBCuim
D1lTbvoLaQqgXHffc2wNvqBOqyntM/923LG/RWzpn1Z/qSCeC/UsQseCWrQtrZ7om67bFGl3mj/f
dAp0uMOXNfWAf3A2drOHQHtSe7TKNX1/5GZsl3nvFnZTkzfay8yw5p1SAqX4gGih4G8wa0bMlaz4
jvZFp9owjDCl5EsNPtgd/OK60PxpIKnNOLkVgdS32nM2OT6ovKYLy5+MAMYbsTbiJX1uGwddgBV+
u2GrIYO0Qefydc3KZhiYjFNO6S5owa8Ay0znhKFS3Yn8xR41WR0LnwHexccGkkilcDvZDLJZcgzR
RONgDuRldKRIkmjG+0dBLkXfWQdIupY+Pj2JCSdK+Beh/Hu51xrvKCHnr4LcPiWeSBRL9S9aK367
ayw6gytYG1IOc2xgd3N/PdhOW/YEeD2D3kS/norK9daTVRjOcznJXeEpeTKJCQBokC8Q8WK2fB7Y
1ph9NGw0ngvsUF3QFrKs1vk9uRY/KMN222eJ1H3i/WzP5hYMfk6NnJrlVOq2Cl1DwbgFXfVm2mnZ
0UDYiut1jMtAlXYGji6BkIOMUE75JQb4FVrVqVaG/iIcjbpUp8X16x9jQceKm53XhsJuXpNM+FaZ
VZprelQzr2sZbKe7w67PCsh/CrhFdexBS55iXUgpJHhRbq2ccf0GL803AU0sza/a29QktKMKWdUc
bkWAPJplX1vawh9JOm2lYb6SijF1pJW8F47mAg5tX8mfDGzL973rsXbIhEEcB5al7QKVSxGbTSfj
PHnD+VPz6iupUhfBaMCfUN9UsCzZjUpquJL2aEQJPmfAwBsPUNPivcu8aQJAEvIoqFBPcdxaqcbn
q0MvcRIwEnG1jSH0LoLj2TVusheuMr5PJMzt0oh8Nabspi70477mpTHxisWPAqC5k5MZCiI/tTH2
e6dIiiocYiUigm/G6CwQ2o0+Zju6NEOPCRCgGnqcdit5w97sdpbZ2nt8Bu18iYqItRRilWoMjEld
XY8hpiof+XCVW7ebPbaV6Sy/e+v1/PhLeSQ6BG+ENu6qboRdEEMINWc4ZxVppSRtARgajSZbgyS/
gJhaPMpfLyHjxMQiHkw3gcTCDUH1+XNyTIIGdd6CUNMUuxzyND1wMkV6aM3D6hwFeoUxny/9sZ+o
4bQUdIUot3CHEKrt9JrN6uZ9D7IPnzj/M9zSNwjuKweUw9kFyIXbEoFG8JIlGGdmxlimW6aa+Lrp
tKDbbTGzdThGl/kqSoKIO93SQwdJ7o9vwB/TB9eVITf9xGlKbrAJ8duPfADElw7ROdbK/Xk56gLV
rX5QtHhEG7fdqL/CXmybxcNHElEA2r1tl2Y8WZYACOGD0KBXpBhdAv7NHdEwsFLE/bHR7mxEmk0G
H9sM95VeZVOqgxLp4i9dj8Ytted/FCRT2Py9jxDqsUhSUToi7LAke8ptpOWW+y33+eqZd5z5Z8c4
5TofMUeDgwOl7p2Oc0SkJaR9lQ9UKikCHDqA50HEsVQmOG4wsBQFzzbEBtRnD/i6Jbn5mlkNT795
rUHclOaoR53WFonLYET94uPuid3jCmnKxJjdH/EghRReyNnOb+OfUpw7TQ2s0Y/vChHax7afJqpO
kabtbVyFKc6g7k3w5cYESK4VsbyP/cFYq86MSwEM50iab/jvP4fHl4TIP441Tlv3uoawuNlkGrZy
Ykn77F0H6s6JxmvmKWlvtN9Arj2tcGR/2O8qM8Gn6p/5dE6resx8I+qkVhOKngvEw5wUAnCTO3re
8JtI/7qsUig8AC8nfxDxGvH5VHGJvam1b8tFRO+yGSYnN0UKop+/B+SXdDFta35Vi9HKw5DSXxgQ
2VU+uU/Bv/f5Y6uu2aC9aJBXI7UQBsdJh4+1Tm093SNxAuyy/SzSZcG6ueHfnysA1a0NgKj7haWk
OCtFriZmGmqCHnMTbGkqg+4gnzaZDRTg/fmNR1PxTyk1D8H0wvDV+OMLF+CbvLxn6XBOfwMgHOU5
MT6hLJwWmAp0F38YW3MGNjt8VdgEBhishYgG8dHU+4hMIHW65BmLZKzBvmooDevu6kW9jvwelvdd
vi3mbfB3qMks/6QIDEH/efbDIw1q7Pl7pNoYAkRPkbJFXn0y6cTPa4YQCBGRlbNX/XPNi1hAznj7
1kTyYvzqdmiaQW58mYN1vo0yYQdwwLQpqA70XexAqHCbwns7CQRUZWhZnEd9DWIFI8D4B43oVdIQ
ujSgIKqeXT0EEvhmjOD8aft7+T7G+Z96SJZVHqw5DF0eA1PH0V9lXyYUW+mgroeaQWmSkxVxq0Go
5IVK6WQ17ss7Wt5JlEa/8l/w7Cai/D1YnsjSeMwqBHBG+p3Bgn/J+O/SHUeTiC/BARwjzhwGCP60
FsZhZayIBQv3sWo5YaVTyBJUCYCjN1AtrW6EFy0NkLLVqx/8mMTW0xv1mNf3lWv6fe7WTFlJpXIt
JLrw8yT9X+jXaksC7JEg+6yIjQr0ljJ2YO4lsgTjUfMqPYlC0OtyAbee7+eEeVlGH8OI84JEYcI+
8Y4sO33jfPPQAnfWk0uauMPcfTOmeF+JkSGm/GMUlANUBhVE79JPvVneRp8k8chYQyg984A/hR4p
EIcEdAV6ywJKormpMTB1WS2W7iPcUjD1fDxTYA5IB//OKhzSzoNI9KT8CUAz/Yekk2/LUKZ4cDLw
wuWRRa4AA1TTmZfe66xodjyXH7ayiXdfxTIDGwb6G/eX66a1Bnew+jtPSwv3ajezWumcax2q56Cb
vlDlQv7AXF7Kn3hxKteln0KvAZoXz7OWL5AdVyAFXUwCKqTWf48MbXy98LnuSSrS0q0LO8sbC3TZ
Z38kemjjW5+T+k8Hw2rffyh89mXSlWC8HM3WC2J3Yh6wgSS/EflbizmjhRyIdHZmuxv5O0jl3Sq/
6YA7q0cT8yL99o9gx6pBebLpVY/scA/F78YnE5STo8wg9cRJfoebotWCP9yJVzbC0V+pWt/hZXUU
vkjuFdrBJ4jbS7TYdmm6ybTvYbejNDLica+GDRZyF9CrCJV3rQ8rJX7EkDMiVrEGG1wmyUNE5XQM
bPWAGrqMKmucufqvpoiwmxLInUCBjasAG4VP95DkF/xCPL6j4lkSl+nx4uiH3SbMrdlOqJZ9bVeW
NpVYIN+K2xojUZbcUR+Ri/eyzcg4CVv7+BCzPAOv4ByLfz7hFPsVElk9KilYUyL+EaKM2kBFy2M1
T+FlFiGWV9LHv2sbqXY1f5BGydi4fLoWUXjiKc9XNMcAYnsTJRc8UUbA1nqBXifUkP5OBXgQHkCi
8eqc3O2MWBcY8x9VEQ2x3sfYT8q2K2kfygBrMYtJFOatNOGOP2TZnLpDFgnnNK7vJBC09+OZzA8S
BDlAP6xaf6ThlDN7rX3JS3ckUaI0mr6UcRUGADvzK6UYt+DjE1yfocS8GY6hQWU0pXRn2DD5voqS
licWJK5IN3ZY12FHUhhEILpQznrHdL91YUVU7hYwmssV9YKOq7QCKbroDQa0qqpjYhnkU7JYOEwL
K5HI46cgruEo3q+XyG0ugd0XxVugLbFpstsR1/1CRht5Nn1OAp7caCJhUrJHnAt70E0VXTZ34rMR
Xfg7V2HhwALfu9clUp2MazEvXf0oDPrBs7zswdgnkaoVO3OLYZ7Z2Xak5TfYwMrzewR9bvWXSBhv
lKCYFXvE0mSfsxbaxUmKzC/NFtfsjyYFKI5hBYb+1hLqM4fc0E3lDQFR6JK8Ps5RIadHRHYx7jek
qdbLBn/rl7wXNFPTzPuBvo78BaCchiyH5Z6+HYbL0lFxrRSqIxFOYy0AM6LNvdStFw06YZT+YmUq
evEoNB/Vbp1dTbxKoKo6IjlHzLa5X0Xf487f0a847i6tyatG1A8hI44AA9bE/5RWX79/ToETLDv+
4xAgSsOkzwN33qzhJ9yqDNBtbk9nP4xrqW5/A+nEB7nqu6ctoh8sO7VMsp0YXoOIrA6jk7NdrZpP
dZPK3TAr2LNiOT5yx0iwTrOiGZMS8J5Z+9Up8rKkYt1R5VwAAu23XQGON54LrjtTzv4wgnGn3b1Q
fxzQeumSDdR3bSybmEPzG59hw9ovC88o5JXL+7YOmhTYVsqnSFeGap9+t3zpTuqK2tzKo1j3Ju4S
595VKDnRvD0nGiuanCFoXEfK2aAWuO5zXwvjTGtQcQzSi+TDd3oYJh1QnIyA8h/ZTIFnYfKf8gZ8
iRuqu4bzcebC5fNrNlR+Y8yYXWa4K0RTFZ+s78G0ie7QsbOxEZI8rdkTdg52x60LkLxHG99oNcXt
IZL+Nh2lxhNdTkTrkOVntFXAz37Y9lbtpg0tpRW5tYeMBW0LMrzKx1hPm2ckzBdWMpdSD3l7Pezc
hDD0OT9SOJR6IoZLIga59NJQJlMl2h5SQ+x0JOCd04o0LGqNiU+qsEJVdPbRabzw7xxJa4InCft5
HvdZasP0XSlQkJC1uI+l0QJG7SKKD45GIR7aeATPrfAITSxC8qdzLQFATKHGiBPeNXp4rzwvttdU
apqnGqDk27BRVn6eJKk2OsfL2YqR6FWlj+vGjlY8KStG3WD6k464EyuQYx6MJpGqOtYKGqE2Rs1Y
OwVspa7WZxwXNlaczXA1tkIErt3nQJFg0pbP2s+lQ67s3k4RhaJPXGzbtG847jcMs3/mlQpajJog
Zi54HRD/5FDKptgoK4MTJni89FmU6VSyR88xvTwTM4AaDJPuH5Sv5SvbJZnBqGwBKGT0CJwmsIq4
lOBldt3ttDsmzDDpBSn+YVRD/J4X8RUGHksNH4RPXMU4lc8qo1DfAxTzZrZ0GLmZKnok0DetpkSU
YckEgxr0KAxMki1rKitmNNY6n04GjflglU6PydLtXDuslAfM8vuXZimNLnbC48J9rcDDRn6ulipU
gUWwCVSuYeWW81nJT4qTAeYpp3aKV+647bd6Pnw1z3y+1EXQ2s09P01aqCFRtdSsTvkpuEDoucWP
TIzmjIT3aGkRSGFQnkFDUNLab5UCLGn64y8YNc3g/cfF3W1syt+NBJtaRULDDIkDN8PN5MXSfhk7
XY/EpYeYxAej/L2YUtwvBQAYkI/qP6s7xa71U8PfNHjDCWC94k4ERtSccNkFyTLcXutKPmvBhahY
dQdQd64cD9sQqHPDgNtSeS8A125ThyfTrzDQalgbw0QzbsESJx5HF868ZSGioW8FXjvfeZJefQTl
D8hWRZEZI1EKYrIb3q7kJKNWcs5fOlBTXdY0lCpel74iYhCqr/k2oFm9EIRyl1hCa+O6hC6Y4HiM
2DjWWXdDrDz7yJ/EUUHvOehSY0yDp/XhpjSCdjREU0sj8/oB7R6oln7RiMip9KdVLbaE1tGAoxJE
rvoNCMEVswt75BUeL3z1tk+EIzVlBbBMyXuCnxrBM7aXmoXIA2Wf0DWoCA4P1dLbmEio/cARgX54
xZecAL7GSWIfPrspknuz04R2o1RUriWli0FLRv1f4JJtpKOfAEOHadgrpWZwYYc3xJXIbfyTX9G2
c3U/Ymg2bI+sf84iYoslnF+R9VVcMDL502cfhEReKXuc8pwNHYGEzJ3Y2ur20w5EDutlL40zUu0j
AoWC84VzxieEbE4hqjehLCNZ3LEzAmk/iLWExm7jET2hYHo49akWd+bwc10iCXIw6S4rA2rCoQTr
bTgtLOCt1iJi//+P+JpFWEFHTiY5JLaI5cLEYdHoPYIlnxsh3ylVDRvbkJm0WB/57bMIRStZHEzc
awbX9j4irEHTVxZdsqD2ot5Fs8cnYLNrmfgzpbHcd8OoHTtjsaokzVxqD+1IQuFL6e+BSJyZCa3g
1rnMHjeH0+1Pc1pvky2BLQbFNC+yShxzb/6CwXk6DxikedfNLFuZjydGMCxVHalc1rn/8Gwyfi0V
NdttS4jtCT5KOKGRzCVERogsFNFv0bJb/xCydkt4ccTo4CeQvDVi4nhQzvsb61JszxapWMDMY36+
MImVnnKUEjU9iC3Fsgzl9YutPnc/QJq5d2bCJXtYS8cXYDvv3ntPDl1fTmn77MQQJHkKs7zZMPTu
2np1+hbJxkzgz2AmAKfKPUq8k9Fp3fvoHrpinZ86nFmac8KUtYh8aK/1m3nFslFG3SJzlnwDdkzv
i4D/Z3Sgfz3SuuW4UrBfgJZ1yISV3PdA7Bsuu9Po4YrMjh2qnx5HfkP2e8tlCBNH+S18ME0EV8cD
WXWWa421D+rXqrEgH47ipo4X3uqJKOmTbX1E6XP5gOUuLhic18xoVB6fKG/OfjgFZDRQX37B+SZ7
w1xkLF6Xdv0wrTxnmHC4fVwAHjcB13uXRG/il/tzHIRrLR2NpzwTKwwwD25ihNUSjbVCG8guN9UU
+C7NAbGtBORETIE/fIVPNpaNvNJ5orpZDvc6fdg/gMMcfuf4crEj6IQIpuLoLiQbK2MU1tKVSpAX
jh/O9qSgb5xnPofrYF3z1V4IJ326fxYmvSCijPGwClMALGwaieUDTo5IAMyO7jW/Pni6KSfaGZjj
Aq6CwjXVx586uD6sSbYIZ39P6pVEMPw+anWqBOZy0Fpio5EcQ52cilyvj6iFqfE2Q49gn/CQnh6l
PTs8Y5sBnIw6e84QsJ5cveqlp4oK7C+N1YcpFlsYjc8T2KFwsa0MqVrxNKwTalV2sJVvgys95bqk
GsfP+JFb6BapkJrLA+h4WqDvMpItMg1IL2oGmyNjeTPDvTTfH3kQcVC/3Q2LIaJpFIFbjxii9F4V
M2+RRUMo8+nHExcV3k51O9omrU+8FeYeKDt3SQ9lORjCywkTueP6pTB0ykCML+Uj5VI1G17UDABv
EoI5LfdYOD/IiBNMFE6V58+yUH08K5DcMwu/W5GiiA7OeNKhHwVq/rvhs5+ae/FEGlGQY8Cg6LrE
w2GUATCnIwaVZJWj81/F1p/yDRmT41klaUuUu1EJ+BX9tsGxZiec0UzZLxjco0O1w/Tt+moThaib
ODXsuCDOAARL2e/MzrQgKjQwIW1BgNIR2I8cIr2n8uNGYuZgsOyFv99hjYlUoSXgJITofp40sOa5
1uE9YWPvFiLYhELfgZLAQNBY+5tFUpolNAqoVVLMbylNam5szzJxTk46jj6q0CbweOjDxEn7g30i
jIxUCIAP3OsMt92SfB34uKTKuR7/r7F8AVE/KsOGFv1ZuGgaXJgnhPrvf7FMqUXEbMXQWahLTodd
aAqk1TjFhD7wwbs5QKOf8fR66u+rcOSweH1cYeUHKnrpyaQQqAT6uR+Dn3JMkJ8TeUZS3K/EGswd
qH6gSrhvVz9SjyrRCDcFybCHEvgP0PjlaXpuhIJcS8uSgdeAHzTlIOjuqIioUfhvvYfw8T9QOTNR
NMdsDcLjgUZ+t8NwioZVz3KZ43KZcpL3+7dp0stuKJqoILUhdvxDwWjOeU1nFl4q0QEaWDZ6uYBW
dQwkp+TQqhHfFl+Ly7FDitZ9XXJM0F59HMRgxWt/0XBXW8MQ1KZOUfV1ygei3njkImf6cJ3h8iyY
O0RvBsRcR+Z8ZVYEVVCZT7s20qFB5NbjBameGn9t3kxwIRTOKivdpS6at7u21bLCUJpOODxWv8QD
l8S3XWThRy5RzVanQiKewHLD4vvxygIfIdpBQN9Z+x/WlgAqR7zlHn5C9d2opYT/2y+fWUv5uc9f
SADho4TpnoOuZOzxuJy4dL4tGoC0wLusuwQXDOpk9BbtVKVkLmamRTkEgykwyTF+wdumWaoc400+
pdh9cSTxPdoG6byEMasw/019aZbaR2gl3TIVD3d/UWxpH9GxlUxmSfogN0sWQKb1ju0Tnu4BlY1n
rnDIpuNneawK8NtEiroka83oodWd2xwlltqlK+9XjdxXWpkqo26VRtpu+6gj6y7av/5XLhSZdfBy
MD+V5MlN8lS2BVQ/KfOb+6RIW6AnM2macu8/TWq8o5B0rq98KZ1wCSekq2duJrYBk0K2IuS9kIXN
Nkgnt94OmChAZSujlygosP4cbYjUldVyakjgD5QrcjdSeT/8z8bFf1/in7SVvO8xJW/UHwWlCmbW
ubinHha1NG/tHt3ruZolj++/wJ3jjr/a1mtAlyNz4mesdhyP6t4hLC/iqsH+Mmzd9hZBoF4QIpqt
T7Oo+omMr6b7b3F00n/spvbVuYfnS5z/zPWNbVVgAWbRh5befMKktIgD4PYbjWFb5/8/Pppgi0KU
iofujX9ZTWipiAzHuGOjo0mCTY5iJ1gxESppAO3dt8zSUqcJmPy6dssCOffz5mbOMnID4gnUY6FI
M2aOMt1Tq1UAbmUHwfTnUBg+7K5Rn/GmH6LzNfh1duWIwioKb/FEeynetY2+lDfWbvdKKKJxdJZP
n7tgoPKjvG9HDkgWUAS5pCnvtif9CfbhB1QCCv0bnie4QQB1Xp7lAjGWnU4hM+pp1JxlqkPi8iIO
Ni8RPVhiP3NgSvrx09Z8WLGo9FgoeAEw/ev6s121FI+4CpHVKiY0upbOBP9vXdFNUjB1pNdCFqCJ
LsSt3+ME1F/0ni5z8K0XskZHmE5udruVARVPcmsRDRrXsyEmlhkWm8NloEAXmHXc7Hts2bVJ1EJz
KbENRkE9MgTJY5R0zYlt9p9cIX4e0+A79m2Q6XrRnFbbEAbM+vHaa2WQdpnWQB8pmQsNVt3cC+Yi
bjGjy8vM8JO1aHIPbHyRTrSehjXzEfzeDckTLSVNne1iEz84WVCLhWgTCpleZmE5Mw6WWL01xV93
iRxTjOFPC50g0hRNQOreh8TmYNcwmzsQB/h/evem9VyEsMIvoyfRs2/makDsXbkbo9/lnmVsxcpP
SrJnDByREngwd6lhBKyJvf3lp4wlniR3HmLLdIw+f2ZvPt709d5ElF/kjyZYDbnkAqnJy60ndevQ
wtr7tkpuxBzhz6Nr+hFYDhJntnlOftkIpE46nCsmSbngvT9FeGYvFgxOjjbkE54YvAH7RLwUFiDj
yHhnxzG8DFLTq0rMPDoMiIFxmb5NhArEOXkK04tz0GRssFeqhNhCXQdIHP6Y9TV3n5tBLvilY+su
oTFC1dpJ71HNp5H7enDPRKYL+NnPf/IuqWj72M/LyUBZrpndJQF1T5M3iIBa5N5s+I0DpBkQSX21
zwD4okt11OpFVrqXPDItmzvyxpYikH7RiMc8fDRuTj59CuZBJpkVmQ1N3/unNULjO+7C+PLQ+wvD
Q3xxvXon6VOB8TEwIbhsGVqkBke0md+JgMtB7sEG+RFb4mPz+ImyjZNFm3vSmSPrLNuDFeAkNAcS
VZzAp/sgIwZock7fFXuh/LWP1qkIL8qmzSbSy18GNH1fS7MG/F/zMbivzi112t0Luy87OjaVIWfV
J1JPeeROoq6JiLkITIm3JxjnNiFPrV4ZbFEleverQVafXqURuRYswqW87EDfp8ZkZet3UMTIw8aR
kKl5Era5Uqg5ygsRaHcrmoZQyZMrNqWnprR0gsuGesToNDgLXTtnOxZoCjy7guqyKmVTBmg7Btwz
eTM/9jOMGkND0y2S5jkvu4hcRYlTlqWqRysdYr2Gm4LeBbm4Fdg7yVUAjJ3nhSyWTyEaWtmwPsZe
r414QztobDLMeGbMy7dhmS615zwE0oc7xzlwQHQ7b9hSTxz+4CD2BZExATbdyNwde7g+CDMa1s3n
wnp9OOCAExQZz0bZtMNuQCVFDVIcRbMb3qXq6Cp//RT2jVDRZ1srGCplVmChTVDvWlvF/16DDh/f
9ZBEd+ObEvfWjiD2DB8akUxKCa8C6PnbWI6HJsBhW+jT+RnHn44321J5wdkKxQJzxZVcg7Bde3LR
9InUf56Uv26Avnm3R/oZD0lsiPLSgoImCSa4r1yNQ+RyIZ9eBAgfTZScWhmA2O9q4+FqDCiP/d7F
mPYO182Qdyu7mN+GXNPj+VUIP0SgCqZmoQh6MNrKizNdcYA0FzZN9GR0iV6OlKO1k0iaDNXn/ipc
vwdUIxBYSsojEiLBdg72Q2RypZmb9PHlnVFkbWVjDdkGmnRxbSOw7o/kx10Wv6Fny1ATNYxs9NMC
SBwjcPe9CPm4FrdJ9ce4WWeHAqddIrUtgHDZFtm8Si7+bkxnDC6W0S02vk2ovbQOe9Qn2j55sHwX
lNB1L88vE+zUzDSaT++W6CTNCSweX8ngQ4Up6iQMgJDbEFPfFCVnGl0W1R7eSvM5bfHBgxdDU0Cz
fepSW2YMnhbohghCi7xENyfjeYAaImFRk+af/GMDnmYWezc20q7jlPzME/eDkNSA0lyha3BKMIb8
np3rNXGvWRWpz47EaYJB5ZAksNiYUnTglcbeHOQGhlmNt/bJGYpGl1FQ8dKsryOFCHEma5PskFv6
2oed7iGZ8UFniD9oVsUTRrIo+fl/9v7gh8/SaMkhPn0WEVnYAjhy7JqYXuPIUgWMmDDDc+rQW1fR
amK0k1N1jU1zcHrdVrVKvPYdbesOkveOCtK2DOVit+hKFN+ntozjQIWcQsy8Q2eXUkN0t8ebcX56
i5+FztC8/5DizIBDbUnNCngjUrD502dj34/oDs84qERoBlKIDnwojnoI/ftJQCpNXvOZq0B2pa27
SRs8U4SLDJ1iN8qofHRgEw9tLRrSFsGxeE8nWOyMzzhOYGjTPDGlZbz02JWtJJzWi/m/2h+C7ioN
gwY0fWPYfN/8hxLgvq8YvfY6wpCKyGaYVnQx8AXAqe/E5256cTr4kvQrRp0fcbnCTMlmaphuQ5wG
qpeQRR02NErIjrXxZk8A6Q9HBZfAhC4yLqTKhhnPi3LaKmE7/zQb1yGl3O0ITVnir9puBYqyhWuY
Uvp6FcmAlgwKbDSxySfqsTm8EfPwT8Ai/0yaUeNDZuSVVOIVQm3R9OqX9WSxlGnx4TtwjaWCg3jD
ZepCUMHVYFqYOWbxn/P1towXP2H55wlASDEm2VK5bLiQZVHxILmdpXtKH+CJMwnYi9oXnWGUzLQa
yS6vtGnXQlWGzHqT0DKPFG2QkCa8o2NQbQktO0iB6yPnV1M8ToA6S97JP8N1ya0uq9dING6oALmY
NiWfiGtA8coQsk8gDNPOQoKyyPnsl5PSQdELlYUPuv5zGwoCL8QB5wwwQO7RzV2VJt0hN4L4Yjka
ngbkNeAwNpxIbDlLurgoh2PZeBunyAMqTrwdTnpyuwdiUSu0PBDR+6f/i3Z1f7/BYVNcobciHY10
+/oVDlImL0QjM0e9nLSVF1/LbibkQX2ufrynIPz2ZR0IZ5pQPkSpRbZGnlgLpKYMq0hOrl7Soe6H
KgIjm9rE9BR3Fi5YoUmZ8n8FS0g9tWBrvrC5q8cbU5Q/G/JwaC/SQkJ+eZosQ36DA9Vr1FN968pd
TJ3ouM3Kdk626BZUkEArCjOi7o30VBiF5TW1k/gWUw1SYWdc6Dxsd1tzdkEmIEy2dn0qc6QUDzhw
BKm4UtQvd6sm4fXuh79/yfxGfiYQHplqPsog0ZaoEGY2tkfdX5qldqJvakd63T3K7J5O9HsNU2g0
df9WbfDIjMXBUPk52qkGQBdD8jfbv/Y12bRiZPCUMwJ8BdDdioMyFM7DFx/vZdWtShCAhZFkoyiK
WeU3jwwHc20Ydreb3E1MkmzywSK3iXX6Zzvgmu1yiXpNB3sbuPj9KJ5eKCJGkEpH7gKRKZQ2FpvC
bYtQ1Wuk00IR1MfzDyYP0YhBfz4xTza3bImYvq/+pKoCWq8I40bpAziLZpcyWXnbOP2U0fQDtj4D
ttUaULpKOwopDhtc30ef0//wf5FBn9dI3NKkm5TqK3poq7f83MRcBgOe8Ryl8D/KN+ZzIMQEFsxY
yAIt0A2BiynX8BjFaqo49pFYStrRQkkWqxKm2sS2Gi5OtW7mrUc4RbnddjvCve8GUT/d8o2eKEIL
T1TgbwBxU9EbFoo6v4z1W0Gabb8PLuQVaO1KeDc379xHm8HWVndM59EoO+1S1JoxISnIAUTRPVkZ
up41dNaKHyF2CWaGBitey6q9SlFwkxoC86D4m3pDfbtRylqmJev7kF6v17ds7JIx49rg4TilRyY9
/k8bUluUTM+lRew/nk1edoCYyK9glxDxxbbJ5GewjdcYFoYwmoP2rmvViabJuUxepzz8vpXRf8CO
lMVMwi+ZkR3HT3/p8SS7MOxidqVkYoicUskA3ZqwfevZl1P9g7/GuHWBimXr7mOHRW8EfIpJoS4N
QSKlVajRRLZ7J1t9jLGjmATq5fgtsxsSmjZlbZutq3BOi1CFRS1/KbT2sjtdOFq11RW/zgIR5QXG
EwHaF04Emr+lYlwVe9zeUKOseU35bqQn1Ca3WmpvmtgH3xPCUlV4vaJ+nx+ZXA7TjbtiAfZBONv0
DZPC5w+K1SEwadQbLXrFpITw9axM3vZe7zuvtuRKk2iGYFTLR8rvXbQz25zaXsszwC6j7U0iqdUA
i0Y89bI92TuUuwsj6XYEDratRxRIc1fW+Ihfn4eAlKt0tNvxvLr/zFfkPnfxg758NijI/jJ1AFdp
5xeoPcZKqOspkoUFTGbRyzuMOC2Nl3mBA3590mUCwegNnQSAaZwW8AjHVyOI0ngjukyfHI5xHZei
stPh72dfk3TJTXPP3Qnr0jEgXJaNw5HNCo0ZXP/IjqDTz8yd9cdHOcU9WUBE19mkJFrY5qkkTnpO
nTipZKggfY7ivhqTEXLDBf+pGWrUbwOG5yJbgKB2BbbOJtvO6PFBf4MM3W31IoaGDBp5DDEyQyJ1
X/+wN/yIT2ggzpYhoJWTHiiYmMiUnbuFa/4VIVbyp8LXGrn7ddHuJ7m9/GT59ZYMRieCyzgRAHhs
y9sbvYWrZX9fSzq7xoWOx6MHpp1T+Or0oDd+ad3LEbZ7LiKNLbBt+nBY1FQ1jFge3rCKAkr/U0AH
bQRG46s7WhB3KnX3KZskoiZKCo1K9iF+kh3hmlyyuVdFmBsTR9DoJJD2kT+XLtu/2yc/OZkQJpJt
OOOEuvAh//lKEH/alngrham4RIXVx99j6NHNEs42vSPyVToXGeovTrjfEdK+aifyQW6CxI5rJUlH
g4HdB74EeMHTFEkM01GcLmdu4XN9M2MQ2K2iOd/KyQJdJhzKmu+vup9k6+YXbnfJpDR1PYZXCeBQ
qJDSWmntUdBpBDlMd4dTbdyHazLv4+SFERR3g6vVnw7ZcEz2zfrzgHCnZ9ZXri2gz3OvI6rUWafS
VW06S6w8qNPWRLH6ZQWXE6mskGCtHapA1FlF1bPiThJyKcewKE1ruBzkBZj6MRkH62BkKtiz0Xro
f+m62FVOZRmDKTB7Ky/eFoAej1/lLiiUgsQW2gJUZKvkasKnAIIxDgCiEVlWmj5qJhWRavxyRepn
c5S1ZPf1Kpfu69lQOEN7lnVrc9sVW/ivjpkodxXlmtCuao+cZJSusegDjIGS9tvOOkTGhYukuAwH
6pnbbnvMCAGh0SdAbAdtvx/V5UgqFnrEW5Tqq0494bSx6FLnLiB6TvdOTWEVv+EDDebS5y/E1tGs
qD6jhR22ygkwrXZAvTsCLkjEQXQf2vynjVb0LO4f+7pttfmLt4HBUUN8pv5JfARshaCZu6iKS+qV
UicGnLsWLDfCV/p7qA9eHQnYTTUrmkEfllRUsWlnDecrKDCEYrd7/5l7udhjvSdxUoBiAn41/s0i
Z+qpRwA3peduqgoPFg9DP9MHgdMkBXdcbmza0wIlTRsWbNPnPptW2o+vFXxATufJvEQC1SN1sguB
ROIZ0JgPNnUG3QwTlRo9/b4m1u/hERFwEQgLAooDA0sZ0MDDv/vhRfp0J2K2aFvGnDnnsZKVQh8y
AiMmTjcRSujZYIq08WDmHl0Id+gg0/H5cTB1ZagEH6UkG1ZJNekchriRcc6ycaUgUFX4nkL/qGQG
zE8Bg7J4kDPLRw1296RdaHNBq+wDr76OrTFh0c71jhEV0XbNTvbeWt+JE12fmZJtluMXnI+RSwyC
eK0Qfm1HsIXmN/RfDlfVq2lp3tbU54Prj2pnP6XqnjnbnbYghUpx8jS63uDaEcJ5soYy8UlY+knw
TnjuhFGUzdG54pBBGTNrzV6A4PRgpkCndJIc34fvgx6WbIwxpX7GWXzKolw9bRcdlLScjkB4GjAA
hzeQwddpsguNPjBUDc9VimI/4chSzNMp19+EdjVU8+Lvbb7PS8UiAbYbHP107n/pLlEm6buzaAww
UhMnm8u3fK9Z6opyeLa4lr6mnwPPrDPcgf8+vT2DL5Bcaxeptb4mGN6YZCj+U1ND1Cc11i0vOIVX
xgFwlGjTFHzbxxQ/cbB6CxNOWUCg2JspbjAH55P8tlGOvwwYKBcTwsswD40EEWR4xNf2ZKqxlpTC
EDy8S+6MMEPPAV7mrCbcfHYhNnq3iSL0tiB8SMFkkQX/Uxb29M4wVDG/ud89u77MYgSkKTLukIoi
k5w1LmnTzn+U2YCDCPAB+QNOWV3HD/5AhkQJwATuYMC8bOcXZsOFcBJD2O+LxN3xDCr/8969uszw
FyeHeabY+mngiDFHNfF0GKmWhnM2GEbyhP9xQ22iLgUN8/NCkYKZQeY3a48DYe+sXabXBMALPk7C
K6a3gjhTnQxG3E/5BUYI5i0lvdtfKdxCpXPCBqRkyHVV3riTu6zdwIu1NP3ICWtYDyWa5zH47kc1
rTHYGcsu4mrFRiJngZ0/oEJsN9L7FFDr45GOIFjMB7CChJG7oh83g6l9ILMiEJjg7hhl16DLzBDo
m5ZAGcl6//k8Gbbl9I6s6tg6CqE0uhmJhCkPxBxcGA5DvGT4w7ay51w/loYnwk1XfhxD9Z7zPg4+
Lw12e3BE+0Rl9isjsSMSB7pf4WZECwdecW2lz8h4cgSaMS4euZQ57hDq/r8b0lPwGrKahv4RIgyG
8/smCcUhRe4KvEIiMT/elH93b46iqINKrn7NJ9h0EwXul8c3sjrqJtu0zXbPtYYHP4RGY1YmhX5W
p1v1ZdJ9lnpfI3RqzLBCM9tT2AIhjKzd0ha2hm5uQyI23ngio95pe+0zozB9z0UDAZRH4xaFHmAs
HsbwicB6WcgX57AxfJgWNHkW1G941yKyxUvFwHiMj5QRCG0jPjl5ehXVFxBLz65b/OrhE/hbXChP
J0ZbWyqyl8PC3rbLC6vj9xXb+Pwsh4bsnLwzU8CYWGF3aw2p8woDniadhBv/TYQrF0/TxTlvB7J/
fZu9ALGhx1cNGbwhsv3dYoKkS83nWPilTH2MzY0/GWgBmcDPR0kwE34evN4GsSnurqRzFdjb0Oxk
ZCImOP6uCMTfWCPUc4Tvcdp+VWesxInsb1wK7JWzUvEWA5WrK7SYQnodQGyqywzsSgbtGMlLb3IQ
AA0deO8MUUGRHwy49C1rqTiKxPKjivTvgnqQZJlAusUQpEia7sQil1dyfPy781H1HaXMKQPEvx7x
ZN9FgQWg08T6xFFBiIjyJEJpBkaWZA0Q0uhSFjEDznA9PayV2u+RihHba1Z3NswCdFu8EW/0rdYi
5I9zLbM0outuACoEyDrl7f7GY4pWWp8L0VfHka2uT5PS1T5DPbNpCiQLKa+TUZ8mLMz0kOH59xik
Xm/ONkx/6KmCC6+otAeq9NSsadnoAHeewq1xwtAfFxQ5AXEhzSVeLY//VdTtuOm3rZ6IwyblQQL5
E9WOszI98KsubAUqVQ6lTocpdEuzk9HmlNigUJXQXspmHwSBsuHnLc9hj6Hk9aDn8KbxOpUtAAvn
6lZj3m5ol/oyXs7bJCikLNBgeKXM6OZIAmH4i7FTJ4tvNFwove2fCX5EVF4ZRuZEdxX3Mb/G/SgE
Njvcs1YEDox6zIve0mwxmsLff2ujrOvWzjw43Rnn0N1PZ4pNGLRfy1yb56hz5nI6q9ZuQR3O0n4o
s6ADNZPNWllYQm2jYLAD671XCVBMZ80ghNDhDomVDwFHUFvsAuXl63V4e9MZFOO+/gXYaxFAYiti
hN4GA58l5TPEEu5PrTyt0vOJ62QUknirlS/Lbd7rwDLwahQ8U2RidsbNIoP4Gh1exoz46KhRiBUg
Anbhjoo3FckQ8cX3St7WERWnqVO9VZHGSoC8isZxcJS7v7vlGJ7ouALfTnIuzfOm+AztfvNm0/DP
c3kgiirRDshNSnRhlx67qQYqwUv+5d5MNlsjb/8Yx6frlHf+AUswbsX8mohjaaHAFgyQ0vHIaArr
7yMRe5N1pbSbNmCWxQ1aInbq5VqHPxI7J9RAAdiXU5BivygWhVB9iTkssOooi45qfk9lZitQz3cd
ioBpKy/MhR330SpuqTnr+vmYI2AU3mSWNOPPL9FRieQFDw+UkpbqD9CpXGWcQ9seFzFMRoeHuvhZ
bEjqCPsUW61uqrxf9aQlD02USjSqFK1OWGFyJgdOpHgZVCD0Vw5EpwN0E3IYK54vuUJRdDti2kTU
biNiZtKv3xsDyhs938Fomt85b3pTrMMA0fvr7RPV1+1yGgbRYDioQaF00e1Qmrz/aJYPCvOLXYEw
iv9pm5OlZHLZsPUgbMua19T7W6B8vx7EThsUr+AFUCkZreT5i24pjphPgmfyCNXQmIhdFZ3DKG9w
5VtcXc3jlhiGVh1w4zomOflBA4RRmwkv0r1D0MW03wC7VdhW2GhRdpwTiv49Q+eYn9ZShrRg11pj
BShxe9EvSpp6SCqhBIQsVVftQk1C1EG1Au995Kgt1Zxv4S1hJ78fMpfN+BFinNL+0t/jlS3Tz5/b
pzc9AG90B90yQsqKPsXutJGzZ8Pc3gqXSAJP8AJEtVOqT4VOfXIsga0Qw0v04DR9DDvJXyuAd9Yx
ZMCvzht/CNiMoVoR6o4lffcWSdcc0EOg1Q4v9d6On83CXTpuazkbVyYvEi/Wg8287bYUDBb4Q/hF
x5LqO7iCSHuEIFjYZEdBvXajNKDnPygTdSuXYb8oKlO8hW6k5AFVbqWZpWwV7CAOLP1JTyitTbbb
3tDVCc4MhYKTotVf60tgq9eNCjD1AxwMb7Lke14nDNt3ONr50Oa+OKPzHCTS2/hYzSuQXxay6sOP
vn4eyPiiit8ezAODaVPXsNH8jxoajvbuqXTunicrkanx6PY/rvdT7eyCEXolsbusppMgEMKSYQq5
3gkPRBy5aqNgdfX0Cg7iXJuNB9/FBQg9XJkL8yrnd/V0jJsfSaoJlInVyMo9y1s7V0psfAzp7uwQ
H9vMM6qKkkVPOBbeYapSsMRz6ECnne3xqT5I3/Z4pcNAsXOZ8o0b+HH9JrxxfqQAqv7v1oFuJmLf
6jFSSpD0BeR9pgwAqSSZCcLk/9TXcC9b4EqKftMYjtyF7bqR3rVxrP2ep9j96tyvLHaZPWRcyP7F
SCEPn1OiLcaike9Y/J/ojfT9Y0pkLJW18ghgwXG1hPMD+sA4pKMe/V1SmYTlLuDLaLmWN5GheE4j
wzCBAyAnfHD0hTbwMoYip2luPKp0M4NMswQ4KgXE7zg80WM/hVBmk3qDcVp1f8CKGywqKlAyTFsq
l4ltUKjnpSs2/51nGyk4EPnz4luZKwGLa65AHa8K8W0j+b6zuyxRYkmyxuc1rQBpUqzTxLSUTynV
GG8slcrafGa6PBQBCDrxc1BAsSjrLtZyOGPSKQDzt6WUsTBYSxemLNvp4fOgf0I9QpogzJsJApax
vmKQEUQMIkpSonCSdZczIFkIRPslg8rRO19PHfEf4ULvrxne9WMiADDUBjluO+KM0ozYUNRZGS8x
iEUiWIJuZ3fB5rItTlqtmLFS2gfnztG6CkbeLoPP3iMtLL5u2xGv3MK6RNxQVtL0b7zosVNBy1U/
NJFp1WivVi+OkfNR5R1hKp7fcF27fWm60JM3kjHk7LClTzongQT+PEoEuUANt19X18Qotxak5cHF
0iGx9hMbjfAi+W0RS/w6VtDjLlN1rrjtSjrqQ8KIiBTwmAwyD7L7alXo3yw07U7p3nEqO+bTDJ06
DI1/C4lsyk3W8RlT+vygDOvFamznyMqoR1DE+K0inacx/4G3VFLSQ6cHF8bL15K0+dVTHUCozTru
70WOh4R1TsZWs9kQQzU3GWAArfA32I88fxtVWDcsr0RqAA05u+Ig2VWPU6M1XBS7kL9pfkeGdWJX
6tJplD1dQ/rfiy/yPh5RdLDb8R7e8K3temcuUVWiQNwxqFscZBYM/WZB93L+NcxPoUehXTc1otwh
dVux925wgUBBTeYUPxeIeanATCnN5N9bUau/rFpO7SA/Kq74gWfhddm7XSfdulP0GmBxqmUDOH0D
agl/ws3Gib6BTheSn0vT5j7x886pEenxwaWTSL84E04ioXpDHTXsn55uoNnQCVQprhFKkm+BotN6
3BTHazhJ4txPOdjk4vqNzUyaojHN8MpQ73ig75hXVmBSyq8IfFjyUpk1G2gTaP4Sa0BKcQkH5Mvv
1M3CKWyWv4F0lx2+dOXdO5myYkX1Q84FRAjV/oFItKcDLvzKDhBXlWBModGr5KlpFxYbQvWMErpl
111hOCR3TUPxYFvTGdOXAfDtToCR+pCl7j61SQegx3v8g91sI+GcQE67LF8ySJVlyuEKuph7vD+w
UyC+wMv+g7O6a9OFFkcylGY0Io4HcsX/TQM6AT4yA3Qgki5Lme5cgER3zKDgYuJbS8YaNYtFEAOD
r5vxA0AmyzwEWM7PoMwMESQ1mJxHRnpElHk4+SOQ/3bgDcajMppaZe44a3yuQQ4LFbJTTOSgBNkK
Zy65tn0hRb3PQ6H4oBGLfui42XwLijcTsm+c3LjRyoVZsAAEaiBqw3zo7WccX0IPDOFrrBmcIDRQ
O0Ir/QSmj27BJPOix5xkGbRQ5Q94pso1e+lR/eoMRe/mvMghPVoz0ZWdHbzFKLnumMbOrBGzwWhO
ApvY80GX0v9TJuOp8cnHZRef45Wslhfu0DJ3ywelqcqt0TtcXYdQdB44St76EsVr4H9ZTBX/GnPj
KxACFX2xUQ/MWIUZ6X4X1I+VbX5+4BJYJgSKygOWQCHkmr5iAU9z4oWe9vWF5WJWkfysSrr98Zxu
ICz0Y9g7dh7m8SL5KBJ7Wt/MOmP+mDSN5uDErm+t3ekpGyFudFWfGpTzELgb63H5zCFeWEOZSNoC
JN4AWfqn1fmpfdEgHmLN5IVC75Z8JPHCED0+0gmLz9eZlwEF6d6V2DdWOdI7ZXDfyCW+6nGPWDjC
JDRfX/XShrFprFdUVs5C4u3CQmrpl+TLj12QRvG3vrD6+ZmoOpQPYGUQQQbAvBClmQv0EdEVQCtB
pxafc/RpRijqcDctFjcDGWCk+C8fjXS9eGvOurLrHRj38b1mD8Ec4eAr5qH16jMPMIEne+wBnAbc
TtfwsPcC4EmpiUIq5R+jbtL+ut0TS/dU7IypJxOVPxDIGZvm2PPvkMnPzrESQoSr9CYOlFS4rfQT
APmLYhm6WCjH0ChU32fN/AlE+jtNDTsMdqiByN3d7bf8q96t8HBBmkGhRHAPFjtae5KVENlD8h9N
bDGwrbHVW1xVtZPhMlWVWbQMKeqWqDRmY6r3qD5fDVQAye3yobbasof1l0QAbW0idXJ+XtCuSven
ukst8ycO83TGZXnRs9MMxupVu4mtelW06E/TyTQe6aWoT9yEDj3XMMwwAwbzOK+8wnJmKQl3to7h
tsBKwEk0XDYiNafaaJsq6+OoACKhdLhgskXf8wCYS+Cgge/snRHbpE/cRsrMQxy+VctCYsAtdHXl
+V18P9qT97VLOhAVzLhfiLjULrIp+LSQjAPig4PYRPX3T6HH0RD7yPF1UQJNzaiekupt6Z3y0CXt
eywxEQZdy+1qJRzblONzylfY/F1Hyi/qh2VqOygjfRTMUj1XU78VbWjdBQPV+DWII127dWmlpZqU
YzIuKSjmMWJmiHetkzSx8/NoyfqFakU8NDr0XbYaJNQWr46qZU1e6ve16whXCmv/cDLVjQSTu4YY
Lz9ecWCzY/vdsQ8GE6/faCk2Pb+Zucle8dOsrIUpFX4TVygbG44hzN2NCsbvcWiKehF34p2ftl2z
W4fq5/5y5zXFYzuTtdHIq5dJl18agR9WHel7uIJHAm/8lOjO9D6sx8RE3AajdZsBw991e3SIXRz1
dj6CSq0gxXydb+5WFi1tUHjHp5Cr8wlLAUb3J/k8MdMMj+sYbu4rZgysLdlu6kNvzOLGmNz2Cq9A
sCOg/C0FCWbIkmBOEymLWsCysqO9EXr+eRWE0C1EWgrd6E/5fphUYUPSZPEolYzcMuvOXS+adME2
9bglInd4qO+ce1H3Rypl5uOFvjwcS7rwZUCeU+XGN4C3xzhluwh0wl3ASyuNt037y8cLiDJWcrCJ
Llk7MVXSiapVloY9DRTzF7/2qI2XrAfzDnKjQwFMFkzhw3npQEkETTv/EPboUZpY1rLWkq3LVoz6
l3f/RFqY5FvXDx1qKVomEdw6o9gVRP716/dufD+Vk/xXJFN5Fw1PQk32ilp0c0wxR6OsoGXoE/E/
ExdoXBGeScAKJl2N8wv3f6SlQ/nvb0sb6rMcLseHtMNVHRurdo/DoYPSSRxT6tF/9AQd11ZIEr3z
tN88FFtZd8qRi+TyHiReoytAUmQH9Q2VKlPtCjAyR2OoU50yPXvxXBA41vSseByc9nisRNCd0o8O
Uy6YowT+yDoWeCehh5pBrYms4IIcNAZXqpGeKbu+TqEYV0dyJq0qD7cC6z+4SqifI71FaHNTeliC
Cp4wu2HLxdi6hiH+5YPOQFBH5TQKsfo5woQqQ7e6NlR9Z9JkLWtlLjE4qT9R6boXx72zDLAEMdbX
b06yyAgb2vaC6Cep6eyf6xQ7R99DK2cm7dpuOQRxCxuLnHC3LI7uNj3fosZXnUk+5d/AnJMt2VtB
9gNbkitATZCUg+H2tG0DBTcJLCdOHkzsvk9LqosSt4b8MdI5qftSNsMw1ZOu/uvbZxV3UKpFxbp3
EqpVMSgP7B1mgaDQUySHTc4ZWsyXUgOwCUd9r39KKEuXOba4WLFeRcsHPUhJq7InPcumxOlAhwV5
SNC92EpT/OjEHr/Vs2lERKL63zZlYoYcs0uU6STa4IU3Ac97k5ch2rCxeQ8kzpn3wJdd+kTZfkvB
b8K0p8dbghtgoay0Yq3jR43b1ottCUrBI9D2gTFrZb4YV+n16sL4XF6E/+DkqyEKxMaLjR30Qjrs
mxg2VAAbQp3L5X314IjGQ4+eZ+PYX0FKbtErvWpQNy7zTF7+Vh2QqfRX97exCnTPMIK/+5WgXi95
k7FtRWUzHfa2I2YWvvPkMFXSDV9murFgTfL4UTzHhFmIwNBjRlj43aEwsOK2jxDeTNMjPUhr6pMk
N9qdj+0GcYQj7o8RduSh+eDa1WKBVW4YVTlHkevkl19W872n832ZqZNPLGAneYSVvx4zXhqS+pVI
Xum8Y3orzBOjH/SWs4u7r4LyDfDV725ZjDlIGLVP6Ikdl2gS2XnUENEizwvYO7eJCFpCK38USKxk
FxvuWVlMYnXJf9TBFNa5Ysz+mUcGPmhhbEG6MIdECOkDl/j3c9M9PNG83IxmPn7kK0n1SnE3Xtan
qidJU5ME5EQHt2i4t2LllUYl48QW8cOiug78qByOrOlwlE1TRQ/kFd2w+RG2+R5JojezazogCwi4
JGc73iGoN7SVWLUh8XWTPJfp207SSiPq0TZAHvy9DMzjqqLcMtTpuhyQUoGhodppqD7h50URyZho
9fHJ0CgfQNCMPLY+OiAM3/Ym6QYFYowB0vVhgx9XG3erKjGmFL7sp0FRa+a2K0wnC1xgf+Y1X9jv
DMxJXdMpqoUsXQ6Gz43OpwC8Ug2aoLeqpwT9EIh4loRhMkoAXqGmvArsMmjNzWHP0e649DXTnhWG
IG/cpWIoeYHtUECCKuFkV6VrcZ/trFTfelni/yQt0cGTdHt+BbEOZdBcXlmPKIts/eGUAc7vKVS8
DbKhd7mAbW4ydiHu45ZE+XiNrtkUoFihjxV5pU5lt+lIPLnHQWZthIvilJ7//mWkoHtkSCs1H88d
f6VVeY13cJlYHLgYmPTQgLkk5JeXzpHF2VdfQse0yREpIobIpzWf21X/SufLihjznKDDT78USM3v
TXDu8tb6qAOE+uhOE6TT1rLiNHNd379EvPt3QB+euxNlriqDenwP6srVeeluz3ulQjz4svMfCsSK
xgaRK8Ti7hLEz6tbjLPOjoy9/+qbD+hf6xkMnklBJL5RmkKcAobx7JXY0Oy/10Zv6iDWd6cMvI5N
vEv8EKVO1O5etg7QX8p43vVIUrqgCelsrYkfQtDMyKQC8VNRgykMERPLdNsI8T9JvKYGYftGNpSa
cdLtRsjX1hB9t6WFHeRHyahJ401cQDy8npioe/kDVfH1j3unnpAFiwqN5556LE22KQGDMmrmyLYb
KYX/P2bveWEELTHgHPluTJHSONXMnwQuKY3oHuY7aAZEIPhhZSCyDbNwrHyjhtWkyiWWqwGG7O2v
G8LNFvaSOqqK8Vqn1F+ws9N7Uous7L4V13i7OzHInaRfa6sceRaJ2BWOL+70LssWYRv5lXZnTtz4
Kqrdc5mRChQpf1Y9gSpOUjKqhRJPRRCDEopXInQlpYGnS+2L+DCKyWLdKJnLLPWykedmCHPIwLfL
vDtRrxhVLQWXdPSMAIqY1rv4JQ/yMu3xc5ALDa2Gtl+U4wMrgy6Eug8UkWgzUJ9vBliL641PdFzS
+yvjkeG6lLlnOQAgSLP8thXBryy7CPNJqwjBcGXoDiUSuh6rI7VwO8bOda7KzftTJ26oVy9IBdvL
RRLoePqE7/Ad0cUKljthy6yTbdh7RmZ7W4jgxxuJCD8MCPoIcLkZUew9HtxwpXfUwNVEFIhWHRrx
nZ3OrZ2mzzVcko1UniW6fDt8LJjo4Z2jZ/ZgVrwmARuW0NG/xYouY0jCA7NXbMP/9cqNJbCrUQXx
jVW2lOyIhK8WDhKbZch5c1gDc6XiejjmQ1skyHBU0/n9ngn1PrhwD8MpFUPCn4jihGy934wIfmXK
2rFviDMWg9Shaj126F81hoe9xUwfyemYrnm9VakBG9gz4o4XUCpRlFH5UYQnaVrqnT7hh/NsIjH7
HBWjWIrBNpbWhu2vi2StotJ9P/KdSGj3msb9hvpW7h1QMHPKmFI6TCOivfS9+zUCQqlmr6cUi9yz
3cotGBBcKD3CEKd/Fl5ymiLlaByw55ocwR8PBsYNEH8/YYULYSOTKsPmGP/3bYFz6OAEK9AY+RXM
6BMRWQcdNUreuWVUnpbX8KgMIfDTnwgXb1W+qc6x/biJ2iRUfPZN4IvAbAVwUF99dlCjRvQYc1Ib
J4xp40Hx4vSIZ1gk0r/EHVb6FP3D41np6LyMEvkpMVdJNONXfNzFIijzn7rU+iMAUP8N+v70r7o9
lUGINp5rhw5scEjLBlyk6/j99ZxI3/DPjdZ8Dt5DTZFcYmzozvfPDIzkNAG4eTeEbIm/OyW37Sym
xSUbgRSEySjtwYJjF1LN4fq2yHppNEnd+bIOONfPHqUea1J7haw3bg32ASvZSw5caDiSaQzOiW/r
y18pKM5i/RtBs+Q/awm+nirnfeDWuO+8TQJVLDO/QOAczuBWXjVmDxwi2XuXjiXQfx9hbPbn/+oM
JekQu6CB5VCMm7kn0dBKz6bTX4gkmOx5tC9I9baKF6JexdqCJzjLPKQXgJa/HP1onnGL6t3E4t5w
estnRjkA5hiH9Q5OpdqGHPd1qDXwbuZXvZ37SMDyGABZScVhWADVVUSRKMNQQ8yIkv/xLYCT7PHv
Ba3TQnj5S08hIqDQ6yBoOlPztHFew5dM9QPEyeJZcHgbI5My42mPDYYEg4RBCN/QWOmKyoNrA/uX
JviMoFxzuF7WCizXEcFONkosR45YpeAE8GVm2EIMsYDVU4/sX1/8y99aaN73xeCrRKNLmmWSbENT
wV1EFgK0OdsfGrtPCPiMQe7CuuCfwi4ItTHOQgrCxlOPENW4sxPjYFfrazqvU/AcjVQ4l8kE4Hl9
W2TQEtXjss4ezCZgU59hVBce2YR7lB1sPLqrjog4L3y4L9LePbwWzcvpY8e8Z7jtNJZv+l22kZRc
wVy3Id5r0fyA85fuz5QgCKOZI9TwEM1yJu+KLcGsai51oGZQQpFQY9Jswf6ZL6UfW31XGqk3eqr0
zmdr9pVH7JiYwYzdjMCfXqJCYX5xST4N6OizPx9vOeg79e4h5wIO6E1TevxUkxT1v/SSFSVERwaS
f5TNBNBwgaypXLcbXfpBqdGQQFyPYBU05D4KB3k7EHnYJ/5XyTvkuKFsb2HRXvg/VImC6pb5I9ZD
uJ3HRXGnF6kwvYcWuLwOyGpWwfzphm9T6oUzy2jwEWPu8+ieRyAJl6TGd5Q/ULCnYwE/D3lyhrbu
v2ECImkF+5h3W7TAa563kniffRvqQ6+t43FLsbfdtqjDzuNd7J16fqnpqFKwREBjaCjceaz/vef7
fZ00DAafguKERZGJX1jz7fz1tzfIKmg3PVG0v47Nc3U6BJaxzadaUGEEsDFohwAm2h09+zgn8VZP
gTCYNwZuBfQtxeyaXzGVKryBvhECeW0SVQatOTDArnWXlbk27bc6iQ5sKAZyS1yRA+duaw6Nry+z
H8QXEuyYsXAgSSFt7rRJMqAcSLnKVgDJI8jX0LNk73BnJ+SY6Yl2z0V26hwvCRkeSyLGgafV14z2
hRmVLVkqIztpsSZsEzkzUSsH5nIndNePABFRcNBJg25SVNCI6sRGW7v3mvKIp0S4COtdoxNFAcY9
OVRuIbFrfxTle827eHeEmY6x0DvUpcPHt46h9utU8e1q6eSDUySCwNl88Yu16vvYKdRzCtYkith9
24mJmn3g4L+zqhZtniZrc0Ka2UuZu7G+GTevKvAJrFCiGQ6Y6HZZVb8TdX83NhX5GSOoX7MHVk/V
gO8bBKDM2kRfBNknArJxPxbRZM9xSqYGcP51SPIs+dMfkmG4I+Ra3/auR505tvs/5qNTxAdV0xGi
7O4sc7OYFL5MugGGfW4cjkSjz4J5N4RYV0bhbfXG/sPYwH0OFKMtUQ6buYVVKDs2zEBsvpXXj+dF
XNg3TVnEEVqK+Yd8jJeg7lT3lIWv0b5hzEBDDWgHp2nEKKTDW/egxfq2qBiCXaz/ouHGnBQcaMYB
Oj2gsEdvUx5fxs5BH0TyJkyo31pbLmsqbuNif3e92JlsGuN0BHwXAMeU5wK5LI/g7mHAmc/a+l/M
kwGLAmX8d4H/m/kMXr+QAz+4xiI++rx6UN4rt2IpQPNKeFfnY+0x8yIo21+vzwfm5qNRyKBD8fWz
XKd/w4uE/4IMACo1CIFbBDfDx9Xb3jq7jmjQjK76r9uJ2R7jPqza/3AOO3XVIseffyZf7pN1th4r
RMkTQzkxl9qWKNUs/uHdFykjdaaaDHjWxOXhM/PRs+28LXf58/GSpBr80tNPTC6Rf67yNDg7I8oH
/rtCfiVUwleusNSOb5hT7HG4Ill0cJsNKoDTPuDNpdyFX1CAHkbVghCapElLONCMdEU5N5BKTaCs
VIZx20ILyfi2a3LdO2YIJoIXI0JAyxjHP8lWiZr9roQCB6FVUD+WfSAfj7DHEU55xMAsfAXMhNx2
SoFGzDjwDUc2PTZQT6+GLxg5UybhVb3M6Co9qfCj4wEwWk3GbhmW3CQnB1wkY1tlKX7UyDXzvyhn
bluLObc6ZgiD53TVwa6wfaPQkoALW1Fl3DB++vIMDH5GDFK6/xMVWLBpS8mwFAIAK71wgfl3n1RY
bamnz1gFvHO7RxyLpP+6NhwDjeuWc0rgX1S1+jcrqBTBxUmsyawHWnC2wCWbiVnA0/EUU0FpuFpJ
VE53xzn+9gT9ADBz7Kt0J03VUBO/MnwC1e1WkkwISAmQjMLx/eOT6ZrzyJl2B1wDBQfI9Yrwn8cF
RoTONGVmVwNpzOfKwE919KO3+TcNsxtXwvV7wfsKth+SZ3qbJ8H9kLxOVQpFFCi0s144z9QD4bUZ
7y36SFR2CqEeOMqCgHwZHxkTmNUcM0kfEU/t29k25XO6EPRsOKsNGuyyXgsG+ICmGHi8qFboeiLs
YCcOac7MsKiKCexIuXaVMWlm311zp44i5cBRfYV2MuAcwAunZzVoomKgSFb5CDh1qH1Ev0mzidRr
0V+rTKt0xcvfzBE8MSQzIqkBaCt0AiXf/OutNd3j2jP4VGnJ2rgp7DWkk7sETUPUQLZARUn2MxxO
mc/08QuGrfs2L0hfkSkt04QO/Y/8uRlsWyYQOiLK5DBSR7psO3YEphGloXW/uMQm15jMLw+6pg3E
BlzHA10pOvW38ztQ2ILhIxw1jXdStd3otzU1IvInEf393kbWrAiI58ZXOg21MgUrNuLBt/96A6gj
hC0PHQDEsGrW1ctxyQrQR5xVXdiXUWvyuwnIoIzVC50n31pvE7p/bILh8P1DPfpszQTzHhPwqf5x
9cN0GcyihUAFRaVtoiRZFldEYLrWPQJwSQRbNu/I/VpQkBAb1HcHIk8qCIW6V3m6KQPL7fFePZXv
X6oIv6Nf72wOF/dITh/oHki899j5EhpVNM+6gryeJ6yOy2yH0/YFuwu2T09QTL+rnJjvSAEbc24q
SmNW45JdKtxXA0uS7wsr1nyQ/Kb+KeTCSYFOtK54iiRTko+QV52MjZKX0GT1t6OtVQRo2hCWe0Ch
rIQFQFLgzxyQSTkGgTHfX2l+Bb0tJuonWMfh05gtJaI+fKDaepJc1LtBZIhG3T3mAXnxQdxDsmUM
MC4NnpqZrg6MxZ49Mykio5LYykJgtqcSXmxssmUs89PfJJTRm6uRcO71S2aAHP1WQUKvEO84lHvC
1Oc/SsKVxVh+a45shUS44VH7stkOE/ANyd7oM4b1Um5NmeVlGE/8Pbv4g48YdOWQSNufCgplURpj
lMd6NFgiA0Y1SjB89nyAIFTBZ154roBgeDI2Me42VPiwuzG8OFPtU4MHGElwozeXF6eJtCIZxIgP
of55U/768+792Hzl6idMhOTnAdbVencxYV3M8WPKGbmryvIwNeGCxnOVu9HW6L+b4Ttxh2c/YTXv
fi/4MmpT0Z7bSW/P9AdnpL0yK2scBmL/5bTX8jMP7fh5yDnzdXXjOIqFQ33qCsvdYWYWaCIsxFNe
1R20/e8AZderKDmZ6PCCKEmpdZJJbg5WCkXA662XQwp+3jj2EwyOw8qVJumwYQEGoBArp3pUV4RY
AgiwojB8p3ezSqcjUdwAvKkyGJpTnn2Xajud+jsohzKY0M5iJxXWYyYIkzAjHO5DQhuroT63/bWm
sIZf92eLt+Qsklm0wScv9FdsXZG9qEaUVeXq1grAGFje1uX472QG3kCVs/XURKqTzpP/L5UoeHhN
t5u8LJ3BGzxqdLc1vZ8iW0deQ0iyXil8n0/NdhXPWFw2XPi+I9APsleSc0OuYqKf8TxiN9DeLKbT
NHktsYAwnjnIA4y47Lwrz5RqyxOITtf9t7ASKN6u4Ct12S1FUhebRDCsuypt6AC3pHuMpGy953lk
QDE/OnZV3brrYL/5t4LyySvUZ/IW8OqglexrVX+JQ4lOgz01DxZZJ7y0leAlRRM8S5qzsglH0aXu
99KgzTUJzb+ZAsXfRncs4iCW/UeGMvM8BlYnMhtYivbz/rMgN9BDgKxZTkO58vH0Xq4aHdGgfC5L
J2DrP7sW/GePMVH6UmVNnLGXu+PIrjGiy5tfsUSWSWy8KBg7C0LHeSD7qZX19JTb9N1qEnTazhRf
Mr/ZNFeY5cwlEC3xKNPA+jk3h9w69vzmwEg+RtJMANBFdD0aqEuLEVFnsKrJYV9sR5OoMvGDNWYw
uMICSDJ1wu5eIu/zTeCz1sSBfNINOP341ji6TGnWWUODOrcgNtayWytFPsrSHxY/ApEUc4Rax9Wy
WuJBvcqx4HM0GNj1BW+spKYbp/Rep9QOrbJymV2fwnD1jeX+gHQqK+HwC9LVqQ2w+gbjSj4ETOYd
G0I49+98l0S9NZ2C3UexQaHBKARnkhpdfrOxSWs6D7vyicT+VlAnQkHc9qgdE72KKQUrvHJB9Wor
hTSJe2cbte/GTz3ZtrsvrsBYkB8MIlER8Y6t3T/yp7jcghuGrytjqxKfotjORaP8k6XiBuTMqpQo
0NwAFwsYgLhMBJRzZeCySIgfo0FK4PMTII6zz1/quv5t39NyNjzjDRnhCe3un/vW8CDBklG8zitv
fVDRg/RUuwvPkXRF7/gKb214HPj3688c7MOiP1n4vp2xnZRNt8BnxrYAuySV/270+sixzy51sPHm
N+KfQ3INtSyB3qGN+zn41YoZwbq3GzdamRz32AzeDkE242OMopGLQxXdF59Bq/C3Z9OTYlePByms
rKEjNu0KQnMtvEkUirdEw1NxaHk1z+SAINgVNatyXoyIMu+aKMT3ZvyeLwTNbRryDXioReMN8ubZ
+ErCSSSyN1HX1yh3XJ1pvNkiOmCJ769rvQgMqLtGCqhXrTitidRgFJjondNa5QUm+7VCYgmIjLH0
loATY/KbfdMpWOIf9tjkTGAjcXxhUYNMd+3IW0HrWpKdK6kA/QD2Liq7gpsjdRtU2PnZE/JR4Qt9
/na/qjnQXVf1lEXNW23+bamjuXwRDmmozIANXMiUeVfIN5phfmJAwZ5N6FrpYjYQ3d2oqjMJVVWG
Wp/RvFCc1zl8S1uWjBzJb7ADik7omYPlHHV3cVbPcRW+yVtSkbLQU7InSKynxv+XMSCoYnKhWDmb
rgrVHWMxLqXuGMVsXp4F7+W+R6M5tvGZCCITugxZzRY9IQcei9oI93EsjsAzF1R8gTnQarDG8G6J
143yUA5ry8Smtwt/WIqRDTw5TTh0eWYEoy1HHt36c50xiXBLvsfbXhV/9/TNqoTC3WTNG7SFIafN
RlaPgwUW0Td0ARHE0Zirysz5wbjND/C1rqhklhY5gdEqoNanHIKqMZ0KtDtx/T99xJgp8FaNccfM
iydjaPEtA1M1NyySS7JGU2gAiil6bbcxYcrIFcSnoc2Bq2Mg5YnLA8LpzoSBkqQgv03PYIbmW4Fd
Jd+3kTku9f5PEg1ydQhzQ2n5mvqIM1001I/9o5qPVGPhze2XG+eBELvB46FfSCD78uXOkqcW6jEP
Ez1PdRTXo3bsd8a1sLLyQGaInVAAb26Hujj3EDJqtbvLeDG85d91Bp9432MvbWzsMTzyXI12wN/N
RdoBmPvfnMlmB+fKcrF7HkDc+xM7i14uzTFsEccTcoYzh/gncwIBoDiKEX3JXn5TYXVdQEGItwAU
sOQ0OCODOKbSeLl6+ViAWUSXRvS/+GZwQ/sUnMIFuvCGj0sD8ePtuQ1qyj8T4N3xH++X6FIjPQty
kZWrHnpjdfDhPwHxfg3nZjYQaGI2859P8DtpHbpn6sMhyT5oj+XKrEFmS7u3cud1GGc8ae+GRgjN
1k2aqHMr9D8VxwnsO1F2WKgiT+crt9N1UMB7riffzk6tVOmSqqjen0Q9aizrL61Xj4MqzTUypCD9
gWtLPXjRASrOVansfHZduYuKz/D84gqsiQdTy6DckjC/EsXRyg8uZFTxEX28OnYu51W4nhpdGZ01
Ll1AysXgYoxeCnkCI74GQLY38UMuYsenD4rrojTB3oBe3e1wzfqUM0NemMvXYGFf1cbuuK6mufzL
rf+PXS1sY4LNhij/sfgfhiwD8pz2CGqxN/G5r16ZxLLVl4YMLVoTZ6ZH1nsE0l6PrpjbGZ3Tu05M
saLG3likwgbbKwubTN4pR58vbODiYWuSd36SlYWdTBhhCtcmQZTQbAY7SARr/QoXH+C3QAxEHeBQ
n/huzcdgN/msNaQ+Y5yhMlfqxDC0d0FRQjzGPCknrzxX3xoPKNoEexfVJGgpTvyWbjiS/JpqbDLG
oDSRaOEt44jZ5P4mMyJlCMTKEhFCSNWJWNrpNeuUI4JmkqLB15Fq5GUPAN9wAyvUXc4Oemoxxaf2
EcIyDce9lbYAvP0Yws8ja/hLhYR3AQrikwheynw2v3W/fKmk5afuZnQ2brcG72rMM2jYxK3k2Icr
QxO9AnNloVO/MtCWbtA2PQf+Vse+NZZSpW763WGqEKAzdctNk93E7mp2MWFdWRdWImCmtKzHOm+c
kHCZ9N0sl+BilSszh1sz7gcDmCSN/BGpWxVROK/rPPsIufXd41m7M+IQp37qZYh5Mnm7rJh5dG5L
9jdz/iIATCoqvpCDQqYprNDPFpRaI3wcYePXaPQGDBhVmoKeF+zvZMx4JU2iT5cSFiXDW5Z3JH+c
m4sSJ/QHh4IyU6HWH1aPmonITj3i2yT4qdHKA8wWNsTKnIJ5iElr9tHTFEzW931steRZ8sj1mmNl
qD+nLaDTxVkemu8Uoo2AL5lUu4AuCC3PiGEd3NrluowlClghmnsLgfw8N7ShAF2nj34kqwuwWTKY
B/glDwCWmz/rKTOYZrWnnRpMXtB7CXKCLn4QBa6RSY5/Sm/UAxBsHB4bd1jY+Eje79GOAvJGXQ/P
efLs+MQjAqrQerqh85rBrZGcVlxfkuB22Uw5rSZ+koPVX21wYeFOFvYbdV9ioT+HNEN0c1kbiCAc
3h8rnOSGOdtVGr7k9kpcMBF3FF0XImFVT4j4LtX1IBmv0qQbLfagDG7S2ywwpkKL8cCRiFliJ4/I
Gst8cB0CyfY/tesR903PgkrzzYpiadc3IdnqgQNHYMzQh5dn5JqgPn+RFz2Sg4TNpyet2yORZLXz
x/2P7saCF4kT5rgUXYOKI1/YbM7mI6nHSouxExACswDHoi51WUtBVix8ukNTnKVZQOBiHa/kY+27
9Orfzq/JpsZ7CxTPOP2Jwbagz4pMjDaCjoYGZy8V1JJuQEYfxVbA4mi0vDCPwdbT05h0/RXPRs9L
qDKIYoSbPwEw20B8jOD2dfmbkXqK+kYk5nTVmw9r/nBeljTLEIL0x/FTkv27sIdoQB/mEsp/Na6o
rJ6op47GMPumE4AsQR4g6qnn55Svq2o8MF9jx0WcGjFc7oEjzaArcdjXkmyz3lIj1BSSivxn22z1
yv/DQ8dP95pfMh+9KFVyV/oJ9ifXX9bX0mA1S1tYr09kxaWCtk6833ydfbAjAeeZnUvz1ClE5SAF
Z3oPK98VBa9y3TNTDhuZ4/EDTY0HgAMbKftr2DoKvN+4FrED4p191xqoTp/mAyqm39pXTAhtOfyx
9hbgZcX1ne48h6LPJ8WcfEvx1lpfpVSVN9v3+ZD/txCFRLhtBEUkpEtUYzTESSvIEqsA6PP3DRv3
lXJQN2VJlZ6PcsrYtmBlKWLKbZ+48ZYKteJ56BZ33ctEZOzeHI9HbzG+0swt4lHOaBDcEqjLUnBz
HaG14L1kBqJNJlNStHKmBNa3RtXuJnhZ7zVWF477L4wwivx2kdmb1J1iXUYlomKLcvjuTCudTOro
GolBdlxkdyCBCC6CzvwhPP7wQcO6HOXDqknqsMW5JvWrgbTkryajwDr2ucpde4vwa/KsDN3X5tmB
CslU17+j9AI7tTtIWj4EwcEHcCBlX9W1+NxWu9y0KAQ+ap6xOsDKV0mxjrf+PeHVb2qnb3QqLutP
9dcveipggYqaNN2ajLzE3XzrAGQTZu/JfTu6Xx9piCEjM+vM3wJMbxXASXS9bBFY+syRFF8ViiJN
hgipICljbMcWWlDgKEQT+dD1Wmdiwk2YsSAiPsO54VoVNNjplfmrrdZz7tehMahtoKqaBj4xBFo0
8Ku31IZr4dArnibhQhkN/v4mYydarKnOXmxKqVUhXV72pfQzW+G5vDMcJxF4mgW6ob7gXIXtKH4m
dUJI5bETuG8Uq2SejdoJT8tZJsCspgi+mqL9JFzcS2KF5xGdGIsyRfMin42Cs+pduy1BOvy8ah0E
pVLgtHz2jFM45qlBYkLZ2jug7Tmo6nxnhsxbwX8/qC3KM/2WFIlmhggJ5jspOiolLFvjpU3VlztN
X899mV3U0pKHMT6QIzHrlYB1G5RMf39eibNyN4+3wqyFsfG8n6efCVlIBpGC7rQzGDV4IGGNMC1s
jD4CsbFFQzLaWY4re96QZeOpbBuz9sq3eVXQzcXpIOq3h5bf2Cdd4khYMvqp8SnOE+2iILjx2Dby
K+6rZtmojGDpr9Zo+zORvO3BKkvURqe/gDPAy+eHSin+CrUmRTGm5eA+yAUxXmcYLviODB5a6g6l
i/3crdq3DnG+ScX+LnMVVKEEIR6U6gPMVWIrabuZ8mKOFF5e1WKFBezQYQDMkZZPLyLFDcaBnd0C
/crY/BpHr8Q3bCb6wBzVUVbOs08CaYJp8QThtlfdM4t+cpWIHwFQM7+dl+Q9ikowrYxBuNE+UJ+V
oK1MKxIIVTHxAOQLx/37E3Ye1i0+Zk+z0i//FrqzcXjgkVGYL5WNz6vXhCgKNrgRFpTKaA3HGWAi
BTzWLkz+kk3e2pVhKKlLfHF2WnXmeuHr6Qgo1x3EiNtENrFaYZA8Kark328csAsB2FwJ97AWusds
3CI2B48SbH1/ALC3fDpijVXHXE6zVYkNz6QFFmpEqSLvIidbIAySRBUhY4DvlXV4Py9ybhGUFfM8
wcgCdCgNfrJMUlYHoG/MApaEIy+s6yFvNnnJiWsU9jxBbO+eqkC3mC5EVqednvH+YCYiNw4hJi2x
5HongKnXaT49j3SkVU3Iv9zoh1UU4GYXzqu9sYZlLUb8BG7C/nYcDzCk4RYu4Hkz3HULielz4b12
85zVK4ZDnrwKlkBQNh7ctGw2NR7pSm3D1NN4RLszKPTw22m7t06yIDTZhpuGNh9zFymYGDacaHXj
G8Fw2tmsggjlLPCgQ6zrB+liq7darNk8U4Rdb9vew2tjy2NM93/8hCamJBm9OUmkTPrFuxbpeHj8
VtB8ToM90re6xmil5Rn8Yqp4DAlcQjH6iDS6XmVSFkSy9iGC9EEkmtxBsHrA0sMuG/p4INj2MXY1
uoOvwj9QnJI60v9y18xaPKv0BaEatzoJ+y3AvH8/bC1Q+WLEp9Gd6QW35AyJezL54FfolCGaiihw
9RMcwwtXcV+q0q3G5ZLI87DMmCMl6cJvDUXxQmo2UH6ov+bTjtgva4F9tOM7snYlFQd8QIRio9UX
jIpQSu+yp5JhMxeIAhiZ3GJslVKeEHHYi9mTn39zAyhaRezSjm7/jBTYsmHmdeTXfyGm0Ga1JNM9
tFTeBZIEp0osFmDudBkUUD1zpv+Hr6R36s7i4f0Igw8U/5QLNs4gz3lOKc/J3o4xG+C3q0EUme+f
LbTRP73WNjBklu7dW25n14J2jXVyjOyiYgL25P1gruBKNw76+9O+xej+aZhtHyaeVhaHk6AQN8Ib
oPvfnUukmQ+/Tf8itNyAStL+s2w5Nbi4oLlD+TfLh7KgCju3wss6TSXXZbBLCSTve6r1Et+o6Kk3
mpRqMB010rNuMiZNS3sKBY5H5EDUluGEuHjAitCfXpxv82z7AK4qAF0rbIpJwMLJpvs/cT3J+GQa
zLIASX6dt0BkU7WYx7TdGinsfP9BwHVy3SDxiUswSi76JCXRCr+2TiZd+51kdgls6ahRToKfwNJ+
FxssZvIMvRrDUlEX6xQ+wEC/tXDzd3wKdvqfFxE3yrzVfyrW2VD1dMm0NK+8BTXqwRmBFD3hL/rO
SiCXL1Ayt8Or1EOKMWC6iz9rr7s+WOcx4j3zK5YFP5bkQMbavoh6LsKlTyedZNiepWDf5KSdJF4E
RePAjQObsk98Fh7UOUYVV0HJdcgxHem1GGxqF2PdT0jYjqpHJQYbzj/untpQgDLsOUl9qRnVQrZ8
IQBk0RA6yOVDKp9k2aYORbcCVtEO1SkWhoM09GeeWkRKyfUjeRrtIdBMVrvexyyXNIo/3tlG8g4y
Sc9sokiIaIFhkZPoUBaTMdoHls578WcNwofdgdg/O4mS+xh+wubxRdEndGx6G7dwcenEAFZ74u+k
tv7KfDC4apPfuN43U3+g9eUOmxl5+T/Zny2VvZ3pYCiijTVC5wjPqrNEzKNSSITK3eDoaWu7I/l5
w4fbVrDbpdCoCtv0DxZvp2S49WMTnni9QhlA55QVx5g9smpbAkJN6JGARv6j/xxPPnvjs4/NHrRc
APdcQ21V1gXxVoYoQPiNEV+bnAFPYlKyFLmGn7d6I1jnjkeKk+zWsZLei1r6AXFBqTPWEOKhtIUg
rGhCJjObZFvUhp1kVOTFX96DVHAeoS6jXD/VuTCGtEKtnWpHEpzqbsBRx8FFxVU25wUzjwBhSTXo
Pym5NB+gvOxH4mbu15NAcDT53vGK1KN4h77b4orsVg1/Ozv+bGv7gyg+C/l557OODYl4Wopjrr4f
PI6++3xmBgzkM5MtQJmtI4CoPXSUh2cnarha4S2wx/+yKwpdbqzHf2Gq4tt3jsVB2mgfVQmVznHh
aJnPnKj2wZjFhPiuUCQ4+TDm1EQ+isbx52cNbLhI/iCvuEpeFDh3FxueNoOf6ZRW/79p4PH2irot
IwbD0LxO6GbGVsFDafn1XASDDpTZIjDRf4XpDABijj9G99tpSndV6zhT9cs5CdvGOcaGxyu8ZQGp
rtcAdb7mk5+BTCGnfu0xUHx16rzoJXw64lMHg8U5K4d85S9SLgQEbtFciaJgWjx15pjxMb6V6D5u
TECj1UAklF1XKg4Y13IXp7PiWw56Lm1CY21KAPpPt4WvH39TAKnxH6K3LNbv6khbk/DnZQGpwc+u
+Gk8k4L5Af75nPOp5Im9DAgBQsWLsPEG7I8L34UuU0f/BxZSAfnQZCkWMCLJkBtfkrfYKlOW9OW3
Jtw4YRm5xaSqn2rClrhiQ69tjJc4w793+l2UzIxc4IjrP9WYaBdVIuxxxafjlPuqHjam1Zh85PGx
Bd6nUpoLKRa4RyB0BiuoqpLBCGgB3422AAogtUcIHJ+k/lhh9rGOQxFkGI4hPez60yUB53LGBP4P
hl5FYaS3L2m8qs+glHcb1DfMjK01/O7z+PWRvqcEgZwXsm3VG7nfuZXaIU7UzWteR10Sa7o1pd7e
CVYpmsW+VzDPujOlb8BBroqDlEK8CWVCmDDSahUFdSL3ETQjP7zQkwEZEqYphiu+42qjLgrO6AQE
rzHe/qacyEYCgf0g5ynpJ18Q/al40GTSPt4WjAks1Po4JjZoyavBY2bcQGVphgPWPDm+DsUGhZsr
QDJzc5iIVVqHVc6cLy8zm9Z/wfPlkDpYy9df7topjSGO+k3U85YLT/UojC37lS1v67ZrWKpHSShF
ck7kBpa2/rdO655R/Znrb8zGG9ykhHK8mak+uopXK8XROb15se7PmscE/Sxs0vbvhswNhK1fE+Gp
rDSXmo4Dvy/aj5nGXL4HzFNYdKdqzkRwLZEK4Bx7XfSl2PtIB6T5T9AD2Bi++ou4Z+uc0owvmNlq
H2KHnQYFDZvvxj/rQ3Awn1JXfMon1P7HjiWPvb8Ra2hlTPaWOVO6Tz3lHZFyGM6AGokl4Ct//LaN
sl38frQaJ7vX0opyNMZMhykjnm1QlAr9dZv1oHPSxpHwMKVc/mMys11QPy1g4SkBLYgJoHOFZbyf
L8soQONNksNgQYNlYbdPv6l8bsOoBUMQ2dbZi6BPSOtI5w+RpYVsAq4vEYzVvnDzti1ZjqcQB0hA
EiL5yQyfaMQ46mrfBVTUr+IQ4TJnhe7GuyfzYMQHvJ0kAnBnnYMzyHDG8kxWxPrfu8p9a3eBBcAQ
sqpS2i7VAU1d5QmL0/aQw74RQeVsecLZ77DKg4LE0GnePia4FFdSuS+dXkzvImZGboGZXuYAR7rp
5pUniBTxMPiWrnQ4V4lOjZ3RLJTMRpPbdryLIn35QTxDzpkK9HfKjT3+WbBBVNCaKjxKozeFlAQE
+Kf7mSnALGExhG09rQzhKkFF/c34N/daimp1W7QN3ADU/NkWfLDBwzdU5jKc+xrNH68UnUqL5Cwe
mMIGOc89aBA7YP822ikFX/fAOnVdAmjlfiVDSUGiX+qgI7tm6GQqoM7MraOYfVLvcjtlRAAfr3LO
yiPuB0KYa+wZ8dOB4KW7SOiPhjrXo1n7ZgK3N9aCCp8AmDQ0NWbI8dy9FYsupInJG/308rcgUB7e
QrUL0BQrwQlwfpSujwfnnvOQjK7MnKlXkSwvmmXlIyZWmOadTz9z5ecd9TIX9nFUu4DYnze81CFz
JxEa7YI33mI4OtHrN1DMNsMfNG3Yh2YXHuJIcqM8r3Lzw5rHYpb7t/8gDjnyCDzDqWqv0hjfzTCn
TRzRE+R/i8UBFA2cigk1s0iO/PlTES+soFC6uX3yL91aLyw7RWUDtRxQzWUdbQ6A6fgmUKwpzwfy
lKdpKgLqeAMBTDobCBhSx8/7qOBuxGZyTdyBfcrlhsomzKID5x16L9TVoqFIQGqq+5kSwS1m0fCD
v7iDb2uZVqegxofQI+LxT5UHSFbHlUiegh7dI/IvOOGtHdTv43vjMm8pmxgFBQM1ozOPxR8tdACU
CZF3v6TOl6kXW0+vZ17Hd6IxjzEUKuE7hjDh1XYoNf6j1rsHX2bwkXKsMToI0W1gVAlw1zABxmUX
40Aj5aAtYe9HoDLI01sKAPiY/1i9egV2OTWA8G5ovZxC6mEx+CP7mUPqBGAVNU3epgmZZrhnAwJn
JWstYC5z/sQ1VuwGsjmyoVU48BxxEHzgFpfuZkOZPegeBaF8ZjI0J5C2aFoRI//nTm75RHc2lH52
ML0X7zFkRa2GFdcBBrcf5UCp6VYqs+lE92xunccuFFaeU8fMh52f9B8wc0c0p4uhSeoVJZRHoUH8
eXWrsgc0yA0z98wYF9z6iONNuYtKZER+TFSvmWysMZ0SneauzdKJCQgriejfTfaoqyPIDCHL00Gr
vCPf/QL6iVxFRl3BR3t7npopvVyTHoTT6kd2EE7OmZZjU2dgPZ9DaPphpNRYnD+iW0poqfFfXrtK
xx7uPotj/0WBdNzgVBbu4BCHoetSbFTjUnuJKm4nPxhzDD4MDUS4GSMrA0cD/AH15Q8JSudISERy
ftCKuW1/LgIE81yUBffkBC6CU26BxgPey0D2ADmlS3EKwIhy3+0Gyu02pj7MaZGeV9Nv+opiZhL1
2D+O2eMUHpzs1Cy6APHCvLJiz4wVQ9n1Vyt9O+9e42HHvN+XeWXr+o67yvxHRYoUldcl7wD8kV+P
NqNBHSHIfaJdY3FTD8+VXsu0N/zNsZ3gJP4FLij4cC8uildMIV5K7R/tCzQOSluubW45y7lHfgiL
t5+cz2OeekGgevqay+orxwPv5466THDdWztpKX1/MPIyStFR4W5Rz9AVGxXiXXmQEIDUwsdafkI2
BV3BK+8bhm1XodIAPds8V33SwtfTSsp1NjFvdEttLDo7gIrqgWJD1VhETIQNC5CsNpySSuHWbxCC
PQo79VwbX4N5f3ls+qQRuRBst81iSpuYZiHpIiaiQHyMdN+8P/Js9ZklPMih4DtxaeKLy3b7Dbpq
WJmAhT6NYcvpcno9qY3MJjHQgSWUbJIqWQAeKa5zNn61KX1YLv3XhejVI6GyYgyvCoStcCpDuaZ9
vTqLIagp4fc815UgM6ZbYJ6v6j+fviq+9IkeH93pntKdbcjpRo/HrDsXOKq6PDdHyqALDQ0ZzCai
o110+3BiliiX11qG33Lv5fzI74QxMvdkmZ1rG7Tvnvdgchtc/zhGT+bnk2iNLPa7Yj/3WMLHCJGm
xUJmr6sLeUOzOX++UsEQcDD/kJE8womD5oy9doWCAGINisej84cA1EnCVWGWzryAKINZim/87r27
EozAy49XXmLBnztdUOyniyISbk/k1+KOA9wTRljSpG0W2yvetnA1GJbLjGUKZxCkHYBiDURNGbvn
6kBV3if/oh9Pccj0xUw+eTWi4NB+87++NOErMq37DPJXAEGHGqvYKU2sqO2gOlT6QPKZuaafX+6C
rJbuinKyX9DpFu/H+wyj9Q+7oIQAmgnLcigKgkR2J+VxUx0iUpohEheYscH6qOaDrx5wqcPzvj6W
FULyUI1AwxHf2ALtfaKkHsXVw5Gfn372hiOdkzOoRgHDRxY/pOcyQYuNUHM4E1/zH2HpRrbRwzBx
6P0GKW8ldWj5bFhW772pqXL4QAopcW6XscD+ho/+kfSinLeCDhgc+5Zdkenf06c5iA/dbGnZiirx
D5Dr7gLZSRMxet2+QqQ1hvYkf1x6VzHXOziRi+FSjDVqYZr8Nf1zfe85HVqQJTd8r6WjXB0gOIrW
9nYYzke5qzMuS04Mg4FMOWKJqvDTCALrl3GxJ+/EoVuUK1r+77ECkz01isPc432GiXS7BxNss2Xp
YbGOeRnVVimyp6MiFEL8VFxln2Bmeoh6bx1lb9wzweBFT7tRXm+az/Qu4oudGH8Z7vC7pZ3kGHil
cteTns5MW6324TXl5TDaFlsB+JCFJu/JGAN/tpspEAL1sqfWuVC3IsjPGDUzxqNzQcmrKw6XGEEm
DOWZbXswRSCn5OOtswjMX/ltC4giIfYRVnkov70ETYDJG0XKJ/Ou922dAQUwEg2XrWROS4DOPxGT
TiVkD/GFMarE4/4GMm02g/GYYWvA0Z2+m5DEQ6b/MNW3WP5VeSkOrM7sde5egl87LkE7YDelqh6W
YLHc+QbtoNuJ8ClwVGdffNO5mR+2ioAoo3QTcH4eQhKyZe5LAnEPu1MXKD7fR0ZmuadirTgyaDrU
UG056h0thXGD2X269EFJZR5z8B9qx5f1vuD4s96ptqVD79v0+QsUkUHC3eKd4+fe83jEgUfs/PCK
MRm3IaBEKW5kYVs3MyUZwFPnuW9AeQ/ZpDsyLvVaYUqsK4DeVUPi/r5s9nIzenUUaHduH9kNq6QC
Xb4JxwfTmCXqnKKHdNI7h0C7qrm8cmk7Wu9nQYoZ0TsEPvVTj3SQlHXTKfCKk7B+K0kN6u0BTAmh
blOaxZdNVCVz1Yb3gypbhD2ShCto7H7WKRJ3cE4dXanTFuSmpWyv1YLFYpUodkBTKR77veznsN2F
+5ijA7y6otsH609Zhd6xt7oot+a6qLVxvFiwXDb2z1oY+ywQIFmVWSCk+rILoBrXed0qD4CpCyFi
QTDkeDQ69CSOg4S4Rehs5Et94ewDhkd/tSr2Ad39tzq4Qh0OtJ/odKBlUquZrypejot5wXjq5yJk
k/HBOshl3vi7DiQeXkbwMSpfOq/pAK4sdXnEL9jm4HIqu59Flx9bPWSX2BNNFJTldWlwBsv+UNAj
1uVFmYxrRFCn96Lzr3E0dtpX2navzoFW49XhS9rRonDN88DnXT+YJf2evU5HtQBq/k0Hrrk+fQhV
yxpxIJQ24aO+dblOF60dDbJLznEEHSwaRuRicRrV1k9BDTQhKxHndmZWodE3SBQHzBY8QEm5XZn6
DsF7qtu2pdtI4f3jh1bT5ol1SlrHjeAUY5URf+G1AVTz0HzGfxR4r+TaU5F9FXlJlfeiFvqmiGLb
JsxTOV9KwuuyQHemn2qsqwVfXNGhJ5D+Aro7PRwfsTY5OqVfBmVAu3igQWqzV6nn/Yh5SExOI00r
NJaqkqQSQk6/EyoaVLCdnwmi1BnfFzAfVrHcVg47wurZ8cbEbZwRnV/QUFRdmsxkDeMGlhTu1Yjo
r5r8VguV1BezlNOdfBQJxOcpWhgZZxt6Vlc51B4GokjlLaSWxG1DTjY6TsvAZtawAKP2v5p14Reb
XugxNuC/RlEzcf6kBQIlCIIeNpCPsS7Sdn78fw1ozRqKOwPo9FXfPKZY7zQuBcS1AHmbjfevJoWX
9EEFt1MVJV/rqPCax4/Wnhm2K3SZj8i875llWQeci71Y1Q7ugMKgm8YT5c6vVb0Aj2lWO7p366H7
KD07xGz0Zu6H2Kw11U1AyCIXoYuPORIEZD+IHzvxtfQqKdg17GmdkE10o9sHIzonMG2o8PYx5DYW
zGUbx7a8NOMUlkXtHAqu7l3TUjBNQObT9DZ3jMoVyIrfpRE+U4UHSYUMTC53BCmz/nBHzcdoDN2v
YqlQR4nZcULF4L6cFcLGuAW/AD6pfNFgPmFmVJsMlo2RX9cioM1cPfWMweeWuKa5XrnVUbqyV2Me
XdNFdLYiInkWAZiVG6NQNAlcLCClj+G7c7ktkqN7+IQrYJzjovo5Tou3u0WtLUfxUrjrTM9YbABy
Sq53QFHPF+X3w3O1oY82xEeTAghhYndJ6Gin/xD5pYc5GFW8x4KrmO85tXmL6L2YsrtRUTWMbLJg
tSgRCETlTtEOHW+lVWGOXVZ/cKCYuC9Mf5AfKcbqvhbIcmwhqP7Rrl8VsG7V9YC+graKnmEeIsm5
rdPGnaD4Gt6OhcEZohi5ubQCYcKfZNaH2CSrhVzpIZpRUoxU6Ygy5HDgxCQxpsp0jeZn0qmWMX2K
QH20fEqrcgRQBqAB5Q0A6VERbBX0UtMxnUtiZzrsi7OiCT7sUeikpvwpBzmvpFT4HKxhh727h8GW
foxhzMYk0hipFbRQI82DNBRB0P8Mlh9HC8zFqH7unHfUu06LtCGbWWUMlPrYOeV4djCssA+14BHG
G9fcInW3FidQChoAMZxafomvVb3bCK6GNaEOrVHQaodFT9Ys6l6dppOi6a7O6AiZd0DCaIsRPW8V
AVurJJoarWu+iqnexxsGwPAaCWkEApVnzEkfVscOTdmzTfCGBJViLqC2iww7UOuB+qrkuAN4lsq4
N4qUqfPJseP6gb2QEig5ehzCLR2VcIBL0opbz23YABXMQmOdTMkwhBAUnD+7+P++j9BDJx8og9r0
Zud43uCEcvhcC63nphwUoiboqp1DYtGqG6z7oXjFUu2AeJuPt3Vk74OIalnENaHx9udP9lYOxP5D
fc+Aexe05YBBDSkXYQ+tAJRlco1ObCV64Q9JJ2DEk4em3+6ejqUGnhpio28A/z85oAEuKRf3eKOr
2df+y0zBoZAstRcUs5laWdepLaJV/J186+NIiRsGt5OYbRo9uqe1Dk7DxL9RyRhUKjt0rgWpr+Ls
9dLUzdjcVfCpcK4eu0lVwPq2QAlM1BBai4XSLHnYjtPZx/ZN56PKKbDnviZ9qv0QYAjobtxZC1il
QaetgaSkVK3Qt7fTrtKFvkeUgpmtmiQdjUuSrpwHh8p1oJLuyWVWlkwNX/mbPjUbjHDu8M6134fc
QLJZ8hUiUTJhzTZMSyyv+q4Li2bZVx9+dNxzHiDMyLm/DhEoFuvZP3xC/IPzmKnGdGhR9j7oCbAb
Iygo2V+lOh+Gw8DaxzRUXsIEiT2fewfhLwLPQF9JhF50uDwc2yIzJWOOP5jvQjhjP8ZoeulLAN9d
RbJ6x6eq48Yj31K6BfbXzD2wUO9z/l8hyIQ0wq7rSgfgPuZZuxFv27ChkVACn2MeJPw349lYASGk
FZ2gzPrvYKBN12YsCP9xSqdMMC9Dq7Mqc3Z5H00jHfvFl5ChTyyMPZAQRyOFaL25RgVjag1h2n6/
NTqqq/bdKbODWcAERkDu4XDZncD9b9irShbrr+kLKlrDVffP+yP3yMoUDQSjYsRWb/xTjvfMqYnf
wDIF2tVQuuFO/kGlsmA3gKlZvOsx8nmruZoxlmfv3IV3yn//egxnNzwHChyARmfgcv3rRPLdemfi
QiyQbBMMSZQsxT5vW0U4eY3dWZPt3rBk2TEHnYEUYBoq4GEFVMUgszAICY0BIbFHBwBkpTG1nGvD
pEFNXObkEbvvu2wilA2pvX4NPiEwENZ+dZAd6q/7d1XWroaS7KaQW6/uxfywXbOZhHAZr5gGy8XU
SkhCx7mTPskoDEqvoVi44PhagM1ktk1R1exMxh6366ITEXDsygpMR83qy+QK2igxX8j9hjIgLxK9
gU4+uBzuRH/dRZpSjA5hokXubNFx6q+P2evJ8uTpnB8Wd5XF9PyAY7L3pazS7cFxgyZ2dG/Eses9
m5OzaNe3KQ1TdCnSNKCuwiQxjxgnfw2iz47Pz7oqtwsGeADERg3MwPfFqu/2vy3qGh/G40S1xMyd
DpBMziY4FEMvCgmk8hQswNLUF+CdwLLuCdDF8vWs32oBTamVvST/XY0tOp+gpCd2OxUz+W8TKZrT
tkVVBe3XmomkFneQSp7o5YTt6urndBTkynPRsB4vw7axkq+6MwFd6AAuvSFa57/dH/yJWp2Xu1Uw
vHE8NhdXw4lQg1gcmwt5UeMw6SooHtIROWVpDTc8sm+MbXw3vvL0HcauwMKtamSEWJXAOGH7UN3G
Dfpq2Wuel90vMpPgn1rNhdxJ1gVdGa02auxiEEvcRWx1hTK8KVxJAGrYbPN+FMHIl71QGeAMSEJp
PhTTtMQzZIKZLQcQbpxaUogVKZD6uXaG3qaT/SneNw82pDYMQmQ4wIaC5TYxCPZgvF8S9dPj0igj
y+IOqePxouApOsxgbiRh5FwaRT3LbVuF8FxgdZIhsz4csHY7YfL88lc3wyFrw5L/y1+SmRxa/+ES
c7QzhnglCxcXH67cAgwx9i1sDmSnZLtlDPvHlMWxIibAgxJnx8C7/ZBClPR1JPLS80QfCMDJLoY3
y3uUlh2HmDqDOB4B1z1CyTkEMNfKjvA+ERwPp/VuSz2FK8c0iCbSo7TSaaGR4WMDt7DNuCB7/SeF
WctXwGG+U4Q03Pe+74s3tJJqckSSGtwNumcTHQidl50ae49VzD8G31Ff+4AJBA9dbGEELTihMdi8
oq/SwHI25ycGe5+/05BtU9484yzUpfd0tfaBQuNZX2E9mO2nwhorpa8FcHC5N30IDu0Ow3dvx16n
7cAPZkzkJDQQs4W26Z1GkiK78acDpIN1YHNorFBJJi1dtypjMRgVCxiNtFq1H1b8eMcAdIJrSMfN
2Lt57EGoBEJEcDsgefJgGz/u6uNjzQ4u60u0cVHgJQOYims24A0P6CQJywBdH/6OP6Pto6vS0wWK
uCfW95DFnsCiokkPCtOdPDjKFMqTbPUtdYQ8Ewmey66P8YlMpD0oQqW/+AcyM3Tp94Q/rRtH6baZ
vcDAq8WfIHEpkcPpPwBCaJb4KIXOZys20vR1ZTDKCXyOQqCnCcPVVvk4elzvdetaXXiMkO+I6POX
jBInAoTNy2Q6uYDe0KCctOV9d0ukbTRV4WTZQLwcVdazuTbtHjeAcSr/SE628qka26N+XudkZX/p
S4sQJucarD+xywgoUMhKsXi8xWhr4aDxu84Ex0kQVKSspaOvUdXNzRyh5f/3KTZBtSzXyUUYmaNJ
0TIn7IHQji0q55SsPe6VYjTlhCSvt7hoU3w16k4//QMwDaS/l1ruFCjeM5rt4VPSGxiySt3YjFjE
cVPHJPbQXAxBfgkCBaP5eROmSvrwJNDNwrD+zttsQ8E8g9BFXptIHQOiEhH77UkmCn+nHJsSPMJQ
ztL+5PWP1epiwRcS0xRv8GmlgxjZSwnVbx7PLO9nn3VNiwHhssICKu4tbSBDn1/dAihJPRaEsdj8
2z1BRgDbr4vDxGc3SjVBABkGt4EVv9Uayd+ENecQLhGg6UTxRKLOk6YRqTZJFPXuntwYfs3lZSV2
m0yirueVbqvgOfzvpyMRL/cQPYyrwFuc/enAo7qss+HBpDk7nv1thzJ+7u1PXbHR/kcnRHDNBGfz
hFLpOeDGeGsiaj1lIGa8ltuBTC2qjPT+aP/VXOW/oZgw5LX0fyqu4Wk1n1cEv4oZ2fzj9CUDlnYZ
e/jIF8ICnyoSIBhJM2h2E3pyk2PCxvuPxMLqS/XfJMmY4mVfX8RMxQvWxn31UUKepNuPTF4LE5EA
aLloTtpGLxbSfXx8xquttXsT/ZH3r4oh0arta6+cOYRGoI0m0/smwcDM6jEQyFtu3oyjcpD3/b/g
Ae7ys/XXXhjSz2/nour/E5zipwLXpAZkaqPJFFo2oLuvIw3o9BkSafnRfrL8z/aDtgrySm+0N7Fu
0eaFgfnDkD4abZf9wRhDls6haFXvLRSsGyTHKx7VgBf0VT56I4JqRcA76k+7Ukzeie+WmeZLKGl4
zlxlAR+TtD7EpshmYjtwSWSmj03vjAq3sHdX2RKfUqLaEpSMhN8HtziI3Hk+YdH4VeT068Wl8a6+
XWGiSc/NfB8dLeEbIZw6q9sdtAOfnCts5AnwoIHNyTfmmsfQoxUOijcSR0tKm17Cq3m3pg842/2W
I1mtUf7ysj71JOyWJPTxQqVgMiIkX60b+GngbiathkjgAZyzycmtgospRGBEaILTYio74/xe5SUB
oIo6162SyqPXG/CsCML6eNVEPSI7s8is64cGsKGvyCWv3kmWdF2dUqLzdQ1gvOzy1nkD1DajRus1
btMrPysPIopv6FgKfsEp/IsVwyfsJp+YUqmsyWSE/MOkPjoiMidT8nRN1BVop78wLUuJWZIETtUu
xDOjczLwaW/bnpLpS7zEjvJq1hdloE9i9Io4gJBvm96NqamJkzVVs7u8mYdcFyhoPjgThgWUmKpY
cmZ5klErb1IWnqNw/jNVPM2TtbkPCPc6BtPOcbsSzHII5EpjpJFq0yx7dUcjE7hm0eu4epsUgEHn
m3BaIJON126tUUfpcAPdkIWxbG//7XkYl/uBSzwO8tDxtuhqqm9tDrMmA47jF9CAaTulT0ntRvWV
aimN4scN9r5OSnjHFeJ2z9pnUkYoj5bfrE1/XI61yj4ODVTBEBZS+UKouOu2+UitJfakKrwP0JOi
6FZLoyd7+BVPnM9W4sHSgM7k947bAiowQTCF9vcbSMF7yZRcCq+EUujV32K4ss4gSrvk8W3A8S/l
po6XOyGVutkmSoOdIwferM/ooui0hogm2Twa3s8qL4CsXgG6f/QMJsaUFLzeUVDoXvjrIYvZ9DZK
q6lKtqCXRpWGrvTZJbKiZG/IbZOATe2D/kdAD8ot+oSSN4Tgl9Bezmve4IpNJgH8MNw5N+XrZWc4
L3dP2kxeTwFa2ljHLAPAdvkvWrlPRDl6OWuFyGxqioQR76/Ix3RluEsqqfTPUX744lCcj0wG/KOQ
+q/DR6GFUiNcxEyue9xvmLQrhC+2y3IiEQmehqMSVz23zNm13wMvOAav9inCixAhrmiLaEV/khG/
BuDneWoy5gi5JwGU7q1e9vA4BBkDrYQ0bfNoxomuNXyO4C9NLM130mhgOOCEDSuMa7bTUpzlihbg
NhAuigV4mGJeoSRaleBnowPsDCExbWBPabbf3K/7Zddcc2un8NIo8OirT6FZF9XmwD/c8eX4Exin
YpHB7Dxrj/LNqr4k7C0dGtbmb3CycNluQakmA0LtUcSA5R0QKNMSfb/SuRnSDvICzekU6kZWZTfj
mWO0T6DlJs185L9ovKTN6/HSTATRlnGVIYEPY8BG3BarpwwR+k95e+IXG75UlWfmsEzNwX8jFApJ
ZAl1rWiyAq78EhDsqPi6/9QoD6MpCEJvQ11WKe6/BBc0oGSrp/SI6v1fuou2nli/WsGT6J9oAcG5
P5xPl1BlfNqOWbO/uYEvXDmajNEEcCwP8lUWB+k1xfTHRypm1icqp2LiZb3yznUsIEvfADVYq/mW
KaUXpUcbAYohs+fRJzhhwRTL9RaGIxX1K9MDkokSeERrkK4UNXvMzvUZC5liMOeVGbaTt8o3pTAR
4/5+sKPEltWJn7FyFb5gzkOETVM/oVWWDg4iigIKRGfKnMH/ognbHYoPtN4BC9EOmnKhfXgPu+GB
XhCEL3OU9ecDRYG2/bXl/mlF/N3JBF3SV/Z9YtUjiv7190mEmIaX3Q1grJYrPOOmq9OXxQCC31Z2
gTbI3yxFSqNL8m4+9SFC7ZLZP+ZnwTAyg2jV4v+PSpNyMxv3mEx9m0+mxxvwQiGRWYXaiYXT14gT
EVTNLtRVfx3+sDaMpww6veo8jK6yMtO0ir0nKi7D9fhybazmGM4q3KE/TI9QX7aWURxy5cAAV5dS
UirgLB6WFq/8pDhBXuInasrqZLQTfnZEMvacQj349ie6DuwqMENf0PT0Q9W/W/CSXe97dWufcKyn
laoM+MP6ezFamJX8A5evSkoEOUST4zal/O0wFmvpQ3XIhSBkgda5ZnLnvVLszFNIWzIgFkjPPpkU
tz5tMGHS9ILQenaHTqH/ScIpdhC0h/R6iAK3d0ugzAKda38P7x3nRXV7HCCZYGWje+jgxxqtmot4
mTb5JNpI3+ZZY9Bym+3RN1TLk6RIj22MFTZKaNB2+31LmOa5DpnTRSzlNpTtBI/KzsIQ1HXYzw3v
zAQT7TFsOw/beAMB5RgRqYHp9SC5xkUQYgR6YSa5QtUqgz5AUTbnOwveIVeZyNbc4AavopdfXvIo
d5uUsOR4Q7qMoV5d/71kptHn3fl+K+I3j8poE9tUJNv7+pL9tSC0yQV8VKUO1FbdmIQ97lSQCwrA
x+2u2bhcuMXkjc1dzy7kEvkDGGHgPa32VLDF3u4aervzUQfKJ7fN0a29OFMnYkkF6lri93s3Eemj
5b4ME7nhOf+x1IHqXcmUM/XVQsKZ3Ldegtrkum8+GgsrPXyCmiVVnvIje6BjnF1fRJ/XUPxdIVFl
NW2DiBGHde6uUs9UYm61ZQzrH+y3KsiPzvxlwN/xDb2XuTT3xS4B7asU6t0u/ZaesjkQI4pgFrfD
jBSeuxXjxUXX+mfR0RYM0LBrmelKazIj9wY6B6rpSpEX5Sw/V+y++bqJ0P3rTVGmujX76Ec5JeH7
kMnF54Q110pXqB18XAgLVQpNHsDltGsBBSsGasMoOwoXPUU0f9TdrgKMnlPEkD+QgZrujfkqADVw
UosbLxZz5hyjI8oDgZEh0TIVP2sSvJpbpK3cpip/fZAyZmbDzMUAtEY3B1yx1g1UB+yWtXqR7Y7U
bxht268+pZ1HSrKorkIXqbGO/eZHTIvqAKxkrE1X2yhPznj52sjaeBbT5VwiR/ejGGi/XJra5kh+
YVZ9dHFa7RRn66uXqgQuBy6Rt0IN88QyJDPDLeUzEuiXoT6rP2QgtY4lMwlBGo0O/aZ72dLJTdhm
XFTzIH6anmZ0ToGgq667pzEXw2HLyQjEdPZ8mHNPK2d8zozb45OUqdkDWO627jTDBB+FQcxDz7xX
eQh5tsYJxtsodNYTKquwrlUHntGXSlouiSvXZb0aKOLpu/NmQWgF+Cu2Df08rCqa23+IDX4xsz3h
77ceUnvX/HaIX3edRrRNBUuDsjq6EdnMhYdpCsyEY0wFPEok3I5rQ8zNwEEkjqWYerp38UzSLQeM
KyK2aDLNgvEMdfrZHsGq+IOJHTi06Asei82/aSZ4NtcJYNsEaa6TnjWCwyJybLEYPznvRUUv/PiY
JVcTQ7rsmixTdyOjkRgkruprc2fW/SbYK6TKAsakFzkPuR05cO256tTdLFNJWt4HG794H9qbU6lO
caymqDKPF3+vE3xzZa051r45q6KvS4rwehdW9ev1fNTT4ji3MKT4B20rvC0zTI4bCo+tHLvXoAe0
jcTBvvjvg/bZZ6O9EMXuuJhRBB+gOW6EPeciQEiZ/kV31rN55Bq2FylzCzBqtg2+7dD3Vp0iAgBk
bcrSM22usG/wDoFXMR1yME1oxxqFRRPlTXr9IxwkRfxf0PWYOBZxV6HQmdXy5X7fmR56D+gZ2jNq
FXAi7emaLxfe6phi6uef1ghDCQz6a26cIL3OroahPE1twEa7zyotwoo+SGIvK7o5kxx2S/a1oqln
tJhakAAqsJVFZFbUPhPfCUA1H/I5NAc/EROZxycOe15svbRPrWq4PjnlU5oKd2FNfJBM8ahd2X3y
AXUWrknGwkxUuvWdFasW9mAGm3A3Ws/N5l358qXfNy/42jqdHY+SfzdDGfnwrkN4xb2WajK03t3X
Fe57TADH/QPS/0/Et1nB6mAFT5qwEFjT9NTaZmnZYhP7Gz8KtFpqkn67tOW9w9PevwlqMh0ZOmG2
HUSG3Ag9QMrDJYwmok/Qja8rMa/2l1SFTzJugieS1ynp+NIYOoHo+9VRyGMq0ImziJF/G6xb3J95
4DusXFa4Qmh05JgPUUxetGgmSz5Q0j/dM844qoQsOdsRk0+XxcNk3bvKy38CbpMktwD2+66reLvF
q0IRLYzfatvCqMF7wMxys6BzHvk079lbgE3DUYsdFAqVyANSaBfHADLi/Abo+WRH6/6JyWHAvlvi
kBxRHUJYUy/i14xbWJTbkzUOKYBXNRqT31yz8M7LBiXTWgZhz8A4lF35mE7T9y6hYWDZ6xDJs8A7
DgEpmJFUs2nWpAI2qEjXZvoMzVw2ZEV+LA1AwQqIUoCDlMSxzRxBT2iRiRbAr145AA12B5ltnxns
nWph5B4KUL+qRB22urfRuazRsw2tL3pSlJLJjt6lUfSVK75uDLRtbv6FO90f/HaQPvx03chFiYTm
aNEoxAujJm4e2SJ94A465qpC0wlsL8e/XzQv6HA8XgpH0qjWSlZ2mdgJCbo/gfzb6mcGu10atJ0p
1QkZcxDr3n9BYIliPqVlC3aqZLdfHmQXQDMd/VH/9zizI/AFnNgZeh8tv6GMXoRgy7XTgqVqT1g6
9HTgWTpW6wypCBgtTVp5HxU53C6ifmhilW55KEIL3JB2b8FB4ENomJOJD/um6NYJxK89ier1Jx0+
jMUoyugeiIbgbD5FuobK8Xr03WWYQWJaZGPKaYqnYL1hLk0Hfbn9Vabz1oBZZiZzV5SNxfAAWuOk
rz69p9nu+1gu4sYDaa5y8iGjp/LLO7UZyhwAhGLVfvqj2FCnCx2KM+YjrVTwfVBfV6kHjfE7qIL0
Yqp8TlNnAH9VIuLAy9pGTba62H87N/6ExRFM8b5+NsHhu79Dh0HPXiEGuXDuVU9/Ps5FY28qLNPG
ZOoBldQDGChO0aBUjG6qS3/QVnqwo4r+2tRLilrzgleV9H/5CbML9vbG+x0m0XbtEJeO5Shc1kVU
7OuGIJ+Npvt440S5OA4d8TJBuOtSv2lQ68eduU5dOuNQuS/TRm7R1w3cpOpFlh7FgmG9WUiu248O
lijy654hNJIytYwov6cGvtUNU+rboDR941cXtf1rS0xlBHCK39WDfzp96Ph9ERd78LEXJBDMSvhm
ydk4u15eTVHH+zKSGsHmSDCkmcwyrNVmag40ob0Z0jWlx2bZrB+FHo2fcYUPMTlj9cLG1qJEsVtf
7u21PKS8T8MGpxqZnPwDY50nASV3uHxXlFsZNcMXa47TR0AP/4DoYkb4tE/Nb+CJA4G92wdME7y1
abcam9AmxyoviRri4F8PNv7mA6GwxfExyv/qw3cVhfZPbV/5Gjiy6WcYlN3tXPm9io+MRK9+f0aq
01wYFY2P3GGltUbr7HYMojlX8DD6/N86z9GHM4+HWj5He6PzCCZX8ASanUVr2wF1nWlLcNynQ94C
0nh4r7sCqiabLR5ATG+yF1MhPztS3pQyK1eeuJkJ350VwzFNPln205YZY5kEwa5D25EjipoOsNv3
aA71f5autMfAz35nMQ4p/d2zZfYMS187ZxqIRBkDUKRcovdDUjnmt1Hia48xM1NDr6u2c2pi2qoa
F/q3euTlPVk0JO69tkizo8NQQZcCqVor9TtrpgM6kNG1NZEW5j2RvKd5GFdo6UD3+gSLg4xsugSM
unvZxaSAYe3bvFg3at+4caZaOffUX2UEPpfzw6kSZAXKpYJSEqt40RJ+AzODnAVvOqMEc09134sX
1yWpqOOb6mgMyJpoTxgre1FgsJSYtFDcFjcfLLx5IpDx31CV6Xl7viNJOSDbTUinFK1XrwXRPPr3
ph4H4FItUitpaR3CfI5MhLkX63LG8PjMv3518/D0Bo2m1Ok+N4O+Anp/Ox2qCOnzK7H/bc6QX9XD
nQD0P1tKppFliT+1w5sqBMhSb7CCFLcSlmn/WeKFxF/N+kQElKm/hi/TO6zoSiVkUv402EwXNPJT
3QgIPBED1v9Fi39SNQbCbLPlptMhplx1ArIKOuOpwCM/cLVchq42O5HJixV3RX87om4mh2c9iwHS
tq+atMEiPaHgU/+Y/uA56vQL6kFU68EVdqTfVGDFOQlLBOi49HDsVegOKYaxAqTCmE7qvvTeqBsL
t0zpdo9iOYZYqiwzA4AaQkfGT3Scyzu5MqsdLPQw12YJsB/tABWGkbWe+r39gDTWZaA8UPyWp9td
Wz1jtJVH+O6jo6nCv6AGIoTrlZfgtlTgs78VG25fOcrY6NlSCtQAU52bBWpovfj1sELivbBYvBEE
A9y51OVu4KqjgZP4V6LMKUij/QrT0GXjMVoB4V56K2jy3lcnnvuPKW7KYeBxwjw92xKRloDR2FxV
rOlZCcIo7MLuPCbDg65NFm6CO2iPYRs2dttfQsB7wbgl+PybVA/9MkD6gaA8Q44PevgaG5xUyNTp
33BSeWgVJXFFVm+vKiY28x1BWCM6C8XeMVBF7susAHu6nIKtQBgmrSdxV7W1gwHHn0tmO9lkY8Dk
B10m81APqFke9yACuF2RIcYNv86VAvzYWQ/ECRzjvmwCnNKoDihwGYQyYFGi+HLJG6vQvFNedwBL
RutduYgdm4ahShsVkIo7BmQBTwulZyM89XPDjsWojnIZvse6kJp/hcw+pTWAGX8Ioic+8zpEur/A
+pJLnNQMWTDk1Cvuy7cmyYiQ6MzkUSkrnaZEIP0bX11E+VcTIBR2ZOu5J40ZATn02X6zWnVmkRDs
U0mVm6o9GqtEHFuEVmDmNLcBX2G711Cf/ZoZh/sQPpjrVBbQ+Ii6PcsEch0sJvlb0C3YxpPBZCaV
RUhUlXDdOHznC6BBugWUXhhYBZGXsSgC8u6Caj4nD1lYeqceORiFLdrZdheqf36E/P/ZftQcvMnN
sJMs3HTtgEmqSM5YVcjAEToqt4Vu74Wbo21J/TPHZZLqdNgoYntbBHH4jpbI1jhjwjQ8GhXjTuZd
N6e44Q1Su8WfhrMEscT7KW0/ni5E1pfmdBsEdWF5DcTx9CWocH7MFdSD4xvAxZwYtsDrVoNkoT8P
+6rpsScpHBRXo+6McRbLktyHpG/cJr0vGLRviHhR2u2n0XyxdY/XVdQgx/52e7fiOhz41KivhfPK
j2rjBOoMIxrhuxF2itqzun9CK7hVU8CanS7h/KhJ//t6z9tZVtvRepBzCUwGP0Ox6FhX8IR+yg2Z
8jcGt+fm9zoZ5T5+OIKp9zinmPlj18ol7ZdNFJidxXN30MtFapd/VoEeJu1tjBXhkghlvwtFf95v
EZoNKJZ8RSqsQlo3mfMD19/fmq/6xlZth85ufKBhNscFTrl+yJGYDk0WRublLP2OthcG9l9PGrCd
uJto6ehwkqp5PAXUMWFZEnd35ygPQ/86AOqttUX4ZVV6jRvuZgP1E93DoVQptW+Y5d1lm0Uv3Nn9
E6F9UYpb3i3m3kn4PHW91svDGgqzbXyj96RLdFif8smD6BMStttWT7jlF+AUkoYYGijJSCDFnWGT
hcBuXVyXgukJt4+HAQ1DL70kxuShykSNeUmuRH3qgpviyrbLcppwTF3D0zl6fltD8c8+ASE4JP8F
9nfkUsb3/ZPnEL/9M8dPBWmEAl3gRR1rQ/fqSlD9PWqJxcZtkbtRLwBxkzYm1ot9G5TGzZ7NZzXN
hvauUrtJDrtbVPLX3xgJe7GWWdYkNfLn8FEdJCoyJQ89bDbkt4VmQyX1eTpvdOR5AZkCBoQe/mRD
HoW+yLN9AT/P08beEgG/DKHWC+eKEYm1lkG5hJ+ZiWwXwK2e7Hoc+ppWv7isaqI5+Uc8tdDz5w6p
0Wp2UpzaFS0Ui6f51EtN4Q+B4x0TqwGoKJMPdbRUJF1mhiLf9SzgAOKYjmqaO+bj45JFYwN1/TFD
rK7EGZl0nhDzFvoBwDMvCx8E6qCCKpf9Hi3Xq7TWhObEdIxaMB4Ks5Xj7iOF2scNkMbxmH4zm4vO
dG/zf1ocgEAK3Lpz3h4SeR6SXU4UCinlgHgBW7yqFSqNSGkzZvasZXiS3XLzbKWmQsbLgepQGf25
MMpVmSPbhSvD/fuL95sHPwfByh8l+BzWS9R43Bot/L2gqIfzGr1bURClSF+4bA/MIM4y/uKm4Pt4
YsYVWllUj5JiK4duZAnlEP/Vo//lK3y7qGaVFWtwYd4P4ccJTVY7qnBYqswQfm9dGpOUU+dJeIHz
fSWbqsWr6OZ9EysKB8pBcDTFiOF/HTf9TB/XByHKJNBzxHoW/2EV4kYVmJ9THEIUPLpiZo6RKaQT
dx6kkbor3B77jwIquKTfzGK80TAwSsXa0xaerod1sbdPrGrMbc2kI03UACwp766NgnJLAPeHdtw7
w5P2bcwNqQrePwuXvYjwa/tKCWkVJ4lksdDGJUGPx/KsbB5T/b5xAy2bniVY6IaY8ir9G3PEc5zR
kuEk/3u4WZHaBeByorEssPtbbP+ekB2jHBVIG3jwugeDYQ2uLI4bXnjgFF8UMtLGJyx9HgQt2dGA
9/TL/FDsZfONPORkRi6tzbt7PhNN+Iptt/UmuPsT2oE3zlhDDGGe4pZWP0/bnZ9Ji011qZQu33O0
6Xi0/CUqhkl7ErcR69jdVzv/GIcxQ7vt1Yy+FYX5ksgyUoprMYFcLTSfc75iqHinUTVlj40h9qTD
B1sZgXRS4k1PoFaPFjrNrneuFh9CPuBoEvDHygYfa4zANFvVF6KZCjxozR92pL+RVlBxUkBIY6fO
SegjHGalbmDmH5Yo5UQEeSKdUFDUANytzMTUBprd5OjyY8c4a4LPYDZpLIGYnaB0lQaymorNB5q5
mnsTM9d9gdpTnyQGeEqPCqmrrgbBVc0kdAPDpRoato7oQtU7/g2HZv6pGdCdEid9hie+w8zRRegj
fd4/3kPqIqW9pKCAwtzKL24GRAFgREw3oqi0sCxBA7VyjqIaiIJNzol1yPLwKmQRqGabFiFTQ7r0
aoeC5t8mejTdW3DeHd3K+b1VB2xIRlaLddDdjtDhLZddTaTzSR4ts/XryPpsYCGsdcdKj10xs4EO
GC5h03oHUsmkCnCjsgqgsqsfOKJiochhw82F8SKMSgJCPpTsoCxFiCcFZUE57iKFgwpuEWYVj3c6
4GPHnbUjFDQYfFzG80gL0GjXsZJ1UNo7G9SzweICFpZ32wQZuCPtLiGNZbqc0ZEPywsQ+1+v7M22
3tYcURH2RA8yp0H0LvZoyqJ9+HfNgbXsylN9uskHLtUziwEK/QhPvXzXA5AcGjgCWc2GzStxLAhC
0z2LNr7RU3gheYnuXDr4BODxjGMhQ98sduewlm307K/lWaFX+86/Yh6iWrUU+dKX4WFEIWBdbhuC
tjiZtuzOuT7n82ERY+7Xe400dsSVHDaMG7pFYuhS773UlI9ccKGID5rbrIVqDCSCbviJvVyYe01z
wCKq0q4SaR4EE2LgAinFA0Y6TL4qOWQOsLHG81RSrCCPlfY3/U2LQHXHWWFvxMQZyXlZSSi35VTz
BVhA8gtAROv6Q8+V4Rq+4HmQY0cfCH3bsd0rgQ2jh4dqDPtLv21oGHc513FzpLjMVArLGSvoQWng
qqEZOXWsXumV+2ACN8u0kl19L7j6dbB1aF2pNw1e5ABx4OkLLgNnJdcrgiCHRea8Jfr2eB+Q0JvA
yDjeds/aWTfc42zINwPvievq/NdO6YVUFQFtGu7RuOH/ifxGQ7bG234RHD9/PSd5txfENGY2B1oO
Far5LKhsxefxuyt3YDUii2Ub+M0tswwVrvGMwdGcNLV2z9dAhUYTd3IDPk7R5QvGM1b/jc37X+Lv
+2+cegnwibHnSMC0Tuves9cVrbL4BlEZeqgU+CYWOdqZJE98PdnN85XMRkY6xY0rBXV9KBTHQQks
GNxXyTxmBUvZQ+o2nvj8FPJe/HzSEt5IzKr0+IbShZNOL/1mQZQGj9sNLP+T0hUSc1NP+xknk0b/
PzIuP8Csa9ONtxXvzP4E08OSY+l8v2ZTJcQk+mlFXeXi72XZcwjXQwNsmrNjfnLiXhPntyo2li/1
jY4dTPbLTV/R1RXvllF2LfT3Jzl5NZKmdmoQOVOAcFhdF86ZhjERB3+4YuPCkUYYNUiHBmKiaE1O
c9VODx1lS0ufkfnoqWKNzg3oW+VxqYU3G3YCHlEROUtBwui3+0ZXZRWJdYyv4w3NSCXIQstTFcTK
eIx4pUH7vETYOsLA2gdsg0OulmNl+s988OQmiKJ5QGkRnOKt5LlTaAeS9YCEFBempPJcDRplflfQ
lIT1qmvHDVcoITDqJexYfPjkV8QbQGXEH3Ek75qhyXa6VtnkIKrT1ZE0ho+xzH6SKzR8ihifjq6j
0SZhsb4RzHyds92AaZI+4qbRONIV8mkYNwCCPX7VBs6LuFst2IVWgcAOgC6jbOx0yaSjk2VkgG0E
GBbva15pY7HhX9cEMgsFEuNXG5CD2SzmXjetBD5DrxYcVx4XDcnb4pbI6fW+J7gtVIbLIhIKsfyZ
MC1VyZ1CfJS2QvGbQGWoo311RNMI2ngqmpyav+8QSln80sjwV3Qki7ChO23erW0wbVGWFX+HweQ8
D+uN3iAFB4ujz0pXM4IXV+xKvk6dnkWIqpS5ekEAL9Sgf8ENcXUj67m4IFFUWCArjfH2Qp0eRvYl
ZBQ7ZyzwmKcO5uPkoE0SlC0p2csq1zZMtgKbVzbxeLYm0/+FDHE4HOcFfS9Nrq9WrowQ4+JRIFUD
snQUQkVGbkZ/D6ddhz5KyeSCFXggUScp5jHWKs87g90NuCYZE1SY0ge6Ifw4uiHtlbxre+4WoA8d
/Qw/tOFDsel3zFHhBAhxut3YVwVHtdi4gU9vjpDOFiHH55HsYlEB39k8u8/8MO7GRlXbTmO2ZJNu
eVeIMAaep1QDaDRTZpROhQDc6XLfgwrbLtvrnT0F+VTaZ3119P1npfte93vIyOCS7D/T/1+aEnon
Mf/joXdpoBMHYf0AVe0Z1kyOObibw0qUX9pMSnL2X+6VhWFeDdBn9tY1zfZla8u1IQ438vcCcGDa
5DAnNL+dRTmkqFOwJK8uMm3/EXV7cNGguZuwIx4hSw2IGGimug59OFOsrfEwsmZbE43Q/LigQGXL
95bWlLi00ICV5nGGsJ4kAZYrcnF42jWL0XXJVqPnKEDzODkcfv7Y3XszJkZXGr2M+P3LHDs3GIqI
Q4UvN1A3EmsmMr70Ij8S3mFnJ8tIOHOai24xAGrJT0bb/zzEB55PfqLJjqjIKqA4kcr7tnRnGkG7
4AKjRI9S8D/nmSHPYOTZyvaqbjNYWL/xLKZWstTGATRaYVTrBTPSVMcAp2HvTAOryksd+94C+mIk
94boziOVkvW43s5dcdkloX8KSzIrCkijJKrrZFFnl7jDe/4LOcZDXFsGz7W+2IUMNDk8pS7tleJ3
mwJUs3fqt622J/n0/rcYIHhhinKPrHoRFvSFdGNAzNhdhZcf/1K55PL6AfcBGeL/LyB9vO11ZC2U
+1vnV10LrfRn8xIrkBLU0gFQL8Ia9nNuO54tFMY3hIvqMsERTgtoqLRUGyd+XYYAtCpwAs6RjB3j
m2/mw55vvU2CUMidPMLpaCpeyBbnTe8Y4i4e/nrC+FoAYjjbzZTrdB5A4RDdGuvPVJ+LdwM94UWn
nV456k3+muDMxMnuSdqaENUqxiE6OsFy0pFz3hKSL5LbYs1MJ79+aN7IzsaMM+0S9UjctUKmXrxH
PxA79RdfMKCp3V0tgi9KdduMz09C2N5+vnJ/1BpSOviyYmn6ows0LigbpFnfv+4nZ1c/fNn2wsRF
7GJtxu0mZghss3lkoDv2p5Vi89+HaOCf6cv72wrGz0YMKtXfmEtPluPFkxEAll+b/RdTTXrzvD+R
jYONbF8bpmQLk/hT9h1Ct7YM6hsk+bvIkedRq8zQ2FjmKgTRjlba2c5S9VXIX0ewmfYvelgSAsG8
Yz7FKY08a7TcHNtZzyQzc1hzRXAJaZ/9VGQ2KJVtPV/Q8ZFst/do1eQ5freR8X3bYOj9mCupaob7
TGAk14rjR5LfMECwE6iAfnGGggdKv3CgALudmIH/gxfx5dQxrVFVW9CISsPEB8c3Np6Qf3NuzVD/
nEWDi1bT+/e+Kq9XTc2E8ttKkkLc4L6turOu6h31C1uRAwncPmt00dAZznte14/AXJpJSCS1ttB+
Cuo6Ty3tMwZOBVhBGliWkFQbwYkGmeMivJFVflRaWmkjEzT7Cj3JNLlYbONjHtoAAEL82tNpn57z
Z/39SKSYgTxiw+6DzXYiFOgZ4BXqFQfNq2lgtVIXQ1uW2BFZFWceY09bh044vQHdAQvPdw2zkB2g
dbz7dMDO5SPtd5YU4ZLIS3c03ePSzC45hvgM8o1URjQvD0tVK6LaOElAEiaiKPoNncu4F/CRLGZb
Ed+a9OzStHJT4lme0Jb70DcHED8GPCjy0IQh8fa1QVlhDh5bgG/WGJvNDLuUcHgTztedJP03y91M
ElkxT0EJdfE9NG2a/ekYqJTemDY+qBLGT+HkPNkLqB+eCqmAjTzDkdRFUpK9M6VIwxVqnpSTmgRn
EftcOgqvlzzVg45gB6EeROPGht/kZsnzryx1um28aBT7LywubGI6VjfVwrIr/R+xDdACcIyS4o2F
K0rL/d7BKseIKKfjRnxiiY6jzdJiz6LzFB8/dqKIfNyK22CO8DQIkFo6/E4uKubhFo4DMmBn5tNl
Mn9+9sjFI1MtRNOBoJXFZdi7jNOjKuKvgYIf8xl1T7uzQw/1JExuE+0G6CGdhktxbxhxdRIfWtjI
2zzLvviIBVNrudbLe8p/uc+2a8U8sb03dOlSKizUtuPPit+LiDRjZTRGw0tkxTsP6j+6JCMFkbKM
wzkoCBwQsNsjItPrIWBTL/ZFASPJOze/oRt2oSx54tzom/ouGE5zosSzNr4OO2U6hOVwhx41zkUo
zgNcBf7RvOnBI3HBUHLrvl/P2aq9mvqPaBJqDQjNw3/xJLVuKSTSPqufsb4qqK2SuS3JElIL0/SO
GMSoTAleUUbrY42F2U45NjoLfAaxBbHgO13dykEosxA/XCq6E0MQevsf47a04WNciz6M9a3SRYZ0
6koprPPxSerQxT7BmDNLuVWgqqw0g1KTqrfnVztPIm1eqlGS5M0uEigRk5MvJjBDceeDcVZG5goi
hQMeTIjNDKg3WuF/1txuIng9/GvK8tvnVUX8JxaYO7Y9eb2JiZaP25XZIf5GUkdK7OJCguUjWk3E
7iSgA51QrPW9Vdrs5cx9AOm9eCID+IloT0NDhvP6p2LKYIVj6TsuknP6yOyWkGodkTjMpnyqDnHQ
j3aziMHjwjxNVaygikd0SbWveK1fezvEu6QleqheOTgjo2P/EKBjYYIHoCthc2/scG+sb0hsdz2B
oL76aBVpWts6lte2GuXm8WHy0se84kPgmZNrW6ujxz2HmpVwQdkiwrpvzkP+GaAz+xDVzLCrEKt4
8wBL6mDj10nus7xdyJpB3+kcoFaqXnSgWIRL9YbSb14kiYwLhAX0v8KaYEwQG8G3qaoxbNGi0q8V
hZkoAfryIw6o/nB3mTo7Gg3NDJPJgrj+0KlAXYl1jFc3wZ1lmGEvipJm5krkvv3uWpmfMjAbmSQl
2fN2Po99BhwVKPCCOamYFAJE34g4TCtO5JULqapQJU4dHs0/GrP2bGnr9ZNNn/XzMcvvbhTlIis2
CJmrIoynno0AYh+FiLK4MpUhPFUeIQY5CCgQAdWy7h105Wl3WmFzuPwl4/R3RmLMykdED0dQWUpj
DzcL+3WI+17J32iEPZrVeY3Ptq+1wnluAUyC2lzHKELLfVwkkCPplmkyqrNwvPRuXexzdKf1ZSA0
UAbypwOeL9xhQ3a+FDiG9dpSTAbMizor/dY2bYexMjobdAAE+x+sRPyyHKUIWNDaI4GAN/1j/0Pe
BmR6Puir3lPSKq9MmQthNpQCdsVmYYLcu7XRRXIoPBtAgx72mC4lW1R0FBvXEOT8fabTMX2m2hyI
pheWNKmcNGEvAnrVxQ8PJoCfdaAiUj4AVKMP+7W6vrE2sObfhIgfW8g+7/fBBlhCaoq1QyteOwOq
+5PKrvnma8EygCAcrp6fN+1VLVSae6yc28HHB5aqO/kjbZz66Dwd1QFuw94riH/jZZfNNErIf9bs
SIX5xM2TKtFlzDpnLsmY4lQO8ZF3EWRf8q+m70EM6xphqaL0aR/gpY+Jl6G7yBkwv2iqiy4U96mT
PW7b3WpTlNMs/XeNVq+ivHGufH2vGud7NBqceif7mRRKi6KiNTRP15C81UeQtV4uOssy1LxLfXkR
R7VX55jvx7qugWQ3+Vbq9mwuI4oO65uZ3YcpklqftUlijjOIRwF7OO+FIOylVXv6PMh7LdjuW6gv
3gKKKrH2CG8ffHZJuxGeUnFQhu4vC9YCU9Mng2DZU5BM8G5ACQW12hz9t57NhOFDveL3e2TlA/iq
WdgfAW9DZs/dS5Jo/9m6Q2ii1NQlcNniQ1usKIWmsPKEanrFuIovngJSituqlOMQLBxG1/JFpPFA
2FDMHd+Ha+IYZE1Ka6wVgJD+NYfgRNwcl0RlzOV3eb1RtJx9ODwyog0bjgS1v6eohZqFsbCgPmWo
xPI+9sAeU7iCExQA0Kxe2uUOdwpdbQkTecepBk/5Ml5O0ESs1NQ5dnc/z2a+7IGJb1FGW0OMdiza
Bk40J5hDiZW6YNZujtNePHRtWxHVr8H8C2YErzlavtE2OaJ0DgOApWvtc40uUsTkn4Acp/lE5gno
cPOGVx48yYCXZNbg0eAImJae5hFkemHG92NAatQZhnAWrJoKHzfniz+Y8jf0jdgg5POp7JbrDotD
wycOEUIaRbnYjxQtTH2QX4QcRV/wkTe6mTC+Gf7QUFtu9guCfC8SKGGnOOhUVfaaXGB/8gZ+QrG2
Lsoe1p6asvtWbyXKCBEd9fmX2vOVuzYiRkUZKRED6we38mkAk3oqt1symrvtZb6gx7Ll29R+Hwm1
fPTUmbB0D+3cSsrldriQ2L1wL3nsvQ3xsDynmycRCWWNDLq0oBObLSAi2hukU+wkk/0yQ5cyKGou
bVi3wLtSJ04zxqhsPLecyluFvWoZwHdxHrhqsntligKqpkhhKt0Bm8jeo7F2O3MkHOxxarE2/k3R
4BYvYtJMlYGvwhA/3Jz9A28HXYaNTm6fAvgxLqAM48mpK8SQfwch/X97ZraCG44OmdemY7t0K8Yh
wXLHQp3Y0KGnPCvj7j/XtYBdWgU0WAaRbZ/n/hxh6YYBiUSTXNfgCtogSR8ajFfFghY8vnWEROKT
NdrsbQ9yfCbmt1M4Phh+JOQluUxzyQhfi1bXUZi95lQ+hBR4ziiRvlWxJx0o/f7gTYpf7VeN2y5t
ykKhx0z5pP/1SzZFt6mnBmUjkE8wEktT8EVvCCmfSKuV9cLluxOM6BIvULnf7nODtOfLjplneUmp
Mz4ihz0b8zPtryOKix3536VkPPpEu8ThO/PPAuts7CU9QGjKJ6Woo+suxr88J7ksw6TXlujioqXG
BFJ7hMSDXG8fVC0l+aPTmJXiQQ+5fmiGpppP4CjIn0C2wJUTAcKodNRbdkwQbL+l4sP50Xrm6oHm
nyWFzHgF0u6fqh4N0beS67qCpQNEeBE86uV87Jsm7MKngxjVIg8aw22EVvSdY71z1GnfCk2kzBym
r9Zqr3/mY0SPItr5QMfSHwAQeO5T7rPn7RZsQIaj1gQn2q/6W4sdA6hbR02j0k30q5+lrkNaf5Zf
h7aHabgTMX0PwBTluvFVnJKYCBwFfGOdK1S1ln51LMd/b31ZaXBkOGjS0QWVMjoKm/96m8NQmUPs
62iKzhb6D5VAUgxnh562gZGIXZCtFnIt7aJytCcBTJQst7VAgfIgB/FeQluotle49hqQiADXXr2l
etfnPRHzJ4Y1YWsVoXWSPCaSVusW4GIFudahpshNiwAhki17R6MpaAxLjXtgJNS3+IQTNb8TxfJf
s8IxNrGKsCaN5lX1IiL6/RgTXuesFGabMq693tGn+LmznnS9KttS5h2vU4eo8E7n4E07Xwj91aRU
5ZAMCoB6TEy56xk1GNvHDZqmlMi32U6hHWVGwv8NhkCFTIbfHiaGK8XQtnx5TjvHGRgqnHyfFa5C
gx5SGcDqLWGQXchhd+xZjXEvg7oWJMA9U+RfAn6+PNccjM8LnBDp1BgK9SSrD0Lgsoc+g7WrWBvu
D4vENGYMWwCwAa8vYKB1XdGabsdWYpBjbDXl/m0gGs63cX3yKVmDHMowCccIO1IxOZOOYb7n7Ggi
dM3HzMLG8npgglvwvTtZ8kLxaCJdJQZ+hMRJWbTZIbAlWeFUg6NfmO7Kf+JU+i8Naf/3oLyD077m
ddz3GijMGOdTzitV2jwqsXsDQP/hC0iFL23d3W+mxR1Pbb8poOVREzqlOK+fNg8/XBPXKtALF4AV
TnFAWr3e+5M0ZiEmLARawXxl/cYPVO6Lj03YXpZre314Wa5U5UYYeH8hruoel5nVoPD+XkBgMGAB
8Ko21crMSOGXTMOaLEQhsHbKBjtj4qMVANt9eYf6OM+dT33VglHOTrFC3WeWgcw6yFKXbvLmgMhW
pgUA8gdE0zpjGWLDBPXlJa5rqgmA77IoABX06eCQI9NL6/DcqsrPYVp47Uo/bZfWOMoiQLVrD7i/
sja1w6lQVgsnr7Smmgq9mibwmld9x1ziYeKrRxncaqDz/yCekNh9WXMnC1aTXjiV2iFBdYV+3tcm
vk1UBeXb4Hkpc1UcHEJBbqMbztf/2OEBWxbcatOGy93lFbPFSUtmUY8DMtjGpjSoBRanmHdWbjoa
AHgJ96Nl5ZuzaEWWqb92jJZR5mMB1pI+SqxAUtzYU20JurGSvUXDSnKc6btJNROP9dxSEo2EO/+0
inGq3sm8Dnx787UCplI15BquKcaK5VHv+P73oSacQiGsKHWAkmf2wBnf/ee9pyrGwk3z1zW5qZQ7
v81Xm8ZW7oCdDUfbuNELerNwOMOFXm2+noR4IZpYAq4ElyW7suFiwPJDtzIvhinjjKD/2KkK+51b
vJw1Qjxes8POzM4uAX1r+8Qmyn9yWPqe8aZc1O9A4CfBCEs0OybOV4t4rR9r4tZ3w76wO+WMot21
JhTSO1JfY0GmD+qdALEKoO9Bm8DSLiTnwWdnTT/mG62kxDPE2FR0vrTsMSaj9YJSTwLTnjvb2TPw
gDjp1vvwL/8pPg9zt8qSj6rlTYIK2KpWrAk9BEjzFgPxEqZdzsKWXsHhjS+sXS3suYAvNYl3c16K
oR98VEX1BHrLVsluD5w3Ww78skKfBDibQa+f/98JQRVE32aAowtYKU5hBa8Zs8tShta++8tnYBYr
zgaeZfGBKXzipGafxjThNEc5NQ3dcyHQKDG8M4xZQW0FZ4qN7yH3atN8NMYAiDRWH4L/2GCcVGnB
zVr+2VL5xxxRM472wPFTBmGDe3fMZqB72jHmZvmY3IWQ2Ko6Nn3PUtl5LQzVJnQXnE1P1CefDO9f
uQKXCmX7TS8mnj+bsEds7Rg+e6PiGBsFBVrv/CcmdyIBdZFpzx4BxKIJw1gnEJVOwnMNHC0iBCDw
3ImJ06McjzFi6AhEjhU/XZVVmi5FaRrcQi3+HqLtiKDIcFLNd9+gAIrvpfEfjt/v3tceC7WmUJPw
jNcG84Gl/QC81JBZ5A25wAmUr3s5VwIyFUabCW11DHeW+Pg82dND7b7pCX5IygQsEF6ZrF5xus66
xMvIPKsf8zrq9jjBOGzqueCMfCmCulaXTG9SB6NeNbuxZrxZuBZlq3WEiDy1VkhXfymlVbb+iFzF
j1EYxlvzua9fh4xxDrGLTM9oLBx3ZsDJRKK5MpqnBpfZh//cmrvKt6k0Uz98f9Gc3kVX/+op1J+h
aB1olrMMFQNSxbNjAJqeochU6pi0n+2PI4qRK9MEALpnZNRzQQmIRI67inmx7ak6IuynovYq+H3x
9od5/w85/183EdNaJPhebLIStQk87Y8jj6Vqd9lEruiXu5TLwHmDFMBhZMO7Yxf+WxRwJe/KCWZ2
ZsHV7u/gAvDdvMe41whVPHmEshM6hBTa/rKh8B6Ov6mNy5S2l6AZ1u5Kb2kN7Xm02GPXrjIh+BQy
17Zw4wPonukxspHumyf8I9wLmWltH5rVhlx3NY8zgqdCJGPE5yucFvpgBBggoOlNX2jqt5WQTJY9
R0Fp/zr0gTYPcdK7+h90TI47QR7dMHDOzrOyTHV75pqmDjrykF6NTLK/M3rZt6JvtOubSDu34PXA
DhNuGHBsbfQ3IRdyRqfe3c4BIsMxbfNMwZb6cZEqXgimlOJ9YF5IGj80HzGjh4REFHEz2viAIoVi
DLWtmAKtbGZbdYw2zZp+5S/nZ+RV4DoRcnIrLdYSlD9SxZUsWaklykbdtfu4auFc221LAaj92Ovh
tAADq9vVk/dZRN+GNHA2xEkkojGt3EuwSeIDVMxUIqKPMJ6bVzm7TicBwSbrmegO6rHx+E8sZYAm
Usv4cKCVbV3+xGWaObr8uF5P3f/PHcdWThEF3ywZ/YJU4qVdK95K5Asx7IWHN4a1WdCdkEJP7byL
SBlZsBLUZBw+o+OTFDeCyBPOd3pmokotXZJwcH1fR4QuPeOxiZgHJoRHxFA3IfvVCMPGzkcL28Bh
Rsy8eYIBrGjy9V4TPDHq9X95zOLgjbT/Yoqq72aIcbzZViy/m5L5z1Mw4SmBdrYLCq00zsILAd3M
gHfvTaOiiDhmQlHCt9jt6XXTQAfSJ1kRH6h/emZR/uMskVDXm7ri2mZqoYbGyyNaJM8RStIudSJ/
HvAjsx7km4SZnqM/k+0QiW6bxdzFarHDLhquQgHf9rn4fyula7LG7Ln+V7d2Q6P7SDtZJzDgGiMc
/duNju8Q4T+pLj0gx/kje3VbTiRlAdsQwDm8/rvKw4+6b62dNw/81KQs4C55NrdRSHL0FLptSVvG
vvWrbdY8IIhJ22lgIt1sx2HEWYqg5bhodPoW8Q/2A0gNCBQ5I5u55+p7M0kHE3DrZ32UX0FRjcEA
JAbaoa+31Gut3G/Y1q5EHkg7HVDGGcWYJ2vCbtPdAGYWPKP51mCAtxSyW1/4swhvhtavuq3xyZUz
RSkAJ62D0sDJYZoz12tJElrBJu/iXSlq6Uv/TnEInZ063FgOuDr2nn5umTk5pypviPrxzFC4wl5D
2zt0Ddx/BMYCDAhZa0FhtGFd9Q6VfEP7Y2FZIwym2R6BWIbuI72rqDY9Wf/LDxgS19PyQcomQJtU
azpfCDNUuISDVy7bfN7Lr4wkCHgnjNItF/P8l789hyWWQdCYYsI93vQ9fqf1AfRGHx5Bzf0X1PEt
wxKzp4AfoB3DI3meCnnnZ2sp9VP8TeiwpoZpzSxSi8bqYF6W8ERiuwg81T7ugAdvHcOg110YrNTn
k9d32dtIlaQimeRsMoovM1Kx8mlYDc/PP7wXMRG/ORFX1t/iu5+JZ1YulMRCIe6raAdFMuegAtD5
6rK8q3kVsfIHp6iFMYVES5LpAmNYucF1Ub+0058I5EzUmhTC3QN8vPA54CL6E6LrymjitLuMu8FS
Aq5gdlNM7QroZQLkjV3QmnokS8xI6qALqv7LIaidXE5fvkZNnYacJRZFjeBus+z8zLczq+AI7kEU
/cazpv+Dnckabv9k+J6R0pmaw7mpOFdDTl1wd8AVqMZJBGaNv81QTGZWaXQ3jxloJAe6XdlFwLgz
YR6hX3uDy499beNNMHfnhjnsIP7J5BhbmYoFjtzFMjAExzoMVWwbxyk3hdUGr10GGE1Cb6tkHNOq
PPG2yHc7k1bzoIEcE5MkJ+240asDX8JggOocQ2JEQ7OIr7n+Yg93gBLSLYib/A7cQ4uFihKjL3oX
93KBHwwavB4Z9Me+xHaHPQxPIp7R9pM7foyRFywVkNmRbZG7i0J/yRJJJ2Ciekw+f99xw4Kv0LiK
A1k73ZurLtQfOROnwN6HapsAY3fufv/lbVGTWwHnfbHsVUtD47/y+AvO+J1lZt0wRZo8o4Oufv48
LpOQejGg5+wVyyvJyxsQJ1z9A6kwWIwcBEyJki37o7FppJeTpHx1p1bdQllX4bkejc0fTj/HyuZz
TGPxhyH7ikg57VFFv3L/ys7VL2qEt33sPnajqMQrgqNx1XWXtkMr0MFmLcnN5LHCzi0v3kcl/lwf
LJ52weFFT827Jpdm0cYjV3KkcQCz5pVo6gQHW+2AHkFPzpWhbIYKSOb339oneI2Lhop0duACx59x
+SGVMUIxaHNUqnMI6brbHW33ZZRfV7DT5slU4vi15JDe9J02uSSOrktz0Dc3E1jXvcdC+pxjk7l+
U2nSuUlG5DO2mNnO/+5tO1H1il9fmOl8fA4pFYTOAJmMZv6l4qsHFcVHThqViPYxs0vRWHYrj2l8
X7fByAmQ3IvUxiDQPQAgrcGJbLWFdVK9Z5kjPGvqW3VnDZuTbG3FSfmMekcM7JUGUBH+fsfvLKUw
505X+BRmZX3+1+w4AEzZyCIA3OHNH/70N/tok+3v9fwetQHqUWsLKF4jQjFzZblGQoeBD0Zdhcs+
V33c+f2Gl+ES8Y11fvxuW7mvX2x9XmxUGAj+wq+0NwhJrUJiNBurNv7g1ur7VVArMTwBPSpzdHu/
CcO79hvxEOtWK6BY6Pns1pbObaUfAWkMUh1f1srjClt+OimQONj61dKuIWYSeOzarDXya+WepfX0
3dH3aQYgquWbj6Pwtj3wIE9sdjPniU1G8Dvi8h+h12c/Cqit/Oue4xa0ldbIskwmYbBaIVL2A8QG
EOKJS3X/3dxm3h1bw2scxgWoOj8MRlwCtT6filZ5WNnfcHRkmKjaM/warqFmUe7SMnXHR+8rSJyk
IalcWO6SpHZzwlND0tj4IY0btVy/qwcFRMkiHOcu/31oMA+xAwaYuAggh9cV/MnFZGGLcP0uDkbJ
scHvKA43N9GLElk6Oj0Gfhsehwkbx3RXX4wnODOxMEvikQEgegtQRCEBsz6TmYDal6j+PE0eyXXT
VfTJBdjtw9YcT3m5SGjhzqTpxc4Yh87bTenPRyjyzmHsbv914WTPgCRF/ga0SwRrxfyqn04VWC+e
1BDAO4w84Gr6DsVvZmfs1u4Wm4C/9to6BoE+vostcWI7pNfhmTlzs0o+LSwbYOoWxIuZbhD+uORo
GMrv0UJd1QPF84gyvXvLIrstEYi8Zpkm4xdyyJwA7mD+MxxBVMievgHNyMlERwRK0K4TFtNFWFo2
XQe4ehqz2yKQDtWNGFFYynTXvVHirMiyaUyLSXk7qBU1pKMbsjMWE/OI+DDqohor3GYg9RfmLBtL
krj6sNCE072QIxSKFJcH6+PWbw+RT0/jICWrG6zyk2d72XpMEoG6rIgso6XI9NG6nxXDqvaIVtn5
j7UhVctu7pmIiS0ddAnHUyFjexwFpQoiOUSzC8M7LhGqARRUhN9A9pGe0XX56Iu2cMQ/ZvmaNhd9
AAjfWRZYkfiOMTKcq5A64QQieHFS8mkoSyFXWPbp0nQ340uuxXBaldnGnBOpWmCWeBfisuidmd1/
D4xMp1tcz2AyTOG+yNjjC30syKV7lR/pD8bAIv3IHPYvYcMHVpFE3bxv/NPMktKEQUbE3KqXblaW
Ub8h+yF5Y7W5U7TtSdgfdQfXeuBJet/bX2NCsQJs00/r0eRmSoa/LgzETEQiijEyHTHEzoa3jSqx
mkDb58eeborI6Ja59arzG9ZsSdlyNBN6XOwNVDbqIs39Vd7mKSV8ktDgDV+JPZhCAjTKF0poJJ+G
7oAZZ2VtF/MArV2H5jsgylxx6/Hsy+6Arofyx+v2/wJmwVRP4Qly0LuQJcbBDCDjLcC5zQ27/lZ9
WR3nH5+Tf5FIMZ7IgnyBiQMnhn3hUzF8tSd0sfmD2bKNmEv3xHg5XjZN1NHNItb2TVV/Ew8ZFY2N
LfH7CJsp5wbi0+o+1ofpQk8gBVVEtMURIxKyxNmV77rDTYmRv8mU6MPMQaTViEWo3jKXbhCtxzx1
U/wK0C21+J1iD9gFbvXqgKcscHkaL+9ECK6YmUHa6ZDnJHMYu7c4kpgA35TgNaoAHfPlz1w1wy0h
rYAa2CxH2qJMh8rZP1nhQMO/ZCP0F4tljQsZ2QK59NgsRG6BzR7QBrBJ9um8d9NkCiDqekTffi67
70t7CcGNIFh4A0Ys1RnP2bwPuH27ysKQz3GlUf1rljg/vzCplabYVs4cN+W8r69oQVuUxScsTuxj
pwOahLgi7mMEYFzTeXcYSmBQDrdI5RoyXlbCqk6AqF4lehY+OBp88xR+zOxUlSPZb/+B27G2swsd
pjgPkTN0BCQfjzxP6iHqCNzPYIrpuDyPJ4UBMFXXVbpV6HNqAZPA76ti3uNvdsgsGlq+YJQzqt+O
SdKK8IuBq8nbsiuJxrh7xk38toW2LMX5rn/odcnBcDp7v2K8jkxjI/t1hhZ9zAd85efs/Zw8Z13k
15af7Q4w/Bo5TmKnEqYZWRXFjySCALPwhHx5MxrVCjXQkZnCfVrO7yfsnPWZzNKPqiqu71CjzO24
YBJsxApcB6cQ2Vu1bRipikZUdLeZJq1khcM0PYfqaVTSUi4QdMSfHEnz2oLVS9cQVJVOB1hpEiKS
teNsUDYZQGEEHCZS6JPJ4pLYjUBK4WYY3K9zbZM5PQurG/RrsiiWif/ADmmVVJEhpavzN0XC9iYA
/qLhrJiVYchYO//m1SaEqmt3A4gGvqtgebKAbuE1y8Y/sbl0KcOGKMpfHxsTfgScw53RTbRs9mqY
072nL1K305qShcPpGDR9m5ua9vq4QcYE2dxGmHlr7ilTxiv35xMY/ZbPM2N7JQyRO14iRkQOXkF9
Xt88ffuW1EJjtqnAWkbaH9zFIk9uvW6JU+iK/zJzeWsBpuLY/RRSuKlv53ZQWvE2EixjcyWgIovl
US8kg90BD3Z7m6cjz18rH7pBvSy5MRMDBjOrZJ1MQCerG5eFBo5wfwL0AE2x22oQAwMOQocH11ao
IEvgvziI70A5ZRYXCfXo8Uv5LqcjJTM4NH07XyrcLEkz/RAI+EeDMTmXrfkhsW2XFc1YYWYy/2e3
hqestcJQp1NHc+IF0U1jlmy07ap59DgrBpv0uQMTV/hDetfu5kGWalbUjLlD9/aJo4E3zIOselo1
9adToMTtiKiTBD9Rh6mRur7i7pFL/7ltKNJCkB7m/MQBzvfH9I1yVU78kEfrTjqOv4DT0K3IhT9x
TGnFwkpq9YGa4/VFqrFaMP8UeR2bN94yLiqY0j9MlxMy1NZBWkPDFjMdGxkghZ4LgdqCtPhD2Fqp
WK3O3yRW9dMdBWOqmf+AqdvUaZbKhAzwdo5VoEKmoLZ7Wp6j+CHGAIO/5lViM+wcgNjA8Bik7Yr4
CkDjRPbuVlQDECx9E2KnpCHwHIZ2UUQpqt06hXPQeA26E1cquOn8zP5vYKgIijRyW3Fu5itBkoJY
5JZObzmojnvkC1q7jYzokrFUUYVJEalFMrd+FcWxIIUOOHzdqZMU2kAITrJ9ildbYaMR5UYXCU+I
wnDuL64UMBHQqsDDuP88OgkASyaP8/H/X/gMfVXdBzZZU1OEw6cXkxQibXz10acNavUhQxuiCUSU
5RbHdhaqqBt+XeFSubeTCzMdQW55X0UyyN5yotdcSho+rzqUWrX9O92a8TRuSsUOYHkOceyEpoMw
jCt2FYVft+cCLRGWg3CIPizTQbB+5IYwKeFJ+Y1tAHiBjpB/L5U8Wkdbjq9gIooC5NysbYA6OsTJ
gIRWMDiTOlYhU1kj6QtQhQb31tMYToz+KTHmRxy+5EU2BKXcjhglNA0H5v3vVvm0GDpeCqu4ElO+
Xejx10DQFnIdV9W4TrvgNaYcnDxiXbf2H3OQh0bZ7gcLmbrBhZ/NJx/yc7C4EieDr1w6ybxikRRX
J4B/wMB8G3N1KzkA/k6CiO04cfPR9XKSuL67oMeb4gkER/qkb/ME7V2mm0U+q6W+UIXg1GlHOEKs
1X/kuT9DP+zcCsyDPpw/QHnieO0vJzblO/WFSYmf77ezp5pAZAavKPLiHmtfJl1FPH9c8zZOMay7
1hy98JFm6nh0u+CA91MPyISAMJFRY0IWTAMf0W0BM9iDiyx/FJd0IULMLc+nZJkESi649zVvkirM
F17Ny/hQ4TiOfxFiFaTAQIbyIglQ3WSNfoPw6KITKgrSbrtjuH9o4vxbIRK5zHBeMFe/zS5mfVol
M51rNqgmEKrR17U6bIAu6bQmfZQ/gHYmfuClG4buchsWCDKf7GrirDmd9gg/JdaZtHJBbP5CV3zs
STu8sv5r44hL6FA1qF9HQ8QRqBU2SADbK7lLzuEB2NsR3vfoUOSnF9W7OIE8uvj8qzbcd3Pw3WA/
INQY4NOj+d4RMBvcC5w+WiJdxx3eqKHma6yw1gS47PCXPYR6do4wP4fJSLXUq9fB8euFUljtBtxT
DbHAx/72f+mje9cFTEVb3IfzOAiAvJUhgHxSyhFQ8aKyPt/RMHCt4k1luBF8Jsiy2x97yCbc9S9G
ERDi2289oxJysV2nFFn+aTuZwFjCkZjX8+X1spsHxa3NyYBcn2kE1uwM3eXtn6BQSSlrkx8sp+fO
bgI2PsgWtuiI963mXPoTvyhRdR2OjZxaNRO0gLzqwr6YsdAIH92NMtv+5VTZv1K/9P3xFVFMWHNa
9/F84Kx39N2MjXisYNwp1odTcMo5FUu2CammErjzxqgwOW+MoU97j/y4Byw1fEjBv8yU2Se/G1Ue
AVrHIyXPA9qaVGypwvNbwRz2TBR+J32QOQPtHZ9QGlvrm5jSfDfInmk0khYhu06aedpJfHTFb9dX
nUcfDCNQLP6c2+Dg3aFR0nP9Q7/kPvCEchbb7KhCCEcfochSCkkMRZaHUBxYBFo4o1RiCpuP/bBv
4g805J3zDUEmt9cRzVYGNwCGMyTrqwGLraOkSU3ObjiPpzKoj6ima2U78Dgv7KC3FFE6dVvnYS4Y
WQBoPr4BoJqwp21JVx2dMbXoYeipe3FkfR/j49pWYs+0m2T9xfiUj+a707tpfDI9R45Fc3/bT030
s96/PqbdEtin6GA/DV15KFCw9jRjq3DS0cEvZqm1V1Q6RlpHdKubTU0SelecTU2WA1/MgBmp5bvw
Yq4UPjVd1L6TjYnndCFF5Wrg4qXa2Usy80xNuoCsHUluG/Zqd+oHeHXlJcE8JPHrTq4l8Dta/PwR
kBs4g6DFizMzjXpB06T61kwFamCV4nv1joW7QTpkH9w1Szd34m00lEi8mY48r/2wNGZ5VLPNHPyR
2KvBJpGeS3hvMz0EUnsKchHKO25f2SOh4z6RoMj3QEST8fheSf1ZhISj76hazZ7OHSAJh5UjGOy+
itgFLwM41mEXYSGaiGcnxNHqV/kO3R9L3Rv7eMqYvdgvhacQteMNN/qErcW1HP6X7IFEigg4WCum
bbhw8DYU4eTLkkkTBi/GxDZcrIvGmeL3T/CA2pxN24dX4T5g7n9wRiPsDW7ycyMm/HHpNUDvTGaO
t1H0HnxPg89Fc8PVjPrNqKvKj/8jpGD4MILEY8+NPr31QexmsXLHvQN5v/UzkilS+nZ4t5VDL2ju
cndP69dWHst4MAy+PzvzKP+gwG/vy4sV6UxZaHPuqWN72Sza44u/f/mL4+YMPPS9P6tgYP8C9Or0
Ma3cN9A9psPB5azbLv0xWFJnBc5Xcw865+cwUGDU1vspAYPg/wyqXlSr/dBD3fT3jsnJYOsgJCMa
iNBuReNJaAN7sSI7JWsIRTXONTqbxKrykiBCP82OTclZfoOVkT3yEmfOAlgpsFXVX1KpLG5C9nke
8EnTLbxIftl+Om035k2ssHwtD2YDIQXFls+GDNqTbQUtmH6x54UIwGURE/wTaqVEMKMG9UGNcvxo
jVKVru2gAp8coVWpkkMFPZ8LsZhl7DX4oA2XxBSsN1T8edCihS+rjCjIQFnHG8RR0qq1JT28/PEK
M38HW5a1P6WXxpYkpL5NnY10+NUWk/wF+JEmRoWHcbqcx1l6f8TnOwXQ6TwhLQQW46LEObnztwTb
LZSwIOdNeELvw4AbvsGV5olq9Oj/igOtqYv2KDtSILe8b21sG6U+LIC1qSG1VtQjagAb/+WTAk61
hzBVuNuZuisoGXK38gb5IXdkTzdh514W3GZVcS1e90lu6MpyVQg5c3g0xWmXMSolBdV4IUCDIJdy
jBLHXVD3ydstDaLERTI+8UbwSs64gMGmA9mEp8VzuWs4a7oY8cCHLOi48DfxckFQHgAdzz9VhNlK
c+9cjZC7bq+mPSS4keFUiz/mjAbwTXAs9zbmqrgskX/QXtQbun68jYVbFPBE8OTiqVfrjzkSHP0i
1DVxGlWNHkFr67ZYCmsnLadRNWUwBrdvu52J35rndDZTC8eo1bz3Lj6SMGmLwoFdsZuME4JcGjdZ
Ujryr5IcAF9/6bOAM6Y3QXggPS4oN8nBziN+KslAE+S+dJrin5nVpHJr368qQaFWpz60qnuZeG39
A4dFO270nrNVMbdotSb7zY1UcyFIv6qzEGygBDEmiwc/btAAjMuxCbzyD2vK5X07tSlNX1aO3d5+
dPB8ALOyPvIVm9rOd+R4W7pF0lKQypUYqMXGb+GWsc0Tssyzec8HoB4ySJpB3EPjoS2KlNgdfxoV
jKv3OW19hG8nlliLxcVCcxc/a0NN3+K/56Q2LN3zZcv+7bxNNKv68XnzwCEel3guNS4SWN69Tujj
mcCAM4maBvUiHFUHThQZwD5/Dn+CFTNp5++AF43jxP3332Pv1Ep3rQLvnZsE8c97Bv5EorYDTyPA
Bb6tUPa1urTasBMa6nYFARRw5NenrNhZYxngmyjTMM9NL9BpHAIULpmdwUuLiGMVQq4enprddKdV
O3NDzylXISTx9al9Ke+X9Uysj3nJZhap4/gx/KoJ7+JL9X6DHbzjRE6Hhr+HuDskRWBl3oeCCxaT
1TKJ4WL9vkmVgVlHNY4hgk2YdVep+FDHhCOdNzhcZ188A9rfNcvfPuDtp84jAKVGsXGbL8GpY4Yg
qUVfhdkoVrBEEvMBIBZpONnv9vb2Yoxt0Zmh+aUN4+TO7LuRJf1jWvPrnxLaw1ciB8fHt5ZVbywv
8ihGn8L+P6+qb2DHeFjsJQnOklDMCxDbLJN5PSN8LdICHYbmTYuXQe0phIkx5f3gZIr+SJCp+vxE
DE36DcTSz58KgSnGnT8k5Ikgr5CpGPoU0Ar5SEwhHUguYJ7OW4jdM55y7ya1pHBOi+FeSGiH/Aji
aUpgtBg4Ug97wSrdCJVOWD9eROQUX46jtf/Khz3XdZM1T0xD0hbiIRXNERZHWqnDaoerWQT/HdKj
lNu18Jr6Gmh2Att3WY8EsGGyUQkKpigFtOpmh0IFkBriN/wWA2Jw0s88THOkrCcCWBvBub3n9TAk
F0p/IebbkSXSm7C7bScctJVo3HAqpBSp7wAGOU4pv+hxudHlaXr0Wb9UAYJo7+LrYcx1OOw6z250
RQbFTKhD7FYj+9GvCxHZ0VsxXnSI7DlaLyP4SDBZxfzLfcScctp18W6p7pwdbqEJWNvR8gceFioe
e93MHYI6A+5SF5wlEWegwvVJMqVtKfVfsz3cdDYUxlIvQkKbPyd7P2TfpdGemnSIm1IA/7zplxQF
7uySQeyAZyDZsTqBckg7embPqF6y7zxhEEc0CBshUa3k3prcTnBppzUg8p4EfggPla+087DdEy0z
RJBjOaxUeUm0ulbR1YPDhoYwybpAjNvkYk8L19uL0iQfW0SEIeORFDpnOfhc60R8YKxJ05tfwH7W
G3M45ixIK0WXHM7llsj4zQ4yeo08/i346so2UazhWu3XvLI3/4R3hN/Sw/fnUsMfUlu1UAihiu7c
pnTPeHVRHnX09vWtdgT9l3DXfYM+At0K7g0vl+le5NS24wv2C94CPBxm5z8CiySoqPH9RfdV7YcR
R2Ns7wGH3MHK+xpOiCN5CU2w71Hm9CKqL96CaQx/M4sBuZrSoIQy5WXS/4b/3i2hTvJHqiOtQpXn
tRZbKCIGpOI3Dlp6ALTOHTBly2kzWtTqcL0eFLpcX0vElOcjfSVNaWFiaZvjNutvQHTLmi4c3fLb
BuLsTsrsrQus3jK8MGJvv721fnthXaUi+SKNZlQMQv7QCkl5kdRHh8X+MHksF5ch2s5F2mJUvnVr
/lPykWg6CDQjy//v+UCqC64XqG2bwGcg8P390JlxszqLRM9jyiQPMdnjqcg4afDfWImPh+wlVYLU
CFuxv4uezvb1zZdRkrb0CuPdssN43uwkkAeV3axX7C8xDPX+4zd5nOlx94noMGu065oO3gqNO6Gq
dbo46YCna70QRMMIVmiBGAu9xlc3WWK7Zx5abA7B5AitHrfaFM5aIsKjB7xAN/E9U4tyF4Slq2o9
A6X55oJ4S2tEBm2TCtnwb47OWtGra+KFuATaFKQRsyAVJZEuQ99JSbxZGico2AeogCk1azAc+1r/
ZJL6K3n4O2uZ3Zck+V6zYiZPR2n5sZtBHsYn0bLJQNCvNq0PqXqVNlIamqG4tlyPVPJWX+S554SK
8taJpYemVB/wigq/WHogeh5yKPa/soYpy/p4LEb+3xad3svP6KN/vbR4qbkZAflmZ3W2ohyD45jc
tZqbab8WkD5tVkgDVhXk8jRpnlS9icXp0ehuALYtyiuY6Iodq0sw0mRmuKoK25EvTyLri+PVhA8Q
myl97vDB8z+PECkQ6wgOiZsDrhG5upVZNMmFQaRFDQ5LIydLAo4/OalUOjlKnRAC7BLyiv+Wn8pq
NNl2VVUCBXqxfTfqyMEKyBRWL5E1GoveknHs1lJzEHBk1B03QAaWsk8H3SPVok7L8+vEQLwgffcQ
lDemDCUE5Ui3yYZYcjEBJUi+6arjvLeUsVWtEjBMahv+dV1eRMglRRz8ZNY7CCLBn8w91FB0ns9v
cub5c7DAzjmgrACx2DsCszBiH/1FXh13qZLPWjhPdYDEhKXVJLqcD4nFIPiz5staz3BsorhH05WJ
l7u3yIJITXma9RV8b8GwchLSxT/XNwnTm+pz5l0tapikgOWS9HIGtiu3WZAMum3pDDigVMLRc3ki
W1o/8NdTNheCNT2+RlcVkuOPO7oTdw8i9xFQtGmtPqJbtCHEzqSrlyXLGfBIOGt0x54lV5YySjvD
SG95U1dfb8XhCvsU+H1j1v0v9waZyyQmn28MajEx4YwfqBX8NEW4PNZhQMmu+59rKWzOcI94r6RF
ZRCBY5Lj6yWaXBW67uA8gfZBf+dx5oOhIFJQKFF6vo7JaQliVhWLOw4p8O6qcASBgcnlnBS8dM3z
Rgf6pD1GMWdpIjJgwWX42qxDQonG5zd4n11JgZkF896NoSIJIdGptAmjuDt+8DUfQoH0+hkMBxGl
MuphVDAjuGt0hktdH1sVzhnHLG8ZkQNjs7OHOXZltf1YEaLutwsLCcofLZfzTtedKTd0usauBzx+
t9g+RUmarq+6nViyvVJVH1AVDF/CwSncqm9eKfCOvC8zYl8ZoQncRuYhkyIgHL4oQxo5L0xiCZzy
duCrgyQaErE0lUjTp2YJNYd3gDKLBDIhbxJso8avf+D+ObhX3K0DLY1lDoWUIE5vNicgdYvXHcIZ
/oOiQN8SasLpe8RUvU4xOjXG3zbXNGxeAu1K1/66EtxU+iq4ZnQx+xQh5ueGQaM7I+b6hHijgGkc
8nXPtkpKzkOh0KAVhws/6b0CF0C2bulCrkV5yYp4zpfW358F62tL/MYKqjcAQu+PAqWVPucO2isa
jEOvoI55gCMvq5FUjw8eY9pshzoMQDGe3soEVKdfcqHs4mo992Gqjlh3qF6GD95Lr5faG3fVBgx5
tfC21D9fJsdVUou9jqvB9SuvUfNiHUVHb+n8h7L4VNJWtBFx1FHdBUUR4eF2r9Lt/ArgIO9v1HYS
/+fWZD0ZB5dGredpcUUD1SLS5IFwYDTOCioIAFQ2SiZgA8v7yT3wFL6pEx7OXF7Nnh/3n0cdaM/6
j0plRCmEyMefIEkowkLro9tzl2+6EWkvl23K7HETAebxukXQalw1alCVxsNRShYsQw5+6ZfYNtP+
3d+2OEpCfuk+pVQ7VfBDHVfX6Wok6CN574U6dIhl6BQgveTbL9hUYP6K9TvAcxAJW/jrYqOByI/C
Agaa7fGnlYXYx9NpSSB07xEa0ohgINTdHoa3Pn77AwBYLjx3XrrvNZJR3tRj1CGsoSGHSgTsqn1y
Ogf7Luyb4rCprpYt0EpTGK738O41yw/jJzRWTW3mWvcX6PWsqYgFIZl1EmS/9sWy+bByOyIBomDk
BiGq1fmy7rGjsFhMllMKUM+xTNuh6RgbqxfzVeEuVr6Ay5KK/9ijvcy+6M+MvkxRKHFMmQEbsZJq
CXw4DUxm73K+vChm/a1BQxW5TmFSSRC4DHJWLXCA7NNhLrI1bbemXeoR36QCsyeSJj57SA6Pk2s8
VPYe5KRbWKPT0dHMdPoxbHzYWYbNqebF2s+6c8RH6wnwXMElJa/FuJH9AVdmDZeGlTTTjD56DyhR
wWQ76jfCr+cfnfOsp4t01QVJcKIXdafIDUxLU05Lo02M++x/48HehdKFh2pi1TBJHHL3KDk6dz/t
nbT/NfLDf2SknAdeo2JsOlPRL5zOiMy4wEU9nVX1tBgzO8sYKcsT48qwt1BzL+OJALlX4l2gLceD
OBusbq92c0f6dEWHdt5WpXR/f7RE2YGeoXYE8oh6I5IkdaH9J8e5GE7M4CzD52wS2RocptrrBKdU
kNlqLLxhu2uj8Z0aKGHOAbhHx9l3nRNSTo/uO8ao4n3MV9nEraCA/gSgMHTApeTFCnVpmkjpjPsN
+rnWl7q/NVHWA4StE+UsGi6bFfX7W5WHDoghl+E+hsgq/sw6cr02vW4Yy2FV3tUEPQ9KCG+CPUZt
D2zrKBQ1fw9DnelpQ8OFSNGryBYarDtfRUX0pFpRga1/lo4LctzTj+oWAKGei+BcqTvpt3iULrOd
vIsNYzsAVFTmVKGOtsZ+5c/ZfdZeXjilC+QXGpsc9ORDwrIZdlI204esHjioCcpYJMZYp5QyAOCN
zXoGoejQDS0WloTl3KkgR6+uBOy7UJTukBt3RANq/HIOzJlZ2q99qj4Hy8sYMy1jzMlttXQtrUR/
pPkLLSRqt4lDcR0U4pi2kD9mF1lX5Tz6cY0vpRtoUp//Jk9v7xtCw4Sk9b7mALhzMDbEOQ2C0uOF
/P5Hnr10e4IzIIXnNoyRMvSB5VWVM7zoAWhAs8SCfvJkJ8n4cW0F8+CDj6T+e8YDOUuVShOl67r4
Wgcoq4USS6zmTngepkPYRroEZ6fiuK6mALnys3vvp/z72oYKdZcfp1IPipIWbM8ZkPGEURlS7v57
DtB5fYvdYXjqoQ7MLxylEfRqqZjz1YnMzxTHrwfdZX4KpEqikkVHwQYilMHTyuANZOg/LXBUwh+H
4I1k2IEuAhBrN9uQv5cWUk/YdyKTanjJFF6MRNzB5JlzdFbrH6y8bUfhC3xMoLnl7pDI37aBZMHy
TiiulO83h+Mzevi66S2QlIPOiB+7GeywahY2s8iGAFAb0MqjYZ+BtPTXyZTpycXaWmf/1cOxPCqO
q2XIdcpONJTq1KnpTTpWQsxCtNMFFt0ZZyaLx0RuA8bMLN6AwM1b7f8zcnTJF0tKkhx69qsVToOS
Z6ovDUJrhRE2D5w4Z5OGiRNdfHHg2ZMrYJPXUG4cugDdCIA+CQpS/nTh/5TEpZ64vin5uWXqPBqA
1WUeewCf1sB8F8R1oHQjbMHK2QGirnWb+aZhau7ZtIIU5zO+8u+qYgasXG/D44zwR26kueLo2Q27
J3I2kendwhGXrZzYiJb95cLdc/xI4KGTcWCcbXD35xl5tKZN6nk+yNX4hejMVQA2f902S5hy6Fg/
662wFnEj2KDnGfuPpgbuSwpNs3QFM3rHOZ7a5c3G/Va1m9liOYul1Y4dny29RBeMPsNII1ITKj9N
XZOu6hQmgJGrLqYmZo0W2HRMVW5YdQH0VXGCx6laDDn7KExqQkOMpoYNO9JjZcLOyGIuuW92v31R
87T5Wumw/XQoJLtnbXifwF9vkvfy78p34u8i2X8WY0UVsTgsCtQCnaQqfMY9SzFX/cJaR35suF74
EhhpDIKmDJCcGNssgV5SFrBMnzVKsgwtyKg2Xk4oUFvEmzkxeylwL8w76RR7D33eSakOatg9LnLq
/52JOIEn29OJgO64CtuZfmtnMKuZeDiz4h9+uveRP+x23t2CHRsdAQ2XWz1dS3BNuwl9rYCJiD7S
M7d7pkBMeJ/cxJlSMGF/n7lcP7AI7Bwzwxcnwn/WHqOWzxKpuBhlVn/H7mfh4TY1caQ9cKNmaDjX
3SHRCCbqVRjNEZ0yw914gbAJsOUX7ZJ79aAKlQ9b90AfTvpTrUQ4cbf0P0lO02dckSbdGUisHw6q
p93ljTFs6+kz/rxUt7x4Q4NBUHV23o4lzSZIJElfgwdTQyQwgwyU10vBeh2tZGdENRjuzBb867jy
bby5xyIAxgroPz24QwmDFMPEj9RvuCItc8+8uX+OHXB4aiLvaCRVWRJk89USyZ4KBU8vCw/FcKQG
UKKanMIvezWyoqWa1HLmSNNIuqj03WqICI1GsBRu3oJlsLosO3rq7FgKRzuLUHbegggtLL8Nzy5a
/vkkeu9dZcf/bun6bHOmdCLyk0ebs7hhtFQNcYNLz8ZcqHi7b6kMHV7DJmiicez6YPqQ7lRUD87Q
Eh5Ub5U1G15/YBptgLgYt9UnvWZeq9sAuJMU6nZ/g458F7kGTD15VELbLQ+N4VTpzL0d6/E01Hyw
MPHMHLfHKBKEY/irD59koPlKQOL3r30XLz2+KcLSlZhkpSVJNUGVsl8peDnkxiv8wtmuaPR6FXzZ
8LnAyB0drlA6QI4jJ4f3fPZTPRskDu6TEGXApIU/zVF8lkmhJHbgujiFXwtmrEvyLER5hCIeTsG5
8ullcSKP7MP4Ipdos8+BfPkNdw3aeGjFhX5AL49+hCbiR//vVFD1Yxg36GfKYPSaqaj1pjvAneD7
lVwdMb7DdpaVsCU8tvrzYTZvpmhOoCvB9KZyf2uzF6cs/7/PVxZcR/55eSZfH2PVxEynVeM/TZW0
kAT4CWyKyqnCqN7+cTdksf/rVaNcYLlrMI6Tp/cS1d54r2lKxNCP8ylPRrTslQBQnx3PtYKSPjWN
iHPQqHXlqiId3hX+OgyV7o/JexC+13ztgC61WQafr2E32PGpVin4S8P6yZeID5fSxWmWaQ38mvNe
Qf3eVlTzDZaGSrp5+yrr1BPtjJGl7E6yej4c2y8uW20GqOmcOFAJdmRrK0mjVjdddb88PnrKLgFy
kYHf1uDHXs40evtxtPM9+5Kvm74fKAI24kuLfF6bS4T2/VNoL1Tubl65VNjSEiMrLFhf4olmgGHS
LxZE1IoIo2WqOL8ABju5K5xKqOvHn14gc0OsWUTplZ9soIu5brA7D/82Jr8+z/y66y78EN12mJKQ
yGdsnBMMXQdgZ9iyRdyYi0ZRgv5fQQTcK4doBAN8yEkaOBjNuKiVraKDElEgMO8KQoGpYH58kRgH
wepyVbP38v3vVzgRy6YWTlqtG36cMMd/BW2EfJS7/BZLTltWe9zToOj1Hci8ABIPX+PBMXzAdGkp
pWgf0Opu1H2ls3Ig0JCPnhcCbfLfGG0T9d165g5tIEKAWfo2Qo6DE8Uh9un5QJNsoaEujm0z9zPQ
N9ypQswBNtIHpLoW+vIN5cxQNT4VqmdP9XEAuBhPhsKQc7nUg7rncVb/9c2FfwTt4JZxlrkVgpVI
B+wd9jLl9ctha7bBmHD9R3BGV+MWJGnGisUXkslRCXZKMyII8hXbKM56tMEgrSGp/JlJgyt7OtYX
SZj7qWa8RvSPm5nf9IEZzfwhtJq92Ms59fPMtxrPUKVqkUJDHUU93eD3FS5FpYIDOFRBaD9IpXoF
lmQqsij67P5DFPDxhK5bEU6c6uvb1L6r616tTlsYi6E4spZLmi37MDERdDFT4GEPoNFkXZXHArgq
K/xmBWUTXR7UxdzPRGZ/iXFCS04F34crQQ2uxtqr8NuXc6vdRiXaYezNAXBbKPWQ+EFTAoymlbaL
sw+RghaX+aJMH3ashFpa4rEsrKxKaOJd6sXKg20vFoyHYYiCvNdiGAIXi6JrCaWj88dsSfzR++b8
jJxKHZWadMTkjsHFRGwFAtf43nU4y3MqRDZn05jEKnJ5XIA4+mO4vunVX70APqICSGXcnYH2096T
BN9+CCieDYJT2nfrY+RYh+xpPCkAX1opunqioSUfyKfj961pLYMBKyLEVPGRR/nL0TfS4P1zwK5W
kS80hnzhGxMGi2UGMZZ1t0gE0N4LbANfUD7XNnDtZN1+emtKmFrd89AF48xSsFbMkpvhIYkVaDc/
WejJOH5564JYsueAOQfAAwNxpnjzLVbMnpit25bmHwyuYBNE5Uj3x5NX5+RcakeoojMq4oxsVriJ
FJAC8pn5wwRQkPgbNJ1D6QYoKYMGrH9lG+vX5qdY6sttrNf+sKX62cUC17PMC9QBgmg/VTRtjhG4
mzJjkFnV0ZTLJhzEO/ZXWB9Z560NQhE1tLsSOmGBAc8dqhXrb6s3jM6hxoGEJlrLvoXy4Zge2x3o
FsKVbDzX5Tn2vsg5bsr5IRGtv6LbV3fSWAoWAo+OYzFK9zqYxpaw3HoD9yjtXzmWK8vC4+mLmtFM
qUbCRIs+aZUjMAXP+lm+jhrWds7jM5F+djc1DIKrrTpnveh2X4fcvZQHhRRyohiuVa2FB+5Jo/ik
OLIB8hCsVFEfHy0ZHf/SyGqTdT0ZrcS4hLrwZ0iWCQJ6uRG5qAAqxF0lZ2z1JFDrZnWoOFULax2I
SN1zBVCUKmgyRPzxeokevE+EnH1mDO4CcJaguicaOJH2IE8kPhfeuma/7eZ2t+GAA7aHC5ZwVI1+
c+JpDVZA/thB3Z4Cb85waQITkfayF1SPYURtr7ZhQ8aTvKOZBBZngjHHrD7A21CqfGrym/S5lCka
VSSoPHMYUSwU5qsWFh4qHMVfATwV2R++epk9o5GrmUKYS9MAAay+KPwnv+KpMeT+SFPzJiPV4xto
IcNj18XkLb9xd12msfoGk+vr4dF+4iWgDzuEJrukv0cwyPKW/Vk2YSJtU4j81dVRzYEHjM7u/K04
xXzBmXbOdThEftnP19GdpVr/aBlBEVxObcq9Vrl+F+xNTU8Ez+NsZ3iG2h0SqFgGiAtT9OeODnyB
WepQMh+EkQvSK9ejrGNgm+b9Mv5e8z6mYct7eILmcUINmIu3K0f6xVUokCNy6sKda19REJ5EjeNA
y7jQEvoE25/8xrwQxavHcB/CWPO1zLGC8Y2Rjl+VKi+mtevZjAxG2eUGx9e4FPXm9SAc21fjgOcB
e0tRPzEK0xr0Kz5/jMPRW5nPVbflDNbvckpbD2iyHG3p6hpTWMALi4rSejnXDUMaEi3heaaFow8b
0C0Av4xwbzIgQ+vY+UJJGZrlAydImxQ7IYqIUurOfparKHMwNGr752Tn2KK3gngEVQXzGQKpnsCS
geJEBqnvOoyVY4nq0lxE0IcxetQU059rwZ1n2RAEBV9Ob53NhBkr3a9UGujvUx+pQQe/V4ugzzDf
ZKO72zHU+P5hbWoYzxsb0RSv/8tMxMH8BOeyH4Lrdw7/tBH6MunsZNEackPOGHARulphatWu03oo
pyVs5Y9JcX5dvh8P4w0Uy4cnWcAzs49Gx0Zo7geavcYcPItgmrEdsBpfqGKXxDsf2RD8RN7qLWGN
7zVY41c6tMuTyvnhj/KIB57ralir7pbmGOUsYWe+EXCHxsVz8U99S/5xTqNMNYZ4OWauVbriT7lM
EzVb7AQGmN4hjA3Ou15mqdPl71wpqYsiqOHwEihiKtKIkStZ4tVwoc4nXYaXXRfC2eB/4PkTUjmZ
ptNzyI7+Ft84SMzEhZ3FwSjRl8W/M3hcyW4s9AdJhkeABKVZktzR1OxQ66RxgcEF/3jea4Y1qPLF
pXrDdCjEHDsBUN97sZLaG68VzTBZWNaASFVpLSykKBZ/ECrbh5poAchtSwPG5kaATUMjkanzYSBp
F+KDw8LEi6MJ/1UYqIAWvmxQCQsk9D1vij8lS87kyGqfK49Bqx5z0jgKom3j3hNmYALQ33ua4jHJ
Q4XNbrFeYk7cNuHkoJ7xI8eklQVhyJKfFBgj1ywN/jEk0wB+veZcrgN3CrQPdzquNjaHeTlq2J6K
cstMul6okJkszE++gWPLdgn4+BfPsRxWzQYkJO/0H7IFhPpIvRYL88RS10LsXd9JUpyFFJ7qnp0z
BgoI8MY2bJL4mlPAkFqRdVxG+tKZtUCALsCP+/IICfKQTUaqDSQNoD4W37+sKj62/tMJTgFQ/7bu
OVaPGV8QeEI4O+y5Q4D/xX8EIS95H/SxBzqucEj6FYuMctKdUIX9Ma5DRAGZwh3Dw0MdGjF+qBBI
fMZ702SP4dCih7WZxk7jETWJsz3XEPAHz8jMfqJbkbvzSNt6OqSmTi1KmoWGazMkDxEkd4ZdVwNY
TXljiKiSqjfGtKhLI0B0rZJUse1HD1qDOoPq5IXMo4qnbonu22+9GnA6gUmCz14nmnYV/9bgqxPp
+bF6pocULUSAo/+qxybLARlfmC76rmQvXuB/s8g6hWaFPKHP6U0Rdjr4cVocDguv0+HSmx23KsRR
WZT21JBVpdlUKEhqxk34wTOuw5mJgLYIjvtJ5Q/e3KTaI0PTk24hB6/x4r9SwLT0Rg1wqDBwmlSx
EuzfJvtI9EEb//WT2BP7Bjpzci5HpvWncHUsDwBOZEdkjhBRSvox3wy9vsZtcpJbwwuQ1vxa0e2U
9qb7v3VkTy2FRBAW/CMvNObpkvnvnjm1jrD5T3YWzFiSqRIO1gNhb5hNfJLNgQo+LglXmWJJKnXE
b5AN576MRiZpXT4N9EZ1RXFOrOXt6VfT62nzx9YmzRrfomcYW5/GyqschJNXqohmbSkYEkooJJhE
utN/tptcN8mRtBUweIACCqucN8EO8ETBJpmDGlniqXP6v1rdhTfTJodLf5ckGaNC2twk53hujFyA
Zhqd3UOYdlU9TRoV/nqlVoOUC8kLnIA5ZieM8LdqozSDKWLOdvY2XT0W/cRczsVMAOf3364dSxpi
qLcGu+rF5qHgGJ9LcMBoYYGBv3Vs0VXpjevDKQy7BsrbKp7HZ8AWALlhMDPyJBouf+hXDKcIaLbI
NTbTCFz0onU7Q3+WIadFhN2JUBinJrnWB/Dnc+II8NUQvveFfn0DdueEje14Xx0UpEba9lxrgRft
qbaJ9mp6eYWaW6dQj2EDP0F9XFnjr2HmKPogmf5SbCs4yZ00yi5/xAeLtKuoGbYtfQPGRHqvKLJO
8ABxyBrUSKyU6XkvsYkeSTKgbIUDXu1FqjMB34d+GXkAtHE9q0JwGBDl1GKIEHMqsgd9OLxcmQWt
LcAaXip4bxiXY2WvUXEgDVdGFqzqC0bEgg86Mg4oIQDZjc0kQzhe95fpu6FY0D4iBAbMMxOrjSs9
+EWdQ6+7bdmeu8qqgfCPBDSTpfKEmrOjqEcPVALH2DbNz5zfnfDj/Rqcz0WdQBMvP3VlcKOWFjuz
FGXppYHx44VRnR7Ys2QoeeeuSlhAfYpRYQ1vv8r2YK4euocUZsdieoOH/mKokzn8150mu8Os+qFq
6fE8BkTC4t2/yntZX9iIqyFQCQM7mmOK1fSFT7afu4pjQA/jkcaWuRd84Qr/GiOd66Gs/nGEZ1xx
tnssaQKRGrRHITpftGj0rhtwHroeAvWule5S9VzaqFS8KhogFQXxH5yveWDaJED651L0j+50bwTA
3oBe4yKUUi/Fh9brnIAgkLnFQDWHWvvR9BP8Gi9LAtdGGNMY1K3a0mjz6KDXeD6Szj266k4vXnQn
34t4Zdivg3EClzdtO/fA+e5Ki7SNIuJI233moL3Maza/vb9soocZZaz/BB+zlxXxx2U+km+74wNo
525OIw9a4QzCkbe+0zV1k3HctAcuUwnpf1giItvpTm5S5rwqv8FBeCfI36F6GS12ElbWVKpyn6fp
iTvsuUPa2as5tyFDzu71yJPUM/VJcx5jMrrSWy5TzSiTR6UmaH7IIVOJq247g+R6hmcdxYZ4gZrg
4qjTVPJigid5Q5S+d9OCiMbJIlc4AZu+LNKbltLi8TDSWei3sYFIf+7/ShMSNpZFBi74bkp8iIy7
v5JNAb6/ciFzMAJ2NAiotDxxNzdU1a2P4P3eHmrdEjTNdQdK/BvKSDK+kXEiOYIg/yRdMfU44e84
ohUiCnoF+oghEcAKSHGMniiQCmGHlTe7BwEYKCnqhzORJbu9m4gsXjzE38Az7e1Yldyd3QrRZw4z
vgvaDB3goRNAxk1E6omoXrmktjg+PeZ1hWWGvxQ7TnWnR0be6CCf26CqiKeTF7XwvMIQJ4x3df2R
qmU35jH/aPRvI808xm075S6oCm6Th2sHWbZPgnUET8KEDXcGlpkBS5pP72PflRQcEnVHuxvI+mt1
JzpRNxA8ELx/ilsWwixuhUdeFSr/IAcjjlitBNtKPnTQdw8uVGM5um7oYdX8zAOFuf2MAhao3lG1
yi8rM/rk4Qh2SdbbJ4tmhmbcNA7DAckuDT9K8UPj6kkIjXbGyRsHgwVCPswvBi2ZyD32MvClzX7j
K96/1MrRXrXPgQbCCXzEacid6S+zbqRpsMhfh1X8wEm6HLOX8aSdGoejLhJtCKCtUMPe263cMPJs
/s5H9T4azgCpYwOpieKSVL9E20VzHYqoMFUnY8tIwwg1cg8xT9rgxRd1TdYa4vo8NQrw6WQLM++P
21Zy3Fe6hyt7Wx9CJ8nc5ro+I/hYrwKPRJnUw8d5JF9ZVkQ/9I6tGABoxMYUAX32SfG1sDUmQGwZ
O3xyrNUfrYby33+L9NwC8NQ+y497YcElSXO5yPheej09z4B00fAhqOeD05XQ3ARXxJJ9C0pACd+l
W6neCXByMahzXrFL3IVEY+Msvn18+fmk+b/xS6HyIupsCxRL+RIxEzctJ8nsrHPGT6tuHOb4wfw6
z7cQjCNdlsYfIgvUcnmnld5Bk0yRLUWGlNscVNiVVCUNtOoOIOp9MZU4iFuYKb40uoMVPlGB41t5
ZkLav5LWMZv+h83S/5DiJYEJQFUP1t7PTKJHXYIQMhS4X1XDxR+brhRGBBffy1AOpnIxGB4Fs8f3
g0MCdjoYnwQV2/NTwrgeWaaqoQe0K6t9cnyJvYPbu+itGSg47s7hp8b8qFXGdV9fF/IdQ0AbFmed
YdhC6T+ldXgswAj3H6gAHrBy2QkToF1UwOr5hkv0Pj01dQSjWHqbzZvbz59Al/0FWhoMHsR+cCoF
w4kr847x8m9E23Z92UGf3oPhuwtt5tOv0cYQ5u9/p0fv4xW64j8OQGg6HqLQspOYBbp+d8dkX4As
1NfPvXUN3WlIw+quSVneAgi8q801c13EOP6am8cnHIZtWeLmrd1hgqwYZk+8XYw0SHqMzthXH4ML
eCBaqg0ROWcuVRTbTelQtVFY8UozOmeILjtDZweedUgtI3n05VVPbO7TmrYVHKzrDpu+wp3lpKiW
RcQwYKwK3qItFkj88J6AIorhz8LKajgmXG90P6euYRN9GHJNygD3hY4gjT89eVXg72Bz2DQkRuXF
zpYQz9mEFFcjD796Xv/vsH4zusgFvUCSrGu3/fBM6Fi2eOwtp4Xb3+Z8r1xFFNGFlJ+zXpTCh8rx
QMkmfbGyPnT1lNwoCfnDV2S5TwImwkU/mYhiztfJkymH9JV3Dme3hrDFpnV47QEd88C+zCXidAqu
xtvhvECd57VcZkGYlux+qvvS0jB0t11d0+d1xzPOlJQZcenn/Yp21MeKulh9wKGhg1RVtG/db91b
/w7xJQN6tuSmw0X019OatA93njnhpiYOMrOz1QSo/YK2Sg6jo7HzTmBPBMTLT0Rto2+zD99U/57y
6P2DbBEFXUCwjZS3NtIyUcUdI7th7cbf/FGr6Uruq4rE5hi9LMfPKB0O+D0yRC7le/frgM8hhsf6
BTfBSgKpVyajqtwycRccyYrwr3E/hgF75meZSngtUb32jTU9Xk68dtXaJs+dKf1x89B/r1IKDBy8
BlZWbomn5tWwrrG3vOrz+pjYKsw+HZX7SkkgsTDE1Z7vzah5QZw/Csh0lCZJZdP+iEimRuNUW5Xd
UHb/ZgYlKizA8tCmagAQb3e1wRDMb6BpoSZLarJcauMiLJrmiuOwUWsQ4ipuu3UfZVWl75ALFVhO
7gr2Jh48FZElFz+BdV1kVdSoaH9PbTIhvdsZIBtHGSiTCSeg1Qd//L66jScqv4JPcV0R8EPZa9Gj
vTfdiXlfHlBQdtX2zddryF8PeWgfeiR0woWOHUPtwcy8stoM1EW9eltjkhYIK1qFi+56OaPiyDND
0G8H64kkyvCSNYY0cge+Dq8rizrqRZhPv2vvHIkXSvwrUL26hrsSPAoUUo767wt0AXNXJgtOEuNX
Hg90s1Z9aiYleqo6BGR/bV7jJ3T+LAmHVomVeIC+Gmqmz0pT0kzANBzbyVf1FRFmu6MJno2AqbwH
/8wOGUL8dtUvbnETLhJD10o9z0jbW+lglXFhUDFr+xal7V/a4Kh9cakzlWPAvfl1uvkt2uvQNc6u
yFTR6Ac37qlMO0XR2Vi6QKTEn4b7O0Wo1FYCZ02DSwMzHrecjnRSa2HeRzWSPdWElzn4FvjqY1Rn
gufnHoDDVPSyWAaNdjAx1YTCvQMYcvKQQ7cadFvCKhF3paeF1WLdQ3UUl8Xc9o0gresejoJdPlf8
ZlcINk8v04LeEPHRGncVfdkCOhMRCWkz6vfMi5nmmiS5ss+Pqsyd2Jn1sGAzbsunnWCsGuxA+AQN
nDrIlisbudPQRt+5JTmwQjbsD8SdFgTv3QsxBSGE8nb98RODsp6sq39O0VvsP2vEAN1+pZZsMkLe
Wihf+UwKPkoo51e2p4p7UwuNCfXU7VqTJ+oPKXDzGkSrRCoXrTZtyjWRQt+ludMqXUQCZVZZQLeW
pJqLDhdis4xwAdMWjxyC9IXpHLPt4hoq+2M35bTr7cSGm0ILXLfOJefTxXXGQBIPEKAUHg+QMiqM
tF1zHvUjoOpubRFG255OvEp+BRjKyLOlkAj1XAh23aZp4A+GbG7xKuAWM7jBHTbnMckfUxw390bE
tvsnWnkeNRa6Hkjk8xw9HVbQYaALEiZ5rieI0P8aNCd1hZFy3lAXYH13lQnTdLQlIy1S693qomGp
f47mA7gVGeXHBEEIakJEqR1Nh5dGzs9l3lo+i/l2BgazDWx4SYecJz1U4hfIOBRXwCXwcvDMvybn
rA7WtTZYvkyrqwHXpHmw66f7BDWC5j1981vfxWipcOZgY/WfuXXMKo9Cff5HArRdBhDxe5nZex0S
NurvdHOaglw7vWs+thxB3AYDecLr/urR9eNiRv80iNMN2wL3hyJCdNZrZw7qPtpQzWUrwwvqevLv
dzILbaj1iN14kO4jFHnxMovooqKkXbuZglZD1iW2djrTU954O952q1s6+SwzJLNEpE6tjeByqYX2
TYwGRktFFFZlCyQD9gOGboiZxYq509jfk6DawvjSlSLMwMpB30k34Hz1MiDOLTX8Vs9YubyBwuvD
a1XwnuoyTisCFs+N2HqitENm4+0p3xy631Ru68BBtZ/aNaur06E5bi5/0llGIibssnlaoGTo1SN+
F1vZuemTC4i7oErSS3la1cL6Iov6a0hlVBKluyb/w0GUqXKHwDVN0arLlav/o4i2q+PN0zRMTv5Q
2mVizdRD2P6WzsIij0f6KJtcg8DRm15VLK0dgPGV5zaCaPdyxzhp8ypxxKCoVlXe5enHgbxd3KDU
lptGYgyxllM8LKXYNI6EDmJV3ll1LoH9pghMNlMKEzTKw/8JxWgy8vTNBA1TFrjoZ9XaumRvn1B2
rB87Y5GLQT6N5vfurrpuDfAjXdlDyBAw8dyEyi4sd6EMSlk75suvZRuWtmo3C3czusjzRtPsXZP8
sRlpyzz2jbY+EtZqsCLNSCSRMyDpXJhqSgfQ2JVKlpS7JlLM3SpMBKG/UmNXDuW+lzxxUk2F2XbV
T9vKO2TPNxItHYi4aojxaB4o/CS5HtoyclIXokr6vHDQiCqhOxSeuHeb/nnXEei4s2Fr9UOLrkhY
+rmZfqrQ7+eVBM4CEpF/rsX37EvaOHrTVmxs+d4WN3RwSblK8Unxsbe9tAHKHYpeQnN118xNgvMB
dh4UVwJMHcPZGpjRO+XWpFoNoxmDwdOpm0WbAIkV/ZX9PO1I859vJoV+wrIj/t4MvE01do2XMHgw
AhpvlyZ13MAvoerEcOWODt6TUYutlhbep/vrwfoHGgnSPxwUq2g9WlhS/PdZEN+nSRrIhLvyIy4h
4D+Zfx7LFURP2yA10L6T1ZqKLUZJRrq2iP84X21OjDAxfhdNXE5vXUxXEfXBPfktrjeS0EidZ5Zz
pcRLfXgIL0yAQziakHJjdzfhig942SYP2T0UOe7QDHgMswHVaFlVSH1QrjKeuhV87jjttWSIJa82
LVqLemXRytjYSS3162/XBCNiBTRRWguUpbvZdA7mFcAP3Me9rgsyV0HQ180CwePGjeTsT9mhRpPj
G6WF7e1RpxME4lFG4vjkni/ioTemvRLAVlEH8TMMFwyidAzR4DrWoNzLAvlOOhxEsJb3UxhNVWDY
tGHO/jjFzdXXlVIazFzJsetttS/knwgX7CHuK8U3UQjo81yJW/U9U2dkKa4NTKTcNiM/YmLJ0DnA
HMtFQP9Azj1LIeEBtx9tgodTipGDJtC4p+l4rYOt9yj3l2B8ZvnkpQgVGu8sm9x8g6JiVUxrGHg5
TnwfngIRTigwMohmK+EKSh1SWiTv0Gq3ihL7RNav9qZa9AoUFDkEUa40RZzkHWK6JvMznmIu5w4A
DYaSR3ze/OSMxHb8uSweLj50PzuDfrHFevPWqR1EhWCpJ9k9nrdiqxw091Fclh2k6WqDpLHWtL+7
j8La1c3QTXEyexOmvO3PJHt/uvWdZbVNMfJqVA6dk00SQwGLVbUDTPU+ymobPwNFkuYg9Qosogr+
binU9jThK2KScW8neEMlUkajMM2G6vi0gUwuUO/7Tc7WAc9USAeOQisSV28RYVGDJQXdRIdaNCwK
vdxqUR0temfv6ePnuVgXlGgoLBzj7x6whhYAXsLYGz60bqZHM3OplwTKaPTJCy/XR3p3FAwdpdNG
hmTD+xG3k9sWN1rdPvFmlSeIqlrqzRNjE7F9l6SQsnJldKPUwpQzKzrGzIdp9kgst82KJ4Ck+Xb+
tztUuVv25toorfXbMyUbDpag6Gq9OMnCsyXu8a5e1tE7obyHz5thQXdHwyIXPDkdDb6XCIxbA5XS
zfVEUlA8j49hXQ5wcxvlWUU9KWhCYgOLVGzbygpR2FOYOE22wo9pLxXuJdQU7GgOzxYRzd7+cbKZ
a4tODUyNscXn7h4BMBNPVZZNuqf2nRni5vLvj2XgJV1RwS7DrovM4tCYn6Sub3naaNBtDtRgI9o8
3nMkFSnF0DoD34QFRK47nxUaFoT2dHd7diSbzilpMoj8cdNGeQDi2+ZL7FJKD7KIvV7DEF31z3rP
GloXxNoN5OjjkzSGKS/QNEvWZgfKLtKWNEr+o6eid2PacXmS5BWIpP8J+NTnEVQFr40644PlA4KL
KA2HKQOSzRDsx2KkXIyjHWY//K0WBhIHReDlLqqEpY5oa9UlfkysqsHNcn3gygtvVXX8j70YVpRa
6GhaVyVSnBmBZ6UgkDUvKY4E1JEZfaK1qYX9xT4c5xdRxGctCC/tNRjNIEk01tPsouB7mWwAPDem
UtayJzEx0pomHJS2pX9EV1R1rih4G5HPX9oURFTIF+zIKWAO/QNO0pFpZ542kePr9JeY1pOzh3rN
hGaNDWPKoB3iLWd+6A8GZa4gsK6r7aAXcWiJP59fEHW/Mskumx3VtfbskKlYkt+B+KRinnEjUuvg
EA7uMOMwUicMhwhl3efHmuSfeGYzGLmFEllS1Vd40Md2KGQPeDrghmMaV2cOh62A8vwvm40ph9Wx
AXgxyfwoPlH/gPwW2uLxmVoQqp827g83rJGNR75rogkY77oIsIVbBlYDgjcfLLZ5wYWy5iBlvQKz
tltW3sQjlH0AzBOlwWTBkzDBEVDffJV2MbM9jlxe4jtmClRjC8jPa0VTRdnauuiswxVSj+58MIr9
W8tl6kSt+235ritUIjdPAfsbqZtGcGREI6yEi4tcRs/8rQi/eE9uIcWdp7FFBpUwMXXWh2gfEOSR
NFgzJbc04tl9Ivx8hyaCHQe74CMTBOGhw9n6rE/KCV7R5H05Y3EE4r/wUrvC5jZ1NFDzcTjd4LQp
xxlMV10Pon8gqThdEfR1oUcfU4kuel5SpC/Bowq7/IgDRUcMz1qwqEwOa06X3EIaGeyv7mqJVz0S
HAcVR2RH1dXdseln44KlfQBOd6iGUId0fOX0Q2FOlvzgHuF0Hu+TnfKxvKVyqHLhHAPIYICjk5dP
VXFSkovXtq/cYYW+fQMzA0NqY+7tlN6NAjl3XsfReqw8YxBxkMC6ZKmGpGo7XuuHdw50RGVR7+7M
MEc6MwLc0GPD4Wsx6ImKhzrz4KX517CnOosmq2Slfsi9WzPU3G5zIkW+2Z9ynTAZ6+FXIaJlBWpz
j5QQY0+AzXKTqWFcD5cdrbEJCh096ZiL3p8OuVxjmnDXKPar7hb9eTqyQNcSWrAk7NMWiRL3lyAQ
DYvi5QHOKagoVRfSMIm5zSZRcx9GARkZJx+E3kQJyX3oSk60SqveFtFykksITUb9r5VlGnn5c/7G
TYEnymSc7/lF0klIFy7H0r0DlC5ep+9DfKY7RL8IzHMlwX5Ra7Qo475d4Lt5HjQ7apgUZuoyynR5
VH5LmAFAxXhqoRp4CGIQTuQnkC7Jy5GC4gxmavbUKQpnYFpZ62SyWFsnWAx1/q11/yjQhEgxgjF6
OOj4+ft77U8fzSfkyu71Lw7kKNOHk7n3x1rajvhIMmuu7WmQREOtB0kf0FwsjDMZpf3rHrcWnynT
9uB8RF1rxizSavvsFvRFEb2amqHua0OVWBFhM90sn1VCVVOe8lsL6vShcz5ZWcMcdD+ARP7Nw3Gc
34vCEfeFL6Cay32Mdsq9sEhAKugvfjLTbKXScK2hoQNJL2QavaltVU9gMwPE3u6A0YJtYpxkyWI8
WBL8/OTFK14m6i0IhlNoZuUoX6Q8zYmBC+dHZbRrJwL7TFyxUBNzEe7CatajnSQfHPrj2t4/Sev4
WeAw53Ybkfx2P9sPEjyFjti0AqXXQnkzJyn6Nb0ZB4/G4kG7qscPF083z02+T3wXclj4rtV4Rsc6
GNfyYD8FvPrtTWx7Oh7E+1C3lBIomw0V+xAz0NpMqlutC1xNzyi3dMotDJGgYBILX/0DRX5l40aE
NLSj05vZ14JHwNKyP0oD+hdzOmR9eqqZad5tSxLko83pG+hymAR0eJtUVwGrFYfLsBw38ygw/b6x
3cScfH5AgdB0L0WOwDhW2USpri9SyWpJVIe7OqDtQQO5uQxU37QHASy11M5wkegN9FIaX4+RWFYG
utgP5A/i2iiYdTSkHrzxbDsErynzaGo62uJUUsVVfzW+K5hqW5uC6J9ZfskbqCQ038LKhkvKZbLn
jB8BEaI9YSe1J757/vJiePihCjDBHWacxuw/QLsDMGGd+1kXBdH6/4DIJymoRb9/MqCLgVwE3RFa
dr5SnDurDVAh1vO2BP34Xg1fv2f9vcldFNAcgGyYNDy+rmCGW4/L4vyuic+Pz5fwekOI7x0Hc8zu
RKjiTJmN/z6WkJjUPX62II7kgbrqSbdxIYUlPF5ktUBoXNDGXaytb7/QFgPbsTsfCILMG4aLBg/O
zoT3eMgpjl2yofgsnAqohXTY9toNvj3ZzqrOsvbPuU73IoLGkv19tUvmjRR4JWcLM2VAW6WgHt+N
lq9y+kLfMaKHzcvBirJyDgaczgFl5tPe2edt0KedU+nQHOVkPrivirtDq1QAJ27jeOSrNjWOAyuJ
3VbJfbIsSIH5UIk/8b6lhh+pygulFO6UVMrVbTkwotdc7NuouzG+IsbhiVeFaPIdBqRbreuDYRp4
CzCqNVq+B0+pkb/404TSa/v+nL/XFsZ8YV2MzIXC7b7R6ks+9UjM4C0ZhBGsA4qeeyr0PlIwLk+G
fgZYf0gb2ENABr+2gaL5ezKk81dQ+v2AU4LcROcSA1cS3DsGSu4qXnvwEIDkdamGcZNrh9UcgLKz
S8aSzr+nRw+uL+te3Y9YOxx6J4Z+vOwyK7mVkqiJr6/t8IlZUZ/OzOhuDlQ6NT3IVAxZlS4Ov67J
hXSI547SIpDuNe4EuJqEOzVGvgXU9v+vE6ZYAhU7dRqbls78mQhMgNDW+e7F3nJPGKe3uHyRhqmp
dnHuxhK8vvHdrMSVUpZOeoG/1Ra6JoEQpNiYl2GZhbIANYd0IR55e++RXlpICHAWyJVpdmzTzmrG
KapyIygTzUqvTJH2BxN1jiwgIBvCReGmH6ugzUltkEjGj6JmwXaAdo1EOK/Lt4bWCuXg+Gt6/8ZJ
khPP3r2MMvkjNQNLf4sXXZy8jctALwYTwuxH6ySKO6p4V+Qc/8szg3BE0RTXOZE+tGp105bLp6Cu
fO2rD4uQ6IWwtbTyQxlEjvtoAIfbhtnVV+LhkzV1WNhkjO+hiTUYWydYxejRcDZGKgFuMdnBn8OT
LElTOOLW/XV7vsbrmA+OoJFcM9AsMpuP8ehVPmvUxzp/XU4p/+5UtsZKvdXR3nUvTWicbX8BKRXi
e3Tx05CWAz4qQWpTZX4UgHV5YKq4CNbA2NCc2j6W9l6AI1oY51DqGv5BjuvgsaepX55t1F5xwg9/
QI8pEqks8mTszg/5Avk3wkIKUAUIk5hanqnfGCEjTDw312iftn8zKrSA37/yd1+aIT3BVE/14tL3
xneqS/b+vkll+GnHB/GsK1ZRsxhjqw7JLUQ351/pv4act++Ab8E/Ti7b+PLCgn6+Tm3ZlgQUJtxZ
8eTV9OQgxXu4Ip9oDf39kCbKlrBz5pO+kFZNgMMJiGeaaTEHA4HKGYgrYV99NIHBq8ZjvlQOexB2
wBcjYFfEM88rMGC2LV4Ld4p6PRgiiBIYltQPDvhVmkQA0E7WWWsYLQ7KETqNGzCvthX0P398xWbq
DhL954lrQsvCoDlEuWjUsQD7LP6itjmp/CL8vg1zwUhD/LMOzNlKco7hn7WaILZIsXH43guHMhlB
aIBt3/onPmXbetO/2SfD2cEQmwxbXvg+A79aWmAzW2hQqeRmDUdxrVOL0iAFYs/F/U0XEsiEgKv/
NfXcylriaKIBzGSilVAppGy5nbX9t/T9R4bqzQaOaL9Nwlj2z/K2SEvMyegR1mEaWvLkPVgJSTIl
XxvXvUuTBVmTiMzMtyi4qDJZpYhPbB+BmqGyMMAB3lTzJRCiK0BNT70fCYZ6MbYDiO24l6bMlvk0
xgy0iR9bFwaa1ef5El3xgqOfdYPRXU4p8gGy6YBZxUVPvWPvBj8NcsWn3D1MPm7VEEoWBQGm4D7r
NSTIoup+bwpmUxfUf2wv2e6oVDKbanS554v/euzTwBsE0JH2nBoA8d4iQXjCKb7vdra2pW+rtY5Q
lvlVeXcEUz9irXEzixfwjR//wCDq1/lf7XhwpJds3cU9DIAmfeme7t2RAJrbsoizqBJ6dlcTkY8B
Rtc9nJnFyBcGjFvESMaArHYo96IwgH2F/pjNKTMN3UpVmkT1M4FDjhMsIPz8HncLZP6Sd6HXeWKX
6/4PaDzi4Sh27yWH7Co8rxKzpquIe/8XXzjfjaddeUStnIJ0tyOfz5K9itQQ843SsD1lQJkj602f
pALemWRzOOZtCAruaMJZGlHPBmeZR1iwODazgoCpfrG31Bf4pvbVO/VVUfQGabGwFoJedv2RKDEe
ehGrqjurzqyze0xP2wWR3ZUBVvcerycxjQ/xJg79dczhRX6rfidFJvWIr8Q8nwsr9QBEfW1Pcbe3
Bh6T6f65EwQRZ7PEplMCC8HH/j+b3LsKsnWxHwuDGUCsPnJs8ihjQyRVl5YTavBRDczXmNTz9iOi
T+JRpyeboS7O81MlB0nrR/gl7AWFFzEjUYaS3I2cJUyOuAyVcHdpP30FpBQ2w16LsYfqJ9onoCYN
DlY2WlCmTtEFPRyGdxKpEnKfpDOBpy5244a0iS0SqTUBTI3EN060GTz1lbc30D2YeNRUdBGXz76E
USnowdS3SmY39Oe7Z1D9hchGK+q74nPv7RR50EOFyCfyCkGPROlru/K17N3ciKisF3Xi2nxEXdO6
7cjHeqM7UeU34rer1QaHZLtx4ZILocyGGgrKKWUOOTX9aOwtOZq1VqO0c8ocYPwYHxE0IbjEzvw2
+CglNbATMEEfrS7HG/kdR07Kgs0btosXVjckrMsGEy9EFOOommrzCRsh8vprie9WWXBvy6jMopsv
ke/AnPMEe080i+kR+0xyUzXFOk2h+Ot0RiouAli9u56eYgel2Rt/hsdpPKAfjb6tM8qKfoEGeYPn
XjGVV8oH/wLdaq62QBklH++AM15V2YmY2vELInMYJ8IjPDMGDjdwQCkFVbLcWoCKBjRcyKU8/LG7
LjBM6yQjFJGleD6YIoIPXl6qbN6MT3pZHfYz8qaniuT6EupFsloXj5aHjBPhRwRX0YVJsJk2/bsw
Dw4WBKylrwrpFSveKxWCJGr+toXVnqu3irFKxA7N9FNoIj4VvsVsPJUoKesqO0FaHDwQiXAmH40S
IVbz7tAB6U6NkYovNzEuNWCTLpAL4TFoL026sbFi8c4cB0Rwvmc1/Gvw1kFJZ9JtHErzUfY3pZOl
7lj0SrijbmOHOwCuToONwCBd5dxlhspywZ9Qzi1+nHlb/4Osae8Zvo+V1zG0x0x2wJRKekx8GJ/6
W5u81iGi8f0cIyc4kKfIlPsbN15Fmh0IoAJPiAJbGqxexmnhz1bGcVXz2UxeIf1zj/yMAqiYuhqz
xeSzTMnkc3ld09bFwDIQ991JCQ0tXioy7KfzovnFXtPI41xBcEBWE/6oUPY9QHvsTyuUETppNS6M
AZqhwP17Z3AqXnT9iY9uCnCHTv/hzbZqnZvr8CMJePEUH6h3xb6TRgyGF9Skt+8b6Th424ctx25P
sJf2cV+0Hdpc1gpZNDYhyUzvbNZzMFtCU54xPpD5mAfNdIzcU1AfVYGochshq+iXDRAtiqbIAzWw
/noUqLL1jcc5K7F9+JY9Obdzknduu3Ias2OQGXnGySQoQDGdH4SKK+IrJqxhsNicHm369drZA2XF
2GREZQxbaPl5OaD+s1xENw++umb5ZActWztaYLPMvNDWtXZ1UVhnu+DRhj0yANxpdcB/xynbZj5+
7gjmMrPiC1WGWI0Kh7RMOUXFSqsdEVjhSSoK/6lKzRYMDLhhYSDfuakjpUc5pS2JS71rgI7UyZ9k
egX0aL0A/YGtnrig882ZUyBqC+CojPkaWxrVA4K9e1ox0fjLJg7Hp+RVI/fJyocGszOiAmt6vhSc
YPrrWYP55qRZQ9WHDDDLQm25fTPj3lGbLrblrSZiVVJmige83NtuuYZTAX9ilYQ87j5P+8DvDNXX
ieUlKnwPhpRr3P/iZz8iFLofTVyjACupLzW7UA6IHWgwHmNtm2N8X7sInmtfolbvI28IBLlR8B0a
i1HDqUkvPsauPuz760oGY+w6cKULSbZO/xGtlzzVdVGV+1+MUSiI8D7XAdd2zGK7EfyHCFp4YwKh
hb8sEVIo7YKrKfcgNEG4g6Eil7dvk7CYjtX6Z5x+2cr1XcELgSSIl3fDfUe0hV7ESP4a+Ho7Yogp
jpynyfSJuGUK33KF09h1M8icqVZgr/+CR/ND/WEKIIe01+69o0dufNx64kAxMLgMeVc+hFNq9gGR
foN4xbaZr2w75t1KqqUIzjaPkU/kPH2o6pwV5PHwisC90w6yJWJUqx/N+P3ss5w9ovkOKh/GCZwL
PdLnolEJa7nztRJiPTj6Syjcpu0iNNmnz1eOcAsLTZCTo4J+RBM28XdE5frveUWaxlDJvOTllikZ
zwD3MA2edrX9YrwILRa98VlRYIcLhvbZwEfARNe3SDajPCBFPQKUS2srxm6Leml6Hq/J5Q5y8OBV
457/eCqFZoAAhuZyDEelbIbak2Zc1c8Z9cL4hQasdKMR22be4Vy7VYP+U2xUzs9cOf6pXfosyRiS
6N3dkMbb4wTVnRN2p7ASREoTjoBDf+TSN6MFHDKXZ6ks8fEf5ac6p83yxlh4Jq+kLBUDwDeL/CIG
w4j3Dgtu48Vw5iC9BjOHS3cfox1Z2my19aQjiOC6WfCMc3goEKMaIcRQKfjYsGSlmVdtxz292xl4
PwLj/wnY6Q0zOGaAEis+4V1m9spt54GUPPozkA9YmrGshbpu0cBTRjacjc15tjp4X7Ros1AhRRQn
NRoyp9Kkb8txg4zTI/MzYqLccRbkdjdoUj6u8SPD1TXschyeOvbHqn/MI/Lmg48AmHVXrZoCBElj
NrpOl0Et7dq4VzuIydi6FojrFHZ9L7hiGGigsMPEVxcsPFZoherYt1L4I6Qydt3PapAAEHApgHVv
g4yvGQp539gmT2QOmPiHDW7NEJ5Lfqdon0Q9XXx4iFPGMfmVyxB/J3I07R6Zd/lVSrVDL+1/MGnE
LqyvvLXO0yP0AbkOz9JFHlNJxHxkLMWoidCGKbTR5wll3F1HeKwHUp2UA+hc9b4xSZ/mT/c8yijs
2Ys97yANb9C7USqNOIEFWBm4W+PB6Ge1CoD9bvmn7ENjq03nRrQbUARH9qWs3aCiBhD1QuGY7FGE
rLTeUGGk1bwcDKyMIB4qpD1Q97tyxiSElxINhxlPSjKfW/6KKG0YjVx8kD7YSbto+6AXODg3VINS
7AuuI0lyvseeFWyLAToLm/a9m67Vui2aEG8JaVy46dZqBY/9AZ/KVqjHJNHuCXr2eHLQoaAlxrGn
9EFQPz+xt7bFQtH4mMeGDP0MVhkaxdvE0WLbHDWBX35Fb8NFYAL+wzYf83+bcMkEgcIGTEyXCNxJ
7OeO6qMsNVcQXmi8xeXh/DOe8vPY84evZfNk9VmvWlIsNT4TXIYVknCk0Cvp2N8PZrffaTnjgsEL
b4V0hiGJkr6zCSmUULEaESiI9a4/ujo39RKraWzruei5DlhvLbzsWi5FZGj2q6PtuNc7ljHY+r7r
XgWcthxAVRA5mCFAGYDOnCEvz7oHZ5XI8CKv50QNTL9s6qjsO6whVDGUB1YW4kr85UWqefz9mnc5
8jDawqXuKm3YQWVoJzyumu9oCAc8BdC9ibAoqEsWvJY/fK9A4BRotvgOjz+S9j8rkdtajoGFyOr+
BPgPDcl87IoaFzGd45KmqhQ2VgdmY5f5Wf0Ccqhtt2Gm9k4DE4pm9BineJNncumAkUa1WE5GJNnx
iTVMuO9FkuXPA+U3zXCT8/1qScPHE48HtM6y2d/LupB5cOTOQ4P43bgX+B2gg6nQGUxmGJDVecuF
l+l2bfUbnaMlsvlE+lCMYpeIkKQ0SY57aLWaK71ZfupMTpGACiv45yjUHtLQi+suuARs35ITL9SN
mylTKS+72x3smwEHCq8mGbqwx1cxEMX3oS7kwfhWtUwArw8QTh8qJ47mzRBr0OGdF5KJySkirwth
32z4UIQfgbaHbK88NwPb0SxNDMOB/odhYdkf7/2OqhL1KEsheI4Rfkn0Ltqj6UJGfdlieSiPaQas
NAzohAfo6JTvsXVSz9vNkcWSxbnPQRYrZXwFqRPNuiCMLSEjIdQs2AXTas7iO+YQbfvfIP6YX79G
QBK8nWiEsVBuAenaIHYwpH0HHskeu8/pjkDoT56soXqcKbBs18N/cgyXeuAPtd5/xPikZ9DZGTep
3Q4LisVfbkyZZGJFNzbvl0J3pWzOshQAB0zLx/6KiUlPb4b3+Z19lRjZIeB1Y6ahBV8krOydV+B5
MFrOQbtSiHvW5Si9bnj17x2utzbogZ4ZYHDGNj/Boow2GWGwgsgAtNOeihhVoUstRriuSZ7LEHpK
pUorJ4dWm5PXShbMoqzk12334sDb8RufwAg5GdFeasMIeL9BBFF/A1fpkihXS8/30cHKK9t5mGNZ
EkxVX9Wal6CCTPl7tI00WDh1Ll9krtV8z815hEw1SgH+vDSjxbKEu7Yr5yC5WTy9QFpiYvUP0cN2
LorLcoZMxvCOApLhpU+QOaLi8sybXiwZQDRr/G7QQXShuKwYCtn+sdgdHRf1jkqDRyc9HyoL1fpy
g8BurStBhWcxLkxIB2T59O+ybUzh9IFkd3OES95AJwxtmmTi+dVQXwLeTR0oTnR9ryE7CcxlRXAJ
CVEUVjcodXvDix2JLe7fu4vUfa8PbWRxbXFoGChc+2ITX+PemS8iqKXKjp6NtiIbCzN1KVZE2qPa
GzuTgcPhZJPSWEk4fiDvfPXHZY5TlpXnCga9WCgE4I7U85XWPObHM8M3ueFnmhFyd7vZk3GD2P3J
xptrw8eaxRSEyaLkXUae29R0kG/n7gID5505HGGvXI7459b8g2NCGd2oN8yM9h4E5BfHN4eKbrxB
+uGpzK8cVzUf0GKCgG/nVkNQFtUIjgCntT8oxgf0cy4SLB5EejXhlxQhevzoedtDLMLcD3MeuDoj
KUQMIHY7Nf5PCH3UtHa2hibB2I45GEsm5dOpLZ/4HZWPcnlCD6V8UDvyEW4R4v7oVX1nyOVhpbup
7c80lJFf/j5jk/93r8Utdf0E0eDi/M2QQ3WGJyUjmcQdnizWTWAz8R30UaSLyg5zL52h+/CfGMIa
n3qSPcn9jcNTEYYbfnDrJ7Fu5nddD2Gu4I9StcF/OGeT9xlwcwuSkLA3MIbq3Oo5XXJE9Yw3Fs3f
IyadUBYKMud/rCF+B0XvsXyKrxMABq94kJJIUnCV9vZBwFMaEz+wK26CrtGAc8h3wNK8t7PGvXUC
IP6YdElGVH/rOZ0w9REIujqcxGXPYitoz/pxwlxREzu1ZRJ7Ak9JUCW3PKbVN5X62R6A6wb/zuEj
uYOC06bdbZnk1GhYjU4a4iVHH2mJ/O6BivoBBp9zl9OJ5AU5adx3eqYP365AgslUke9OXrvIuZaz
dgwJ8wT4ByDIQMRR7njBqJ/LefVN3tzUzPp8owrRwhMXxz7cZS1xzcHTshu/BcuRn95d1Y8shA0E
JpsulJkYoYeHXZ/WwojMbkaKhfoIwW6usdK2Q7N022IJjQjEofeK9ElZjoGYVSkt3eQyJuXybnIm
j7Ub6PzljPuxYF32AOPjuBDsOBRMFXEFtBDZhnvfzNzTXqSafcGmrSa3t8oKl4zLwbQZE0EtrnTS
QoG0t/1TpKh7IXMC8xO0vVfnHcpwWWZilb6CBdI+MhMRdIKgJnD8QD0cjuf6hGE3ZjlFRInFXPIH
0lOLOjNTe9X6dobwElr1bquwSXkpFgmO1ZWzhooSoQYeo8eMvNI5PgRlFeLSF8fsFc3TkGSygWuZ
yOkr2J1WEvAxP2ov56nKoeHMBjW+ZmpglKsnzJKuZQPUi3+tc+KWpiBMacsc/IiR4vCetbG8ROmY
XvOHWL1Hr3MxL8lreOs9pumWTyiPAI22EF0XygMMab+FmN7n/sKDuq33ubUx0MYQOW6DKdU5NmqR
ePuUinqtries9FuxuqqKnUxLXzXkgZ9sxwwEyaNecwFQ0M7v5NjdNGk8NzsUhkKNI4Brntjdl3um
2KuiL+0iht2jtyyFAkEQZ79byyGEmQ4oUjpSX5y+CQjWQKPMM7VR+2vcHFJHieu5XouuPuwFP7bi
uostPoV7U2vl26MNOxqa/ZBC3ohS/OvL1eyT6EXYYkWWbNs+gC3UAMyfv2DzIMj5S/QnvTdj585S
frk9KcZcH/GglcHhVelBLbrKU/Qmbsx+R1RVNWXLu8LJmb5P/wF3uN1+DbbC824tjRWH2biTVqM8
w9p7LU86IuBPbqS2/r+ORLJwbxRIt5pCX5Zrdi6DGvLb8YaFsS+jaH44VKOY4VEfr6EDdNYRMoLp
CFyZH0nK6AmGaQ66RWqfj1ZKBuoVZOP6KxguX6dwCWA8055XIzzz7gKsUYNziYgzql/5fGmLw+yl
sbHT46RoDCAK+zJR0tc6GNyJ2LV1nyGf14ydfnrM162bDWd/RlkqkMjoO7EC4UB0Ut6vOG/UMaSE
0SSxMH1oQJSaCZ57zwLQ/u0eowbMQEPCYCk4NPoLXa568vWv4WdjDh0u+VHhig/o92MuFREPmziy
6w2DtZt9jH2iBoMZGsaVPUGKIXhr7aJNFle7WTAbTWwGOnj2jUsPNqIZTmZMHJRhp8rcBrZkk326
cwIGcf83jG4h8c5MnGaAz3TCYhEGm6Fj8kOezt6BH3pc6cV0UNvYTzUSOhdO9bFyT39dgp4JP5/7
MTbyliolIHv6a2iq1Pz3thx2Pb/l0e1seLr6ABT51iDkxH9PiAwISrH+7SFZl0qeYog1G8l9VPQK
2QjmsqQQb/+8G0RdsEkKPtnErbKiR5XiyGPEsQRxVrZzoXxUbP7rRDeTQb8ix1/CzKw8D8sgXdC9
9ppmS77j3THk+N7pIeieqQ+fyFCrckAriNYWiVdg/HjskkSZ5noa7Mcfp5je+AQ9SdSBK719aLQm
QqFlmXvjKBwv0KY4G7f0khcHngNQpGXirC0b8djiME4cRefuPhKYnuqZWaCWjxo4tCGUKWfxCTU+
LUQVqTsqpyf++km9MlMOLHlK7AwRT2q7M9SBmnrZiu5zlTdPZF7YDKtTWMdTfxB2QlnK2V/Mk12t
ZWljnDTwXJH012CGYi7DcKyUf8+Hh5vp+Ahu4cZuylTvzxf8k6PPuHbAaze5DDBTJC3ZREGCPAWl
qzakPWCnIJgFeKGQtZrITXfXERLYDoqp7g8AXdQrXCQ+msFQZxNkJwccLhGChCDovvApwGaqRqRR
+XsQgOnLVOpxBnb/u3wn8wiWvNx0YjpLChyvCUEBAIedKm40YR/bwkXvM/EYCW9QuAlZWmBvqHUu
EJfW9D53/CPcgelBGyJwXyOHGZVonEg+9lJm+q9Hy77tKWax7bMbHicQ2IPyIBf9Qjt9W6ya/RwM
HGfkqOQJn2kh/O21NRE4WJiT6Ov+JtiQ9IcrVagNnjqilSZiYhDRYCms9C+YUMcqAAI4I3XeAQCL
D5icQS+kvmCabwPsFA24FgGDmzUhMeG5ok/kZV3WZ07a93SGxWS9X4Cx3I+SfKwFR+8GsIVwmXY/
w1gzDVc9lUFam7nUCeBzbakgHB5MgHdGo1NNyX8tU4H0ZRsSwRGYQ//LzLf4aHYci5OKQ2Hx8BEv
8No89Q9rOFHSD5OQL3PEfwd2gnnYXQtWtrLV+AY9OC0CrwALPZsYVRAMB2WEYSV1/IhbRzAYd237
1DNPbPTeKZZL08WYaghKrliQvEF25joyhUpZUMJ3VJyDztaYedsexXO3UsyY7aHyzdUEQtkWmPjN
ImKb2njFymu4hqXw++GN+q+LOErdjlyw1mqXDlWOoxLJ/egoCnWxi1oC6pKMIZ3iAvvwRl372R1X
ZLj+rmjhzXfxylls/GVUyNqGvi3AKIysyByVyx/78j9UC/lic6sO9xjgFYfFFiPLvtULq2a2Eixd
sLA83C3DDhUlmhDQWq9EVUvaZtc8FHxVSwMZEsSsrZA3Xcs+2qp+BNk4lHQ/Ek/2bkaXgInGUCWY
++sOtTcVn/pNBiEyZ0xp78Y8I/J6DRja4ow9onoG+kID6v7m/ii8PtnlqK9k62wZYDvaNSZWlVXf
Q47Eto1Lnn7FaIAA2THlFNUsgd4d3ph3rPbW3d1ueN8Bv2P76Tr1KTDNrwxIJbRCW1b/mZcyMZYW
MVz8X6jiRoQpDb7q5uSVM7ttVw1QKIpPjbf1jyaT+4nzE3LODGD96JgNOh40Pu79MN54Hrm81OFZ
xlOVHXgxwX8gZ8LUAPO7tWONx4yucYS02vjA+VmQM/XnC+hlBx3emBj636NKvCpC2v1ggdYiFg8a
yR2HvwKT7dugQqu1xNcf/4HV45Ugz/c11VP2JKOIbt51yWhB5BOHSOqSxk+Vr0945rIhNGMMUFfm
9xVYAsYfhokpZ2P/UJU64CzDtrDzps9eOrFk+Oh4O8vhNTwZfuFJbWiIN/l+gnKde03oBF0UKsU+
aZXYExBND/zEsSy+rmd7HXCRR48ujbxof79aVUv4OVKpH6e4hBzfWh2+OL3gf7ye1XcQHmXbdLyh
+WgYEPPIoZ6pGfi8uQ+V1lIOBAuAnBEVHIDF/wzdUabpx17n8MfLnVc5Cq+X/QURYgtxMitoQrVB
QXMho6YXSH23tv3OPjzXqyFlQHKB7jGDr2ii4TS+Nj6e/e2UYNuOpgQkgOvnUzC7v6qNBq0IfLqe
YaX/UphjUhrbCipGMRYl719gXU1N6jNwJ35Tm1KVHFxSy8WvsTGDqcB6ql4XWOS1Rq2Piewxo58p
RTMF47rgdR7WhAJ274vcoK9eF2XIL6A1269m0sE1akM8xaFDePvTveN03C2RW7g1PJ4mg+3G2dqs
dWHLidssJb4WhK/r2uhbBUWT3BHUb68sSpuNSgKEvG9IRazqfrL3S/FUcLepFQLJy9uej3KnNpgf
sh8J/tAEbsQpoSpBNt6lXl8L7+EVfUDk6jxcKR35u0GDF42geYiVLZ/3CZjGIV+/5RDTI+LbW2tJ
xreOTYckl6C0RXKclup9Inj8zpvthmjJ0bSD6fKGQ3ydkh1ULsxZNml2OoNWW4+q0A2OKl6vSWmE
mktpwh8g49dn+fbf+pD4Pfop63/I4m/smle/dP3RlyBPeMTHYrgJPoKcp1BDX7XLXN8jjhdL+nEQ
m2wtMfetrK4SsUEcmTVc07DRMSpFw3kR+YAnGtbT474c6q9+2/e7gnUuonEXVrKdq4sLJoBkxPn4
vXUqD1k672oPhyFuVMI3v3yu3Y1/5rXWA1eBvtjT8dO4z/8LQVyCfbPXnDvMyNOCsz2a2Ltd9L+L
R9kaTsukpjNtSsxFhqO4wWW0H52Pc/XiKuU8opiPoGkQwqwAjspgCnH67Kw6HHkcQ3XxIGQK3dsC
ji4c+6hVujhPOhQGSwK4pEzRg2lQ2xvHPvdb2U2n+ysItZvT8GRfTCXiesrcf46q7oxJ+gjsqL/6
OhcjBFqYbcVWLybTkIA+Rghc/Y/R/1BATfClV1gEjdOo2DnzVhM7dJgFLqnVbzwSUaN3hbJHwxE8
JhkJoloeDNEnaPzk6KOa3vXeyL+mxPGmy5pF8QzVJruqg9LpSHb0lbiql5FHix/cvXqi3cxu0g13
w3RbVHXqF+iv/ElxrOnq5XZcRlGoeTZ92pM8tLVv1YbSlbR7GYp4q8mkq6V8VCG80VdAcvsgaRX/
T7j9pUfwAfYHXZvTyUjbqDtAmyj+w0xhLPgc+O+YcBg+5IhwQ01vo5+JW8TUmO2LYgJaIa8zBR8x
YBwf8pyFjmt3vZDZLDTXaEK/bWXGrKKD8IXwKTMZXcczUEb9zqHScowJJJS2nUR4xmkNKi1ScqfK
UBH+NoYapzlOwPuXLPXci57ILV1OicOW6BTkvPDYYGlmvY4kKcDlprKu9oenlVQaeH1bi3q/ceFc
u/M+9vm2skKbYmKrqCXQmmMsWyOJZXxdEhoYYoSn+LrH+ID7oNtiDphepIgSvSt29FQf3gMk8HOM
RvTAkrsdCZZHSKP+MeMlBlI1HYiHwsj1VlV6f71tmH8wfHYf21PmMkhD4/Qcn4VCVp7eO77NdISL
ipkEIPktRDY3KF+9BUUkgCPJP80FwV++Lg72KI40mbJOtWZiYmYfFZwyQ5NvBxVYxhLfzRsPbFxU
pASQmi5sQKJDAt3C4KFrJwxBmtPthcYWAV/fa7Z++qD06rzze5VJtmHg4NFerHKY24HDn7fUMErE
uVi0nRsIaiYZjWuFiKNrnAU5unjJ1rQye5z64ANAo9o3LDNWr8Bsl+iWTU5YgEhhOIEjiiYPJJa8
PbRNhW7AqKncDl5llsxsYNCjKyOgswR+cfjJokZfdGMFNv23pNV+AwdkqMn/wTB8PeGbuy3I5TE2
57xa2SWc4AJALC0ZiJpJxGKaDrdMubse0znkAZEOvby3yU1+stnCTCPhX9T9+cnu9DAhi2dFIcDV
/JCEFXP//OruA2Ep0CBYODL8JmqhWjLEwLrs8B7l6M8fBc44MWDCRCdZFscV2Pe4FyqNxt87HACh
ustegMNOhILk2fiXsjiWt8LD6mNpvJsDGfbD6nD7znlzAaC7zBrlX+8YLpgrvw+dKrjnUu6JKDHY
DY1kTK3XeyhEshYDmHGyBaKEP8DKe65+n7zPRFmzyGHIGdjQjYIjzgGTcb7KuDn/dFRGxnmGDzcD
khsON59Si/ZRR6H51eGBLYQDaCGABecSBbr03TyTk618igNKLKS5HyafzgIRQLG3tRbVoxXlFyBf
jraZQkuwN6VPJNA6T1+2YyrMmdpdjTYlqMd87h0Y2j+lc0Af9reDwUQhX6RS2PHY27rBSkO6p+Y/
eKtZo1+PyLEeJEvXPQKzgo05Xe+r97UN3xKtHh/ClpEl2nemh5JFPLM/NJEvdM3YEb3bmlHw2f1i
TBpgErwQHJTQ68EqRt65tKTiH5K8rbK4TkM3jvAEuYgGJ1lsCPBoeRq9cGZlS4PYsEujGIl2nwaA
78Ab1xJ1CNVl+O8vLB4ZLBAdgaorZ4WnOzx3SFIEIj3i/Px9kgl7b7OBRyFqypknHWS/M4HO/Duw
iynCZHnwZ4qz+PvgJpmfluPkyqA4kDYaxBm4ZtmpL4dT11leYToBTVLBV0PLo4UJZ5EjaaH7M0qg
/99mjLFxOXY0GBW7aB/OIRjnEUleH3m+5RE5C+/iSduNzcR4lNk04leR34QWFVbt1ld7SziPpx8U
dqmpnsTgEHXLe/tmnFgrpVyy9jj6sx5vmkd9fZBvhq7iVsj3FGgAH/BQAhjIi6PJSZDywdXtsh8K
8H2Uy+s0vOW+L/FJGRkL/xQXjvwNfFCW6k+V6mKwuKHqiuhBLIezmkPVscpFf+ATZa8VFiAi+9+K
Xl8UqU7AuYZNWrUCy1493JP3zKcTHFO0KcR0tmxQustEYKiVN3k0qsnPdugjp7Sq3tDgR/npjPs4
njxOFScM72E6RAaUogRipuY4MsCIQ+NhRtyD9I9CiOJopW47RQkU2cYxqOZFnRFO6t8Up9q6MIgE
Zlp3BmVqNx01m3Qo4dQmCmlL4CUq+qxiyg7ljP4AXOYsdZ9Rh7+VoR1BHAK20aXypCxAp3KPUr1L
5D1poNOa7U3DNxtpq0ugGrab54O4mDpQoiXA3ZRiKJtYCmFpIXzCJwgU7YkZX5aRK8CRZTSRhUdI
8hb0NJoN5GZ+WHX0Y8wfrVeK69QHYvhBUu2QCpwJj0OeAYD35PKCnWDQ2nWzCoyTYYq6Lg1mZ4rU
PPpL3r9rZlb14rVAlzGHSMbysi8qVoBkzydYXFqNHYDSo6EZy4RUtElblR+euAyRtkgjRbxOZL7I
3MrgJ3h4xLISXZdvoFIF87VyucQsQgGG+QPrwytJD1t3BLxM1aG1PkJYrFxeuGm4273DoFcSrL+C
UPLbpKEawUsTHCWZQ/d6RnsECSBeSiDV/++o1XclB7AKPTxRnLbVmVGMppgK53a2t5WChgVRHriW
tCbYsllbrDk6OiejzLN4Udpy0VnSYiLmMLCmP4qyDdhATrlMUtF1eq2wlNeZaqccqtI1g0axsviu
53CewIJOET1yJrGutgy0Ypv/J8MDl5DnmZbchx0HXcyPNDrXt3gzZheciHtP14TWbVTO60uQNeBL
XY3oU1xwDY850y6eNkdzrwpOF949UoL9wtx5oDB4qsy0sOzL7pvAAYy0pddHLdxO+tIaTADqjCcj
Ia1KAe+2mq9rTMi66HJz2SbPBzaWTV7gr3AF7hC75jzapo2YrzfAoL2aDX1ATYvZGE4kjXO5eAmm
3Zfug/05Z2NW9ZxbMa0+swKl4v8Mc1XOYauqJ4LdWigxxZ0JF2i9S+hdnU+TeL8j3TQXxXvCC4bM
VRze4IcHWLDs1pYQkMFz/aLB76QFiQJg8KpICOVqGLdGsEUKrlTLggqBCa4qhQiZ1XXFW/XYJJHw
oIK6+aIKwxRQSrPBTiQvc1a800QO+DtTU1GSiOFeVRAOCO6gnVASettWNj2eAKO+dJKEbw84K94o
SAiL5C3Xk0UOsvBLVfaEUKkrYL6FazFo+BGyVBoLqIdE7u96xVYiYqcnRAja7J2KDc5DJ7VVkdio
k8NAy7APeocq6CrDOoDYva5aI8EbMhUxESzsEjwJSepY83NCU0vXyFWaG1TVY0nPqbKrDJR8RAtd
rfrP1bK8/o58rJ68HTbYWpZj6RylhoqnW1+1cmAjUB6jlOUu/pOl0xyd9lGgOGk9RZ/EjKLFUbxS
p8KjjmVq33sSDxYZkcygy5UYsPZAFiw2a/C4EMVcJ/GpbJp/WKwqL1TJshE/T62PDLsqiwniMlLF
PEGKY0t0XYdvjjY6Fx5hGoFpg9upzdFBAVp3h6nNaXh7b1sCnDdm9iZCloTBLpihxCqyYVG0XK37
QVfx5oMvb4xagQktegsVxpIKB30Eh8P2nV3S1AN8G12/JyVmHyPZkt8PuCITBQ47+CDUhWpzJ17A
aR7djOFt8OvSZxYkllGQj/sTFHJUkvG84tLt2DEdtjQx2Y+wCxBvGnegaoHmfmrNvwmSHa8xd0sd
kh9LIY0PzYUP1xiSBnK07J+SxyJSx8MMkzdL8vuu74hehPyzaFEo46iQ1CAlC7zzv/jcERHV4F6H
5/6Is2cbWsv1bteKh5KcidwThe2+Bxa8rwVAAn1iugBzR6ZhBqFlT1raWhIAenWp1PC1EtibLTO7
acH+/yPSW67Dbn/SFFPST1CuFx6zR5hDQXsE3GercszR9jLy4AAYmJFJ4iIg8tjoUF6Qa5WvpLX0
jRJo17b78+f73wUpTcaSjLBtkiShIlm/ykNmQ+JxRHLMPQ5VWL/xMYP9xs7lZORkRMg4c2gSsCKZ
60NFOlQw+RapIwhveXv1Y9qQyoziwOya/8RTvDqXspzV+K+X3urZL0qx1NQjQ8l9CaNli1mKQVKh
QK8xk7n1+xrncJ6YoYq3XOACo/Uhzol6hTh0zinEnV7H4x1XnngWphzOyHOGkPZg8jwQGvKvjF3b
b1jkwWoKCZ8SEPCpiXUR96qopCLEPyJeqGyyPp40OCVHd6yVVcJR9qU8iL12XjDb/Pv1vufH4AMw
buoeONFhQf6WcXsjqQG5oKp5R79dZt9xCpObded626h/9NFnS0n0nBePJWvagjC4haWXmeQOdlIt
/d0D1agFajByMDH69yHviVJKWtje/d1lMW5zTl5+JbowgVsuFUGdiqk03sDNhDcZpy6dABuY8xy6
OixrGkYTzqqV3hTU7VG+lMAZ9Im1uSx+er1Rsnu1PbJeIsICyeeoUTIs3SzWnYzdI3U0sbLWRweF
ihMsQqrx5uGCGVVFUUjA/B7tPH10gHHn0YleBZmSCTGdj8FM2eUc8Gk6fZJU0yQ1jJEebtXLS+SK
7mEApU9hQdjbOdoJMu2oEG7SQ6f2ZOPilRjQEOXrGZV8phWb30baDCoPfBtolkh/A2yTCEXObpk6
7OENeMcS8GRYjb25leBwQ1JkFB1HxA+hdN5Fmaaw37TWI17hVcjxJerhkyPacIRSHfgZ9/6ZXd69
ZbiJxJZ9qcX6YpSyfIM7ocRl7vBbolDbpzjtpF50jAokYepZmM5uCseEnO/R2lQBluj2H/VRIxq1
3ZMfB20Z9yGil06+IADE8uojPJEDB+pPYVaMeHNIq8wA4gpUDmcN2GqBxzhJz8l5FsSbaMn/K/lX
Ed0iccGanHBSB+x244iiy16RhecobIRiQ1UX9qaJJvmLAdmAkaDGYg5gOiDW+SXQvFkdxxBB4HT7
bqSAlkc+2f+6AizeTb132kmdszOzLZ8NLIsKMVWwaJS1P6dhIQayuQgjHmcpMwWhlezgZpGHwqu5
sxaPmsDmSDN2kcmE5aAJXY1FioTju3cTdoeZO172gBiuJeqxhxvMjPI3FA3Q9C29Adtyj93WlhUh
M1KwnXlp73iYZ3uE5pYT9p8L89MUU+DQYzPtkSS70y/BXxa/zFrYDjm0ZBSpz6DZZTJB3/VPRLMu
/fjXB/NYcWebGNdd3GdrTPqERFNqoUZzLYAphlUBMn/VugNzYS1ZG1qLdakkJCEHXzNyX82Tr5QH
+uKGZ3pZcKxgGgLfOzqmMoC0m0pj6n9RKv2Y99/9uVMtPJAHTUCz8f3o99KND7artL44N+HF5DdV
4oqggqm0egPcV8QPfMAJXSREam/0J/RVeQmg7vzShtqQHNescSnP6Dkp1djRhLd3wrTVRfTdMbJH
U3ELw/0lmQGHKyRXKRoTEdh/TkLHK6xqbDUNB8k/TVtJQyfK0AgzDlpscym3f7rT9PSynRUSAZfR
iKu1ZyyiALKBOX501igkfJGL8wRFATg/xJmiAS6oCeh7MqriT9LDoWyQlYW7dx8ob6gjINhAI3TZ
GtWI2iTegttTCg7Qs4DrqnBN3l7KP7SxjXNnOGNaMrOTiIQhVSsx4AgO+qpnOTbVphzL9HT2pcST
85kANADwtUFqQNUbOWPGZVpatzH4o/IRSDyKi+Qb0oys4EVPI5zlHdoTqnhPH0c/fKj4QisYOkC2
jxoF7wA6tAQMy1JDWEBh6A5c5xfdRvFONdVAwkTZZb8GOxtDt5VKITNPoDIqm5dJV7KW6vg2NpzH
WvSHaDXs7MRVqksx6dvwUBvcSMdzRcmwl7j1vkdn86SunLdBj3jRWKLBhuXwF85lI+fsKuLehztb
FOhqkDTVpOS3IZeNEGf8mMgeyNQuMaPfSeyFb3eyQkwiNAiOlhxfsRXnnMBULLUDzIm6h73tSzzi
EgU9FaCc0pCbp2GNcBn42S/5orrviS/MStfQEKO0wdEfHEx8zkAoQWyqLHhWdqQAvyzGL3a7nnk2
vi067HDGHDK2X8OYzCFkBKoBhlVSgi0o2Me3JZFdRs/GTju0sPn/aUHpCUQH/tia8lCRuLaQSUxy
7TElQvmzyXRPGNA/sNWbcv7I+0X6Ndwg2LdPdOSzAzjzv/w3jMXf00+qvO2hPRfpmoOmV8kOCqQx
ECpVq4cbN9VhaU6AqI/oMye2PLfQz/LAU5xhmTdG5HJYjQshPcRNF+BnqnMAr1/qVBQ3nTnTW8j5
VXAPHTl/vYALwXXwExY89fp/+dBO9OLlbvWHpFe7GCS3bl4OrKQ25pid3Nbsm+0hpGm8XTE3haK+
lnJ3iQEPloE5wm2qwkBfoRvgi4Zlbt+B9mhz5JiPLhL4/HnklwRUN3UyRA64LmULm+OvP3n9IaHi
uHXzLtAGhHbBFC9Em2Yi9jM8Bh9CrTFVguc3vkXjZLhZnTDacXye+dk30jD7j3bU1cCvgagkEyhP
a/SVV6QtE1eTNunWH/C84X1uCRaUsOkOMFH1kk4JSA8Nx9r+fVdyVejtjtZY6hl1X6oAwLkyY/B3
XFsLvilNPvX+JvwcI7H7GyjbXC3bTvbKv7sAVmBAxCZX0I9d+6VanLLKo6NrrbtcmHBIyGVZRR92
18274LQ4MXDbxdnpMpploQk76NtigU26E+LJ5Ej2lasfEwIotu5ZjlX/wbBwbOUWSAWZowC0SlHv
GQfBCMK0BGkPgxokTD+fl9gl+JlNBOnkN7KXD6E6K//96WJrBqAnUceCyCAuzyic2L4eIUiZfEjx
zbKpZP6MivWdEG8A3xcdh9KPFfqdxUJ8C4kf7yChoqMo4pyZ8/c7WTUdIB6ynZV7Pr7K7TxXOss3
BWvjKWYWNAXRBMPyLXPUwbTK5RgWv5aGqZGcGU1mGTt2C5dIKAsgXdqsL4SArZiJT8n6ZQjV1nV1
AXmqUrT4Sda1HmwCA11wDrTSSufsWeMFekWqHH+ISA7g+yqOrEbfPnUf8XuTBKijnXRvlSu6cyyR
pdz14jKQYY/iCELO0IjkobAsd1FMCBgzkHY3ycLmdvhVR2rWOl4My+LKdGEtxxVoyUKXye+48E8Y
jSXPFD5B5BR5dI5xvLVdC158ivZVCpI1ZYvwn6a4ForRqgjf+8KUd5l7CFI8GVnZ7pOYmweYBcqX
QjraLOwnQWIrC/7W5+rxZEtZw8pC6ev2NzMWsLBIYDbTTY2T89/mLakXmzxyeg9yo5ZD+OU7RKfE
14Tsx525T93f/JtYC1x6B5q+kFtvY+EwhRdZJKQY+EW8hKHdfrEt6NUjUo30hZzTMj2f4Xd2oULh
P2k+NWTt8XjydpEQCxpj12y5cRpZigs/z6TaPFh11P9FB+fGIOuL5Yej0l/+kqNk3G7WmSWz92oO
HEML34any4N8ykkGmoe2VxsV22QUTF/U7tymh8XPW091lFXyUSbCr+tGU3hLp1NREOW6Id7ieK3L
xFcJa5O7BNJsKLaT3B/AJtSL6R1juphK1vl3ZK4IK0U0gcYFmkAziw0J2Bmy78bG6pWTDXfBYrYY
nElHIemu2sa9BOn9XEbTJp+mgEvWzYJ0QomLGse/Pl40yGmnSRCjiC+35DWjVn92fcGGTjzPGDsi
iYUjmMM2/dIBWPV7/lxFr+TsZo0JI90cOLFKAlc541/D+YtZ89YGOvsBPUmeVMMXLLAHk3X5T4u5
ecH8PNKyh5OCLj6duZrzsewa3aDVFUODQjqAZSsxbvKXe7rl6wQ0w0fynARUtNrwJsGtNMAO7Gq7
+aDPXwTUj4URyV8jI/4tvBVzWQhGpSuP00ZCzQfkyq20osRYjvcyd8lKIpZ62fkLzDKp42a4+8RO
TG9+MHGI0qh/G+gcf60EU/IJkeNM2QZ5RuOoxXnSo/RstApJsmMGdsjHR51YjO3gnDS7Kr8fq6tC
AB/snmCUeG8AztWB3u/Zb8KkuoyVT6RNNmGhfzMN9jjYT8dcaZeE/Je/hiv2LhKQaVotNfCfkbYU
9GSacDgedrzWhutNbuW1/o1KOa0OWuF7bNJWw9SotgjU41hgOIFhwR8LQGJHJhc/avmmRo4GMGgQ
or/hUKtvQ2n0ius2xPTtTAswBi0lzD925JLT+BVMr9ZHzTZmDGnhEnVHmblymlG6dSf7X14b3VG8
qTRk4KgN/8z7ElAlo/SFSRQxHFGmzMfowORU/rxafJYNJ8pINK4NTdkh/vh8I4L6j54yDyZ7biXs
iz9Jc3lZvm39U8orWtrP13tI4Px6QuNsynsse/ErW0Gk5M1AIg9lq+XmvL2LzyZdQ9mtmd/HYklX
LxciCvf/lMytF3ts9qTKBHJzcQq53GIsdM5kV6F0NvcNDTisgIWt/deaSrBF4GhEhYwtnzpaR+sq
StALr2dnxhgbml2FHnza45ayb7DosQWXrl2KLL9a32hLO0ojfOSc3oYbgr5d/Y2nBgpr28UWA7zi
L6FzQ4gT8VEr3JE+wQl1aJDXYoL07YoKmOK7WICEyp+2sQBTCBgrkgD+Y+SA8lA/dqKCc+NHK7uA
cppYCSTAWBjDX62CIGbKUs0OkJDuVyYjbe3p27p48ZpUrNf+BD81J/EH5zMLZWBAzoriPnghoIlh
D4/HbNxn9QuX4YFx4N5TbK1qIsPEDbS+Q8ikiBIptF87GhcTMmnI2hPJTB2jG5PFG6lPJ9yCLF8H
Neuuxs6rmfzQrG8rHOFPhnUIEepCySWEb2rc0PswVHMMWNHE0+trSFN5csdrl0A89RsGfTTLN8Si
iNrAK4LDCI8NpIVlunTj2SJP8wyP6iHGmZl1WuH0vFZVWcjtdFqTVSm0dVUWlDsMaalW5X7CF2nZ
U9dv7DuJ9yBx/DWhvX2yqK9ey2NiqwgD6g+06TtVHFWbfmISpJrRQIXT8/sHL6LbJfCsO/2Nxjv7
ZWf4xFl/XLHSu95SfBnIbsjqt8YiJFZkn4Kasb7tSfgnhTOIF1LFl3FLGev60lOZBlBE2acbTk+u
Y+BgxjGejWmNtUg0dKYuywEdl4YMkvP88t/bkN6yq9ftIvIDmDjfxRmfTXBmv5MBnVN6UrrRho8l
kJDvr/WwzbON7gILZNBwVou3mfh+h2EoSmdyBsKtCB+SeQ2ObHvOvzmnzcrI4+4uMP8jByQ8w3UJ
Uu6ah8sif99yqaTAZJvvtLDFKfGTVtfWvgzPuEIy3TmXEkK355z9kGouTDZog0zhowk3TepEdjkM
9kLAC8JmUZIob3pzIdiDg1d+JZs9ILtTdJeIzXck6wmJ/qLTBpd5bWFFznUJHSFtg/dEXgfUKbNm
kyU/mjPi+Gv4HZoa0yBZfB4asUjKSRcFirf2M9W/EfxO4xf+8QUozef93QfdsiSBFs/c2+41b6oG
MnzC+Te8cIebZsMkt/dZfz07juc9n/8NiCdipTIY5vn5IQrX++Uqhc9XW7cmxzK8yiwz4NJAdi/D
DJfz9S75k3Jrfp4oa2hs4jfrjDpm0K1B3TyMNz/Rv9Kl1b2cx4QKhjkEyBq05gqkUAKoUfBXglcT
8WxGMfJf6KuwFLzbRyz4Pb9PLD4lM0rm1iJ7EzH8k18oSx7ZZOYVttKn9uSsOqOC+wkzEgrAfPPO
Tueb7PXpjNNNTmnsRZhTqmKBjrAEn19llMAuC+BBwFI/+5o1RWPQ08SIUgAuD1FWytyQ3YOpZm4I
VqofUd2BtRLRfNlA5nNyL0jfBU9+e7I/u1KNlTEPmHuqM2vAyyFfJHpwXmFHjVdeLlN7kcsTjbjo
0aOHxmolM7ZIwCYhU5hIw1XvR709uKzs/0XUYeuoKMpywq4GoCYFjnDhVF3SystL3+0BHG34JcNU
Q5bE+v7j/ijhGpKR+CsN/qO62jKeQXDzTYA6qsaumUAeTHJKGTTOm/XRg9w67ot/iXtU1yDQ1IQF
BXn2D7LgA02jW9sfDkRVz7c/T728OY5liYzhYGAaCg836DVakEsna45gFjYF9DR4XgQdLxUA3vGE
VvTRDeKvzNpES5uVN9Rq+UqddUnk0CL2W4Q1cVtQaO7L7x/CXLBoq2iGzhsQV8R5FJhhqZCl7hDF
o8ECLVZQXOYyb4tKmKvkVjF0uMrY5qOV7BJAIdprEMXkhwNfZ68co5ezIHR0fV8hwbCGo9y+mpqu
ENyan4snsmdrIh9ep5i4J1rmE2Y5nOB6VAD5rP2NHf7z7S1Nz6tDr6iprnHQ8t8PgDxt0K+3B0Eg
ds9ObMko/FtfXzQ+cR9hMpYtQKT4ilR56mS439y5XOdJXUJaj0Ed2wi+tmD3T5zn4RWNWuYPhvSc
3aGljzKRyJjsv14Ikhn3Xvybq1dXkzOj6SyMpwBsuyTV184qz6T6NKI8B0ZjHiY7e4nSHfEckT/9
LjaE2YFNwBoM6gRhFBsKOfVtt1Ww0NemELuun4w/YyXGMgDdhgr52QV1YuLJrGVQqaYU9uzW7bK6
tOy/pd1m0XSZ1koBa78y+DRXHlYnm7yJRVOGYCcfO+arvoXMLbJe1GD9NzVClW3hshdem+rvEqsH
sE/rYxA6kqEPDId+n7QkJawO+6Dtr1LVrSnNZncJ4cf8StMgbDSoQnidfDov8PofbijTmp54Kf0Q
r14OzrhtibSFZbnJUQ5AVojGjMSIfYtLEXd+7zhw4/Ve+5ukAZu8IMEldpvz0NaS5dHFYFtURriQ
vN8zQUhgOlPb9BNMYBDOWH5DqkavWrWsKG5gPiIys1/KWKcLPqdcwenX47sdQcFDN8fRXB4pxko1
qj7VjfBE/n5OsDVbYqCE6IJwbRZK/W7LlsaMvUz0KlJWEZCuPu/IxVPqjfDYsMiujzvCjaQKl8u5
l263w6CQal5Rpyv1g19SjKh2tT17X0D/EXcA6yfkXbajoE7/AVhnck0aiyWunLqCJM58+97Ueu9C
uXgnvBwgGMoCeeBsRqjon/ago37i20DX8k6muCS1oOm/LvQVwmo9jFKwkI78fY1TefGn5+gn0r5T
iuS924RJfPKgTo0uROKZz6bYcs4dJ6IanHQCKjPvM/yea8685wRg/sTUAoGwQNoF++fcbUmbRncd
rkeKdgCOSaFNpLBF8xq2EJj3CYTGcIaiskY1t7H6btFomlkHV64KVVCXBq6eLFLWzU1oRoJ/w0ri
EmVEoO0NpogWQmHCvY+83q8zfcsBooChUkqoyk2r3411b7TFq8B0Z5imZpzRudwTYvHSbVxpqoXH
wzqlq4rYpDmSNus6xyPplF483FwFMuFXKzhCAkG4U6pYIxL22PT6WzzkKDc5glbzuUexa/PsLuYn
fELq5kUv2KmdafNA+i26b4xFboNigNOjkBFQUtTNvxLjk6AVdScdt6S+FLLoQoNqWRfEldaYkC0h
lvvW8xfCLiiig4oZfyz6LsHdSSP82ZpV1jNx2hyeNQZm8jDj0D8AtAgn/etnceV9gPmSbeTNBoyh
b/udwV25aftqZlMbS2I0v7IR0dEeTE9UgfPUsFQnTqaS+bcUdSItAucr7dMf3MB/MSGe1XLrs9sZ
QdpTr/z7JZb5i5mDIYgoevZxtnIFAxOSjPyLzb3M9DSIdfC6b868APDk+Tu1yMF0CQOiEHgnxaGq
9YMD4wVbS1+E/oBsLhFnU5Vjm4fzWfZ0p2TBR+oGCWVFA5eo92vjUl0LXQUICfNwfoS4IrOE2b6B
kZUJrzxFXP7wzf/dAN6Yp1U2cVZ2Dn6L5tjy3mw5Sc1qFdVGv6uh7jUiWBK0z5Fwuwnybo9sBUDK
5GfUi4Lrvr5vKx26zZsVR+K6OCG5U9YDwiuJUkHpWHthnmYRpdVwRN+GwbAc1macJDvP7gJJDATW
r1FppOanj1hEHq8Mggc8Wu3Y+IyqXEEVe1n93vJuRAWRB9AE1GsOSp10Ps+U5e0GkimA9FjUtTKY
U7DP7SDwtqImzzyT6IHYyyCYHKWgK62/SMcSA2rRA2CazoFGVDDQXWzNcMvzEHKaFDMg8KnI1xet
2z6rY2YcYFnffMMJJlAJwmcJga3UbHYRQvqGBtfBuBG+rzmwwqrMzNyLoPX/p+vaucL+cdDISD1w
zfWXFgc7oukjXqVsDSKmNTGFNL7e0HMda5uvfDmqcnqmjlBRiuEQ5VBOsI3h25E2sXXDpsLbP+PY
M0tgUnetL/bxjORhzu00B3VbBhE4rkb07q0Gyuk9emytJihFgReFdRte5165p1gvoN96OMazDqpP
yc8iJPAFmEnsWV37WWmEQji2btf/lSnlL9uk2UoyaeUH5G4PDCIHwLJEmAzNvko7yfoA/g3vB1qo
T4CPyNxl4PdAx75lLcjc7tlv/bB+0z1P+ZcHfXwpSSLbjQ0gQ5bahacYWLF+2QGbmKDhf+Gzmtvk
8UCjJOH/bVpdj+7UGSw/GSi1Ub5z2BUrKenzKqNDR7X9sW/u7L+mdOhoLhuE2YZDfpS3jr/aPJv6
YnwK5opIq/PCrTjJrzHOwLhI2EozTzjp7qGT86IlxKGtCFSd3GRLZEmkxcGLp/OPJxt1NSQ4b9FU
dv2qnerBjKCt53C0J50ksYoOvDavTCz755WxXLQFGPtmOSdFg0JoM6CmFUgsVusUczFMwYUqlK3a
5r/L8oGddCu9xj3bG68c4C4TdD5YLDiCOtDy5KiBplc2cdl8JjpQgL6WTo/di7dES/6kCL27JZs8
6ltxuKG8481kbWYsIfJWlLHmN+ghppTS54twL6daRYXkGPrKmrrw8saRs1d9oKj2pnNocF/PghOG
clCPYdASRtMBqXzHcj47y+Z6VyTda1EoUe/D+L5eUKdeF8kpuYyeJcSSxfFqO41DL1zAzbxGa0mn
RtWmzQW9f0W80gsOTpbBuGmfUdZKCaX3/n4KHkkNedSrDRpYZL0anePElULeKd2AHphEyAuQMt69
qSet4GMM7VRQuuUVqCdDfWmAIZ9W9zU+/Bfn2kI8AmjaHMg8Qeuekqo5k6E1TontNklQQjR4Fy9k
EelYsybbw+2ewtPhDSnrsfL8yTqAhVOpQfDzmRBEBekeQBuwCd+KEORe/GbjZV5W+vIxowO9rSbw
AeqneN0Hi2d/aq7A40GPV1mjkbhlH/D1G3ppdWXlAwlNnnUL9dpwiy7yP+4Dfn2P8QWq1Zms/gvp
s+Kl/2aWblBnWD5xHwCBtH6Nk+ymJGX8G31f73Qb6KyzOd8+b/o0CXaQcvT2KVNRtUeSLkuEWY1+
RXvf4UWQY5iUKJhX3Bjateo3O2WnY795vEl12w1dRvC2ki2Uoez+ANqA0I1R9fXo+G9ZReYyEIKp
kKKxssA2ims3/CcADcmPmuDgYaNeb66Wr25sH//Xss92bypb2z3pWzBERXX0ts1jMlXQMpgreNbU
UqkXCwb3Vrn0+ZrmaYCMfiGbO9I8Drc7nvF2OyLFsM+Q4HNvPupEU3U2k40yMu+B0BDQyD+kGKp5
LDSKniPmclq0p4o6eyYmvBMpuQ21Gx1Vo6SfIehJN9U5B9D834LZQNsIi2TRJ03ThHuWR+g3ZvPY
e7Ys8xkOLZ669wnRy1lHAtNbcucvfFadFhM/JAIm+9Z9OfBvVlYMsW/Up5t9Vm72s6szGohSaeV0
SEl5AP7/pDiFacmg18ivEbOV8S6Inln4TpkmbTKKzS5V1lVlDlK8CWXXpBPEVYG8MF/3giMJLh+e
JT1bFYL+ZHJToZkVCFMKo8qBWMNpEJMi0hwoyPAmezeM+gqPdik1DmjIT8GbiINGNRkehUkk87XL
ufYOexV/3JPZcbq3v2Fh/NtvNtX6uz/ZTs25HHhBDtMZTOehHfot3XuCXXiB4Q06uZOU4LOjegVh
dm15AVcEq0zOBrqJjxjVvflGv1YHBL+5rOxgY5/zzzWLfvu33Wz6dYrnZ5mxn5bLmFSKAYpGcDVZ
kwgwA/LxXbHEFbKDEn9XnWXx4c2xL77fSN4a6KodrxFjaXxsrONYtTstwkEUiDVryG5G35RFNmfh
YxWlu0/CbvNSNdkcr/zDoTdSAfynOV0HacUBNufij34pBfyRdR/0nvxKpXgKJbj2o26KqB2WS493
7HNQ3rpWPyd2d5qK5azRtHiiJu8cHmbhIplgFL/dMYMMzGckUh3TTO30MYmauOY+SjSfeMDLwcTu
l6RgksrvsVPKH2AUZC6bwfgYX48NuNzB/lEjaAZg2Xe2hPM+tyEj/0a25K5+SREhmklAaTACNFPD
pUmvi8PMC3ekPTb68VGQ1ta8U0yY/NUGgYvG8D2kRbh6b9srjvPKWvWgCx0/HR2FFof6jBqOVNpp
N+8+xstdeiFRE4WYlLo/wQtvGmKZgSgnXeD50BjoBuKorQpa76pB8t4o7axLUEzS6YTU+afMOxb4
GLn1Pr8xTwkibE7p7MxSryjbH3ZLwCdx5o37niQL5Wt7NnVurzqnyISLkK0sxs8cslD0YulZ3Gj2
XhswuNmlFGgQ4Cw6C1snWmZdV1Gk/oLR/ne6KaysjGT7hQo3YHLya/sHg2SZMFwAPkvrBTi8IjbT
XV49izq/T9SyIi8rcue/FwaWwmRH5Hf/hYYnq2yc8tmvBF+2KDVX9IMTKp7qc8VQQx+xXC6gk7z/
juqpjiPYhUZnhlbxGPE6/LRQrgoslsaEV//PjaH6MOyXk57P9RpPHNL7onPjSEUccTVaQDT5GyEj
jrX7+jBWcVG/jBRx9QlUnaUHjPhgRVtSP+WnXkLhR1TougCiTnI85vYLqEIGODlHr8+yo/x1mV9M
4Irltzte+D9nORzmvB4rmhHys65vaXpDJF/5x9KoOWo4uQj6IVLPh7nH/gC+Xqr7YZfMX6yBO+cO
ZmiKGZkQBhqy3BhtuI1NjyvKiJEUflJnEGCaLE9eTIt2kNw2yqU2ofE3omkOoW0CWXI3+Az2hmCE
jyPHwOB/Hb1Rdq0Hlu2KS8F+xNFc8A4kdhxfqb/s8qE0wbMmGJUJekqIsCw/YG/p+7EdYFr66yn/
hL6wKwLes29KEc9mbxcR/sHGyE8YuXS1pyfCEPCfeipD3k3fLuXjAnKIWCv8YSH6j8TcG3Xn7T1A
4wDrP1bHcs0W996TAx14hCO2C3mAI/9avkNe41st2joGRTJpgnHJ2+XwFw+k40GafAAdoKZr2nmI
Z/nWxDE+6oCLlzBvWMXl10iBUbkqII571KfWs4YCG+8Qi/yB6RIdpKKhDB8cvvXwCQUzhc9QhA03
5xwMRwP1fAlScb9lAexr8EPRRKopTmCnJ+nnkfclfuNOMMhiRo4oxrRaOL1DGU+qKh5hnrr6R3E3
mVIKaIyzLh56/E2xUvI9QhNCX1tZmgiA51F/M6zZhPuLnLjdIY+dxg3faNqe5CRIhNks4mfkp8lz
Y5Z4YE+WE3ZvE1BkjE7nNQ4dCiuypPpJWWaCc5ODk137bvOhylQkq+C2JQ3toupXt3z/EEnBEdXU
OtzuCoRMT3FKBWOCyTSpAT1++BDHRXy+puDFAtF2YBQGgCKi9uCYhEMY1yhTj3FNDL3Euhsp+HJS
abQkSYjjNiDnJu88y0TJnSO97C4KAWDvoc67vGBWe0E3h8gLXpWbqRG5wszZYWbZlSFpEokdZzXD
eUCCrq7aUA5cRawWD8ColvFHPk4xcQnPOc8EDI6TCN90UaHWq52XdjTjbPQLE3wURZ0H/k/vi4b/
pYj+IRkzs6XjtPGLgmqUw8nJAVloKDeCjXSYAr0fPg1LjB6jm3ljoa+T2REqzwRi0p3iyTy9Zltg
KKh/PVMIfWfeWUDt0FEIp2kiffvVHf646+b5HnG7XNZtoCNM53o0n3gu2YmsvT9uFxVf7rLzeiPU
KzoiEMjYBLo0eziD1cHMJ2I/MDtedG/k9JU3HQjVqqwD2BU0mKAa3aaKGw9PGw9QLmuR6aWPb4oK
UyIsBknGdRg8WqN5B1ysYU7AXwVUSqGoYggBabkJcnxXY79yN8VU6nMpOhycuaX59w610T2aAKs0
nfujYjGSuwdIe31CQs6BMIfxEmRdbd4/gsH5ozeeit3Bnq74Wo6+bMLwRL04d0RP2e8APu09c9gh
aDSaxU8d3xs5CO+QZ0R3wHwZzp3ljMxDGjl6Z/H4Z31EzwnCVUgyOiHqUVtspx2PMaA9Pr1hXmMW
Xi0UKIn5RDpZCAsWksB9zIdwyn8wJqHop+n3CBQXpNvs/yEDXe4t+brmnZ9E8gdd62CMOxH6u5M9
STokQksGDNbopr67dele8YUPOk7/MtuzrcexKyzqZOnLw+tq12Lee1vf3OJQ18d+e53Vtm6aDPue
Zp0r4Lxaah/W860i9hbPAw+fu+0yjzGLmwUVinZvUW5pDu95Zm6H+1Cb5Jz/MrBavnwqsNLr7ovl
j0VFOa8o5kXow9WeqHdx8wq0c1prYC9y+RI2DSwpiYjG6NZDAYmpToVz3oqJfj+HGdShWQRKk0yh
KHDtQTjgUuw62gkqv8ZgXzwqHpQpV3YWqubAaRx0NqVCVl6joSy6+GT6mkGnsMoON2dKSRc8lfv5
zsxv5uFzugHSXliWEQDDgcsG34E2gaztnYTjzkxffN1qO+dukaKoj2kFgdUEfH7NAwsuHA0agEAI
gxMrp6J2FwmIqVu6FA49bNqFndrlTPnirkvt7L2LFUHJoY9g9Ii0gCihc5lNGyso7FUaYQYagyKk
yQANeJ8KKhvKEacZSdAQZLrH1Y/LyI04qQyWZmTOBtIo6H1SzOKyTudjsVmt7mgWeAuow3i6pGS2
QDOjY/e3c5LE5iAtSxTUhZm5AdNNjk3jpC71mNaV73dPl/3vkg7MWDj/PcqNiYMXiYr/xqIMk9e2
Mg0FUNBmF7dobVDYKMRzk/RM+GPwJPu5bOhKCqjHjl1TVIjP0ndAZ/Xsu1e4nVVyDXxxNKTSfPuh
Fs5W4UU9uapTTSdASjq3C9axs7pAvFmYY6NM1jZGxlGst1V+PqvK+SI47jn4vz3Na93uFWu+VOAr
+84n8kJx4b85yWK8duPIZfVlven5bkT9ts3tF7NHqtmAb486hf4IDUXU+ND44CJx1u43LU/ocN9B
LoLt1PYsECliOgvH68oaPAckysOUd6n1dyNFIo/xJqnXDOVSkOmSzxsLNZufAhy9FM0wbq0EQ15g
VA7qitDY0Rc9Stx8c+WdZnfW0zQfLFDAwpNZKoc7YLYVKJLnixEyw/ACVQoMy4ZSd6S2KC/68qhC
wQJk6krWiDFF6A5nwbmdqUvrMutNuRuxyUursOXF9RCeVuPWcMNniPULy2oV9W8MQBQWRfb4/12t
qgAQY9zGUssQq/lAmr0C8RVfPxfIcy2sHzuHTkoQdGFIjMkqrShvBw97VV0sHrzwUzlHCV/toWgc
VUhl8ChygAwfy5esJeon+msfaZGHBXVQ2W87hnldBpeXXHAU4+dHVbB/zjMgM8QRnGiDXvXhyRzF
MQUzyfuFXYtaAHv0BkG58tToqoP5oWwwCwiXSWd0YRca7zbLlP/aaGwmxiA0CJKQlNB4ZNzwKu9g
KQnT+5tq0cJBI8YTJ0MY2mNkhU+S5KHLFjA1qH4BnvhDC55cPnlhA2tteKLa+kn8rUsuYoSTxFJ3
t9tP5JUR2WXoU+bB6mC4qxJr8zvYRyhJ5JI/dDiOO+MjrWDxU/g7iJB4eB5OX87QEa+2vKsvA8SQ
lpiRy2iq4wJ8nunx3pJez6MEQ7T5KJM8C6bm9v9SE8u96bdZFCQzfeVw+WRm12LLxp6kpnOFch99
4Rq3K10zdrq3FqzerJPcXAT1JMPRy/Dif0IMeRHPrjlzJ3xPWVDxd/8r2N9cQEnxo4iJ/7qLa0DD
CY2aLKTGbig498zzZNIpxquyK1Wilg4ofvbrw0cmU74+5cg2NDa5qhPAZiUdJSYq8ztMnurO3yoP
w+c1gl1vcnXHcBs+rqLJmZdxvHqyWn+VT7+FyAjhbW0ipa1ytMGhKSnw2PprmPnqkZNVUjDgZWb3
awjYhwV8/tlQHolyoJhnrZ71QKLPdSUdTnkS2rQi+eOrPvEu+U3CiB6OMq0a+rsc9jfVVmpBmNaQ
u2Ghhjud4Sm6gZ1wcjXbUX/S8N2AibPlUFZ/AIpOeP3Msdsg9HvWl9s3Hee2KMmGLZafv6hEOd5B
SYP0IXK8QA90AEBp6+Rx81QEAJUg7sMCUwuI1nzQJBGkdqG5oe5E70NoubFBG0doTQQGtQJQSAUW
8dorwB84yKY+bg1K5PDhN9FBcBCwOb9nERCW46ht7xczqOOaZ9Eo/orWRF0lIpAyhXhVWRAf4As1
qw7ITfCZsotRHH8MiudtF4s70M/ULYAK3UzYvlUrQXqodm3iRZw2CPHeh+rZhEyDe4qSbPoLCNjW
IKWNOzqmA0suWf15IVWMFeJJYGXZANyAk3JY4mqzNr+g53aT1YAdrmjsvLJCvfwzMLbi0XnFx4NW
VfX5IlekrmXcxaoJLAiWW7zuONNHBfZNQdLuf8mZtX3HZixakThW2YPSuvtpSwBb2RnG8/JHaxPv
yPRof0/Q5eHVKWZOIN3+AE1T4udsXj+FO7UbX6tJfn82KFa0Id5aEbUrigPWR0Wn4S15obJq5y9B
YMlNeWnbGpXKTNUiZc8lqn0QbgfF8pRd9VlEwLonfoTFPILPi0sNbX0SpP31/h0QONnNifgz53aF
jd7bA7NKu/oqI5H9WW6HwZG4ZKak99hxLY9wjzLzJnnb5hLsOwae4uhDVBAuilstx3QVKjRmQ/ld
GNqNsQdxIktBjseTIo8dvZwPWwpK5aTP2vKP3rKPBL/q7YKuTWqhNgoYvswcn9cW+u8atER11qUM
RIXcpAmy1ghiP1IDOPINtmp5Tg2/ql6s7DKHjgsCiP/L5ydJ8XyGTjR69hBK1AQxHfbzSAiA53ZB
hiQ+1OK+CX6KEZh+mgJF5GHannHCXuSBwktds18Vvmf+hw0TvA/pccAPPh3C9MpmPS48Aa92e2s8
3thSKl+a1HIVMbPfxgVn/vEdKgSeksVLZ8+DZEdXB82dEROtE82bJFKpxHUtbuIa8Tp/HtBgLf9q
PT6T5KGBN2Tu+je5zdIhHWzCd6mAtLrRgjq2UBxewTh0CXCXCa/ESTpCMkQ/QWjTZCxPbfWjWA+k
EmGpvEbz9SC3ss3H6otCLpQwWmsOtqHkfwnYsq6K+HohkiTr3aZ2/Xmnm7SvrESEEIaj9slJecRQ
7Zdv95KVyOugvlCtyZAtkb15mgQXYed7BCwrRwAFGwyFX8ypUUM8Tfg5IHI8LvHNWbu83hzeF2je
7hJvnRWxOkIa+CtAsyUO5YAbMLTlf1cSyggOvcuOLjuwzMADtePNRfu1Ea3ECTZ12Bbwc/VIkvp3
u1bXXOFq2YLoJUdCYf4g7v/QT2HMIqeVrs3K6Q66f2HHvVWNKjNDF00fEbkmCF67svwQdPPzju0Q
l22rFVLe4fGBuH7RNZAZKyHQm5sv/qlU7pbGioA71t5Y1Vna+FBq0LomfObnBevv1kd9lV3FASeI
1BIZXugqyBDpjw2fGyV05OYCVfqxcLfmMIQDYmCfrZ65ll//fMynmDtnov4y2bB1csD42gulDYN5
5wZcN7NJ0Xb+9LjDjT+PkanBC9z+6dIUH6h6SWfzFf8jwAJfzLwsk+xIYp/RKJ24+IjJLQ7ElryK
4rWoSeQHotm9ic14KCUa1h/GfUjLhL3dPEBF26boopALejw2u93mGPTcmv5X7wdbG0zKSuHloCqD
J+iM0z4DmmXHTf5UMYbrDoYtvb9nTxjXtz9pRXNDJb2CnmqG86pLp9F8rdJPJLl7kXyRMtnE6JBP
jwXT7556PwklVSFCURqYDhHDYDNjW+8RewslWzw/I01g+9tl/JIOHmu5FdJY6lsw+6vgfWMstxd/
5ErkNJp+5HqYYuP+NBS+nI8TYuGWPynpOvTzAfskXQDsKUpoaHopKLiJhIKciZRmYclxtNDQUJBG
I6mVqDAvAaBpVOhwRVfbN+B2vZMzNzvsMutqCSHvIhLRLt9WtYjm4aBEjgcb41y96Nc3lVP5GNRq
LxhctSFVxOwBaL29sGBV3uQfc5XZmAs/ydVzdaNVfvU+Z4E1mkt27ocPH+fw0+HXBOmwNGY3Btet
bAraiIMxsLnsTX6Jvm542sywFztf69GH0ADndjYhGMF6Cdb637FNOXAQmKbPQhYNQ1BnqzLxI2wJ
EmCUSwFvUkTkXvscgemn9vg4FJsQb9zG0LCds+FKAnMLlYFE2dFxqv16MtOpmxCd7Rc7CDq9Cy+b
a6h0/BafYnMscPyoJA6F5Osa54ZyH0cUSj4wvL2aU7NGRDLlSAqb7RkdgzAig3iZpS+kEj+Mm/A5
swZ+lJ9JfvDEc12HadPvBwm41I0kj2SJ5jp08xlrrsrowZutDlzgZu17DQjioGmNL28pF32IcBbk
IcMaDgxMgHDFhy1c0qtARe/zS3Zyjnct/xmeSCC3lRjW6MgUamNg1msyJVEsyZn+skr0CtdkrIA8
hZy7Aznb8gAnXO0/gTuJ0FN0BGikkN7EIbcjku37t7KdGDqohu3+tWzatuDzQV7SSleqJ44XuIVO
FD9YqzlTXzXDJQZEkxnVEA3f4PDmrBl+ueIP/iiHSwZ+Mt0/3c+rIsigWZGLK2MFAzV5FSHQfV4n
GMgJW8YcDNjCyCi/ND5GyOOMurDn9xJJXtVzHsW5pOMKIh6uGYpGap2iRDMAcDRRuI/BEap8jihz
QJHoyj2jhdgsdacnVHi3cDqVDnbQA/lkfGtnsSiaIVPgdfjk+e65Vdzkx3UNPCWcc8hBPT/IltRO
Jxq0hqZZ1jfnOpln2MUbMz61f2HtLvWklWHRTWMcgOFdxM5rPjQChxPgivdOTu+kOlTdqBHwUWOt
0100TmUolXS6E6TKudKpRceWT07EKlX8dqKCJwr/tmBPyRtDkvvoz2DsQYoGbz954xx/U8Q15S/H
6/sGMyDALm4VDzz3hVYd3QSZovKh/sg4UBd8u9OPcN2I7DRJ1UTfMR438WNNpHAS04m0eAneuEjE
k0OFYvlgPqkfE7ecPXEyUcX805hcjjnukVtoo/D67lEBHMDfChvkI2KEY/nkRzZC3CAXRJRINBt4
1fm8nJs424SDtp5iPexd7y5em9w24gxYlIY4AHUx7eEtuxZ1wXPYqlw06M4DrvyC3Zz4Gpc36byh
2NWJ94cKy9bAXmTtEOk1q7LCmIaQXVqjacwENndE0kIXxHVoRuwp1ovqF2r4cK2aUgiF8NbduaZw
4eZwiBXj9gFarhTrTz4uNByIQoCgFAXkhHHVjM5ANveZZVSdZY/Zq8GLS8RjpFoB2zmSQ0Ud7inI
UHsNT2ryHSAJnjacOA0RoMMpSYF1jZgUka0ndBNrRTSRVzwOO6kT1E1JOUlNZB+Qayni0dgo/Mty
yymVmBxBWEh9vaGj6R8QlV0saBgfKVxHG/8hzQB8+2lqXQIKViI9NZ85xOe8aZdi8NLXvvLCbpLO
i3Od6/q3AuegUYvx9NJkeW3gGHB+oUxtQoJHzDF3mvCREVPGJBKQteWx6uii6JNdaSszt2nNYTz5
p8FXyABBNQAMt4Y7SnXCrJV39NJ9TIEm5BYTBiRnSUODgBbFJVbKbLTxH/KEilwI6HIx/58Si5Yj
xa5MGwsY03rntVWbss8AuxrGBL58uaWdKhtOMFSrDmaWEuIhsqJDuDc623iJL1MNWOfKJXvEVv27
Z4vGm1zFxrqtS/3D82xA2qaRQK0GhmFdNy65tnJMz6kEFvK4jffVW9MdMdmbNHkwGVweaFH+CLSc
JWN7Wl/v5QT4grwzvYLGjpC30OWqn7PMW4LUiGBwL2Gt/hQB6S4psNrD/m28L/CPiXlh8sT1iho+
sd3FIFUYbl8pyS9MO9QdhwoA+gEzYGWEXVoVpLnY+ayjOQy/z9zaaFDw4uDNQELacxhFUV07dpDl
u5LuH2YUfLUvkUR8XGGnrW6s3mYL3QDB/8j+dXrby4eyc5wxi1aEzrpeHBrFSTQlwbooyKbaUdNe
maNFMaKRrctSvSrT63PD+dPZvEDeFh8aDgUf8DgJKxCriq8OesheYmjNAC6gTdzRF/yrdlENC1mD
5AoYFlvu05Tnc/4wEh0lW1dn9wKL74o+ovL59D/3uhSClURRNnCR5Zz5v1XwgxCaWUi9+5GOck/C
wfJduH8/vOIbzd3oo4W7ZnOr572KtlLfH81WTTyEHy4f8IIqg4EhxegKMZD+47It4g0AzkZwA7T+
SU+Vv387OYpdZUjDmMM8XUEDlREzf87MorxrpLByXdYYn2BtZze8oKPPW9vYvQgL2Cw6E16cTn/f
bnyrS/4uxExxg6ciTqDencLQExQZ/7JpUr8P14BjvyD3LOugj6rf0w3YQZpn+JOHUQ0GhqxnxwPx
tNIdAviiqqp50nY7xQB/WPpohP1GkZbNZJGjEynDDHTFgCIB90xbGkEPo0b+4oQuUQCVexQ7zQWn
xCTgdSNcPYE4HHxOgO8SKUkVFP1+Eb+zGUbxo4nsC5yNHNnparEgrPHpLdybkUR34hXNbUKJD7yx
MIRcOh9j2ZRBGANGInHPhoNtD8y4wCZBKqDc1GgFo7XN/o6RuKrAG6eaKHYMTi1ghGFFBii8NkEM
RfdUY3C9WeU+VQWymV87P2gXmOrfLhhIRZspLgPg3Oji3qPtDruH50g/puwhgy2B495/pLjwDqus
wK5GVBjtSX+eIS++WZq2m9/WmE96CsB1VXdMI9A7ABa48Mwl3dFruoe4Epuxtz4Rr1oUSukYdzU1
9pSl7zrzyr0RxnwlFV47zLQ0kQoPQwVmBXbwkgIRnEBocrl/8An6FmEeN5D4EVY7WUlosRSpxbkj
jhMeTe+ieRJubQ77E5uAyuAhA4epWwsqzFs7PdqoCPeiIR4zIu8gM2Namo07zsIvy3TnYSMsjOOX
kwNvhQd1UqmTuLCqMctEm1sQY1WDFR0//Q0FkK6CV+m8/DKD+fcKf6GxljgS95IYcKbDm5Vs6Nba
wPYE1MTjOMV7/DW53diFonrPCH3BzSexsggF5IhU9c57mmrcilXS47PZMcNiAPEbiVeDUAmVEYmT
L7pQQIIRlwDT7j/GYWjNbg4WKQfIxoo9zHDzqM9aDdvAXXgnK2DQCoYhUfq8rAJI0jfKT4hr3TwQ
FqfqlsIIevAIT2kFad+JIxQnLTTwhHEgLqF+5zgv8eahMEfQ2xPzzc/oMyhxTVLF9aQf4pL61nP4
EMRDTVx6PhOr6wGgMmEOiQcs2AI7G5OkIPi3QLTZVjMEuJkZjh9VAtIQHWqM1bEuVlEsjJdS0cLf
tvHz9tEzwQdAQhwjxiPUE7Qe/cjgvsZs+1a5kjH1sLiK5XM+M6OSV1xE82HhOeUL/TsRdPjLqirX
CoPb/AjscNCQpWky/TRBvIxvHXIULPHAc22ovbQsiGNQUEQjn2IkvSct9CBPvAWSMr535jm49u/Q
FfsBGMyxg7FsDKvkboSSGgAqmFAtV1OmYdVs4Lrm3FAJH5/Q2irdcK17+SlvghOGOE7qDvjlBvJ1
DM+B66nGDYcJEMowwqvWiVXpQTlRSGPxhopJhLOVL5iRMOLfQLT3nIgwqpitLhNVOYMH5DtH6IFh
ZqH1MxYJVJEBs0S5mCSKXuUCZaPqFgAzbhM2B8Yb/QO+/dPM0bMxUtKVTVyKpXrRu+qd7ywZD/qG
N9s9+JNmtPY1v0TQEQ/VdeSe6UWPw0+6rwes7ieeIL0TAEZ/2EP9dEqQUcpt0xYcM3aP2vJ4y2LL
sTTe+pslbtHJ0C37lewW6zwpRUWypIbhIlaNLk0rzEPTrnipuatlkxumni4yFRCjlmGfZT3Rdp9H
3DKVRPSnOxJlonj7jX8ewyWZ2asfmC/tGXERlE3iO4CnExaH3czvnuzkfwjpGdn5X+C6Vqvud79E
ufU7MZ36KEhqssjmFRvahrc4Ta0GliW9p+8k62PQJle9HU9asUUw6/tJYLrqaW+IF/OCovgp0Qrk
OY0hycOS51ISDHvp9SnFK3WDFz3ty5WoB+i6rK4xnLNecvR6BIrMPpOIRMfF+Jy0eJRkQujtV5GR
0aYE4jsys7sVDs9g1rPOjuHgEr06OUORtIF3Ioba5B646I/CkEIBgTT2LZFxk9m+9YNM5XzvhkOa
Xc3FyRwlCqXKrewFuB/2yHfWuG71nIRDJRd84vzfsS8dfQXrdbF7LDb1/xA6/GLlQo93co28o+RT
sD+mYVlWSmFq2fFAPCLkLG5tu8CV01Me0y8J75i8otDBgGYUYuM3WiF+lA0fbwqRLtK+6lco8ooD
iBP04fcO4FNdBAfe2xvE54I/vVeHAsKXCAtjf+MVUBUt6r07wOQKSTB+ldeZhd/zRKbL6kI0IlAm
sHLnQH6YuLE1aKDgnR826ERkhYCLyolHpUm8DUv+PDYossw+P+CniRCXhYxI5bm/LihrNz94uZoh
nX2zrdprhWTfgZCuHGPlxUO55Lt5bLzQzidZqLztDgE73cOGKlsw9q6gWmViZvR6JNdhN2fVB5jF
LtEMEivEuOp5DXKGGqzZKfBi742ZnGs9NsRzDV+lUFJVT3bYzpYOM5uvz894lJBXgn9JvrjhPZBv
QQsvKW/BtHyXxBREp8wqXIWZgNpQkZ83Hcp/WJS0iT6WxEo3usCXMPmpAfNR7KNdwAxIFHPTIj/E
liM8EpE/c0SvTJp1ynN+YjptL7x46LTnuKmF80Vx4gtvmW91sVCyI5yZVJQCR/nEx8dSXn7/5EbH
XJUvnTHXYJMe225yiPIumzzg+fkvC44nw0SJ3wRIOB2qVf2aN4rrH5FY/uqesxW/AWetOzDraL1D
KUaBAjUh8RGmpidNphMlETfHpEWL9u5XoXIKXCx102zHdyz+EAelbDSIzEzEwTpP+PL7OjLAMziQ
tfVGpW3wwzXAIT6Y6dbq0Ym1/ZJeOr/DXMWGKhgS+lwXJlkqekQ6mXKlLiykplhNvPn1eKJNwkZp
OZ7qV95P9yTtm6jU1J8OrLYHx3QWeSjnNP2vNVw22hbJQ77JqL8MatJcl+6twu6CJ4QVgLtbNQaZ
aZlVdJqGw+fk+ZmoRzE5GLlk4zFA8piDjts7rTuQiicQI21v2KI3heDZO3R5rlZTWeDCnRG/OOUv
EEL1cfJbnOjh2hyqzi0gNBbg8v4vxLdT0rI+rsgG9Th9rV91ej2b8MLLs+a0md2RACV7509C6Aij
aJxIa8L2QVlf0L8Qm+Z/7N+S5WPh//xzZNWIDX/dp4aBodGjvx3c9U2nbuHzR4uU1vwTGjod8I8D
LU4LVLlfvivr3OH4M8KKgESphi4d6vYtI1nusPeZIva+eKpwOO1fVlsduDGR94g0dwjaMM+uzQCP
MjaX3bJ6KeihmxnX72PKwVFlS4NqYIoJemktvcXnPGTD6tq1tWKePEJ/fbz0g4bnQo4t+U0DAAar
J5m6wfGYUgccoM9/zYi64MfUntfuIrIfTEgIRdhQMDYgO6QQC5LiBui9JxXivjR1PfVe0eBQ3lt7
2m3+JCB6yxe/nsqsH7PNElNVVNfovJk2JaitS2MRsX9I4jtvROM4ZnckmdAZwxZrFvA8pv9aUT0F
MQL8FrnaeAaytOlZx4LTnXoTt1ct8uLlrswPey2Kff8y6CQTTh0iFIbrCWczrrSzsUmRa+CPM3uM
4nVJkCjVo2GPNi/wZD5k4qr9KiP/cdW1uAWB2/hGQqT+OyTrVvjNkHS5dXEh/HXipzRNzM/BeGy1
pMQe4wUkZKA/gslPuAcw9MHKqbLgeogeN90cBIKJKtLOIDAtg2W8iRhdMT+e7cafC4GVqvy1/l3w
ccZBEi8MKLrpo06EF7gHXQULorm9qqwIwTILUHivlRp9AXoMjfkp2Wr1I4nUMivr6MIstbCNfE32
z+RmmRMfm0stRyXJif3F55vU1MAtbPUhOc6eVMjQWxC9hyhuj1VsQMA2qkn5JzIGRGYUj3WJoYwc
WNkrXOXxFEGIFEQXodW6h3r6fSwT0rDVCbISdZ4kG3/4ol5vEFgXmOp62K6ZuhOn0TrrGc22Md1L
CpI0OSDAu+RuSRiuF65U7QBto1CWgMivwz4DFx82Ad2UKIVgfOujddJVUAYSeeYRJm+p2oFnl+iW
cr9aC8luVjbQzOaHBvGi5BL2KPff0ItWaXddWMInDD51LPyK6usNguqAQ6wpkwKuAYOHiA05Usyv
yjJfA+7Z90vk7oNV5eI3yRK/QkYHvHVEJGDU0Ef7PuT70ntFBcYOu43dizvOm5UQnk3kj+FvY9AC
khFh6sI6TECV1ixZK7txx1dX/WREDe6b4hXzADS/RhmXCqBthWrFR7VPbsc7YjeTA+xnmOlfbtwB
QEanH3oiOte3clM0fvDZWf8PGO8v1onYBhodZx6fdlBdqLyGuUGXqbV71QSc4s8mkkcJVN/ucLBV
+eiVsc/6lDehFGAgPlPEOihCKOgGCgMftW4mi70NITbCPJ6jPNXnsKiUwviRIzrTv6CZLc29YDC7
Pp0ZIxlCq7ERtFxx8cgysCEQrMB73h54AfSloSYA8fD53wNc43cJN0Ds6LlqIy9dHtpCu9KqqCsW
qtELHknT01RA7F+GPCdJf1BCF+BmZOfPboZh3R2ybeyNsrbQI3rEP9vzd5XTqjUuWWAPSC7rX8+E
j9Gnzhgp5DLLuLKKhB6Q9agRIJOD9v4Vs8KoISj7EJqJvC3dkRJC3dPsSo/Hs2UxucE5BF5zIKwj
4Oinv3zhfuw1RLT1qk1dvThu3VSflEEHPq9Lz8wWO9orOClInOAHUt4swRA+DJYjxw8i/awlJnsY
qDGO9o1VaB+2MLc8MThFwd6L4MQrgXr/MyK/j5CkDAVcKbCEyon7B0VxsR0Yn+5XD+qEO/ojVFB5
vVGmswlEa2gtLP/rlXqjQdDy8HNQg3p5EqFL17hbD/+msKKju1HFtqZfRA088GmR5N0yv+nAPLlH
nPzCvFBNlJi/kftDbxCKWxVTaKpKZhGaQociUfSMdE9QSkDccVhGcltLJuU3g8P5AT/gN78/Capo
MXPGvGlyP2elCLBvTq04QrjUuc1F3mTbGmmWXct5u2Zw1g2lqFv91XEFHQWPaRy8HOM6OqGgU36V
2kSPsWcbfvEw/pO53Hjct2NwDLSFARHCNaskCFG+6jsa6OOAXerCt+y64G22Z/89BFhE7c5panAZ
x2FeW9taYkclxgYBdFJnZGfF112LPBl+d5o7d1RbOA1WBqRmDE02+Bu1+A+Y+laTXF0S57/AHQiZ
KX4SHJjmrQCDJp9llt9iQA/Vrcl+PZTK40+jZkWPLW7FmtwXFZoAoGQp0iZy6BmobH0O5dhRqdna
sTC6ACWgJN/nkBHWGZS7A5170NsnPQXv24xvPWsspjw5+dEofN948CY1cnhFeg/vx8OwT/HC729r
lfq0024h8R+vSpu/r9S/fyok14eEn/wiOaZ8s0NrF8jQLWFF9Hyz5Eol4GZ2GO6uPwVw9wCENqsD
iHE0NEdQuEINMHz8LB8ChQqNPVbf+6TJ301Ah+ynoY9ZovfauXZoTNowIDjVak9jMIyxG030oa5Y
VHDBO0TEQKYOPvrfeFJB0Koplb/vPzUqZq62IiHvNclfULPXBJJwoNPrwM4lBgbXguICuYRD0Uwa
luL566wtA0n8Cjv17lIy2NZfQnhgOfklE/EY//0Y0MT0fyEhlmLTxFcOV14g0Z9LXXiaM8W5WYqd
2W2InGH5nze4y49/9ZxzYcpdI6urS0cxUq4ODBlcPWTWrBJD8Ks+j34C5RzEW4x9k/Zyw+Eg9TUt
RhdOgYt3ElgLDvUZrGDaHI/d4tXFxy3lE0TrneAsHfQm5k+tdInxyW4iKizPVyuobdRj/1dXL6zl
dGogwoXnPlwSYyUAUwnpiw8j009DHtQsrzmFPgzhENo0uVlaC+EwVHVcAZfeUMgtMX6JMRIWk9Ya
rwLQFZ5h1+X3wAAFp4pD1i0E4+Ml+JtXe/zGIj0PzgrMsBOc1q4o4FRJNck2LHjWmqP610O3qQU0
5WhZtyb8BzqfhQqtipY3mrYmFVGUgm/20+p9jugjlDqgmxmC/PYWryWs7XQ5lka5K3+u5/uaeHMu
u6998PX3XP0x1LBzZAGb10Ly53IzS+ecJ8RAupC6zATrKALPHBaL4m0LpmbFLvIlLTGFo/EKIyDo
I/uyfP7dcT/wfjTaoCOS4FqoDqYUDqWacvay3KXVdnNjP2hOH8o0kgBjC7+V2GRKysGu1E6f/QLq
qUKs9sk1yv1bC9ktYElDzyDxPP7ZHXK9e6SlibzP8+wY1AYo3Z90YvbTw5QzA40NXOeccPq9TvqW
ur678bulnqVOSUYYYB7xYv+j1f3L1beTkwsHOXB7qHcE6FHMDVZ+J7qlkmgKPKKM47xaMXInuvpo
jbRu6ShkhKC77qpVXJNErLT4C/B3vMQ7Xqo4ETnFQIFst0mf0mDVjTWNFhRXBxXyDQ8jqswAzCo9
dC+Ftn5XNgjtd3xR5YR4f24OadnOHkIsnAnvKQDATB2MRY40qWkFfcRPxYyoxOPrDC45h3UPBO6z
ZUSGx2z+Moy8y5fsHk9uB6gNDsSo3qubzLKL6Ym09w6faqaDAsUG/g/6aYOoVFmIe7kLV/tSw8gE
5qCEeRVRGn4xqljl4O/7m0fmKhgPdO3uLCUNR/HrflqLFRWY3p5Jbpsn5LCYvOKyXGcm8vuPRmOH
qmOBuefg84KrsXsYiuRTFypR+y7COk2FfVCnZ6KWIErSurCRB5hAPbTTLjXqj4PsUcdzCrDqNg8v
eWUM00o1jlSm/ZWjFbbvVO3Go0YLlPHPnNYeQD6MyQCn5IZC8DfbbPK4Jj+4rcdjjqNuffj1sSus
Co34pqrw94MwsVXPQuKbC8OWnScjn5j/ePww3hRY5pthuU3eZ+OXcEsRTeleDlwYZRkuWEtgEPmt
CYlSYvDoADE0KyeDYviEY6yvmJEmGPxc2+Zg/aTzsX78Wi/ZVGWQ/SjxxjDu3CW2A2BGYc4cd6Fm
Wr7gNo/yleHxAprQwbBY36wM1MeL1XUvyT1GexztlZ+iedGyPp2nWQAAVli9oUGCG3Sn7Bhh3wm+
jqY3CmwgcwcTex3g5Z+rkf5XyoQRskL3D/Jn6gUi5ClWV3LSP5Nkm+3655l1drQBA8FnBI9r/euY
IbHbDgCJvnAJSWpcXSu6sf5XgJcDXO2MM5NFa3s/vZ+w+ltR5ySjTx0Qif9YTH7DJgMgwsSx3WM8
NQKy8Xq4gp762PRzAAwcjhWAslBW66gEvhnOGL3X3Y08uLnSs/CZFtOkhXv9QGdictDQCJ3gFKf/
MzvL6h2smaQ+B/qtYhr959KpQ5OvWREfpQ6TOYn1PLE419jKM+IFofMlJS3Kh+EmXTkOdJCokOix
6oCrpVKHoJOVt/8RlLFokUH6CEufJF6iI9oj7Ek41fplDih+YujDVTBoj2Ae4orHWZv8tevIJ0Ho
d7TrISUgjl43NAvmPVXwo2Y7jRlxmOkEqMbkd15h5WPH48tFkg2iWmj8nG7UVEEAygMweWhLBvUA
a2HpzlLzQ8Ikvqk5Yr1tGUT8w9dFMakDlYe7U9KqExTXxd/uGF427cwaaNboPWi22f/f4HTak8Gv
tXi6EP5PaaDpjbYKJ4DjQ5WH1cWGrVGTnmP93B4Ea1n9lJtc2Vv+iwVgmHlLhHuXju0XCFGxB3zZ
TzPd3d989c5PUnfhDHU/4XYxF9voSdtiQmpvMpURYeDu+o0XnAbSxew5uvE+8GseP6XjOlYu2UBV
pyjq9p80ABO/lOcANFP8yjB4bMFdylN2rV/hAnnVX5pYEVMm5p8uvh20I+B2ihoXRvXIaKWjqgNL
xrk0ZIGUe944b2rrEK9DloEd87gQ1L7K/d+JM+tdP122Fs8xx2M0yv0i1O6OJA0n/deExsblPtmt
Qbj4b2YprfK2c2snp7rmmi/gWTdpW8O/tngmMMI6Qeed3+GA7H3Y9ATDJZByNsoHDMdSLTYA/uT/
b7uF7/eFXwaGbUHb5wdXXh4iEt5h+IvPa6gkxIKffTkqIkiypQxYgHDzpS9CyFaeOrZqBDt925R8
eeHtziUGvFEGnUEO5zBzwIN8O3Awga/5NhogVMMlP7vnhus/NLsqB/lX516JANpNkEyCoc72VuPl
x27KIdiaDR6voBMPQtocXX3Aw578sJS7At/bz41KrOiRkgGPbt+j1du1Lrwx/II5wEf/plSOXRxn
4jCBIDe/1cp+kzmXKQhARgXdkm+98/e9gNxr46Jg1+O00oCd818cpj9caaKbw9JqnCpdZ25kGKFD
XufATW+AjBzoEoQJ5YN+nwcui5FIsX0ru1iND+Ke/RyZVmucNO+3smc/HKvss2xndHDSAXk/sfhZ
D5KJgNENYJd7HYCLNHJwoi4vZYkEuCkK0aMaG8JpN1bBUzNsNyx7MAqCRJzcXVowL5q7GbvCa5dX
3dtSv39Aadw4f6Pl+y6nrFTpDI+rqTjw1g0MJMI4uvN3mmEas0ixAQCyVCBGTnWJ1+k6mrpcCZ8+
Xnpbnv2vohUMdHFb/lr3803Q542at3KW4RYk06zp948skYYyTtuTQLf7kPl9XGLQnUMre4CQTmzs
WuX+VRKDLbGuJuSLTpixGkmvwxXnMKWDIelhYLb6D0eAWM2Ss1eKCtsIQYP8LniWHOvELQMx3zWj
QL4lNA5rbgwUMIOhkQGavx/qnGv2y3VnkSauRaR8P/FYibZ3HUhx7TlvjImsCbSKTS5MG0X6rM6g
b+Oui/9j1AmFPSWFaCtAWLAPeEGO2OCpwb6rWPFGXETqwCaqqr9gp8Z668zeIHGYb+75TPK/cFO1
VKrluT5QJvYBWR9gMsnzC6aZWKIEKtyAoaJcSV02ZIVi3xeI2UbCE3B2BHQVpclNfnyRtYrRBd2j
rtD3lvk3E+1LDJ8D3ZZ7sB0fVRjhh2ZVwSfHQfUHEzs+Hf6xHS61IOqbmtkGxM26Lt8E2sUqc8k/
cMPTp0WQcZ32MR4RPpI5CHim/xnAZI0jrhE8Y8N9C73/rQpAWKt+5QUVF6JBQWfEdNSmtl6iG70t
NSmqluNjoR4akpcUaWUW02z3LE8/W6DnFmP6T3pJ5D6wwcC5zfnrLP3A73eJSF3FHTyXWMvbtAch
wA7IN+XCBlztNEvRaT0sfFs3Gf5yYfOeaKvb3cNq0Du2IZ87pOnRP+r7Vo9YJ7qfvST8NqllFrmZ
7Li42ZZpOgNgcExvBkxOUVzHPGAojzv6rogVntAopz311VMDl9MJhBBx1Fe6TmUf0yr92ssGImfK
efuFG35KfLIUlnTYHQPDjl2wLx1JPQXGYa6/UR+kITn2fAsuegekTLe4DAa6GyIKLvt6ljK8y9+H
4aGL8YGMqe3U5XojuKP3/i6sLLCQLPoYSl/oI+hSPh0e1HCFLrR10W8QGC/jYqZxMIA1x+OttMoT
I424Z4I6lE+XuPK9fujuADH10YVp8uJDyQoCQk9XVg5Lavp69fbG+Q6KshbVTkaF1lZPeEpD0/4S
CrQ+VLvoekfXkWG9prjKPXhlNfSKHoMnd10FloBiPHm8mTWpCkLo8MzgAh0RFJAZZh5aSxOSsRUF
AkUNtNJzbDqmNCLKIoLO83YnXqZVTsxThHwmlqscBWxapN3CEfrEwd0MmbElRUWHNkrHLGj7d5ZD
clfKgO0amZHbEdF0IsyLjMnpPaEkMPTJhe8E3BwAY/c38JjCvViK71WP8zx0OAhaboYAZNB2qEus
DHsRlWbyf19ES3SwaPCwE7IpZ7QAir008fQpqlnWbWHEaJgmY6bnrYC/nQ2l/K5h9q0U7dQxf20k
ZY9EQzIemb9y+3aJ5KoXwA8u1dxhOYGTYnWyLZuXoAXRBDcmiAkgwYcSkJxSlOtu3arLZXV5QZP5
ZpNc2yq5cDNMVa3LWP1i9ipBkdrHXfVMgiJzJGvJ/fQ173EM64G3G3b+LFaMWhYC6cMu3trnzOdQ
POTbJnDjun0FQVIVoy3kn3kOhYVySX7f8eIlbvDs9WYnZ0lYA9PW/qX4NyIsNfGSvCk9txRyoVP/
iU+smAe+rRjqv/I5qS1/Md1iJv9QUeHTZWkxjpmrEpN1uw1Y17cVM91dvtiprlKMPKc10SBp+Itw
W4cBKIn+Vj75q3Vs/eyBE3A8Nok/zlMNn9DTSc/4i+/vj50ILGtNk1TMpiu+BbiT5shBCgSjgxIv
aAQY7g7YzBzjBP4w9Lbuh7Z28NQsFolekfW3UUQh2zWIHuqOSCW9eX1Hfy2RjfXXXkXUe8aymWE+
BCL+iKiui3FG25BKhR9YhCWy/7YzunMWfxepInD5IjiP3U97qfHKxvwoK7li68PrZUHd+QBzWyBp
u45vlfpAToNLqNsARug950RClx71yVdbsUxzeimKeNMiUt5vszluqfcvAF+7GEllRKbgCsGUFIza
j+uLJd0U+K5EPlkY/1pFX+5dGlu+NiU9Z84+d3tKOR2dUqEXg9mlClfRc0oSEl3mCm/1Adta9goM
k8W+rwrCdJ1+B1wvnMiu06OOhvZ81GWPbjqvphEVOtrwSOmBLm0ZdPPi1tyxQOJ7r0u6ar6AivgF
bbqaLL/rRlNXyAqPEw5/PCoD4BGNuqMPbUydg/uQs8IFCY5xuOvbsTbWf/ZiaE6lAB2JdwdGF1Wh
+cWpZEtYtZ4X2pxlN7N51+MswewafhSBJ9ZRGMouMlM1hYBv2UNvsq0+XtUDUAe98kzufSciAObi
EPJKWlWiKFro3Rr6DAkaoiJGAEiK4bzSfGrVjPr13wkOpUBPR+RLUVSUIohNKrkvp0xAQeR58ypa
utsphrq46DvNT7n2k6FTctabzqh2uCDjBB+D1IESed3uXBwDJ+PnxVm2Ja5B2mto0xstGvfDcPrG
tX3QfG+zkpwX2KrYVaEna5Eh2LYLj6sUSmqYvPkmib6+ZmE4wKK0Zgwsxlh5yFqqymYTQFC7zTOT
E9AzUlMPFT4wCGuW9w5Xx154iyne2WyML/C0z9I1/cg3v36NC6anZcztcLATc2zZI8PNVcbRfNVn
VDRYSpGIjH58RW9Wsl/qSAIygjY/k4ly7/Q+dIV1Ndpu/RAmqOguvcOrhVMsg75SMjw55HtwjMew
5tUB6/Am+AVDPMxD7ZdCFW0nWk4p0QNc4w5bjtFMROsglzwWAVWT5w7r/02diW6RGkNEywGjBi0z
ydx1KQb9pWRiETFsE/zF6cW63DVMEMVazw02XFQIrg/TlGhnmXU0QyRkdjY0Jk8BeGvYtrjSTzZo
GRoggCM7Owbe0sMXmdy6TB1wvKEQS510d260fHEDq7IEBfMxazHY3F58fvrP834wG6z7+skqwzRB
DCAa9l1KOstXXRCZckOpQhue17GR5tg0bUjsKshXlzbDik/Ivwl655OZVqHeRhfaSTF3Zi7vmSSO
vlEjgqKVq2rkpNxJkR8h7SoHwScdgc3L6XTnQSzJ6xTh8n1+c3Q7VoEaBjcwKQMnjhrt/PEFwSPR
LiyDd6rCuSdOPFXn8s+h7AZrUYvM3IRV8ambnxb9Vpdd5lX6nBrnNirXa+ON9pnUjX2IKhzLNQf7
KsaHZv6vY9KPlq7jHU3ZLnva59Jx5H/ShLkxFAqyMALyBATpAT7bbMzKBj9J3h/Ov3G0QibzwYaJ
3YsEFsNm+n8MEz66MGn/AatLSES2OKX2cfBXyYZLOxzO9/e0orwFn5Y4/TeBkKGhkXXZlOYnyxVL
dbfCxWh2Il88TRZOd67iyBxoGAYQMAvGHjJ1ukpUIwisZB4s6IIcG4DbO5PT86yu9taTccfFfdQW
wJhJP4ZPdKG+gWjg3Pfc1db5nS+xO2Wi6yJYsBDYQzFrDpxNTnB2kzYywsJnuNzf4ApuF6bu6dv/
jb3msGC48k6tYDIaFsXs6hd5MY7Th7CRE/mqgmh3FbLwNCnYb5tmNkqwhCFRgdqJLdMzsPkb6EGQ
lBa3AZT3MroCAD22CeoXJKizmUgdJxEfcF/0Co+4/fSJi65ste/BHy8k7rfL8F3NQkzjWHhkuKuH
R7pgoBwjaerqAVcJugM+NcxD18PoAr0HDxHZFysQ8BhnVEEDUNCU8tWoUYiVoyVPjmIUJ3or1xjV
J1Jpds0Yd0V1jnbSdVow/bOUg5UA3loy4g73LpqNNWzuabQ7PsxbfLjPBiIK5e7Uo+/u+NJQ8rn2
w+ih350ug852cjqnqIf5twNNpiIhiSM3Nqse4pkVLVk27DMZGrfUGb71HkTVyw42y5Is+nfcBIjF
yyx8F7uFuNJ25aO41zoMqspWDEj3nA1keS4YpBovXPAbFwdePYaIpqTxJgFqVijUvb+8wQaDZze4
/ZZeMHLjJUxUAPllpr+86HITvSmJ5+IVafbwY91NaCMhQxGhYwXBYRsNdV12a2RRaJoq0ddDZ6GR
2HQPOJPISwnlIHfaSBkU363NvoOudg69au6q7hcxTmPGTkYTbB3anzu68O53/N87oJn8f07BXMJ4
9/WPo2fWRiF5xdM+GkzFqvSryUPxR2AyM3iRC0XfJp6RRC/cDtkukeVj6dMpaMHv3fnEb52sH5UV
r0CFKTqH+3yYPdHZpyVbR7hxVkrmITa0A5OrmCaGj1Gw8BFkF3bSK8rbAidKSlFatwl1hY20L1YW
QsHhh/15+SN3FYGs8mCTbkjkVeuTIoda/rp+CLru0rmz3aLW+a9VT9qzU1790yPLzazhBB5Ac54r
BLB731rWcsj66BWXuZzzomzIWipW1LXmAmmp4auBAZUZTx9WeVSl3kqUgoAo/Wo4DECGugsZZ+kw
+IVC7hmaqvd6xu7Uq/xHRQ9AoTvsJfMwsz/TjFQRytkV74zYzeCTZ6b43hKQnJ6qNtT+295jqXwb
fj5DlyImmPNOwT7ExcWp0l3yASf7fwB6hwnrcRL3vFpp6suCxuFtr2dnSbs076mwAmZgyzJd1h3i
/XvqKb76MT4ebcu4FgpLt7Jy18j08hMCdm1D8w4JxJc0IS3lI9CAfIMVa8A4qxYw1xceQXAGF4Tl
1DO8g+XCdx2IUQ/qFDB13eCXbZ1hI5RUYvNZNWjvWJKCzBBPvBPN+e4idauEPgJITQcjYBes0aP3
u4RNpCnxS6VPrSSI0GaWTybvxTQfDyOJDB86r8Fep3c0rzIUExy/ToIGSUGMdcn4dRnn/Jp0rrSS
p7f8gA8g+piaS+mmhyiZYtRU2fK2jAUS1j/KLVcv/6MFcnXwHtWHVkbAJLvIocs9l6rjw5rWzW8X
pXZAS4DmOY1QqhdLleuimNMQIPBLnfGUCjO/TiBdw+iKwpT6+iBtQYyZ5dNuvsLwB+oM1tF59lJl
/SPSB2PumPoJlqwW+7lPU/2gG6CxCEynGyx9ozXPN/ULx/d0lQ8il1eASe9mTb3X2MV3jpZkeoy+
yYzB7LuS0AIzGAK4Ell12uNkfbFxz/ydWtiIdJTAfub2A07s+VuTFPo3sYXphjZEODxBINJxzya1
6x/8c0aInVhzAOq95rxXmeb7u92x2Z8JRlX/7Mdfx1PXcx5qqeb6CCat8qB8RvXMY+xp02r+/4oa
d00vvF+5OXI2zJLmSpBJr+tTHuBgZ0k+cyUbVX0BlXKB5bCyQo1zavFa9NOS9nAv36OHh5oE64uW
mvCI5t+IyWrs5U3KVM61Sa9cbxaNy9yXCFJrkxwAJdANHXnGDSYCiEkWnJxCzgDRNtsIIYFf2OtP
tLfpkfPaQlBC4HCrCGkIx6HYm9taPuHaQDRYC1oUm0kG4mMfz+4qH1Fbw1H7ki9eKHeWPH/tuEc8
+PA+y2ohmNuL95AGJ4FbPpdzRDDYdUH+P9vSwNDqY03oR2vSaHBq8pYUT75vrCeLvxJlWTFtpqIe
ScLZoeWNUn3T1VM60yvG+3BohKf/llhSEiQaDpwITyVWj+kOd9fguB2mq8yBfT3VyrX4L8Ce9Inv
1Gfcd4XKCsUnEF9LVW0f7sTUjz18/3ulPYDchUXlMS2/7lYO1dr5H50vVzg+KZ5I2ARch6HsrLy6
ZGPbgpQROAJVsG5v3d2oYKLDW1hbnGeZOZJF2RmfdK0guM9+4Pmd6HsdVl9lLk9lLZxvTO/ktIan
fhOeyzaxMiNcQM8XlSzK70M4OBvSIWm/PeqGkRIHX9kmVjHto2B3zWe7aGO2jXIVP4S1NS42W/cl
8CihOEWIKcBqm+jeJdN1uu2OVv/iNop5mMu5WDh7xbruRpK8MtHpwTUKkn/JMIcOXhwLQ+RboNT/
25/l1dMoaKdHia4pIg2z4V7dvRxyLQu0iZ0fva/NPDKTTz2AOadw/l4Nd5zQNI+fwICyhiFDSJHO
iG+nITf7hhNbed4duzaAvvxxCSiWEo794Vd/t1X1r8wat1W8K5r1JZYaGye5JictcnDy4Dw7UMkw
r9jU+wS1hh6K7g7CrCbSS7QFCdScfHFB/CHg6poDfg/UqAojzBZMMzf0iJWzaE66R/X2UnvxyO8c
bM5jpJWrK+ZRHlIHJUj/nJWaiLiYyaL3VnH8+XdngxpzvtvXqcJiORm/Y56QhJU0DBlShEO987KY
lWCIUjiOgfJXkqaL/9p69KTBYXmFtdKMVxWEsa3wWYsECOV8SeXU4gioMY77p5Rd8stZio1eiLzx
zXmgbIge6Uj3U2EPLkjImaGuLdVfFuT42/AVhdoiKnxdpm8hvQculGTw//qfqaSMbU3T2dGcyy5f
k4dhBm7ChDxZ27dlx4F35BdfXznTwGVlPP6OkzixN0juwEvJqJZJPcTLZx9jotTp25xUmTWrUm7V
jhOrMrOa0BcDyLhBluy4i1qdF6oDxlEx7MitHI38x9s9Rjmu86TDphHkJCGnGgKFhTERXLWUEhMc
xOC8SVQRZw1BYixqwzHkTun9bnkFUUC+MjtKIPg8Fin4RrRMaXIGDP0HpF/BHWliA3S5F39bHAlM
DVH8dOmF45200X69PxvaRbNVfzxJhDvot11ivIEur2wGq92vHKlyOrDecYW4lIlf9DK8+Z1dL9ea
jFz2T7+ZQ9iptupB4IgSeigCLMnPQ+Jp6v679SIEukHYrvqDnzCLWxxzWW/PrcExjAaMHNrTgbfV
W1pxrk1opIEYaGbEUhJUhhaiETbqcMDLkjVITq+kXpy1kByC1VbvkwLO6hek3H5/IQ1yRiSfJEnW
pBWL+gm0iVJYKN4itIfahVVUaV+ZcrzkWpRm/uy83t8M5NpeuoD4ezSYklYTw6TfGikzxicNElc6
iWo9I2SnRiwDD4+7I4W4r0D9mm0F/1d+NANIKPM+9jSO3MqNLj8SCNGIBi2WEy3obnmqIKlNVc2o
b7WV1mHqbPeFSHqovM26z7ktlW+r1fkgS1cBq8HaeZ8GSbfF7QNhrBHZGsbJ+ai9oFwMm+xQWsDS
dkrdcP0WiUiAwx8Oul/AgPjR4eqYHy6wM5i80Zsf/mkn0yvqdGLUB6sR+lM/FcdJf1jUxtySu5up
yxWJKdDLDB4pyiViN5uj85P37h4GZM5tbxjGze12DVApQod0rEZvirzJs9pDogeyoKSv2iVoL2vG
DJle1OL/vtia/2IncMECinLudwfw65JMIk+fyg1GCSz+ONm/TxTf7PhJw+ZaFGceMuT2KZec8086
I/pxzBdG1vTOWl9zGELeR7WmPvzMheyQCDGQc9nrnhoFR+o4JfkJAs4pddRsEREl+m9HVnIFoljE
kCqgBd872oubWvVYhZpzpv/4zuRH+sO913M08THDduakk477YNbfIYYSyKSqdXdLt1pFXtK9WOgX
12pT0fhBMSxzasopzTHTcT+m5puutFARcC9n6WU17x997aUrL1gJuYD5bTd2MvvLw9f9LQtCG7ro
bfmIphO3gbQnA1EdSazcWsrL3f13I4tBgYOaAOtWHXRvktXxbU0V8c8J0BhuWEgGng6JIOaqcPB/
z5eZNdVeLbu49Cv/W1d6lDm2qOqsvGg2/FzWmPWw1upBSsUrErQgUbmBLOLVifsnSaN3rGKEQWbQ
PEJrc7oKax4Pi0cHZFZVjyXw6rstpIIa7XDBKa0RmqQuxRPuqZz0/tmbVB2dWLmDQbBHYmKok/a5
9X4/dSKTmEF/5XvLRXanYUA7gK+Oc6FB99JqlPBx9/Eg302z0lded5CkbNLJmFG8CS7C4oBb+GNT
b+7DS+rPgspAw0e1TyJBFPqx9yduXwN+6PBujBBKFkZCX0gL6dR2zTQBT40KQh1EIWA8VMce4l8L
yfdT0VwboQwGnXjGHPON8tLquC74kWANC0TDT5u0necHZkkYIXobD5bd3o/iJHDpX1hc8OC2zd/C
GlcK36I2xw7hGDkp8f2E3sLziAkdL8xAWRPFH6U4W7DuR2yx1vxQ7JPyXxCw2uh6VuTT0f6c8HkH
9bZujmpHkJ2vOrMdvEhGh1rQ6zXiCtRoa+d39RdopfmCUwAMoD5bvOTorj5iCZPexHciWltTiiHx
D3EgtD8D0uTNB1F3qSkrSLRRDhaxpH77rYAG0t3MDaMc4RArKyQeFKiNwA0IJe96PSCBJ7Qfj3B2
bfb0vVj3hRCHMp39tBKKGZkIdYoCBWLsWVo/LKUXes755EGxU5HdRLbQ2OMCXtS3XyE2K+NbssKo
6uAwbalogT1vaREksThrQzX+i/jhY2oah/OQRbyUD4EOrxlaHwyRm59Y5m2dbOG1EuAF5ZH8t3lv
OGGyRkcNBoqvnr5YOCxBEjatCsYlNucYGmO4/6v5Ap/3FLucRpoUY/by1nqdAmOtIDLzXnCWckho
6+pQY8ZeZgUV2gDRoSrQW8A8LMcIVia+0y9sa+N7Q8RuuZslAGMhJQVtFbaSRIZyTKJcLba3WeSP
Ye89OpSFGzICvYI9drMWrVwIFjWqJXdapO1rVuV+vaB7fPh402teRUc17inhKjqG+jvWgJXOoYpQ
ZLXyy9LLwGfZ6J5ZIB2ChlYNR3scYE320HQWQko6QbeLufOwJY5I33n0F/1vfd81J3Is1p/HzPP9
mMtG8rz55zmfNlUkjKBkIJsGAGLP6svEJxvstCHvX4xFvV/ZFdM1ms63GTlvg8NXykBcDyQ+upAK
zurWLz8nAG1SDdNOXttGqpWD8RhebFH95h+wi9Jhqc5KGl80JZ900cc1EHgSL0+cLgnoyKlsqQBo
NPeokWMiOUuECEzXiT9/jX24J+CBUkjYZUvr1P9l6O7qTpxsjavW0jCnQkWUvVsy0/yD2kA/NVC8
ENTEPcH6jggG+g6A129rOp4X5JS/oJ1yabILqhpcn3WkKP1AXKuVJEvNDbTvylA/y6XT0JekU5lZ
QyFTZz2IJr6QVh9kB+PY0UklI9vIMBWRK8k8VcRTiCTW9/uc3/UCrkOCOObWGXO4bWnRvIOU5dj0
E6w00WAWqUxzOQkXMXRNTkuQaYNmU/Cw2891s2bm2GupXuLymwAlxDuvlsAzslBZqwUjFC6PgOJT
Hi0gjB+0HYcRwiSezCRMRf9n1QxZ88zl7EfgMp2WPLkVm4QPTo6XJZ+T7aQoyR+fiexebmDE8Glb
MBvONyLlq80vzMKVi2S2AT5kWwk55AWWugCxZj5kFdJc/bE/722HcDLigH2HgHiRAPZTEeHCILX6
EprRI7zcZEqhc43GGLRXtzIq9PJki8dFr4Pf3gSXi3LrZ/5NHsRSQ5J+uN4Fh8rpVYQKJUHjRbT5
8gHUixKuwN2IdYqDsxnbVxdhX0DdyJNcVEaNLUxDCVNm10W+IPjeF6/ZLg6iiSURqugZGXZQehQD
C/pf0TW0C7RudaaWennfbGYGTHRRsJ5Hv4wi6DJ+gaRLs2niivfrCD9H1gAIQpwJ77SsQaWZyXVL
Dv10MIPahxw8kYnVqLBgMb5FJp+c/f8mHqpJNnkTouZdCwZtP/y+utusV9wCaBpNKQdsChA9D+Uk
y/jn48K2cVVLwbM1x4bjMu2ZDcWSxdtnUHy0OrbcPZm4xMnZlCboc++7hJb9eQvxahvvgqckd6al
oIZxgYJqn8D4K5omixg+wf3Z8vZRNqhnBdakqjnrHPmyqpiZslVMK+Wm+tI8xJ/rWQEOO8XPYTO8
zo8mE6P9IZMCJHlWHuFQ8nicVs9n9dR9bNBSmT+nt5GwC57WVKqZjALqG31ZLs3DNvMyij1EGi4r
RTzTbEgjEtspEOMXatdWHzTx29MgDxB++J+tnJPOz5/tqjPftsKpto9fnTZLzw5YOFf0FxCS6KaA
+Nnn90Gie+gAMhT/pcR2kQGgi16I6PuGrNTvisUqUgNdOk9CvTl3/O67ZursDEPCI6EdN5+ZJUO1
U9rBKj9Y45PsjSjU7pex2kspuVdAbYjkkUy0jLx6uUrz3RM82QQt1rvclyUi10FwIvxwqfkcmgp1
opIJOjBOQOxU937thL1VKZaxIl2e05t/wKDo0x7Ac8FpYA0ETTnCzTMycRqaMjBuqKt69+S3wxJd
5SM86cWc0BhjxLr/LWoyz/jWUOQIUcAiS2HZyCwHjkiMeDWJ777/N0heR/OMAXShRQPNr+hcHhxJ
RbkuAJzgyMIYLj0vEPFhXJAwuW+KWDOEMLUN0XrzxkmRLHglxmGXwls1l1Vd8KNJNV+142BmB9u/
tyIJUH0CNJVgJi2CCE2YzomU+qU300eFgynSRFpCDqveSKaNnurA6tDb8TymZRssovZ2GFuHcM24
EPy7jyb1G4HTZsN0ottSabyMUbOSEgukFjgFxsXlEhs6MvcwxUZ1n+PxAuyfNguHtK+oHDrnGpBX
38kLt2Rkf7e2+I75nWhdm7imfKKTxcjoFPzSGFZpjgllTlVMVUC+ZFkaAIiroy1OGRcARic/nmxn
Vf8U6cQCQx3Sj9JUH5WN7qu2qXp+7LAySWF2a2kKiCuNaKiqIT5v1t5choyrYSEObtPCP5Pf0b60
N5WfHqC6LR8Tb1kMTyiG8jJEfXyqYzCyCCTX6ymXHIJvo4fOPRZzT2Gd94yi22LeBEBeNP+Fzkum
bgWY8HBR5N6A9xfe6xY/mohyEv/Fzl5+0UDtesU8cTHNGfUTN5f4kZayOEDwtfZ3QHYH9ci9aWWP
f1O+bEFcXWWcPhp6CZDIIMCwMdHT4AToi4FQMWRfuXt0xn+qk3gA6xH0S/kQD6O2aVSAvvd6MLjp
vWwlgMh1MAmsVvy8rj00CvLXSV4WjshjWeq0BhfwnwCmaGFsCmnWuxamokAwM1wzzhISNqyjYxJv
mcMVDMk+F6NXy4yL/GzNSm729xhgqhPD7WgJMDew+8exM2JWakyE2CSXzHjyMGd/pSdftp/DFOQB
Pob2bFFo5MuHHQIzMlMeuYkxWuFu6c1tgsnklitetXv+SJlgWywhqypR2wOA7QlHfJNCel2DbR7q
6HpMxEarMzGFAulOEsL9819aR8UUbiwJZzHPjOA2pFuJE3o9t10U8XDp41BeOuwSJee53F5AC0R4
ypuf6fXjUwzVEAHVEFxXR+30IBHM8YalcowZm6NqWhFiPfnyHsnTpLyvS0jAyRLgcokARyKnuiCt
8KdQQiV6azcuzoMbUM9GAFfySa8YVlIGl9UHWH0+sw22E3caa1xQWfsIBvXB6ZfiAL+YC1kTPy4J
tO0PXVTJCYeXBv40l67cAWiQsgGg0CM10NhL5QUOCESJY5h7uHDYmimzuEaU92Ppjmz42XgaS6sm
84kr/abSBQCNJ//pa6+UqVNuTwSQSBzs3DtxraQ8yDoSJQO2P+Lhf0wQLdXnMK/iWwecHb43ZPhr
ZNkkZmVnZu372xMnmD4OSXVV+A+Rxnh3PEyKHpSxKncFZYT+K3Wfo6CLfI6hSV/aO06t6a105pIw
r6cS1nsK/ubidoENPN65EOwl+YEpP8szw44q5HnN0e0AmvFXpp3WqN8dKWvzo2s5qtKFBzckQCoZ
1Ae7/t6oQAkcwo4S6lLSF11Bb/fFemYnxS2I0IkkzTcnbPrOc/VK3wJs6y4/xO4xQ2UIiPW3frJz
iFTRP2cyg91yRZ+yoplrE5lmYMwbEj5v083l0Ae79H+tEcMRWqRcePUk/oK8WQGncYNvbk3SJFyu
9ccOYRY2pb1efQHjCavg0nWzWC4J7JoNa71K8Hf7gCgJKW1vv63zjYgGHiWPUjKbC1AcUdvLyC2u
QqSjaSfFMDqxOdj/E4+NAMbNB2J8cIULwdCRioWkhNP6Uam5TXf+V/sbCI0eXugypoo+3ZxKrdGj
MxKE3an8eGKpJP1KzF2GqmmorZYsMBDt1GZHineI0ximAq1RWl4GsLcCz0/1nac8/fS0YHk2Juqg
zMKeUbx1OA7wnc1U1ma5kG2E22LToiqT+FCrXgi1P1+7otURBihe6crB2+E0BTc5dxWFHI7UqIjX
atCyWy6K8fxs12c7mSyoF1ExVwID7rYu4ONE9mfvIl6kQV836dUOR3CzDH+Yf5Lx/l+wx6aS708P
4lokaHus2ybAP34HYOyr6dDzeiBHwYhd8ZWpTOJAo0xrEhXrs7aAHiTRxPwp59l2Zp6PzUNcflDj
XIbUpglpt9j8FCyxbtHXc4BWLlveHR6zACROdr/DjJDA+r86eRHSDMxVXoF7h7aU+sHuDLd4sE5H
b7UCxXRKOafJL3PfYNDjebcJ2cMC+0iIeWFMFUL8b0o9GhdL5kW5B8OQBtdJeUSr/gv+FvYpIrOK
2ytK1RqDd9mbDsR0RDdQm0xSDkmN9Uk/V3AIXrmWkpWZqcUFklit6c9yd8zJ6EUJz8ucOlUWaX2L
l94osxCuqB+VFFNWOoSXKNcyaCTwmvf1e1sVo+s4qpkuwmPyRbzQtH2oMxAnHPeZKR4Ak+HWD7Ro
iqXM+nCPwGh+aR7RdrMGzfMS1SxlFfEah2R1XVpWar7Gb5AQYSjMyPYfVg3iRZWDc83uORSuiV6k
ZJNZxKdzk0yDc1WCHvrIZhjDxj/UcxzFMwr5nkaCn55y4yRPoabse4yyzg+5dhWU0HQRBzYjfqeh
wLObP/LWzucDaC4vVzQGeWtM3cr8nfAX8xYaCK9JLo1ytMaJ2EcQuj2mzJCxPuMPK0sNUYWk+9Yi
34Auog9IcIJ1x6K7hHpc6ve/jyerwZRwzvLQC3s3dOCjU3ypYFY+UsPmTJVpLUXwmQR3gC1o8B4G
ivRUnUJcNROoHhpv2HmYRRfrt1T/9ayWnsTRNxO6N/Dfu5PpF2FG1JwEmyzCi1w6RbJkGfLdHV0I
/jmbT/vgx3Mt+HzzjKoY29BMOmbfVgUvLntxDxoO26luSsjKn++dDDQ7sJZnBqHCPgtaSIiidged
j3YqszlHlvdt4tdQYsmANIuy0oNlzvgRrEASUqOSdgoBpsJ+QkHmcJ+7N0c6raHhjdaD0/RL+/5L
5SzhXTENJKXJcR+vMk43jUzrGx+Xoa3OaCpczvD0NZF+kcM2ZQcAjGhipVR5GM+DJgM5XNjGp/8k
lY5KYmiOCIHvMgzFrdJMPkkaRGsHF/MKr/Iyhno2Y7EDGjqkxHiyBqKhQiYNvkrmqzm4mNYUPa7T
b5WbKBHgUrLVH2aOEwnC6B4kYf4SfIxkEVJ1b64dQsLXrSOj3pErV4KdF28C3MyC0doz+8wVrXkj
PDXGTyTBy5VVXfiewIDpBe4zmSJ6ZtE05QhdhzpFC3RWVRH21oCCT0ZfGkJHIIuvzPdqFUfewU/M
vxoFlmN+owcWR0PmFlaZen1i9ARpds9kJxWRAU8giZhGpvGqwfVlid/UGfQy3TW1WWONUj6D3Kni
YzOEYw8vQxUTJYNi9fA1SiufQC/UAQS8r5U62NhidjVyGjDPat6G8fedcAZXmkSMRPvkBKToSXMN
TdowA33G1ONwB+0y+c17ScQw9PUa8GfLepua1mOMeMKCClNa2R8UHKUXBQ0H7HuAE9qORw7WdkSK
Zw89gSZV0X2n9LDS+5hfmPJxvkXWHZ6IJD9D5xoO0w38Z9CgzBBkXKaFRUz+/fFYd5r9553ZmBWy
3FAYMW+EgHA1bS+5cWTlGLS9KUoV7APRfa0pGvn1ubvPPzadDFH9YVukc7oeBipE3ZK8ic0nxEc8
fUZqynZ2wTRIHGJeux3LZSbF5vny9oyNTtSBqwbgR3IxGzj1CryJ08kCrXypO7zkGG5CcdCHvoEP
8H8wlyIkuX9Wjds6EDe1KaabfUR7iWZdBFlt737W+GPJ8YhBPGvBD8VTvP1tBOEBMikfy7KxzXuJ
FbyX2ed9ejCrfB8EvhkHozntNDPizgkBgn9bA0nvbXm8CTGm5lzp35itnLiV+g8qNah9ImDKeeaV
+CTyjb2/zmjHvI+HhcAxACwBDS3sry0W/3jkqwqVWHozxqzQwRASvninINhcAdqwj2oaK9J/ktcW
qpywmlwNZw9aLrJHYBbc1C0oKqpz7r3PbKpZsKVZBvjTCSr8zC+LGu7gY9V3mOh+clJvbPtZf7iD
K8OZ9u0xNDUbSmfvt2v5wV7InsDR6yGRPyGl0+NvZwPirRXB7nvOooNSYjF6K1oUiaaCGBUsYLu+
P9aJd+b5iph+O0iL0VFpU1qxc35NKX69Zg3CVyK1RE9/UnkhqK+XPW9yFuzjQ7t+l+zH5UgzmRVg
5btHKWvcPeQNmfGxpq5mpD7C2za8ZCj9evsnW/Wk0Buv6mFLbcQ5K37vOl2t/zD7yJaHB++PCnNt
Dj3pcK0Wj4v+sM/7KR5brdm4DTiOrxEE4rDke5A+Qif7wxso8AZpUiOxwWtmccPLDeBEv2QCgb9n
XFBkOvGEgHfasjRlw3Nj7WMvQIVlnlA2lNclDHUWHheV6MmVBpNT54oQjLLR6GRzCjjP4O0ve4LI
EecpP7PQXPDowsAcvbpaFPyu0Bnmm+1CmlIjFEABjGhpSq6BPSPzjPXPb1L/syINvDu8ihtE+3p5
64A8cxzOCd/dyQTs+jOF/hq+bA4P2xGPn7KVUMH4e1KjqbmzJsqT8mWOadY9MALipdx3F5gNY46G
tAfA1euv9VM9+Khl7q2t9VYynhLDewxBUQw2qQRgFD5dKgcdjGOCmbjw73ldy5ODXrtYfuvx3wSt
jqChZWW6Sd/f+yV/uluxyqFQz37o5pvmPUQk4s6+EngsyJ+rjF5HJIOMuSpvUTlh31FBZl+49J8W
CrTyaIfugQeD276kT9TXSjr70kpJk+a90kIA6/BqiGxCW4Az7iO7/IcBXLfhwHPjCzLPD3IfJolY
AC9o8PejmXiMsc2w28S02h06Nll/x5JvumCEjp8983eNbKidtCFQV/vVMe+nhwAUYSmuZHZDJ5TS
YNZVM2sFVdMJgTJ7fMBuz5SsMSgPasfY2lsG9B+FLHFqv5C6z0dV59Pi0yIpnWJBdP9K5uZKDeOV
rZVCyyF8r/Dh6ZHmvPhK5BAZwNRNZ1Th/SU/0l6b7zdln2KH0I11NH8IAo3kqKm26dmagmR69Rxx
bRdWEMOru23UmiRh0MMqZ74qGepsAGnUM72R0qfdTojEqwJEDw7BWQu/Wm5PuYVxdlH9yUbGdG3X
ccwOez6LsOiezWu6Xa8+lSarrFvcQnFJIp6rSydGaaQCS5NjCWF6LlfQGPxffuB77ftpH+3iYd+v
a637ZkaQu7BZq+XRjLNl+jo8iEZ2DAZTeFltmskfwhYj9dQC5B4LiqfmuAOqgF41k8nbL7j8WyAq
PYXXmBR9ysG+lou/FeUCw0A0N1fcYyYWNSZMOPEMZGggxv5t4x1iPVft/MCoI7eVoQPHblSyf4bW
cRQ646Sjogd3zjI8d/dyGZ6sVZ6xgaWU7A/0ZxllMmD3PNpGN1Is7Gfyy5QZoqApIhd0HU5g5F21
4F2ekT/sVK71j0kAZNZELBWwn+MFGnbr2NML86r884rYK7K7xNdhDJc6yyJ+OjEbvPR7rR2UuB2i
34XkHn/MFtd2AnkdHUNP9dtj83t+NtYin0VkHbzTuba+RET+xvp/nKfKBuzcebvhlXwJE5fPHrdG
vjvYFuLG6Q+scqqcPuehYLDw+WT9cNMlIeqep2G1VrR6icF0gLGMwwA5AG841UK7J0sYknR6mZ0M
TfGB4SOxFs0tVjxL/E+10SS7wVzFe5RC+gOQsoiM5U65+mSXRfJ/H59frq+c8CYb+nw5hQTP69iy
Vm8ITG/JHHnRQKaB0tco6XINR4dx3+1S2bFcr3hcgMGrBSRHIaJmFDq0qQ4f+GwzNttm7zeWE2o/
ktTt/jnwr7ZbOLmiq3KjpKZKvm2WPXZdFhnUQRVTCFWf2qG+gteiTBSUHsak2X556RD569DJGzOf
D4pDucqy1ypBIX9WmFm+0O2EYpdZaVGURd1qDa480NWltPB+2YDvMdtOwlGpTL23k+UdJidn7DOb
zi99vsGYjTP7KIlUKjKnoUgAn9L43ps68+gRbCkut61ZhWrqAa9HbRNG4ZZOv3OuXNHR4D3vtrMh
zzPul6+9kqK+SJ7Usv2Yhw7trPtbnwkwarkjRCNbIpe5iSD6tFC5OweI3IsP3hmpXyYOFSuQ8d6s
OjpcOEoM18tiApReUGanlcOFnl/ZUftDhnt8ptsMublZ0C4PZPiZe4Qjo1Jz2O9NIpM1GsdP+F9H
UFUtcJ+bkbHDaxp3RKfLhN9GCCdSuP9zMAX1qvOPGSuJ3jOWidtS8mQh8sXQJhiSF2KLN1rXbjU5
OUMV3+hLcR4c4AcupJCofaX95PrAu1tjGM/hrZ/rEBd2k+QfQwxmDYGDHjjqoE599dce74nmp8JT
8uC/KwFuvtvgRPLMz/36+D/H5ASfw4HKvWrMl4PElOrtj3IchSo0pjNtn+P6pFTRvYEfghnVPPCc
oOj81BhmBLWhhem8KyzIHVERgUSvkNH8ZcdL/Mw7lbu9wW54V7yooc1bahYZ9YF24VJEaqhR3X0g
sJKYH4hfw69FsAeFndNMYyCqTVp7NvmaUUysgLGoA8X0LPaxEwjvvZBsbaTr64BugkIMfpN2qS/P
ZNaJkd9cc1aHp9gSE1r8L7Em+zv3LTMkHurEDXn9+7IxuHmthICdL18Xhi3h9TLeW5K7VBUlwQ8F
0IQHpjHiYbxqp5/il4ReOE8qFuOR81fssXMtHVtvAL3ZDFe4IR6zUT38bJlgTtE+odqRnsC1rdnF
804SThgAvNUjzlHxG4PjvPOIWNxJWICskUFvocng4Pqx0/Yg+MkHuOC8Xqn9hNTXx0nJ7xEVojui
8nI2Pt3Wc1JwT4VSf55ypHAQbDWgVMt/AG2Rx2KBGchjsYCtGR5cjW65YEsjTALSgBECuZOMW5uQ
ilUl7kMhS3bgSpeSCGzo0sdXEMM+PDYKHbvmITJCrLQU/84mdEceX+MumTVp7JkWi7lAHwqJbVZ9
uGz+6PJ/yPXzU0K3G+NaQ6SCpQjiIwY3CYyG6B3TIZ/xrs86y4E8Wue2uHHnGcYE0AA3T9xVIlOt
stFo2S82ZPrNfcv3eNYWX9iUsKxmBXa92AY3S4qCUEiVyh7iqWpzYT8vqh5LDVuMrWP99ZK/7vgj
Ja7sgYIGtdKedU0prvB7QyrvMTOYb1jbmgXT7nlUp7JUTH+wOhcGLB4G6lKpp5x6RAGK2nGAxSSn
rZ+q2dHbStWi6Df+LccSDG4yPeR/jFD0qAxr9h34ekN9t3iKYiTd5z82LKjB4D8IMBTagImX88Tg
qPnqaWJAJqexJYz2pnVo4M+hveyuurIRDKHtyhKvaAugURSUNd/rYca9EYJ+bDUsyB7c4HyMXVyx
EWPEheX2yzplUM4+egqszN+Ti36cMIjgu1BmSdhtRPFoZ5SskJ3XzRn7iN+OnPzm6kpPRq6nCazO
AWGTE9fh/k7vbxAuDKnIr/nW8epou5Lin5XIRM9ha3u52AgB8ulqxpXVa7KD34On2pCkKYdzKaK8
xiNJE2/F8Bz+/EKbf5Y9rCWU3iyL5L8qg9nCDr1WKh9vu3IMTgcbMh9OaCxy4wRrEA07LtxEqyxs
7hXKMQrOhKu81r7O+yH+g8qICZY8+TxdSsXARKKqgMxlGlo2jqBvuhqhIIeP7DvdbIDBwGJ3K1gM
FjCNzINV2XS9jokAMrcHR/uT0xDVQlRbssB/qXir82iADjoupvVQbcZQL+0AcFPOUKmw/oiUbyp7
wSAgAjtaewr44NsiiIBT0mltk40CINtZpfwYkv61bwhHa/t72Qyq3c1jw8ssECEk4Ew7U6Y6zx0i
MQ/gGfeEtU/zw397iPz5h1jJqtgUmcBpXw9xVYJRbVxdidm4ZIgbbnsJPPgTodf7HftI8Z7rn1fC
tYDhwEJ+b13/MSMbuR9rszSdIx0KNLRtjlSv3JlMaktqbcr9oR4x1vPIvrCjMLjIsjjkiTRr+l67
8I+ZhjHT0imXDSYwb+q688zZ+cVUxGfCX+4Rx91prj46Trh1wjMqM0IoqwjiBltCji6WSVTfU4F+
/Fmh4SC42rQwEWCyEAtPVeWh+PU5KwmoCX/U3b/t5sZ4MFAaUSEBBOw6yqSloaQz6IwsiXLoFoWB
l05VYO6xHlKwhEGi6diKnGvInROYFdm2j/2hefBeNkWMJhAePpJOf48TumL5wxAgncJdSdzebMdT
PtVHEkw+h0K9/fIozFiCmamsa3QtSq2ESpuhRXLFA4BtX05fAI3BmLTVxe5i7ScTvBnyN7Zeb5Ja
x864VDW6oaXgrroOa13gRaeNKnNPNII3ksDJbsoMP+EYeyELX6G9fKyMjpbTBoUyNL3mJ52vbrTk
F7Ull8a2XyTw+k7gHmlimPP3vyGrF9J17bg5/H0nQS9uVTIFrCW2mGTFY+PdMa+OogAmUdpXbSQf
HRScdPciNn0oyWwDf/gtiz0hLTIwkhrxB03v/bfis8dTpRQQRPK2MbbWdysIs2XnQdQdwS2LaT2E
vPAPi6RxmIEBoE6mXBzJU8pBGgdgOae34hu4mOfPi0TSgRSkb8YNuaG079Rp7UwKzpUu3p1Ogz0m
/aa+AIUmtHSadlxQTELsXx/lwl8xzw+e9OgqPPL11MH9XXhtL+XHcxyoQIRPpWqpmCSvk4FOw/lm
wcpOw4c4EX+cduJPMeevwvlX5Vb1k/w6+EHKa9BuXMw2jFIrm4Ahl+WzBJltO7aUGLyGufU4UrIF
fYXkrxZyzZDgYvNgGH74wzjUa64bjtNxgVJjcxHb/I1QsnMgZjpIlAnoUFCNhgX6uOwwZwqFt5R/
ZYOr+KhLnbmMfcPRpywQYEiZCvlg7Zvz/Z5oGg3Ysku60orhLFhKnOutSrMyLq0mr4Pa9J1LJTyl
a8+fkClpMzvEh0e94dxZ1P8lDRjHR4WQMccq3M54QCNkYB4EsFLDkiVOeiOfyXbdvjVTVfyCAn7S
LI2w+ggSu4JY+gZLSHq8WwASFstldWnFcEFS53tNjmhD/tJFuC0vaUhLfL1FznNg6CLXLcPdDdmU
iweVUu0laE51T+OTTMTOCP+RvC2rbRsrgnpWr06fZBChtYNAYY0metOuykcjDLGm6F8ahYZ+k0s2
Zrim5nG01X84eAI8Y8j9JAiPBgswkmMHm8XlG2bw8LfIHkxAkJ9JBqHEziy61zfSxw6MLqNVb5uu
QZyeEEhsGqKqn0l59HamtFUQ7NBNnH5BvVYXyaAucKh+PH6bDz5b73oAwwAqBkjIm76aPDYNsQpo
C8q7eZ6Wlg8oPgSKtJTWjY7/1PWg3rdMtgEGAbUf8DEitoE+IieZLwZXW3+xOzRZ2GUCwscOQaH5
caIlIYznzPtnuD8FvBJbrIB8l0UZe+fpy2IwsVbDAl0w+moW1MwExkhBugeC23Rf+esSm7Lq5gIc
WlHHv+kFVVkapmvKP3TCJzXyUVSUDgro6QS6DxElEFqMsmksbVLqtASRP+lWIBRWLMeFHWu6C6Ny
u8bi9ZpBcBHjxTiCd4ry6ry9jeMtI8tDbdvbYIntV7YBEc29LEc/r9vWaM3e6UrtVOB7OiaP7vhZ
gxhUQopchfdwRI3eh8YEDumkU++7eYDyQA/GyAe6GKVkB9t/9ua+pLN1egoVCqQpYuDOgEjDgi9+
xRlUxK6I9aVpnU+9FHF3EzqNyKQRSPOBvZVTu3E6y9NjHTVqa+/6iTia4k3PxYC8ff3emOJUnLZa
63MH8ZuKeHU/DpaGllQWY0tEt9ygcD721xO1xnefbLzL33FSkKpF9cG4JVDciNPmAhhSK0GDlEYf
uwIFeiCjKjqZIQ/NYZ5HT6UqSuce0AZpinJ+46ngpAoxJ8//HXwmfHB/2mK+o9p335yPouzLqLO9
SN7ORYZL85wCnUL99yQg6Pzf1W3cKMKHF6lXnED8+6rqAQYi5QFg2eUaVlzVLi3bMdtio0juemBb
V65Jcn3fRIWf6rtoKTlg018T0HhWbDWfI4sO6GnBIeeEUnA1J0ygs0A5dPAXZG4qieZU7yG0QS51
2sHXpQU/aQd8Sr41VS6eAwMhGvmuMdrTogP8vEpkFPcQiH+m8Vf5SLu0mxmkLvem98q8PNkl1em0
clf1t+iJO73an7ipb0iYZu/jBOXOb0t49l7djjCg4qpSbBSK7fQ6uBOePdnoeIbtu3QcJuNuFsi0
EWow2fTgwounQxOGfbXSDvSFF44xhTI24VFHHcTIOYRr5Cea0Pg2FPDpOeV6QV3DPZ3lvow2V5+/
w4FpRuw4mXr2tuUrAum9JpNESrIRBvRdYNy86aeG62jTgqZCQj2OMP5ohL4RQM8GgiB1fzRmMxuE
DhEU/1UhhU4k2mi5YF3kw1g4mKl8Yvfkn4aOVSHAVLAFU0PdcNTEWlaCQX20q82B9uyVhHWbmpWM
X6AW7ER+KJVGZAmYh7w2OHhDvvBEuSr6tIX3asE1S6TgeDb3lIAo/wynWDTGExhrFGy8mtS9Cca1
mSfja7dgbA8IzKcsOZMYplbYVJ0agvDocSnpVqZp3AvOV4XS7LMHRnjo49sjAgDB19roVhWbMJbl
SincF0FKBwRaDm5VbduceolB3I/O+0jBJosk+H1o8EEWnkg45HPU5eFQ+xz4OMopP+dBTg0r7PC8
yA7ynrsFKCbXtuH/Q7WtnK1YdxrSy09mC85aq6fKguBmR7CqRgnZP/3ccdR9ipHcLQ40Cnp7TgmY
G7Fyc3kVCBGgBJcWpx5dTdQwNzDXZBrhjvs0Ur/5sUs7WL64Yd+bZKjT0BYb7eTWFrMmcS5DcATv
c3SOCVrXksaRFgO2SUVQh04fOJRPDa79lmysNIZwXpTTvz2JEkurmdkjUKfKYCl+sBIkeFciWA/F
8CrW9GjxWyzqrPIwvzHuBmhu+dHHk/aVfP5UIPgcZ+IjHsfwapxITq0h/RNXHknk9uqTW6EMC/n0
U1ZQpBzveReH8uNWIJcMpXlkC+0JZFfrr9qDQimht2LyfDJguCe3yGYam9/XufMv0GyMTTmd7U5g
YA/0vO2aj4R2jKfoele+28cnubvCNds1OD5pXriAK2+mIvK643npQ3JTjG/b1xSmQzLp4SUEYJfs
a5Lw5/uL0LEfxgwgM5mV2eoZQFAnISJnrvvyx1fN+mPxYiKSBn3qqKRYuIr0emEpZKu1s7hbjwZC
J347xR6lq7ATbC3nWqpUm1Eab1ugrNBMdMjKwDJl115+2Lb8KoQKzfd+XY3gm3KuX5kZmfgIikqU
o/hY/XUNiZcAR6tKBLRtuAD2z7QY+rf3xWYWNoP+x9Sql2ZD37OS8+s1TXgGL9FFv6ijnVHe7msr
erHvFCNculyonkP/XTQXWc65/+/uBL14+PN4TRGX2EjnStSwb95Adlk84HG7K8M4KuGPPcNR6K7H
YOtlvrSqzz1sTl1dJoQHK2bupxIv7E+SIjLNfO6iqVpQH+jbCUCHcDeoVFVB8UpeuilZLXfxUEas
rTWHApAMuKxqVXVlAIj07Xu/j84J5UIppmXhxQ/F9U4WV5SQ2QOtvnKJQbBHX3AsGSGJlAQI6iqp
fH6Sj+cxcy8iCkFcO7gSzx6Zvvl+Tc4ERJgmJWtMKBw7nKa98TjujTdhCFg4jnUF3gkDG6q/M6Bi
xYHJNO3AF2lON4AK5GoREZF6duHrtS4VZveiciClUdYvjMYK5GlJ9ho3ZGvSmB3doRg11cVqZmWG
keERkWCjcJDT9Z9Xf9y8ypyJsEducyd3E2n2PD+rGCk0tt3bqP6Wy1SeAubDWIXXFWgaUFmL0rZU
8ho/rYaWfzmZGrhDavapgUlFmtFE2loMu5JF2FMWkIkt+Hkmh6MiZ5724mGK3STF4J9FU3qlS6aR
EeOiSmsaiELPJVQF4ZdJyE5eID9I45Msl22CcyfKAxygfgnxHT//ro6NgVRPdiNLjR5SckyEgBHK
Op9pM9AGdHTmKVGAl169C8WYeOkNXHbngJEYnCOp0jyGhtsV/xFf1M+YvCvQSzDERHCV0SkRlI9K
JzyqeHv+EKGSR6YVF3TSDtbAwEn3qf7uzkShI4tFtKpb1vIwHyCHeE319SfTT2rylUHNYUcE3qwV
62rT+5UZezTl6bVJyJqxzGtphB7pW/s1uX5/fZGENUW2niMRM15Ry+nuIOhF2dyzv3/O+XdOa5lW
2YUZimTruSTqdpGUErjkTfxiMuhPiO3cGxwmzL/h27cg7YT2+hi8x4XWn5fbw6rETHCWxRCLYHhL
RtZAGWzCOHEvplXbMsgKO8U7Beo8AWYG0iF2xeosT6Yo9RfZrXspdSuKclHv7GufVXi+7Fi1NVuf
F8bqUMku0l2wNr+4MaBlouaU5yityrCiVbZlpgkh9JfEQY39+NCKaVek4jkdVATN+RkeRJvHgcqJ
Swg0lF/nR/UPGLLtrtIYI/mMoLMzZSHjboigyrbgAjwFiKQxAB9JcQHWftHdxeiEscsJORylewkd
7W6Lx+3AduCoJh/2gscVi8G/OIpxPZ+o7nPcuZBN4BJfwOBiNKPiir6JtbfgZXFc/umn7N5bnjgI
EdZgAfVb7la16QqCuHAOu7smNhhu+x6C13zk4gjTwdu9g7eGBmjyufiFJ09CHlCq1ZrJUljsldCy
EwPqH4Hj/Q+mCzTOVTgDP8m2P0ti4okzAVY8cBoqCp1o2aAg1r0fuhWM5X02QbGKlREYt8G7q3tb
W4JCwwIoJFe/daoZQ/AD1q+P+O7S+79Y3CTWKbSBbXFKV0lKjZt+zwxFegFQXz0eYx+49XIzCj+h
6aGEscMP8YRdN68zJso5rTGfunqoZnB6Ns+PO00xs/1teDtxhEP5PP0VP918LbNSB/x/9MEYrlsZ
e7WCioLQGLcV6gmlFRaWzUn2cvJ4LJ9lTQ5+bhDnWCmYjr/s+AtnXdBy13hpN7c9QsdDNIhTn0CH
6mhrev0AaFS3UOg4Yd7iTcCd41ssk4N6tOGhFaoorbLwi+xUbfowomMCAULqeXFKLv2PsmYVKwNx
+wzY0JYy0H+QZ0fOO5wkvY7MPx14bIQYWoWRNFCAAtekgYwTy8NtbbmC5REaWyjYIT+yY1NWMWvb
NJwBAmegeSqL2QXpksyAuUqXfH5xQ9bsevZSs7zQXBjYiTdbLisB/l5pdOrCWKW2XZgVsP7ucIv+
KPeYxriSUrPyfhvqgf/wF7sbHX5S39AY+lX4tuySJb6AnhP1NsobTFsi2Oj7HVICIUCuCnyymtV6
IZ/DnlhhpJ3LZGPoIrl+jL/VmTGCLSJ4XG3cGftj7ttIg7rW2SIp6qCw81uU/i4cfcysJ8m1Js4e
2zoW9uSZL7TIh28CN7NpcviHyUTA0TXP1FfItXKu7TJjlOnTVjODQcJpVX8iajqlv68M12p2HkpT
rEo1ruElwQCDtWpPs0JK54D/apWGk5Eey04qsPtBaXBaojU6++f45DQ+4yGSzVSc+WANEPMRhUl7
6ccLpxLxvH8hRYc187f80bkjG1Hk6tpWhda7YpW/NHIuhLqh1bBQD6jdRyQoVXTeAEAiNGDVnRaq
N4qH2pk3+q0gLravjNuCFW/QyVThLiCiH3/u1TIjxSS2qFMNr9hNFMZhgLH11s9Roi7VGE4vgbYU
vueBTF3VipcpdaVgxeaH/qLHDmnSDx+NpQVBCJbk4il6XAZAIao61JhOUE4tr4/onevpOGJiogFb
GsZTa6VOL4xny3w8GFKDvVPRlRIt0ZSXhu0qotvGqGvWdyz2zg6zu+3byeS1idc39PA8hEQu+j03
V3063MHpiENrRCya3g1yEvhsI9hwhJGYPo2QVCEbuUaDV+XDCgMUYdkdymWwPGDEHyLH+MsrHotL
C8ESDqpFvKQ7Q21mcCuPQ/PM0fvIDO74Uj73kmDD3Up51MN82FSpky1LvBHHp2du1TQRUu9CSdqA
zoHOdlYlMcdwwiMZz7tOwMeBGa8DipJoZ/DBgUKf0Wp5kS4KZH5wLX7uBQ/qCa8ZaxAxdXvUk43h
KDgy6iRMGjGhhmdVIwgXzqldKgoCtSwaUuwgcQXGqfZSzF1GNb2h0EFe8+d7NpP9KoH4WKG81kQX
mmqERo2jkqrs3Wr0iIFeZPAD3+IId6an02KkHZwyVx/9DrFCLIdsReIKAR4d34vZFHJip88lHRnT
1PyiDbcD7QN6wc1+3i0F5Pe0PnEpe3b+YM2INw//OW2zEPUSR958Zmd1SCX3fcDuNcMEf5cvdjLk
+aJgpHlx9jqGi4cJQhAINJbSgPnHluDkLQRMR7QUKfqzYLfg4VC/wfc5zOJAk/QxEXhrk/pfdHE0
ZGp8FjokuIMZUzlw5W+Vp9u6ewMa6V2ixgsEl8expTPHkbNTlQaHrTRM/lKvCqBFqGMQt/Ows11/
yAzfIaMPEEgOBMcFE/D77uHsTD/3nPXIiyIfgDGfEUqbVWY0PUNT2q0Kw9OC8CU9g4xUBGnvjL/B
PzCxNRJpzZ7k2HqN1/iCZg126AOQF3mGDGnFf92MsEzGF/z2bg2B4++QgI4zzlmjUY0xxNJYZC+U
RoyDV36agJ64EyijwtkkBdA4ZPQukdCAfnWkI25zidb0VQK+MV/Bhx35AqGhOKgSVa1So1SbrOzO
9be/PdwXmHUx3sbucYyjSFxyCYGprIn7sy5NCBWzrk7xOugHKQTJfnTsE00JAYAnVi/bjOCjIowx
lLKAlxLmj5ce7b3Nl6uMbQDDeQ9vsD7AYRw/DV6Kngq7Qb21e61cq4z/4KBDjQGjOFyA4/5Gduoo
l5hQhK4soaSuHF0H4clcujsx0SlgfQEp7XEGRpnFcDGmnpx/MYdNwhfBUvlactozlyjd48A6mJcP
0EPgRk1revt6bkYlHtRSOVgzqijGRjIe1DdzXZoednVL1GgviVKgIpP3HJT0RovlbRXM8y5gaS9B
zDDFZFnzRD6iOzEwLD+8TO6hm2cedtVXEy4z2qEsXJkJ1T1EyKrpsh1YdPYoYroN+vOvPYHD1xbM
k40d+HXBIaK1sNsWNaEmSiJJfBPR8m8lgOxZoy7mlBtwa0b6JDoujEcmKSSCAR5ZIoj7cnHlRapt
zMoe3O8amN5uHTr5XwGA6JAi+zrCoOyIx1IqN5vgw1fvM9tMCB4vMtrXj1dm/cC9Aa29/GBpPrcQ
gzA/59T0yTRSFfqPtC9x7Tj+yPuXbk70xsrvRBLPNtKWt378GPluyOSEmYbeym6NJy68P8v2UllU
EXNpKng0KPadWfxP5wbCTKlcBcmG27NgLF7PYE4Tec6fdD2M1cSw5qhIaKfzk6rIeyu8QungoZeZ
yLx06cpKFnrPkMu8Vwt0kJC+F3F6c6m4MtrH7Ix6Ziv87dqySEOfSsmBW+HDMomvI3uf79OVrLqO
3G8i3mkX3ac7ntZ+0zc0DxZ8KDAeLXbCBhQYAlAnlNhYyp/8dvpdLd6bICJjR14hRq67F+rmPQWI
oULXuQkvpN3uVEz7aLMBWFywXJk0f2PoxqsWlVRx7RE8V4gu2Nr0LagF0SNbjCFPXStL83h5x7a3
pYaWplrlAyUsNGU7NVgzv8lqnXLHVnBR6xZmpT9IFxdgZzWnF9oWpjd0m+vD2nbyb4JFGTnDH4U1
n2gi7ACqVsbKDVdGlpO1/wLYIhhtiy3QIremwxna53QL9WHVa/sXG3+sZgaOyZEP5gRPbeALwMP4
YlUAO5t5ScagwuR2YV0gEl4qNPqrXNGAkHLVgjSB6CtcpiCWDr9g6YhcxlYUftxJKUFwxka0YWX+
sbl6jWT/iqPG90YynZvt/qdxg33Q32EFW9J9e9dk/FCsrjC4i8xSN/geq5sSbe4tzV8zjhc/I+Tl
SXGqSieQe5xjg5/W2C8VpNcfdoss4DKru1NoRYkHbYbo2QEgLTXDUvFZRHobR0oiJXpgo0Qyny0o
J9qkXj4cvSoO+8/Eir7z7DBJsZydFBPuy+cmGx6GzKGNHWE5qgIEU5kH8kBVsIMOZDytNba5DXbC
9OX8vMfmIfmaD6osYul7IbMo3Akn8q9nlb8G8SJnVromXWjl+i3dIXwWJpvMYQVhigK+zn6/ZSx4
eebASsJniY0P++1jwzEYGTU+aB2h+CLu60UKoCYsj0Fxy3JwznE64tqXT/bIWfbUygWf7v5WYeAY
rVhuamwU7iH2pw3fPTaFdDTEPkV03OYuWf7MgRwMYHUhGcm7zKeyMWT9pM7Jlrh9wQuzsLED7kMp
IRPZkooufQxKI0vsFXt7d6WVlEeiemPRYYoic3hj2z9Cf5hSUllmu0H90mkuY7Uvt6cE7ZYear2G
Jb1fvWL62Fj+5BslfAOTb1xifAY67zWvEvhkeyt9ZuS1jhs3RSRHExgU9R6zj2dQ5+5fAY499Oq8
r9IDPNbiUTDemgY5eQquZEi+d/9Ky+xGdgdp1o5JWHtyePOputy3nED5l0Hkj7Gl+23rHchco2EN
siHdtjZ5JHnkUZPazQBDhViXq9JdCebgydNPIOzLW1Ez8XD6/ZqnLtDLLM6JRNXsZLaX9H+Yh4Oc
WwpWVf/8+o+4jDS3AYWZ7qP1tZLAga31AlUAxDL1nm2jyOCXwKrnb2UaEs8q7PjHkjb3bJXKVA/J
YOcvB6w0nziojorIfrfywhCCBe004B94m/BvUKjw3w7EzK3TNhWMFhwzmswctqApbAi5fPwFx4Rt
cldrN9H6klsqzs7Jg912cj/z1I3wUW/26G2gnCmT28/P4l6qmH5swQ5Dsrdb4L3CXCnbuWqsWrnA
2QlJ3dYLKffKMmlKur6XqysvdZcUYCiXyXgGtU8Ed/a2AMZS/jGl51Eyj66HrvtLjjy55D95o56S
ROWEHh37UVL1Jyz734Y8s5i5oZblMyE5cZsDSsc/76Dj6s3ZD8qUMXBs49JUKCBq/sX9bFy3Q4BL
8GNdXxMkEB7lha1RJ8FDu+OKp5Cd+QdaCDzgsg/tNXdne4Ti4lHQ1adGyG5Wt3aKrYmM0lK7Foy0
SW5Tkk9sxG4Cz7Bgw7fAcqw4T1cnpkbd0jfWGhTyYlqjhgmKZN1INTRQJ3y30dnzX86hqi5q8Fdi
AbroGYrlY6718WN0wqFA5gs+AxAMOOKWjpOh+j/stHD7QKKHaZFXnVR980KDpddU3VG8oTj6YxJs
x2UNfluNXXcUwl5TsRiNHiMRQkEJvc1DQwEam+a7OmSjuDc7x3zFb2B8scNUt97g1YBc6Q2UnEol
r0kH+6iB1lhqoOCqFtNYePcE1g0XfgHxq1QTRG+v9HKjNjyrP4LsfK6Es111H1e+Q/Gh/KtOAs+E
VvHh52u98+mN00K1hkHgyvAW97RVGPm3roYAPKDxgDJMD55ivnkI4Cz9Xn0XMprWaExlJWSNQlSu
Y1Pjl0oMsoBFyuj9EkSO/Y14CIEkWH8mq8cYZAdAS94g5sP6FAA80NasBMs1OAejbhE/lQCb1xsg
m9xgQcGBEmcb3CG/NwBsbd8/04RVanMWUF2KLKgKPQR9k+w6+KOOuQTHaXRheU+t7kxJJm3yF4qn
VdRPwwNZj4K5YBf3Ots1tJk0YrUNCVJxfhzlSih4Y4cOcw6XBNUorBM9Bdiz8YwAdIMCJ/2JF6j2
UAdAyMAQkV3E9EtKKhrx1m82aGnqltg846ps+8fwF0NVpskdfvIXH15rWvUZM+F40onZdQiEbLUg
oIejwgB//CxZWsQFIAoRgWRHQgdkM3amRbTOlriV51aa6anZNHXWVPmPAGha/1aT3onA4l8kU6SQ
o78Yqi7NOZfUdYczzHJ0Vd9usP7qoWO2o1pygt/QXXkM4ToAFbWIQF2asnDPmXADEhCtgf/Y9Smz
trjcMtc//SL/CpszmJcb7+lkgtduKTsMay8UT6K33WSnqxTH695P7MO5jDfb6A8/zLR3iOaqTxYC
Lcdwqd9Zo5MtWUtNczrM+mZnOl2amysZnlG/abdlOg1jiG0cB9jHrFFphd6tYge2aKGRvzKKXPmJ
RXxWfkQ7kR3+RRVZEdwCOWIcqZbf2MZ8WM7P0P5lASJCgisvL8x91uv8//1lPKXM+btzbaXFd3kI
kBWiSwwTyxnEH8lTNpmyP639jecxIvtvjd8XNi4aL5Ap3gsnpzuiynmMD0upYuF3NHwpQB93Af9C
t13yp6TpVNcX/v46LfdWAX9ZmWKw5/cB2JOt+srMTHvr3RRrfYb7OBggEQ3w5Yf5QB8fXqgLmxcS
9mCfAgc/MeNqjsPQPddMdXKbFLSKBmGURCpHdQVx1zb1M+DHLukW47PAbqlak6CYukdp+Pyo3RE+
d9Z6ZsyT8zsCIXEbZXFQ+bp4YsmFl95oWkOs7RSyydf+YEN1QVtqLChurKb7ijjRan3BiRavlVut
+RsdJU79rTfJiLexcVW2ddLPkAkXM4xqABJOsZiuwJue5ZaC6cIMJSWL4x8fq7eNDKPf6rAuUPHu
Y9XZ6CermVnA+S+q9oe3z9s0bNh9RvoJGTQXOuhRN6ceoeDwZoBGZgVGAlW+EVZqPWPkxgcxJppd
idLDqlDizGfHfkqWCWLxCvZJuxv+lJpmbvbhnU3QnRbbgG8B671ylgUaODnQBFnRbSr2ogrU7pN5
781rvEtwnVJCX10Be0gQ9BN+jEDjcxvr5k24fN3kZm/GrrINz17MFv3a7t6zjtHBTBpMmsWWKPjl
HyKf3gcNQayv+suinJ7kdMyFexHoeGhCFsagBK4Wv1b+Fi4HGlrMpf4sRGRJbey69pVccuHr1uib
SPVWgRdRNBFG6BboV6z92ZiMdGGN6TvjrAvn+BWMy+MUtVsLvYaid0spAOfpwipF9uCDO+drNFHH
b/sWCm5IAyzgPYltatxqdTSJE8+qHiwZtjYCQeCRDGwfwgJZ9lhT3Er534qY+PSC+RA1gBFB2krO
ReUuqYriO8LEClWSGFShSJOjeJ9eovfaEBV7pRbdixAlett4bmCqOMbWGwpyoiPK1l55mpG/IHda
hFL6UXaVwNbzON961w981rWw2jzlxYpHQ+Cbo9oZgXRlWdEKD/xrN7yqqFCQFOrrcE85BJ3HZHya
FVnJmGjXG1ETWsxonKTD2G3JHfzFx3Ey0ocpU0wTWJTKN08RrqtZrIqbp/MrroF7IePk4G4Po7q+
fBpHAEKrvI66fanvPg+hQwpJNB+t+uHDjjLrr1SHTtYrwnDiyCvPHrKEG9NT2W9UduxAt+iIWBuN
2du9UOLqgwZPcKJCafTAGaqsvLWN7dE/FkraLtmPcDTwONHep9kHm3e4mr1oJPq2GJN7FDzqspES
i4l7GGyZCXBIrUXZjU4yVaCO7ktu5TWuUogaIB48YJClsnTpQT5aMWQo4Ii2g8r4kKmtnzbiLz0o
x3160lg/lDqqv88BVjp7siNrzCIG798zwIbNKH5XJIPbGAlVxrtdnT4azh8N2jfAis6um0fJwJ2T
iNsLis8ZF63m6fiaUxjqzst6NeB8+z5c8q8scffwn4lEAEKvqV60l4fba0aD7BgAjNUyWFD/ZD+j
9oe6ECLctWYBBCrGUcGvyIfCzQ/y1WKWfhUkNslEs50TYKturG0FTsk6lYz97JFXlBL28k3cGrX3
Aqks5vsJuJ1mORq7zH+s2X3k2xCwNGzoXuGN85RVS05nOr8VL+V61Ks2HAZxr7d6RRyCbOSA41ed
nLl/FHcDFI9+TiCgOkkntG5HJUkd6OgludZJgUQmXOHtTRdHMf2v11+qYsEsNsTHIueqv5b0JyaG
GO5ijBVSQJuJkbzdpre1vYMjuOwi9f09udHbA7eke4N5NJCwU6TLM29hM97XA4DZ3c8J7vQhXFKC
WpVoy4wpKBeG2steOcdUDkQdWyxWUktyiQcjc8NQWKvhBmnbrPZ+OthFWLIxsvYUmC4vQAg2wif0
ukujgwvBVrM4cjYHTI5Y1PDt4S5hYJ6j1uTlAs1qA3R1+aZCRcaW3G/YWr9zEAWAXptJi0BECTNx
EFzUJ/EW1zFqUyFHfEAG3h6lbApKcn114cxb14zyi+GroaCGm3vKR3J3aHnypV+HFID77s9SP9Lp
uau1uB5cL+r6cvEsijaDqnvIdDpUD3cxTrKpQYlSu6g9Oc8ZeIMX0gHe6h4gmmSzgJdQ4XpNer3f
FsTlww4uiFIDsu2LkMGiksVyFJZmHhVSph+LbC5Ex2ZTrJz+Ntwcl02T27YGArcc2pvVicunyX1X
+hEejNJz2k+WyEGHOLckH9Vf962irYYaY/vNPZ3OZPkAythronzorGvvcXrQFBiNilD+o0B3fwWI
Xao9MjFXgIkgbWkMyRLMmaSKR/+w1zcGXRiwNquDavP1YbX4q466pdzvlMe7MuK00hvE0hx7UsoO
Cfu9RTmAV+WHRseHVYGR4eFeRCDywnklEemUlWHwhBekXOpbvqUj76jEp92QJlf0U/K/8W0l2TsT
EKuAAMZ8jfjJvI2x9kkL2W8j3A03F4E728IGjffT1bcMnAqA1MOkV6k2Ksrt9bWWycKL5K9ZR3ds
wq+pvNCzMznGbYfIJWZOEcH0LDkhefL649jKdZGE1GyqgOvDgJIeWIrxpZ+j0NNBQVVu0Rw154KK
XqFi0kA+oIwQWJo5ACgmEBqrYzgQm5Aye8xa4gA5C6zdBznBjfOQJMTxGdGX4qRKuAFmgUY7h3jt
BP3lz5HuglEg2AHl4AFG8aS6S6swyvxNWlWz/hPIoY/jzoES620ON/tcsHazA2KlxFBG0jOpBEq/
D0DrjLze/koKdTm1R1f4m9UZoGfPVy9/iDHRAUmczd9nHbH/5losA3oV5NsZJKBQMfirCkPSULhD
JCKxhNmmkmo6WQywLxwpSZ+VCrwgnzCpuyghoShzo7nfl2O0Tp8vfX0dXOsjws67sVLKc3V35QR1
Zxw1/9AW46xeUnmB5bgHss0nmE94GO8uB9MTOWMmF+u+yXfETqzt0KTVH1ffPzS70HKQLzwjYAGJ
J/OcDF48mQizZugvo+kFoA2+4KyjUHMhQUVXDzANQTco+C7NxLldcb7tkeWfpl+DSaOtQeGegIIu
Z3IctxjPqgqc9Yb8kDwmbZfJDdlZSQEkgWHdcKVEtqTpoYQwQlJTVwJH4CrYhD5Ztqr/TACM3hxw
dyHBj8wzksKiCZvxC4Br8ZgM80KRa0aIm59uLG4WWaEkwLbLDwZvcEeqAHy5aQvH44qcQcnIc2o4
gKCz4dUU93bgSiWGahCAa4upehgxCb8NXVbzMBcdlz2h82tLAnrH6bVgpxir6DoSWdZ48tBvm6OX
yg5wQcJfh1y/AcBIb2mOLe6RfkmE+WARVvjNWG76AM9K0uTdATHpP6V24+3O8QDFz9LN2idN+C4G
9SreTcmg/sWw77DuOyFA4RBlldvdJgVoeRzkkRg+h/PWgOav9p9aUHahIJJ/n6mwBWaWROGyjNgz
w+dY2lmj+cZifourGL3VBBNCLq68OTWSZjYMevvcLttqw9zjt4pJdJwrJCcprvPohuaUd7mcAlo5
5tH6zBmq0y6V+N1C36Xm7W3oLCCwKN7wPH2llRmbcX0RDN87wrAfZTKdDddtuUhE44y10EojmKPA
DjdoL88nlSpBQFAkXwQpuzjjRgw8hg/hkPXjPW58yP+oxQTRHUj5/8RUQbHt3k2fPIiklbJHBuvW
ebAEW8lADda1yoFw9psKhi5252+b5gcWO4d7dpNVyUY0hNXq8yBathGFnwTOGxaRSroDWvJdtV5a
ApXOPYIkvSQQLY3If8E/o/S45lIgpDKKSf7F7C+xiLpOndd3tP4Kx/D1pTuS2OZirXhVPW1PZ3Uc
eSikrJV3k53pd23mtPQV+m+f64hIxijZL4nMnruCnau3SMXhurxGajq9w+FeeSrKhWl9/kyKeAKb
id1xUtfHvN/Snj/D/ydBPKROF3KNpt1biM7I1ys5de/u+sEjnN8N9PYoZATAmZA9uv5ukYY0pBeY
rsI5tZpvr49b4YrRy4iI5O9wpjRQfAqGVlWrhjdnsXvn/8hXdNpOvwgq6fLFHg/fHxnPpGKNe/5p
0JL1Bs1Vm+7j6q4QEBDYWTQQ3sXGaa8D+lr67JmvsnsmN2ldDK+WoKJYsuuoboPfFnZOIiL+KzUg
ymJWDwnc6Rz4xo9Ee6M9FYFOgc8Mkgl3+fvGS1tg7ZFeKXdmI68rk32IVOg8FH9Nrbt91VQIhJ35
dRGhebMpKwK9wohtWA161Lk+1WlWpWSOqD5bMzL36sKvGTSC8ocabrMdvl4p8MHiB3rNPsctlFN8
S/luJ5KMXYiEDGsZ7uc0Hs+V8nCT52UCywPQaASjuzH4As0sP1sk4LzqNHrgI/RiWrCbHcIWpaJn
+KzUV1FZMUut/SiPc4cOoMnv5I6U+ta9waGgyfCD/iUvsVjW+iSr1OzrkFjfFBVxCbiBa1QWhROR
BWcMUJ0+bnh1fnKZhCUttdaQ5UvmZMmKwDchuwfm0MFyn7sTS91EyPlbydl4Sk01aOgiDtKabS26
zkf2V8BGFZWDMTJW2vScI/CqWz2FWFFGM00tyr1YdcSQ9Ne92A/1PnAvHzyfRMr0wOILk96UgaRn
rXkIW25XSlTP+7JjG7OWykHNrIGilCt4KeP7nOiU3ieaXuNcKW3oZf4UCkco68FaMAGUxcn8XQxq
HM1N2BFsarlk3az2tVviVUY4Q6D41WV8P/8OvTtk/ziaidQYwCXpOhs+M5BE3ezXLzBy42FdCp6S
NiGoOcpNoTvjqQGxuMCa+VEtgKvcs53Fz381kutq/KsdZ4KMYyHe+vuDBj1ZybyGzX+y51CU54pI
XkBVJ6kTX8c0oYrKymFn1e/KsjVs3SZLPNl8NVIJfp2Q1LCYXYsEAM/HhbmDXL+2O8QFA+cBFV+i
M3yDpSEE6Mgj4nT4rpoOZiAW/pFNObVBvipNLNaO5+Qr+TBv5OA5GvKUWE5r2D2LIJsE4Oac+I2O
zTJoH4rBntUTjNiKTJf1zLh/1Sp/m/VvslNsQZmoDRx7OyDxdvXkyjDLC9f9mdwhwbEYOOPFg3rK
bnRhS05wQXuiPOhTx6dmCnEnqvUitNJmwZaZT+jPh/pZZdVXIWT1x9jafWI7UFBuPFUn8MNMSCN4
xWsZuTxx9dFp2g68Z0/NmxXuPzI5Tb48BJEpCoNn02+j1OX+Dg9F5L0EzI0wkCs7xFgxwJiVzv/X
RQaazqU6nJIt0oow/jj21QpIESOoBEl1qKPMqm55vY0Na7luN840BpEJxt0Xl/lqtrIKuqP4sABb
L1G3BNKjkOMUDsreoJZmE5jcUlVY7hdcayThmep7Gfcj7lRkqG1c8CoWIoc3kzWqwCrvXiQeDHIE
TuujG/fywNIHBdcSx/m+aIeoJMZhgOgxNJdAUkguUguyHBo/qwlN2vP299A7KSj1WBCpDjrH2nea
DVOlmKgLeW5qcJf/QDUFiOleUxV08/7jPeREmreMfhCyv5SaqSd8ug04QOiqDIf/0+RN194dv7h3
4RlxxI1VTSccBG972kOBrgmavd+OrOZ5VuSFq3UCXKhcLhHrFGC5PlPPq0Nw0qftc5fdAbF7ahAC
1O3/MoHPNRE0QcJJcKAA36IP8Ma2qKnHqLO7D9GRJJ+hb5jCFKoSsizbm7hja/nGQzx+hvx3Pkvl
4baDzsz0PcetuUghL4JoyKifurF3eU7knSrT91ZNCX0nOqrcbCtW9hKvjaEHsyQNxKp/BFDF2YXH
ZbxKL91oyarvsetcYvEQHjZWngyCTJc+UyG3OFCPqNyHQgHfkO8CGjeTX9XVB71eDLJy32QR3/pF
NH1V6uxPXz9KR/okavvjGcvXZalrAd7o7dBtY7OE+VA3VQ/P29Zg14wlbTIpWZ7ucsPMB2W7WTm7
71b3xIqS9fyF1WOuU+hZ0d+NJ28nd3iDr0LsDOfrEA0eoefDZQYYi14QM94fx4G16gdLy3IhYita
GKkRw9/RXKL8csdb+EV1N0gR1tlf8MHsyczm6cn3kQYe4IRgsray3CCjkrPBVSB74R71XahDANSA
sgc8AvzMMt5/tHuBSVlfoOEeCIwPYkdegI85Vs8dHJ8qVE+789y7IGa/Ih+IWAn9ulqm57o+AY5d
yIB6kV20bc8hOeCaPkbhk6kMqeKlib1CexiHTYZhQRakIZbNfwuPVnkiLEBMOuOaDxljU4EBs9kT
GbT8AmoPm+lLucHKgne6vXz6QR6IloeFbSMQZ1nP2a/JIeOGrH9+hIryMEyG85S1Ka7CPxyNxzik
/soI6scgivcPIhrMQSaMlAtxNPuJMOWwnZtBlLYAJu7zXS5/2vnKjt3T0WZdWa0OzetYh9WUglQs
CWO4AmtKQMmR0KLQ3qeDG4LJvL41sD3L4Ih5QAWud/rq28BmJMlhVa0XF3Gxmu1/tQnrKau5mLBn
/y+R9GfV0EXLLBbLO6ls8ZkriLYaMvM5u1J3ZJ3zaZfAz5xO17rkdrvhdhJQOaWc7Cx0RRSIXWwp
jRtzegxXwYOkZjqS0avnixA2BatQyi6IB3Qr0Tv3w7HvpTatG5/YSdYbWPtsNCim9sEG9T5LZkDl
HofM25+uGl6Wt5hRwrqoS4pebWJtKFX95OujlAcVdQgbHqbZUB/cdSWqiPe5qR1QVhEP46CLFyMl
yganzDwpRg2EWJU52O2h/zuLW147Xsbk8PJiUEa2OzQRkvphAJPkoN3BG4Ba+sxf4Zs0UBcO5ro+
MLgxOqQ87TTmyifjEXZca0BgnSowT177koZTNAwnDmRfl/sSkvV0zfBmS1KEx++krc19oWd2ibCF
piNBduZt/z8wK2vu+7xpThZmb0o5TPF2SFlN0dAKjTI6a1+CkJJjTR/xWLK7pET1mrk3E2HFIAz6
GBmMwG3QukfoL+F71Bk2J/f5rULaVVmPOrbIWe0lyRYOH7cbVDdDcZS6zjdm5Bqaor+mgFkzuVfu
kPal5rpJk5Z6Tz43ZFXVIH3BGLpXa3FMotLVqFp8s8JZbsXMKqaEKQDyt9LhZrrXeM5tuxEoKJgL
oGWEHaDfXifNi4qSbuQ64lCQXdAL5eFYMRyjNxri0SXi42yBxGeP9g8cOHFlrAU4ykeLAFlRCKeJ
lBIYKT9pdPzlask1k3A39uPbHH32IHVXexsEr0k6yU2oadeu87pNHSDYMAikZm85+Tr8u1HJdHF8
A+5ZDpTFKZTEZJxsyONekL7aURHczUjUWBZt8hliPvN9ZGDtHB04NMCDyZozu2UejT30LlAYptVy
Q6TNQnDkztIQmEJG9bWNV3uBhl/Tn/945ysDmvANUkdDtnye20KblpUGaVZgYsx5lKXUIUV3EQVe
i8UshDqhIyBi+mSWlWYRz4d0vOUbMGBC3rdSrEgLKM1ExCVfux/VbBWOecC2XgUodBOiNkjiR1Ya
3wZEA8PKd0tHmtp2b/DWDkjtpIfZttf2mhlr5wX9Wd396csENtGRUpf0BTmHv0sbo8r0teqZuWBX
vOd/gAD2p3K5XSjpG2IGjxwri1OyM748OxX6MfbBqtBKGQoi9s6m8NcH/G1rMhsK6gCyoEZ3hqGS
GVOIELOHAT0IVmY2p8j+fO7z3aObnl9XD2Wy6XMYucbLrgA0bm6Kzb25wvY2gI8VZjZHMhyFOGvx
iVQyICKROkMd6gUQUoiyidKYvT6mUYpjHIR3ngBem5RlJz8aA9cZ4OV5w1ABZK/cfX5JNv4Blzq5
SH3lyruepiU7aLErJmNUShQyDw7yvTei97Q8qiynKuFJbqFmIOxK6r3XUYtpxF15N8W9vNPSCm/c
cTIROjHBYWBESKJ1ZY5ctzAES4naE4P9e+I3i/M73ClVQIo7g/RY8bcxnvuevdemxJeXEU94vASH
6LebW5kZ8waP2FUK/KP3BPSzzJwUzzSjjmcIiG8kAbczXr+brvurLZ0hYvpHmqHUOj6ngd49Lxlc
JHy0px3FYa2TMo524zffi8eUSSWaqhDgMzfuQW2PH3J9fZR1ar18gdlj8DjLnnRpRQRNbro0aF7n
sN8Yrdm7vjpLaSfW/fxqpUwtTORH2kaQPU0RDeqNo8GUyQVAmLCsPM+jGRSO1RSkLewariKKZ2I8
EdAMvbYWevnv7JUJH4Tvgcp4bviD+A81+4Jvoi8d9KFMuuU/84vdkna3149rty2cMrleyxLPY+Vc
5vthicZri5A0AJYmoBnpr2T9MwuKdBPDjSnzAzHmIn/Aw63eJ0E8GsMSjZKzypbYfp90Tn26l0a4
KX6zcNtHfh07b2CR3fRFU7uROSVALqChK1O273ScQyJspuPRuwPhreJ2wlaeH+BhAmHPYzAwN/3+
wu6gELDmsOupFCTqKd+0bfoKtmOwp7M0URaBU4KxFgl/kTCDM9AZ4dDpp+K87t+BA8voxIoq37Wo
epMf9jBre8Q+3Bxmt8fS+E9AUypcoBgytT/RuSibdwVjBl8xktxjCqS8oNHYVMr/E7Obq9vz3fZQ
4Wi1obJNYqY5Wkfh1/i18b+cxaBuXyyy8NhHp3uhrLEYQNWCifRMCFhzMPJfUHCAGqOi/onIgLJh
k/uCtcPE/iz4W/BOr+3hZkDbhGUzo9PY7rkTrF8Qgdz92IrGgtQduO+xOnHtR7eRAWlP2ES0c37G
qVhhc8i8xVslpyznve8s3W/biIxGgxHkcQN2hi6nKvF68Oklpu44oK98WgnC+vupulzrVFIy9X6Y
+wt4IGpaor//Sl5SHyEoRys+kxYIfWkRjfP2Lj+u2XkjEPqgqdiCT9lQHAiVOxxpP3QSJjx6xxPm
nQleTAYhidW16p4OxWOrAi+LMMyt5N7a5CYXFbfX1sUS3oetrDoNFZIW1NFjQZ/Ddf6YiSlk+yv7
WWTIdeHdHzkkrtvNd7eGzwzC6hYSrJtUSSLJADwETkE8WuVVYmQZpj/M4rUGsYIEAJ1W4BicBkok
dbiTzf4jU8qx2DiKIxw+3dYJxOonS0aKS3cDltk+bmHzDovCL2+PDsWeSL7yyAra6cLl8GNlq/3G
kSLnPZfIxXrO8kIm4/gH0ER4O82/T5r9n1dnYqER3PcriNCETpwkRPj+dTcJciu99Xb46sQqd52a
iQ4VT/XYnR36VdyyrePRHPYKUH3iF2O37iboEPQxA0sdOqNr8Zr0gybjjSGfYzLQ1ft0Kh+2kcWN
9+mXyzFxycpmyN7FnhgB1NnI/L1Ikn5YefhpQLQimzS76jxNjk2z0LpTFqaX2SA2Lll1j/D5W9mO
dP/jGkzKrAKX5IgS8iMu6LXz2P4DDf8mE+RxVnDJUuvKs/AWCrpuGo1YocsCjdwBJTNcsQ2gAlB/
vfGR+vt467XicH5Egm55STZ/6zkVxilzuTt/7v7YBMGiZVbLSQB4FK1gXOLhgrRVGAVnauhu64SB
Z2vzneEPmJjI0/zK6bNOe1A8aPtGpB9mrdZpvTh1TCccBWZDuSF9MuQcTzRTf9oy4h2mOVMAVNNz
4DTy715Bas0nPgqUoPwUpf66XKgPj411NWtS2NHqo8TEhaqqyfe3UncMBfUJeHxf0ZS5viojp7Gg
Zua2K40AIerOB5EeHj2maqaUoLuqMNYFnRFynkqlyK9cawix0e0a46yEp7iFC/aYOB+rKv2hDYwV
igJKk8aRi0xs5u9vCoSGAgcia5x/PIIiObna9/IHN8H7a7xhg5G7lNXaR1Z2/5gtahTE5syq0pwJ
/YQdpEmPfcMxuYAMyEEqJ82dRgudIPXA9BYUCvf4mO9icMCGwCiKfPzuh7UXaT6YyU3eaFGGdGJX
48Nbg3XSS/dkg6ktbrb07/n2oZsu0Hi2ZR6BleM1ASFXhubBBj1dbXsrKM9vVrCE2vVP+jxzjYDc
G2/3jfV7GiYglPmQ+Ac8dtTez9DwuJS9x8Z53EpmAxENtZ/ollhWznPTWP5MLHOk7SXM79fMkHt5
XOAEfcIsnDXCjcvxyMciUMOmWwdINBRBLAz32URxnQrHZNhL/ARpMJn3kBBIEC56bBDE+2pve+UR
ASx7FHQbTMxGoLJSGaa4BuNXXal/OYz1CNv5KLnvdZG2l1U5Jw2fDg7/xp9NAYUDPQTC+CWJbCSc
JChcOgQrboUsHdB0Cvk+Ux1rwXaAeUhpo8OEk13Uyd0tZlevcDbUAtMkNm/p8YGPcz29reNfRRW/
sPfjpabbGG+rcovtMfWxUDOBWwPx3d+al7pOcrKHXh3rSTv15CXWF1aeeeWxbpVkG3RHTQRf/QM7
4t8x0qX3PnA1m/kSMjuUsy1gNMP5lHJyOGypPF3X29m0PWKrlax3SLIdzJ3UFoaqAd6lKW4s4zdl
DOW/usMz13KEmI/chKAHr7+KhzYtTVFqJldqQkkkzbQVdR39HteTP9UwtwWDEvm9/jOtLWUSB4b8
dWHM4zwFL5w+JeT+g49xDsubmwJT474ErPkTraYEdxblzyPKXIxJW0LSTCFW09Jmh93Us2k5dpWe
LsakVifq2i1dqQIRNz42HpIsutK8CmKxqx+n4FTakIqxrPx6s2f9CS6nq0LCpYN3f+yEW3Ob7/SX
jk4v5YaSym8KQKL2yKo21175c8SLGzNmdAAWmQh7NUnNSE2fcC+7Ttgvct/72TsvjhbccmMpP81N
3koCxYMIjuE3qWSk1It+2FqZntapa/DiZcXqVmz+6V27t0oGMXTGfeR4ok6cVpZgl3S4AXGhwju9
oGmkwDG5s1wwcuIlyUQ1Y57opxFUe3ZBMMdm5xrldmX+H/OIHvn+Mz3PK7aSs2sorF0h/0eP/Ld1
ivpBe0c7/aSXPT1uzU5iaC4Uihlee2HRbmdsmMi1iuYQ5CdAi5KHc8wI+MnRQYYbfHKzRruvG76j
7WR1HuCZeTwla3sZ+rnZq/z9QwI4v5WOBW2fIRvy2puiXCVAQBTQIa1tc/a+QPz4lks32XJSV8dM
PSK26C7N9M9DAE5XTV9Y1KXiOmrIzoR9GGUrulnkMa2JQLt3Kbr40JTKsQ6aE+bLfv9+VwG3sUVV
ibUMAkFawymnI5g6h+82xpx2DmfDmnEoEhKj6alIxJ4TwCZx6BBZdTIKv4DkBT8v/qhtUBM6+YN8
zSsozYNKRVa4FY6A245r+N9MvUCTgZ/uN8M8mw7QjprK2potGSNEzFdQk187xLFTgh1AIvqoVBfV
C5omR2qyvfBlgvMM7tB41he4XqbS1I/FSgS2hyKhLIxPYUH8cjXedyKqNPGRnYC9O5Zhd1k7ceiD
U/LpCGZRVqrXOn6qfL5qYFvGxfO8EloXb6Z1c1AxsyQVB/UI2sI68mhGXLS4pG+HjpnIcimorCCQ
hs4UYdTRP/53DOPbmDCMeua9VHnEgTXPnsoZavEAm6WKQDw22dv80LzyU6Vn/OrlWdLzRmKAOEgi
zKsLp2IZJtYX7buYsp3lUv9GnCmCEDghiK3uSUHGWFhaS8CUGoirv2K3lhPCQnFkY13BjFwSrfGT
Bh2rsakF6p6/Yop86Khx6qlcD5hb7VeJWxmMg4pEQ0RPVUxGqbP4Dm/F6oW0d+OlSKf6zymecum7
V4H9UFW2hyekbylxp4IcpADIcuMnW0eG5rbbYwGTK/LtWudTPkuX0utHtNZjuJE5yDN9EUU7QKqb
SxOx7t45dKBoEpde4Rv34DkAzu8h1T/nB2ZjW0CpOrFd4EFHRZSvMuIwm5nGuJ6GOdPn9hE77+t9
1JdQRdAXw+A5cuMPpdhpU1OkyNe9qx3oFfylkzymhj5pwseRI7gzk0HMqXePgnXs6hVMTYT8HmC0
31q7xu2Wks8SZtKSJZdtkLs3AzqB98k+eOkU2iE8qQZunElK2haF1/itVWWvRfitg1BCM5ObByUe
A3D5QuIDirgyI949yXNjODEAoJY2gMpOACJ6mv0GaCLti3ZFyUEjBehDWxAtmZAEGmgCDlmRbpm0
q7uKGPeT5qUJO2VAC1jWqg1iGNjH9vPpoFLI4sc0EaNe+ByHH4oug8PJc2QTwPBOSSUkHMnanovq
8EiypO31rgkfpAUAiW146gj1JL7iE8Hk01hlg6eCrs4JIWkzIAXfRm9DUsttPrPnmhvBAF8yGS/3
cWBN6olwtwqHsJCOUmtbc/INrXLZBO0dXhQBrN3jV4MZoKRqUiiUaZFWlMHzb0gZa2eXxAhTINL1
u1P1HGjWAiDa6pE3wnumCKgEH/B7D7jOOAu411DouV/NWeB2RuugIsbiyRCWXZCDIanF26fNDP7g
Zk7+gPV9nqrUIuXWW0nGYqsz1ViAVHs64NjCRlOT7qyDPIyv1jTyB5lK47Np4yfqqbnU4bSSMnF3
rBEBq8LzUI1uoKdC+GrpmOcnRoNC/FMzLdyKCed5A43feqy68p6NVfg0XygEybeUvpEEAkJQyyBp
7OP5cDQngoc0S+q66HvwM5kyoY24wDcc96iu2ISAISI0Stj1psGNTB1j04vvEE5YMCpaM42VhGLU
5f8gCidKMD6HtJEuK8w3QEQYZ9Xmo3UZNqu34EkcWzZFllUlckkSwAyZ+QcepDVOhMwtd++opACC
fmKi8cHL37xaQ9w13w7J46yFB6kBQHuVoRMu4KUVkRYmA0vUXUjrjnH8q+2ATPpvT6iSdzDkg048
0Z0F4ZnSOCxhZSEwdMKlq5DdmCXFToZQkKFkdQ3DH/VcBIIMcrnXyiZjRuuP5XbGroDxPxuTIsYn
7IN5BQEX0R8jq7rFJYGJmASNv1FmVCsoy24lnNl8KXdl5GF7yIQalSnq3a9z7TqSvvf4Hh7G9f6e
4KLdql5KHqWO9gFRLe1Sw/9Fh4BQ7S0G/84tI71r8jya6MGiZo7u5gRjch/ejpb+kJEDAvbt7boF
x3cpTmzseOZ/Qn+t0oGAXyQbsGFtobzQESTYBjJeLFY3G28M/JCB4BD+Ho98JtzWfNmY6jeXSOGO
EZ6Xp9s04vRJCWCie6QNqhIIQkgW1H2DTH4BYGXgB0gz3t1Hu5VkONyuXf1y/A+VHlqyXSUvfYSI
lJ6xKy6s6e0hDJSpld7Ru3LP3iZSVtAYEFU8/jIE26Hwm6ZFDh3nVmC1tmbpE7ZIG+2JEhB8Ja+T
c9OuOL00I09gHYeSIZd6Mz+F2IQeeomO717RDwIhqp6pMvo77Xxw9xSDTPkJ2TyX7hCzop6AKAsa
YcBDjNpDGNzqngNf2W/k7HQ4fbUSd7aVTtb2fz0LqVJe1dhVdDcBQHJbU75dSurAcH52orSQg1u2
zXVFLVmWOfSldRfikvcLUq6uR45yjMMiD7Q8ItekIspSB6Drd6SNssH/6J63PpL8xTALiwpYPn5e
X/x3q8JLuyJV0L2xz0i4+7zmh+u/LZrgWY3HYySAvgLsJCZBHsml2b/x7IfrK6j7X0vvGc0RIejU
A6nJ5a1UKeyg7F119RSUm425lRZJwMoHMu0E80DrYD1H4LlWZKrFqBU3Kh5AB7i0OZuB7ut/+Hkg
S2AYW/0dgRD0Tx0zn6vmrVEade1/pM66pUKP3Rp0J0Ok70gFaiZfFg2XcTfS1rVCm46eoiBn8LeU
bPVczauFHfWXKi54DaA9bqS3S9MBTZHMyCtgdnbC4NXIx1qzV+0oPLjlTHHta1uT1+MIce39KgBq
qiW+cgqj7IyTVY4MsN177/eg6/+dQwZ7Yw4OTzAQqJLwSv9sMWeD4hyy1SHrhVZdVnq6Zc0OOnjI
1/Y/W38lnATvQzh5kSGPDuGnxKvZD231Uv3wsfAfUnyS4TAMixgYgvs/mlg9rEqwwWP+0SwmeMie
l0ee3nLNZbvY3SXWOd0tGPqpvaEefY+WWOf2Jua7uiETSo/PDAGsiF8UbZA4HHbdpbvOqgalUrox
JDWJFsX018YhzsD9oFjYiYzVi+vtgiFiVuiF6dLaDJTWIkiiUFW7wm0sXKCIVSHNYJIBjbYrZJup
gLcPeo0guqj3b8AQnWuBNMT9s0Y4xQbRfewGdhmt0+HsAw6SznCoj1ACaR4rMzDaKCRy9Fv55D/R
Bn8fzBxu6nFB6IRH7QdjlGBn9dkSJjAeBdL6RaPFSlPbU3grTts6Awb6sWpMGLSrXv5SyOKvkZ2p
u9xkDjhpNsR2ChiFP5lD+6HC2KmGBhJfpC+hjIBw06QUw9QUpmE2WitMRIiTKmPATW6Bfs3V8tGI
uZ9LuDLB+c5Ctzsze5E1C0408ZxlbueRwbf4fB7yJyQO7lR6AEaxScQEicy/8WHF9SP3+tSszm+i
8CvM3Ma1So07vY0OJ6BpdXNd7cN1S3MD2Man0xmsN53EIXRqWe17OBX+nmoUhuGOAHFM53Q03QC3
UfxwmoG0OquklT+ZJ46+YKd0vN7chWikLnjkMTCce7wEnJCEQ1RWNaNfFHFWlQnc+Qfqv22beqhN
7QmxbZQpQdkJ7XpvJ9BY84kcxtjvORlrmZMdFZoDmmSPxIAKBLJfZJpjYz8zY8auMzLyNd+XtdFs
KcH11NcjTIWfica6LEeyY/Xi8YmTCrM8krXdr7Mkl3cS6deQAbVY1wByG01hrRaVvKpr03OOHs/q
YWjEXtuZPfr07uvZZn+yytiLbDJoOrnhlR7UDklUvPQbC1Q0/ii2S4m4kHgxEfi0ETMg0Igho7Ep
KdloqKwggu4yZAckfxwwNQRQamE3XQGQtIOOHYav0OjvxOaMmnY54XzzgkCcLNuYFS8aajedRVMN
nSXixMvWMMsrmwUjveAW1sMjTNeKCb6t+2J+DUj/1EwLSmfb8/E/6+Uxm1L4Kz8hIeFIyfzJl9lJ
YHz89EuTSxDg/9CkhoS+uSPY5zKVqmMvUcQwasikkQBNL5UhokQ3J6jN3OT1dI6XbZzXMF8hJHIF
PEsykjWRwjhiehI0rvdnFzaEHcctIGDyP2BiaYonTV1hyJYNKUV9bytDJUxBXPgTZplt60v7CTzP
OMzwQoYKr2ea1PEHwMVmuYxjrkXgTUkp72wawCArYkhgMbfAxZLzXTfTZRZIkb/RL/S8vfp6Ro0+
RRDxQWtCDGwBOuBh7yzFUZwjH//qFoXB2wR7mWPPUdq2VWZHNo09kDPNszEXoMhpcC5yQajJ6ZqJ
1aBJCfcqZgoj5vCxkEos4p4i2H3aVpZBwLonB+F+ZTt4A29qbbclBoD7ZyzN9BGcaLFGCR8h5Ehw
NGfrqNM1CY/3+geeJB21KwI59KJ8scuCSEcQapY5/8cc/J5gBk3fej4DM9xtdurcBjYt5/xRGing
+3yJ73MqJ6uhuO/JADtSybajsZeXGH4xg2FQtDSveMfy+kufZX/7YW21d4pYvZ/oNypdSd76weBk
6EqVATalIthrmdWdJgeHAi7mlBtwEo2JOWiEA9EWA5c1WAQhdUtn8W6jP0PfRvCnovU9ZyhprMv8
32xeqUyZhiAPEVhYO3lRNVZl7u40HAdr35grIUHZI2ARs7R9j5P91KCsLx6uzYoO1F+VDbhfKQHB
1gZtfPlL6uH0RPvRJiOD/YXuHVpSgKQmRxSJY2pdkMd2QnAHpTVcuxes4O5r+CvFc2W8d7nSDDS4
DbdCxqzedPSkY0OBlJISseSnEUiUgtz9Y3/RZE3qWQ/fbMr5BBj376lPy0TmY9ixVJBoqCuNXQRf
r55XgqBB6KbEwz+BfscQMBKD9g/XEOmsdNLxD/JPO7uSMtkPTsgYYHZ0BvEY0KqItDwJgowUxdyY
+wE65oQspww7wmVxnfSTGa4xScWKEHRRKGZFFM7xKo235JNU8C+x9zWiFpFIbW4qtOsCxCTUW5B3
Ni3Vog4sMBlUfszXWhk6T3gd9CsQldQ/BX6dt7H3P+QP+upFJ4aMqrzEuoCYCxAwlblB7ttOIaRb
VumMSEGba55FUk3+Ixb9h8IYSfydJPjWw94gjCDPX2lMPsZLmH2X3R9BWKYpT1nMRtJvmR3O/v8z
opUxvb/lGzYjWUOCo22qlqJ3dqgcRV9S1l9b3fvzwa/kUB+IIXvACkjRUlgMLHTtl2nuRnEru4z/
AYE0PTyOd/HmNDIl+x5s9ztm2kr5+lzAp5l86riXHdq3ZoJLMI5I9yt3ArsczMMFX/NK7I9IAKs1
4veK8ZDOVtP4hdUF+gM2nNImsRlCtxe+ahdULkq8EaGK1SViAxpd822dbw6r+l0o3R+bnvza7E5e
mL2d8JGB9/NrnZwBzvBho1Do5ZmDRDphN113eAgFzWwO9bsznHqU1W2byUMos2nWYn9Win9PXNxp
0qDkcEy0i4wCHS2sRG1mXQLMKYs0ITEhMC7L4W1hrCVYhRwBmJ6GnwrpGyD4BCtwEX4zLhf4gRGu
0Rz0wcJWg2HJjoDbeDCI5r7XHtli5377BnNvplINIgAw8nb0LcmdgqZ5Vkg6WSph+wGpSXDIR/N4
A0rzZ/zKM3k9fgCfMDiovah0BaOfwSm9CHgYODfYPc331yCVqAJSah0WI5b/V9eUx70T/1HfOe/G
yktQUEQmbptsTRS4/YOsuyCQ3VJUZK1NLA/hHKD/Ifm3AdevUTOvkH1z5McPxpgOFNIQn9Z4c3FE
9QTG1eALt4ijEw5qEMwdtq9oc85EhcriOtPaIeupqZYdHfTIKYcxJjW/wZmox+/3JPo+Ws0T9YR7
K8Q970OXrKU1DJbFgoBTQ6YUmLlT27O7MeEoCdB2sZ1lUeO6sq8dOBmmopX0pBeEzKP4Mhly47gm
Uy8Kri5HPhD7lwyMZRtWpdTLxBaaoqz24pPlZPlcLUeBjhF8t1YyyUIsSyQ+59ouOvBHqDhnBLjz
nsSlsP+IrTo3srv9ImpWS0u3BYpXCwWPcTG8VA2lXfQSr1rFZZmqu8Clx2kUH4Go63lbCRHox/ay
XTvFywO3FkSULWD/sxTXQj2TApZhrpdl7l0Ve5yU/LGVlm1HMiE1tkX6Udai7GnLXQyWgaxfNe5O
/3XTZkGkqx2OsX6UynL7XxTRiBGlNT9RS2UFDsp8bKhTWwN1RiBMPfj9Odpum1XqnqhwZPe030iM
9IDS8ZNQtbCtckpamdEwubT1jN131w8AIKTHKhk3ouDyeHEDVCtVOvZMAJTWH1Spdi0wU15qCvwl
PtNPEPFGp+njl2AK8RjKfy2rcReeDDKr5A8iunMl7zLYp5E3xG4k/bTwCfXVVCscw3+AOjsZN5w8
kDNyUqZsTweqSnGlGa5DhVERYUnW/acFZgzmVQoYx3ntPhXLumQGTvKOvqYlmxT8d6MlD/QAjw/+
MtofzJu6KDF3BPhsZH91RkJcf0QtSz4lzSzIM0pVeyibi9e1cME4NFbE01Um9Er4Ljl9VogEzu1A
V2xZNLU809wRBJB+b6ED+ZYVGWnw/b1OMZMZVJljtT5w0vqGP3H/DF94/okLXte8RnkeU+TnfTOp
hNBa1O+eyjByss5BpSnpKVH22vDMM+TIXMFnLjDtz1tAKDaD7Yrg0v5/l55epC2uviXPAfCnRbXi
aNkI6Qg9DDmEhGQr9ZPViYRNcAVl7O3aXgf9jaFNuPRQOe1y6Tnu4xpSH3NXnzEs/INQ4EjGDP6s
a9cXYKKHeQQ0J4sPsRou06BeJo77RPjQ4jGvHkZm9H0A3CbGWRPllak1JQArtw0fes/VoRPv4dOD
PHDJkNLW9BcXLMQyMTo0m5f/ZmnrrA4HLUZqf46HmyiZzGvhzAyuYiNDN6DfRInm3kiNoYLY1Pkt
xP9pfOK/UStg9FXlFr1oaX1gV80mWeQUS9VxeguA0L/KJztoY5FkUdcupkDvUmkS5zJn4teelufv
SHrQjhSMCgu+XbWTkJc12ZyoYu1wi/TsqH1RTvEw5ewHEX6BuNgFqShfGdqWzYOr+iT4S4fT5eJH
CGk0xE/Cb0gwhmLEtGRsj4wWfHopExBrubL7T0d/xs5w0JeIw0zPqDJWOpaF4o0w/IrM0f0ZJeSe
Q9VKs9YuwflRVdFQfsPKz1EvOujMXY2NOv5qHLMVhx0abYly8WmaHuqVVAsGJY4XkqM72NivOzKW
YU5yfjC3DXUOwnF/h8ihIz+Yf6RPQMSrFa1e4DI2KWlgqJWdrJB7QyUSpeV6rNvCjvq25uXzFXb5
NKDz9pxRawOjBsgFmskupvlDCrkOB+Q9PAv9+uGQD7bNdFpIHavdMg9DZe3yLYD+B5fFHHcKFgJx
KHfo1Egbnq5uh/0BPPvpTQF+8TkKBSrWN07BtYDFobcka4QskhOa0GR8N04EplrgJ5vJawd9DA4A
Nu+YZxhuC9wlnP4ynSow3aDpaqHge0lt+O2eG5ALnusvFPq0/NBLs4pvlujkd7EDRHBpzsxQASjm
MKC0g6jz8UBdfwy49/KWtNf/VQFHBnm89U3GzRlZ7e5M5TWGLVmDLjX7Y1RgES6MVKYl6mqh0hsU
5R3RCJQNKn4qWd6f4M0ahSgTtRhS9fEzi+iFvKLyFmJiQuft3ILwJ8YMPWMpUp/MObBxyHpGlZ/a
6/dXDA4RsHZQMwClh9RZj3yN9DoMZnQ3ZbH8LXLVfd2DOg/Zck3DMQoZTJLy+YSsbAJxrK6B7mdE
Z8mwbfijLSjeVnHo+gFRkPMdviD7cD6WqCgmrD4tsDY4aaofcuDl4Tvhej8yraX5Cxh8fpcd01fP
CrDmlc8qowftJi0vak/LGgaG4fTtqF4r/UIKPqQhljpOHYcb/V98z1aPf7CKZw9JEJsWlxzs3UPa
CNiZeel/Fa34Bt1zWc7fWymZf+AvKDOt3CQ66CHQafrPGX+hRMltO4qFXotl8zY91X9vFvzX69j4
hTrRxR4JyBPOodS+DDlD19ocgtlmSSUsCN845fYXcEsdl4CXr8+Er+9PcosexOyAGfjSMgEd4Fry
boTQQDmT1Rs29LgTvS2qhTplXNfNCRV+XlUFuVi0KOg6fTShNQmOgI8aMwu+UeZSIwJcQxvBfCM9
/mMrrOZ2AfRrKrnnNNW1IdBFixLRLvPFmsc+VSev6bn/E1beeWxCVeVGROqaxix28g8r3zjNu7Q9
AQWPZ58ORZ9FpW90rrZ8Nw1+2MpwyhN1OEpfDUqQHA0U7fCAtOUITw+zNkmoU/ZV7UnuR/0aGJUo
7wepHi/AlrocnRRM6HDz4BfYEGR/Zq50SFHVGkkuvmuA2jqpbz2rxjtpIGzfedPTms4Oco4yak4K
z6YGshjum0DObIMivK1GXzo7dhmB/bte33mx7/pC0756e9qSd11max42B/mXLLxB6t/BcAsIX/mF
26c8+EMIr6O8oJbPJjITXByF0TLG1nOsVjsNQIN1JQyEihly70LlUk28Eb8HVAI5YVjpdur1MZOH
zGccFG6goEfH2BWQTNfuIUSDfiJMThEm1UCXPAym3RqafkU7Tqbr88TVt4ap33cQfe7DpkOzr0/E
0q97yKB9f2RiP/1Zb9HYwlbwuJJG04fYRffloxZ0BlifTYLWRK4oTKSTorjKLGVcwFSfCHVUY+Dk
YU85UPDQRIr141SUQ5b4SBSU+17o9w7V2XuznPHiykkVM/wZtFXZoS+iDnrtMj8BGC//OjI+Eb6E
IozgKgfh8PUDNHgnqGFBQLh6+fo1olsJxHJ9QJOrZ+63uqG7BaqdsR/RyRHycRTpoGg4bfMvrUWw
Trttdo5zWnsCpJr7wSdEMc/RgunlzKVArkgGqXmksklU55tEagm2cDU17fv6Nj2W6MnJVPn5teNp
LHONz7RpTNQhvxnL0ga60uxERqVzAHjLFzkQtFrAowEzGI/1+Funv+zEr7wOOSMpN3VDuEww6Hx8
voU0K1hMiG8c7iHYXpfVQyLNJvQ1xqqrH4PlNc3/w1v/OaqVM1LvrBleMZqF3m5DW/LipV+DgXNh
CCi7mgW1jUlKKhJO+ETy2DvaYKbbX6D/qv9XC2H2zBqoR4hAWrH8egAlw6sKPn6g32te+O14zLrE
pU2jnSwks3nhffCB6F5kkxNU1PE2p+43LwuzB0fLKSpZLa4cPg+KOWCBNvs53+0QYWN6qsYq6udb
tDfTUwEdEJskTIXxpQAx0BouZCs05gPwvZdWzyBpzCEelzeIiBE+BrQyiLpoymfSPjAfpsG6cMQf
HFNxniS59H4osmnuDuLLsUIYUD/mzLFDk50gezEXKi+FuCNJ5CKOseNVd+0j1I039atPCcW7+hrD
N2FpdxxiYNDXoXWo7AcVgA2L7vyDYAQkT+FD7GwL0NIo/peXbJdy5HEE9u1kKWVVOak/04M3VzKL
qo8steNwkp/0j2tQrpO84ZpgvM85myeb+QqGu6/4PKxKoKEoCUmEiAi017IQYL8T5UDgvled3YiD
qTVIo76EbdtEdGt+DJYNgcFj6fdzC7OIXp7vnUoBpIXiRcYj5sZjN4PD+8h9aj5v5Cv2E0UPqhX/
o7gcUN8XSvLtmd65woRAFcpHDE9ZS3HeJ8eULVpO30vuAMCueHqcGRxg19l9DAzNyL5sYcyRHgz1
M54ZLqlxYj1KUKItHoZNfzigmSo3g62OGWXXW67gIHjNgYRMITZkgepgqYHU4Ys9yvDGKpZJJtcA
NKwgrC14SlAohNX0TK6AEbGiSyXddqtaAjQEucbdh1IJT2oZ6UeEyT+T6yM7nKZkdic5wbix/AUc
UkRhInDbTlvU7Kl8kN+N44whJJkixf+7iArV+/8IgZq+17HbSrcNGVnP0un7X5OfP9ppUIbsknek
sYkiMKWZyeQtyVHoHNfeOXf3R/21AKmZlCacFXEurmfJQRX+EnHLAZtd/oV4qmpcfkYyj4KHC7FR
IdGigLso6nZrdDm0LqfkmCaEkMhuyVZNdiKA+qA5pB9s+e7aPtZzyom30HcJDGqDEgyppmLjEf44
Mq/pWAWevJXNvt8ySnlDwOfT3HbKbLrAOYGJHu0C1T7Pyg1En7U522Y22Nh5LWwth8grj9c2L0wf
tF2a7IFQJwenvV0UtdeBtNv2w5hKKDuSVTHsdV4uo5FTx8zbGEcfYHZFpKtjeijsV0tFuos8btJ4
5AJLXsFTFOSNkrnV5+WBBAByMN/AHRrrUa61FrlaX2vGH0uq6j82BZVrF0fvgmxlc2vcYiVNrmp6
j5kE5RZLqWiN4JUCUTQiuRsmSzZ7rOBhYzTcEmf/+pFWoKTFgIscZmOIITzEGrlkJq+Y74ExrNIk
5OyUP4ql/L0cPzq5Rtqh/vjplXXJM7+lNljw0jBRAFDQUFgasdHe+0IpAUODsv/z9F+vfU7uZavz
xOggEhE9sSPuYK+t5WZrEdq7Kfqc6v8xY4xD3VG/2oShFiN3ilmz2d2A7sKq2+jVF2+PVvrnW/vK
uN6Gdm5wcB71HeGAXyDAPe3JamEvkzp0OpFWY67uuw9XY3i9y1wc+aXWPRo13efhFIMYdhmhObc0
rLcQJJgkMyHKIUuz72pXT85Ulap8b6UQ1Ib52YbvkXQVjrLRt9bTrYW/+fB2/4eTwTZo+0f7gH0m
LDqhBl58weR5vJkyGwD2eOjutBptfm18P0iVJR2Ev1xtw4wPFPIdIKgcGEb50YzhvM1KN3/f7Uqx
//lOy9cQ+ljzefs2EZ7EMR6WrmJn9A21NLxzY3kbX5uU6SQypzTUFVoLrXvAAJpIfzks6fZfa/Sx
8ZUXbJ2UdI65LB8S02dhb9if8Z1BpZfh1q2oVpghi3lneAZjMszhsSt0zgSDbYd9RvL1MxC1cmIw
s2ZxkHSIVpl4l0Ebuz+Ya8Ns00KMnhRXDvpgDS8SI5TZ/q/tyGiMfPLrCLXbkSEmo645FrQqrAnE
+R/xEmUW1dkYJxuOZgu0RqQZORIy6MA5zHK0WOaZU/fqDoEPrIAGCJIKBg83MsCfp0UKYIo6F2bc
b55gIle7l23C9FssYeDD39J6TCFa2YEDXfDcevGiCe72tGJGUqnK/OU9qlix612BIJDbpHe5qiB9
fA4aK3XVZRt5KgDleDZTSotqwPBNqoeCa+8AjujmXUjYM/HEJLb/OXN2xtrKVGmBsRfY4x7xEpHX
EztOu/My5+hXrBLnT66G+79ScKwESJ1h+vbgzsVoLVZwRa9oMYnCTnQZi+5YZ5DRP8RBTJW7gOs+
kCb+MMgBHyLFdqow+EzehQWMW6vo6NhdNAtRcfhWQHqIpW+FDhIhebcTO25Bg43C7AN299vp2NPN
do7pQB79C6uWcAIEtDbGBAMOo7rvTzP3cEZj8vJ8TlyZpurQ2XCpaM8ZuQSWTEm2VOEoJ+uu5lvw
GJFkzba71Vx1ojy9a/C+he5AP0ePWaRwDjQQVC6vZStwM5wXswv1ueLiLAE5bwgOB3D8GiLn75e8
0Vn/V+zRmkV1T/UOLXGgCOfIfsqlhQX6vjNJw1qRgDZQ6ZzYY2llyLZpjZhFHsBp8Fms5wQUvvLT
OXxg/Mf/IetIFBV8/wA/hA6YLOck2YG1Ppqw+KMsJifH9X/NSKd5O+k4zrj/Md9DK+TkIEqixINu
KVR2w1VBf7zkYnwMqZK/5SUyGwuHMg2OgX/ibh4EoblTbdn2iVMBrsZ8IKLX3ttA20yPFIH3K16N
D7ZgjGAr2hntkT5yA11pagVhdQ7szMxsQiKQypc64tXgGUkr6aN0az3Nv2KTEEySoxJ8EFWdh3CV
I1G+e/PwvjZSb0/bRTkoHqaOPhWD65UCHG2K5EV8zYSSUsrufHox2qVHI+B0O5eDXyBcv4+l6fWz
O98vR20RVf2ZLmP2PShIQp0FzBUvSsg5ZH0IfFtmxZk/0yhTKI05KKjjLRq/kFdbtl2SwzBwfjZD
1OTSYOdLGf4pZKgFDwMIOuHn5nsvrw/7tVQpKKFDSkH4UsR8Eivys3t4t09uItreNKstF5KXrzuH
hapEVvKq1jd/uYZaSPNeW6PrJ1ptWj4DWN0i2uWJah81tGaRJzKOwcTJ1LmtqaZeQdMK/z/twsuV
NjQ/3HRZAaFAb3zJ9SUqXtAR3dIdvxHQkKqL0dQd/RaYhRYJZbKqBsrF80f07mYz6MaH10NjOnS+
sqm0COKVZBQPOyStNu3n9xmYz2n3YZmOqxH2KxhPis98snMbKSxoGnG4cYzRhp5PE/QJ97iyq6cX
vjsuI0MzTZ9qlKCdBLYf0UtsH/zVDEpPrONpM1dfHxD+qqcvTDVgzD0Oc0BUd/BEE4LMvPORZ/GO
kZ+mJJ1Lz9UnGKcHtg36vkgnTCXSqnz23i9tsg4/6HXJLhwPRW2/pw1XE2fuBCKYfOXDDFdZVscb
IVNt4NjtrLc7rRTvoIgecgUJNFEdLDDjGF3UWOpEnQZeRAVYV3zhZFH9JSzzbPLwEB24st4aeN6t
RTOc0nQspPF6ch1sFAuGleqOoljdLtWHn8vKtyhVYpW5W+Ow/COL3PA5carKxgPsVLIYQU67Cv+u
j2P06IcZLvf86wYFiNX0dZQqXg+gF1H3Bh3jY7USvUJY0Dh90XoF5UEqxK/TgH9Dmb4mI9x+qGHg
2Czmkt3L/bgMoH++U1xOUWDDR2hGMQbLoM5/6x2MAyD7J0cDEU3X8bfnqecoOwxcikf6EEwrLFLp
+aoGHw1SocvBZTlom1luZIL5LLlm85JX6x07kE8oyFiPrufx8GT3h2J5smSuUGxWVgrJpe3GpJBI
ewgzDwiJYZvIJYNmHvowKeKdSABZiqmmcaYuEtijkpXH+KCDQsglNkP1Orrjsh8BsORps7Jcfi2N
/wdyA84mnLjYDWCcCwyRoRsk/Jb6zfAlzLZjsMvAtRLf32238YIcG94aW5u2c6Me5dKWsJdn4X2n
LRyD92cEEuoPO5yFyMZfsxbCMSm4gIUrK0r9qt8oGdoDbodu+xSL3mkO0hb3T3DzzQjMs5ha63lQ
njp5ELeXEF40yKaqRj7ZpxK9NMsxZyv3kgB8p2Q1b3aRNb9W0seOWlv8qhpwPlXJCjTXd+Roo3sV
ykOggStfGPZvz7pSRJ3dCUMPW0RvjvUH7WCXEEMkSEqOGdcHVx7nODxtpZTFQer3No55YrktqpXy
g111/iHuuslGp4Mk/bcu47DBQYETl0cbY8jjXlAGp+4nN7O0nx1Fx7YMeSS3OYVqEWQl7E74U+Dl
lwr6RdtQG4BDkVj9jHocAVb+7Zkq8HZubVLrlKNVnVMK/GXyxEBbEGu6KwNaeiC3p8T1jva4NxFh
BupFsvYvipvAn9MZv9R9nlDjiW/kcHfK58trk/iPMXsgR/bu4iiut5DKEeVo1e+nvZ7DJzu/F/AR
NUzZoE+9mfSHBo4yPnA8FR9F8njHNGnEATmraJjgN5++U8Odg6A2PZZyqM+QznSBz/bU1Lls1WsD
aYdbR6U19znEPa936QJ8H6xcdZAvYLY+jEXhy0QoxVWR7vWTb9dOQLD26edBnkIVwq34YOQDZojG
ysFh6y19dFIgcqfC8vAtjSuMyNLn5FL7O2j/qDYEpqQelTD/jf6NY+HyqAjcrRJfydQpXaLswZD4
7yieS8v1Bdi5EOPGE0e45U1/xsBLMuSjWb1jVdIF9F5taymCizVLu6Rcc04EXi5ufXF8D4uKFMXT
0DitW6cAh5ot0PMy1mYJbn+NthQwLlCjzQSos39HMtoZNdePw9dWBxndnFwtnAkXnQyeC7RhkRTz
c8QrOH06YCVZOKOOVxc5y+6BhKoQsO/iuERtm+ItAvnz2H6HA3T7K6BLqn1MY1B+UiWaF2+kz+UV
6FLG+5qA8Ae6rPIvt9n9z3bloheUhvsiTEjlSlEr4XOMOYfqi1uwJVptWetMjuVXLz+WaIORnLkS
gL+fCSfzKGGKsqp3joXpDKwyJFlKdsYRTLzaY+rT39A4p4YU+UGnCNktCCbsMzzNe2KBtVFBmA8n
DZPgM5pBzju0raMlHhwZfrUSS+PEpj58kuQtRN7l40BwU19syzPkW6uqcNTIk26AfvO7r8bFZvGo
w54hj2tjrYPSiE+f60XOFeY5NFXtQpKSLe07fxlQtziJy72V38MmZWrUFNOZvpwJxnm5t+Bb1NTR
PxvpfuWCRKyM95KC3fAbbNASFVSiTydH5uyKKQI9nKMuZweGZOHhcE64e4Hys8SBovmQamEneru2
ALk77hNJ6UuHimjJ2dRwjiEMceeCkEy2zHgEef3giGlPaYMBVri4HZC0e+8uHl33w+YWdl3NaCLJ
arnHS26IEqYxICiWrGfgHDtYVOEf0bm1FWLls6Y0COUZacd8kN6y5neX6NNozVvIX8x8RkKy+Ylh
7fCg3TjGEfosBvuJ1V6gdgfGeoM6fPIVBFzNYwZD5r03FynvtbYIQ8HcedHk6yr4T3sYnML18OcO
hY5xes+UqBEZluw/GG3Ye46ChtcKN5jJZCrmdY7yTh7/IjGKtfkT9bp6cAKlVu1dqrMOsbcEQKq3
YkspS1omrAX3MBGvOXzribii9ToFRXO1oTBeo3Mb+x5hLCNFbXZwsBfrY5tA5ZQUyKi2boHYT/gC
9Ztdh/2SHxp7IdMAYfhasSj9IoBLznr6OROQkz7p7SgT67omtZBy9QPwH+70dbyTkvTjB2wvA/wo
KfEltD/J6ed1ORZzzhUPmPFMNNXKLd/OIr3dhQhl0x/Wkx8HgaDN6lLTHPkDIvqGvgD7agg9Ql/h
vXOwLHd24PgCr9hJt3RTgvwtGSBOlkorRH7CJIHKZTl/yAVbqSYtgmtL/0p+s9Po+loSP83TJ6kb
6HFcwlGSOgIcx3e9lfpw3gj7zqgT2zcUgPWZcebyazWsaWLCMuPTnaxrT3qpm06jbxJPdUf9Pvgc
I1RDekdLEWjfAeKE91C3Wwh/k9ZhqBqOH7ktblE69iGMJHTexazz9W/ikU140yk5BN/1Xbk8QA1s
0JJbxlogJmnd5ExZhJNipjGpkEO5EnA6qbR4MXiruTgfi1ytFyru0kDS8bfn7xITRtROzU/YpV2b
m+N/WqQUf0cPiO00/qwQtnvZOtsBZEgR3c/YQjXiYde4L0Qma97L+lal2DjUHZTX2Ys57doeWE4+
3zUWId5t0tuJQYfgbUxFmAAbCViOJwdhEn333SwZyxpppbojwSsiKa9vd5aIsZZ5uei62wtlZ/dW
y+AMXSOBICdNs6W93oPA1LP4AxogVoGUstFDSx+z0r8/frGA5A8dyqtkNJQ/hYpoG1bNH75XR7zn
k43GkpnqqDI1rs5RpOtJRgGUF3DXmtiwapRaXg+VhC0Y8ZaTGHoW7hhXiWKw/AjwsO1q+YcV4biY
xZD0VgBE/SeYjHH9OkitQbr/QzThpvM96Q2OvTLF/gZAUEbykze3Is2OSHyym0fNITB4Lxm9GruE
oHM0XsDkC1izylHE2/B5KtW1DYd7pcXiX1/0axsJWQH0m5PYjPRMw8IkWKg1Bf3uhEpN0LrPS5DI
PqEUvMWGC5CKaNonrIeGLbM7mrFR8rsqjqsiNDDo3zSsrPKlXoyV9G+ACjyVj0IEw8r46ifV03Ch
4xuVKxS/+NzrMgTAVdKWmNoKtlMY6qBNLyPT6rHZoeC0sn73ZuQeb1slkGH0XqaBR658oKO+4ahj
Za68cwbbtyjQhbTbCzJpjIy/Bl13nGhSBYmvkPl5ymglxyY1W2q0aCzKfSY+s5DHHk/I1rI0ol1y
P4H1Y+e+aJyp/k3y+09CZwcWmNm1kH9YW1r5zx31kXImixTrBZHeCdvozx75wgpFYqqZX3V2jkSR
3mdlQ8h2S5Bz9fFeOZUPJ0N27VsIKCyKeEv8CgFAS55HI93QD9bfc/ozpja0UEDVmjojxEhYqknF
tmN9l4i77DBKBgpdT6d68pjC2ePMyIt+uF45aWu3x+Ik199zsSI6GLkksmnUb3jzxK5rQZtwOpb8
YSA6ZgTlhidTHR807D81stG2MlZCcgnCWJ4jQRsFI5h3rHJZ/GFoI2KHxzn0G8PHM1TbHP0BhO0r
HCFhFXKpL54IHhXXLFxE5SxSFsVIGbfQqof6W1vqtOAWuc9mRsTwvVEU++LFRYelis19F/Go/Xna
ATl+HqQF626HIrjwUKLzB3+Sgi0rqt4OZoPPym6IIMSvic20iRq6GX66VTIewxWvIPoz9+oJ9GeU
hvL7vk0A5DLC9qk0SYBfYmoZV96r7efUl7vXza/WRD+W2s9gYuymYt+uv4FuRC5VijxR6R+Z3l+2
t7KKXnAiHUiZuXsADX9EvtNzDJBFlDXbXH4MaLbZFifWOUwWgwy8wlRmzvn1gXLQnxl9HkRCnRmy
SNwGa0kPfYDquAL7R+hibRpj/db5NqmYMvqEWF+sJAq0+SCF8xmaabSg+lTkTmq5iSS67EX1Fmdc
f5j1lDuIfPPD+UBq+ETZzGDe/tZiQVdIKnkFf5b5cfOA3kNXuMcKlyono2hC35RHaJBAvaG8/49I
hx+MexszzCs4P2nbySGJ1OOZupiS36fm92arF8Ie3njlmRmChmRt0TO9yAnjgZOf37QEaBduHmv9
KZ28ScYy39vUTy+q68eJbQ+E0mOBT38G0VcryypQJL4scpUJqRATnOZDuxj5+/7RuRfzd/b3XD98
N9rrmNxxIzir75PI4VP6Y+ACcPG1kD0CBNbNDP3+mjZdBiyjz9QjgGrM/d0WvViMnubzMhwKJnQI
+iSutWpe1FRMysnKjZ2lkD/tP7Nj1qeTGq9oh9dl7ynF6HhqlL2pH6Rpqj7lsVRdNyvvp/Jjcyl2
tIP4YvuucNJB3WWb8LK7qsnT/TfkbCK4ri9+eThGjk8fvA9cONG42ros/GcWCJ3hiiMa0REpeXYe
z32hiPwFXEG14ZCiHSbdI/UjkdiTh1WA2ArWMQfN3Ooa861OQxxlhO5+1RTwLZlad9e357tqxdP4
85KawIiXHSyyXE1s6RwVduw5Y/3ftZWJJI9aWjCTpwCyti8Isv3RMWLL9XsKay050e3ZBNfEgx9s
at/7La0Y+8Nwwytx9Ea+iO//orKBeOailz/5yTzHNG4SHmfcKBVwwWxQ5YE+oz0p2Emv8lUCEtKq
60mN02+XJctPIROYC1jVmliTTGKHZaCSI0fenvexFCgAQQyt/re37fqzQV2OIbT9g49WQzrsCUBv
w3huOg668kf1PA7/grU+xRigC4aW1v0yAeQL1Siqmkjq+neFUgJylTnGS17Y8z24DZH9w5EfyN2E
rRC/cQEKQeDJH4HJKcS+0l5JmCqbozUdDGIAFWDfuLL0dio4XE+PHE9dy8zfparZgsLw6NqOKT+I
a+5hkhaH2l8ItSS2CUn3Sv+NocqIL+LVHgDhIcnycNfgQGmO3CVC4g0OY22Z6bWHWrUKHhfaAaHj
F3EyiucDIYHNjWZ1fovCi3duSL369XG1hy6bdA0Sz1QE+XmUOwNDNna66oJzIhC/zG1AGwp02Qny
eUtiZHUjQw09VXIBHEtylpTccJx0ifgatF0GgNFH1Hg043ZNR03ROeFiAXPopNofHmc9Rl0qXLIc
85+vI++dM77R2+E14n7V9TxyImt0g6eKwYixzVR6rRBo+CTB30I+OeHkNpC5ROi5Qrgfw82vbVx0
eqg6q7OfbYKVT7fq+jts/oIbEHA++yPWF/nYExDiWw+2y2Mcr5xWH5Dwpe3Wne+XlVZiHU3H9uSW
P6bB1NGFFesCeNsjhFJYn2WSuA1bPBwpSjWvaOwQJCmIl5kmuvNVoNnT8PGKdkWpYz+T6bVixbTN
dAICgbf1QvCB9513fIQ1w2uZ/WuZ6Le1+zh32p3GqSKZz8kQMLSLoShVkGj0Guy9Om4P1uGrk/Pm
0br7KA4tvRaAVjdmsWsO72T+K39RPSwCdXe1RkSnhlSvpWcBRqnXivYWMD0xrZz2nvnq8oBDCb3S
cbZs0ygDiKHncxslAPpVREcDH+6nGiiPjZzYMOrgITevHJ4nYQwDL5xMG08cBBmo2LfKDWIsl1Pr
JLHRdgsULW/JoIcWsWsIsSQTh0LAdAGKRqBi/N5L8UWlrkrSZzoWFA/U903oVd7cEMsd8B1CReju
eWx0odxCRn0kq12+chXpnGJKyuldhzIvCsnxuEsZTizwuj2d2Z7NVwZSVAfO1c4CQHKglo1vGkQ8
zU/cColYswh4bDR59Ndwx8lqMd/bI+loBVk0pOA5xxebJ8ZxaesaYh3mqjCGYUl5HKUA1qcceORg
q4REJfgcsdN+RKcRSAhu56qAy1JOBX1hVf9m/Wno80rPT/tRY6JmtZLbMUT8TL9CTv0MhyVEEnwv
Ri/amBPUltJqeBgAUr08USb1Tx7pQIPapyTAdFyccR97BIU53cYQy8QPVkaU01IyjSGD7M8dVRFZ
jkW3Sa3MqMhS15wsWnkuh2QkuNsbCjX45gNADy/hJEbdv7ChgSjae27YMgcCs9YRINOMS7CtH//i
rosw0Rs0n5nR/VST2KmhKcQX7jISc8sPFOhLCgJF6LRu/S2fj6yhD6t6FrJLEriKG8CjndqSJGKH
lWoLDiUKBdepf4u0MCYOGl6XvEG2jk7MJihh5ivCawmfRhWXw32kXsynNL057aHfu1mT7dNVG82D
t1nk91eeufPb0ZnuaXX8MUxHZrEmwpR9abMypP1znH2VeXhS9aSxkAuH6Fk8TOmn20TXAmTPAXjU
VOqLUYYrIffADvcvGhFprbHM1pFADF5J1g351YfCJYE6CkpXe0x39Y5IFusY6hISYjbLaM/DXt4r
ktEPuk+OeWGGSVTDAYQzTZRmsEvwbfxKkcvhQtr1PQ7JbSxJHIBBSE82zejk0oieTFAdOFQqsKIe
2gyNxdFJBY10K180BNxnvC3XkuktUmuyHq85iJ2kzQS3440hH3V6SpDzsMYSHk91mwEDJuWF98rd
0yDEUcVPrASbFsuzasdgPtRTYLvOLcWhSOCduTuvndsZStXBC0n/EqwhloOAYttUsuoPb30Ab9MS
bCQo2+ZEuXgyRLhcHXFpoa7s/jCZInIy2vS+Fa2bKdnPQXXgWu7zi0qwhtG+ew9tWPsm0UqY0Ry3
M/f+s48t5fwLfcsookHXbuTGTXYEeJb6PKJrwNOzZZBLvPdzR9TEekthYgTNchPi8dM2yh8U3Edo
2ucvDvDGxV6At5FUXsrLoAe85uk9+4oRkyf7Kxi62RsdtxZQcPx/UN/6aEdjm1U/+nPfyYC6b0rA
g+VFBUsisjbK+X9Dzrzb+VbLxbRxGjcKVqAmqZE0dXywClGGF+5F8MsZQTYQHr4luUggHSUv5f9v
Dpg3gd2UCX4/Itw5S0em2cQMaK+jUqPn4V09D0bucVCYDv0dDkO1CEAyKyRgbRewSo+9/BBdp6HG
OZ1eQJil07AhHKMfl36DI36BZtCawgTsv8amA+aNvq+gfbdupHMpCp4cXFeKVS/2a8tZt2D34rvO
ixF+AAjNdPFuLDpH9GsNMqHDWzBp14sJtk0ljaw8p+XWD5oKUlRMk/jnuACffdBfOwzDiCAsFW8e
QvpO+UCGRTCDQ2Br63owR24tMWGDeYX67R2HPXKXfMK0cSO3xXLq/Etxz+82dC7EflhHK6kwKMiK
lV9/3Jta8W07doiU7N0+E5RBRtBi+vmWr5ef4oAzR/1RmuQZOIFnl5JxFL5EOfQwNeAr5Kut+KNk
0im2S6ldx3n1954ADqi84269XKRoRa9kJrt2JO0R2fWPx6LOZk9PQAOrF5def7wsZMMeMhQFv0Hr
QU57yvyWbJBjGP+OhXqHZLL1D/AzWw02Dp/yRxg4JBb7q3ZIQ7Odb9tgD22Zc0S5GLjXjlN0qBjh
dtMyYRGkUw2Whe4lWGdIKC3SWjzgxYnhr6kqF8tTEomc9U8V7k8zVsWTl+dkiNbxYSoob2w7B+5+
+yxmFsk8yWY8jS9OPgG5yJN+ZaZYI9jeQA0z0q0bkdubcdJJXoPkyi7V8M4gb9WqTaSpL/4WRZbl
8tX5f1e6JWsxLm8aqTeeyDX2xgpQ441LUnMEOCkocQy3K5WQmzC5skglBrea4Fjka5LjJS2cvch3
fCe3G9HqZ4dzoGora5v3QXJDvWYSm0SqOVYYPn5bnqqB31TGdHmWsFLrCN7gkdIC2zEhfl+5Hqgh
J+q+A/bAZB2x7pvnbcBmXqQbC1dcZoi1oNd5cPLDdDUzxtiTgu5vWQ22rQbezbaDbTp+0UasUcAr
G7GoKbBFd5xODxTXX3qOr/zkOYInkzkG9ykLlZX170hOH+ecm74rvSjEKuRJiPZ0L4UMzrN1NQVI
IhvHbmVMBTtonWru0H7F/3K3N/x3fwT4MJAtMvmKiL3l681iQxCoPc4gH8j9IFsmwRnQB6ctjob5
AtBtQ2OVxCdPT/28A4DFQihwtYJcc1HTN3f4dceeFNe+htOFXfaiiwKdh5pK5iBnKRqE9iQXtDBx
at9V1LC1EfjT/b5GS0yhEQL7id3cQBNxvYKKJBuXMjkzrhgiLN2JBxT/weby86oG4YoUlsxiN50F
8NZyK4xGD3pmULXf29EMG6uRRhkuLSZmQWsn8XINZiQykkXFWejBOVa6zZmzTcxG9qq+lD+xxDIM
4HSiyA6Lskm79gfQMqkFZXS87HkYnGQRANEqDjAQVOVQd4ehgfU9RDq1EwyiqmAmU14hwcc7Kzpv
nqevyqOvyXqhfmFv5Qmlq/HDSbpCjX9YEzKk6ORXSC0r87l91lJNoWCjz68y/pdvisnFq9qcbWC2
yb6MT1V1tjvsrhVZMg8sxlLH1+GaGsMn/B1D1fsCXcsUV5nTKHumK4OyKTCH4thhkFoxAgI0/nN1
w7snuyGWbfW0xvebglbXoCq/gXWPnzD+jUiR9DmIxk6wsAxzUCsnnqopXMfP2w0ToXRbLf+jcXzF
9f4/5E+/f/+KZGVq/IQp1qHotCF3NxL/IuVcqzNlR0NqNTZqo67x/5RS4x+AVzlYQhbax6d8CYQv
FWW0g8KTdci32j3Py0tkY4/LwRuQlXrfRhWqS1qytHRudoSuecTWYiKMwjRZGr0A9sHwgPPntqvQ
Betr4JHd3S8gtH38UeWXVXwZOegOS+p+6nrK5IInvVwCpYPohNZoqWHNL5wJLFxoKQoEOVq2tPka
+kgWRrsnppsyDP4tzyTc3x8Xlw+6XM8yJWifrKHI5p1cT0M+PHOX3MVeTO9yRCOKRsSzWral5jzp
QTBkCKEzlOxvAQBtljXzd5WKdau0r8NVZSzoRvK+1FYDwxbY8q73NC+T6iReaBZeDym62LmucGAu
U6p2RIcgJ0CCjZ2sB7IKAe99SRkCnqZEliSl5jdx3kzcHg4Q2GqaIg/X6qY8TbCyYAJQonCzNDrg
Nhh1ZQn7FoeTe6r05W2GDlxqqSLg4WflZ8ShSTN9RcUgDc9+sxVM/LiPhJbNYABxTsmP15elwrlu
ThP6GSEE61VXO6A5tOM/rRafn0t7Ip/9SZ4vkM9WuDS+iykZjPVQEVdTLqovgeqPTUSO9ueY2XjB
oIC5w8J8D3hhzLMMbVN8p/O9/E+CMsIOAf9TiDYdvEBUyYJlCqaE7T8HFScP1mZd0kAyzcQttILw
O8s/he8r0I2YDf1GfWVaMI3QzwOoBaWQEtqkcTd7/L9la9e8t3RX79sji6iU2L7aPTvwfUR1F8Od
hhkiR/mfyrBalViqs/fH6BPoSyHHEwaSUGvdciQmSN9ibTozVr8VjAFHRfi1A885SrcdHGWXGfXB
O4I9uWYAVtMbHJJnAXJ0Cl4c4oPTorAOWQepO62Tmk66FtIps+E41HTvVut/Qhj+FZlZfpEGRJtE
o+XqvzYySOzj1bFA/oOCkzYTJriWWZnwXih9+HB7Txk9R72phn3hcJv5JKy2G26AU4/AnC2vZfQu
MHoY5cISx+e0hkrHNk1l1DMAOKWROI9WLAjThgKMbu4uJz76bccozb27T6Vz19jt5PBOYaBjyshg
fL8bA0J9Why6fzhZAdrC/7nx4UWbtB+MIqPRQtsUIBc4UtCt55tlmPS/GC842mL4QlWqft4fwWme
brPGuCX0LL+iVKH7tJwlaR5P1mLFpMwG8SNL/G3OyAhuZKrjQuweNmhnIl3I1Wf0llWDeBFZk8bt
Ai0XGRvEXQW31MXFJ3E2Qhdnlfal+05CIRl0av51xM/RsrNZ3PDCvJxTPRlDhMSSIG7yYPV4uLcT
lUoesCscGpyPCAiBJK7MQ5LNKzwtb+zgQIFxQv464PKrwpDYFecXPSORnBjXsSSYmNx4mE4zn5Nl
Spvm7B70ZtKJ3Cfkfs+FcytU9BOBje3FaUWYSOY9la9RKOvm0nsChpNz9NavOXLQDxiKe0gUUBkP
bb/9OuBeVYW3U10sQAbPFGASc1B4HSmz9jGG15+KRkY6mPH6qnDKGsbjrk7THGAED1FBTVmxnm/5
/6wMi02H0ENUzlGyEwnRGbUcTr0tlC4LIeMZQfa6uBX/dgE4LlEo8bAjf6UhvxkoYoVnieV47YZx
Zmyce1Q4FS0Z5e7TlDFucycTYeYJB8eGkDApvAEyLWaJvesAugxuCyJMtCe8NYD+XnrkHLxfBBxE
FihQvKpFJJNoGr6SSEXzsYv48Vpqf6+O+eGTbs+Z3FSR9F3oOLpX/A4Wuj1a+x7L237M3x0y1yDu
Ncujikf5Akby1t4A0adZnXQW/yfIyxdoFgcn01gK7vXbA2Y/mSbRQNggrli3LL4+MDevAtB5y2Ln
IPF/4dY8zcV9v3PCI8giF47qfzVXzCc1S+kMc5c+oQ/7zOF6KyAgVBcAr7UIS0APyZ61nPxhX7pj
YOV4LgGZzKWnBIjckpdfSkBWX2O9+C104f17aC1Wg0ECRUzRqmo40VK2JNgoKuYGZ8WOEbhExRfT
4OajAaMKNNj3C8XezlNqgpbljvudmtINKcVv/uPqDRdhMWKtAgEiCQFLBIfohQJ+r2ky/2ScPqba
J8gTxeX9+Q+TBs7Goritpx8Q2akAlHDIIbfB3TGHeqA6RqRX4nSRapFCPZAIRdP45W1fG/uhxdsi
tIKfjOX0YyuPUBOQCNdo4eoFcdhpXKnA9sNCha2aSAf/ETg7XRaChyQ48Vu2Ly8L3TTJG60wEBSD
OrcTq3EMRkE3Sahj7n49MJvBCVO01RcBaOFBsqNspylksUNW5YSsUyjgSzGDMHaztPEu3UU50w/5
Ut13PbcdPHM1bdnGffOBtRL9MhT9eeJTm9OaGcyMFg++pbT2Yup4DE7b95SaiwyPMcjYnL1kxUsO
qSShGLBVuwPqNivYoBJ0aVs0VS02wg63oIeiWJ07D6kg7Xy/VW11f3vlJevUjCzYgbD5xwSt0UUf
mQ3c4yeSMmEwv1WjEKrlEpzynwuv5cVIi9V0JBZ6agxeKqMiEqrwCSBW300RDkwoD/Dvxpc2WchF
HZgwz52LNc2Op7iuhBU6qUrNIiH9R6rnbQVFsnn7tWJu5XK9RpkNk5aam59ie4ePUawU9GtNK5lQ
CCVn5WDZCfkWlwtaLBSw+hOtfhEHWRcWKcxR0b2YrpxOzpVLYI+eq0ZfytCnX9pbj5MjU1Vdpfsu
CN/3EXJhPUU/hEkuPgAviPYx3jjzNAu5qZ5d3JLvHbOrPpvN0ih9iVdwtQM5ZIJv9T3hffDXVr/h
kRsBWCPkRQWGlWZSTnwo2FZRUwbNrolgOUppX3jbCWSnBYx+QtYxbbMnEcMZZZV9N6kD7AW7zLmG
vyGo/VahpwmF2K9aP8cPcbvRyshfiCFbc8NKorLH2h5tVVdfOVujyHAIw8YWe6zJ1ceWweMHNCNZ
rS6KFnRE5QiXpEoPmuR4jvhdVh1ZyXp74Tojp66USb6xPLLh6GBc7pn1Ia3rDm2Crhg8TNkaBgBq
CPdicpJU7X0MnjZq9E2C3x7Lwc9aYqsPQYMmqF3Ekq/oRmqFMM8jYhP2rVjwLlqtH6aA1pMFpd6C
y1hGAFR0BsjyhCXWmJDgUgdC0Tgd240dZakF3C7tGFp5oGtCvVDe5X4nbunaZPomWkrM3BxuOub7
Gedvrhgz9IktuMIzp6UUILKqthQJfhtZo46yDev9tZrNzp1/1gr+8SHwzDKtexz5zHcPt5+3YPP0
QyuKQbRXPtIR/df23WpVMsoxgyKnnbLg+hVuTCHJ5jYdt47bWkOOtVe6MwCjETKXs5qM4gTe1pHH
4hkOt5SnhmE1a9Ge8m8DlGs5Twlg11CPaaYXry4XyaHkjLXY8B7RrqCcxh8tcY1FxRo6Ro5X+fhY
TZMBFd9H1n/NX/ksYu6BYY8/WTA1rPprPBTZogh56RoBc3vpb4hmr488kVf3RUVmSvHqTpugWBHB
lYkI5z5I9fhRLxy6kB9aQIcoc//KjXQJ3I+FPgW1JJXb8mt0e53MqUskPG0kTBvbjssbw3AT78xS
8S0xO9/ohGq4G0FGe8mxThOhQync+FbbM2yQzPs04+Nhdmj/QUeRva7uxFHO2AN6WLvWZ8SFm5sa
ZrvDYCJ1cCQwlfgq85ATmfo7g4N4VF9whFWjh7BifTShBjkhG5ehGDI9UBdtmHDg016CEZpM6eGV
qcPIEU1iwmI9xE5c02U2/L6u1sD9mbgOuHvpGhfWXmxMiP6DgosjfhOnu/cCvWI+ytOUIGIgoFtE
n0TWeJqzGs4Q9KLRRNgLcakY6fAn6tCKxflcO2ZIA0f31b8TUZankmu5CVK7fDu467It0W28cltG
N2IW/oI2ih+YrsmIVaZt76xSSSK75g3xv7WtwqZpqtEIKvvjUh/dOPqxpUZ7UEgMXP4LxVhVx7UG
Bg4j1zZyKhNDN0OAk7PhFzcz11AzIhDOVvs7V1PCH2hM1WX2XHVfKHuWX+lAppJ5dAANgLqfo8PJ
s7ps9RzLdjFLYVHwH4ZKTI3LXrmXgWh9Ku2gAi28gfb3/FjIai2/iQQm05avmxk63DJMXlJMl499
Dn3M3wQhPMMPDKphVWlIA1zryrMxP56K1NUX8dfnaW3vh+ZQg5ac5Q8jClAzPkNUkqq4HxVqhXQL
+9/v6Zi9Th3hT2qpncF+jwfSesn6yHt2AXQ3CuGhv3yiuaKzcwEM4+GbhLhahMuKlT/zP7B2LXoJ
ORqmWnFXGTbllPjN8tL69hV+IMKKUppt46i9KvF0kVyHmiFDOODwtvL71Cs9a20WBj4pFoDDdjZW
9tuL/x4IIGW7DcHLQ0kfilZA64m/7x60A3qF9Qu2PA3KXYpH17toHcHYYkevd0XE85W9nYSTUotd
tx3gyreCO6rRfGV13HLz+RKmu5WnUMOM+F6Q5eRN6jVdgbBsC0EKkgg4yHex7VU5ZiqwAEDKry3O
C9RyHBwwRZ2K2UJCxc3AlLgheTlJgex0ksofNOV4hX03RW9MMXHL/xIE0zjbbnmQ7op/hKic4BhJ
psIjeLqWuVJWd0viEJVUvKCw0hFmKyPAvMRfGr+uHJ50pdqCwduexodI93zlZeDCWoo8FtlipFLO
YGydj5nA4BwWYgsHlUaBFOeHZKms28nyoV5gRSJpqdsRtS5SQbvZKc0eMzzNfIN6Sx7KoPcOmwmE
92BN3zuJZQ5iiAcEW6uRf4ucBBdLipWa18hI6H4bi+dFIwmITSctfU0X9MymAqMg3p5g6B6lSJ8Q
/KcBA4nwn1aNbGjJ0Bi0iOr8awfug4iBW7dNSLe7vn/y7D6vHPhPA8Jru7WK5bpCC8OxlR0EsB3k
FFrTg8S2lh9AyeveOoxVe/+lBkHyFzcL8+SYb2LNoDGa3tLB8A20Bs3timv+iGN23ZQucXhHkgtx
NGJXuICskheQnZGOzcq3bA+4YNcNPyr9vbm1je8NGoofFNlPYxFuapxnFIzrNhdXOvERw9KXvEl0
PquWN04PLsfDQOyZ+J6OiGe8XgMXEBkxc2tOhvc1MO9xlZ9wdHHNZmLCv5T+iWGInXexvhpnzMzH
N/uJFVx9Rd2M4EYIiKwGqA12JFHmkknZmZBTHdLeubl5jh6bCMez1hlxg0awrsvPC2thFhi7+drb
RbTbFr74Lxxq2lejxiA1hqQvXuUAWGyzptk0SW5Q3tcQ4E6W2yglV26lBuDO4Ja56oZfbyjgOwDh
qHpu7cQaDN499ChbX9SjYv/wwZ0llJmleFqV8AariF/l9GqZZE0svgZpy55XnH0aIkw42z40W98b
Qj12gi+chXEw8ui/kRH8P19H8EouiDzF8vCSMsHCYhDpJ9raAtkyZMbuz5eO9MCektJiIjlmOjZp
6hrn+rAz33ZjkdQc/dLt3uEeT3lDcHdo1qwEuPiVZ9sUX2X4gh5pfrNwBnNIMoCBy/iV59RW2wwk
KcCZMEtmsDMF2OPTqSteTiNjj7/MOw2oqec6XJwycjWqIticzv5EMp2yFDBZVRahHJ6l4S06hGlW
zlAu1sgwoPGoECV1B3apzt/kYcj1K3/5wRNT8fQ6cIADppYseAm8N9B0BrAhRzCdRI4fPYS5vkoo
Vw1TM+NiO3zGBY29q5v3qoHBQqS9MFzRdhV6o+K9e5lTl60HANC5xJXGFjKhgt3cuJS6bNp0Py/c
QR1BKU0WMJ/Dg2n0lgOfob1538F40fv9NhX63GAsdc4FXvdjqJAPrKjG275k8//FwfwWwWg/r508
89QMqPeke3EjIipCf1ZveIsRZeIrOWljVlCB2D9AZhadCi56VIU7z/L/5g6JzH7qFLaqkAVTNLb9
xDJAqEJufwRaOyDNPuEAdefhqBSGrbUvftK8XsHCwRxE+OK5IMjpcl1bshViyhEA0BXxxM7EiPEL
dFJyasDWLkCup51YaH/Pe731vBVT94yB2UIfSXEQuAoRi/jMhY7n7E5ciYOIOFP2cSJI3nYzK9XC
YreWBX2GStlANrU/dX0lsiofzCxiepG5mOEp0BJ0Qdk5zTmx/lbXTiVjQjbYyIMJOey+B8538czo
rfQWSJE5wAjplBovXV8E3/enpU9X6bOPZUG7O6uPNY8OLKoGo8ZB3O+zlxVbC058LMTB9O0AfQCC
vdvG3OponxcU0Zv/sgSryxRYyjH3B0TAf2xbSZo6MFsrfeuZtk9wqBVqXzaAbJvSVgSUozy+rLwC
CFGehq1YaHvCOlJ5af5bF0/wOf03ObE4aAxt00leZVLwzGPsQ7CyfOQtYiNH55c0VsaB5kX0KdWM
VoEQzMgAHEAUXga2Ty86GoeZd/txGIwqZVT5oOVATDfLmQtz9q4NmiEp8NHSdtkVGEPq/fwEQkgC
XtqeKJy0cehN2yzkJP6P7etoOq+efWuK1msD9Ukw+v/i2r4MhQyvF7LCELWvoVYbS4mKZqHUxU7r
q9dgqehdm8ubZDoruuvsmqmfMeyZHia3JJdC8XyXZJNygZTvaMeVE6TnMm3RGM0TFsvq7Xol/Cv7
AbAqBSM/Ljpufq2Ja2Y2qgv1tuesLtLpV1iHdDM9HRRbvCorj8lIU6brxDp1Z31QuF2+XdysxOsC
DnNJHmTtwUoRIdMCfvtgI3CB5cp5eI0e6Rni35P2mya3otdSUodSWtmjwL2qBN4biStzD5s6DgPu
TTWAyt9f0/jzXfBu58petGng60tgf+FZMmg6RvI3AuBy/PP/6oXv+PZrTxpJD7xEyPPpfSPoP3uB
E/qWklVqujgZbfb9iOLcU5T9Y8xYvWlJfV0qxSAiv1/eOIEPU4tWy0MokjR+AoSbsrDGbayFLJDq
kqFOl3paW1CuVgRP684f9g+J7MWKtA3ShGnV8m752nchxzPkV1VMvDu/ODh6+sv/EJbVkVdUch+W
229Ro95H4vv/DI+4HZxCPOoqLPD+FOe9N07NLTEw7Xis9AlXGRN4HJo9PP5sNKFPcEMYIo7TC8r6
iRTmf0R8W99TUyOOhSTnDquGKZS57lBrXOP7aJ5DL2m9HsS3uv9SXaDdCn0fqCqnP/UpMJMOdhox
XxYgJmciC2FTq5K0NB/I4ZAB177OTadz0Iy0K2PqakU7M4afIE7bcm9sR9XO3z4WvfFYXxPC95aw
/eQCobbBj+6jOwEIUuvohRsjpIXRSnNmOLwI1q+rQCS3fxhS9G92KWXYFgA9Xf2+MBhsV0/UMdDp
cK2Ehr+HcvlsWjuDleEgVCyf4fBinJNHrL3Lyr12UKJv9S/4UkwTauFs3vB/ljqh8rcD4iQrJeen
46ExxAxBBjuj5ZwFBGyV76xCIX8zuS3dHPrh1CEm2VVi9CClI7lEy+gbYdjGBKg7pR0Bt137zqCy
3zm1aT7YPFyRvRl62OtI+767zPDOpjroGYpmiBpAfZTKkKowzleGh5unIvHIg0uT0zLdHeAAc68U
HiFUdAgUFhZsTKt7ymxRX3OTOyFavWap3PhmlaDOhLtVySjAh8n1MN6Nx16OkG4I/Sqj1ezcfuH8
noT7TTyb6JXD2FX2NjTyyjUY/mFSdIJuOjmNZnLMRbfu35FrZbkXfSUwm+Ubkwxhoqj1qDYgf8VY
tJNH2nZV++WzUk0rC/mLsVDNjsBQX6a2zNin2T9HyG5pdJ7PVEWRdcbiN64YzQPZmFsGPzh7rCvk
ZsKopxTRuTm1yzyOBxZbnCdnfoBcQRuNscbwIG6YMGVBH0Wyrig7fFL3smzPDa8ICTgYi5vcT4yf
Vw8NzYT9kdwk6nPlwUGES6c9r+cCRCnO01ylzAXPq27342YZq3unNzBmlC8KsaQDWn/tb3gM39nB
2dE0j9I4eZoInS3CU+le2DaaJth70d2QjqdP+h+WkPW1Ba27K31cZU1P5UmFg7n4kuuYZvXbd82k
8d8qtAOWn3c8hYQvp8pHtdwH5hKIFDeFoMjBk6S3MtEmQ6giiXaQl1fCgV1Cg41lvKYbrnKP/OsE
J8mgNVkUYihtganJzT/ueWBydqHEE7Hd/WdslQXRwqeEzf3sDyxJYBdJNuFhpjLW+NnbvtAw+4lV
K8UgkpLWLQDB84fpHV1VDjnnBtV9cTk/PrM7B7ZrrZsbHjdNyA4zP0K7HeWSTC0G/3uVO4dkoCDQ
imdjo1c7/CYQ6uqz0bzljOd+oqU/2zwrbOa74iRyUXifLommFgZNbG7nxeDjxEY7caf1kY1SFiNE
KKjyiys0tmTzqKMUTh47QWv4PBAj0+t+f82cFZcf+UFfNzXMhj2RykKOCr/mjAMeTwK+KV5CuJl0
O6CIXYhG9/abfwZZjp1kirji37LtY9klRtb1tyKymkOChxdzcjLe/wD5q+ry/ACcCsSo6NS8NUq9
43cK08WQPgB1tc+EP4gm1qtPVOcjzbZpGRt5Qhx9F0a6QWlsxIcX+gLkuuIftOp0mx5Ce2gCdj3W
Tn9vXOuXG+r9Bl1DnSq6lIj+z1fpOacLaMNYnNceFkuxyiTiODqMZN+kHRD4cd4noQ2y5dKqrwJe
Il0biflg4NqaamFCAnuPIuiD0GQIaEzIsGw/g355D+VsYkKv//oKUvL7buYegs4I/Pz8an0nxqOx
6N6NQpfeNF5TtN0IdE+5sVKxk1RzUIpi3BejQIGXo7Wros5YRqPa3u9rYZgcuVUt7cv8a74eJdY4
1J50xeckys7USH7NrtW82DDUr5IudVppQzvEm3SdM+pEBFWm1KZKA9BIZ6Xl/JkP5T6qGdoxkDES
Kh4IHBcHKjtrcE7Tv55umIywULyTekrzxnKDHnJlFNV4UMvdZp30/u27uM7rlzYE+ZmRxO/rxllO
i7SSpym12AZqb9ANzgqDowQOLakOZI6WFYmOT1A8nJLFoBguEvPnZsR1u+lI7wCA5P5swRzSex9e
pGlYDY3NjeB1nAmG7bdIYQfzECGSndry3usqm6dwLy11iI7dYNH0W0kwg65bA+WtB3LqvcCgRc4D
8ILRBARGZeOcCot1WRcTNaf9zWYdGSjyx24ONRZ+dChapzYA5UdEAd/rNwVXb1fnNWrtMGtKDCIG
MbzRcyDYSD6isX5/2V42CF3lFl0P9ZrhhcJnbe9DBuErqIuosQVC7XWCcwIWtJ0AV4vtLNBoVZM8
ztDm/OvfkFxhh+iK9c480KSUV6OvzNYecQr0448SM7PJrEJrfOo/IN0XoLiTCbwazmCXenUhaaym
kioM6ax8HrzqhwpJnv6MQ7NcclPhOZQaVBWobdSGI87jDaieOrbufI9NPbwTFfAXuF/lwLho2oZX
+97+/TQKOJ3p+jqKTFx6xf4hdmgLh8ElWVCKKAot5+9wu88vQYxipTdLvhPR/yIPim4iHGzlwpkg
S94EubKwx5nM4fxYHOApdbXeavmyU70zqpW7hWMdKT3qyVMWe0JSxdO4HqmLoxp+cdhqKn2ft1vK
NIVFZAqCmnaAeJqnVe90dTB3PF/Vh/0G+C1lo6wvICoAF1myoMmg/mNTOwkb3KVLK+OaiP7d9bg7
gMwVRW54ctbZ4/rN8oqNGhEaqgroF8zWg/yi+WbrJZzCGgFy4OLZSXYFxgLu0ZjspYcuEgqLgeSi
0xkV5bZkuoAAaVBrGNBgL47jna/57STO0KBUI5WJFYUFxziKK0mjT9Iup4LPl6ueI/5cgCCOHpMS
7sYh0DOmKKGYaLSwJek84bycnVdvgVIjVwqZflV0GyqOxIPLPEgCK6sccS6FYEwSY/CZHW+pUFh8
pOVnqkzWPFxS1EYd7LiZGU9JecHcKDJhIPYQwyPUBqyYZ29I13y3k348uxJaAWjvjpwg1zhJ+C19
iCYaAR4WB/AqHgCzjdNkzxBQr/SijC/dSBD5rqgOi4FvGOHBYOhjBi3wqeuoK13ZqveM1HfsOyIN
KOqG+KohW2hnJ2yb7ImGZasp1/8MKhsgVJRjnOeKBOWcomk5jRJOZh2E5OFSqEnztz08C5Tsm/Rt
P3yVD286avSzxHz6haIEk4Azn9xRPcqXRy+4HPoJpR9ULATv/eOyvCi8M16x2T8MJldh9p8LxFpZ
/mKj1Dau5HCgX0zbjDIIwZOYuoYhQ/4GiFhNaFo3EgVG4SjAmaB40j98+9DaY3a68OF87dfaSawJ
qcCJi0oe6JxGJ07PuuO6mUY1gFtl6nYfuTsLPLlRhBT7UuY21Jor7tCSFxf4p2IFpiEs9CjvD0H1
2KPhohGG1d96JFxSJCENRaWlaoItdhaHGeYsz2n/NZ6vXH1Xu/jh6lrt5Ach/1DTwwlx8/ecXSU/
k/FKKhalGep8+mDQdgqUdVhH4DM1p8jTSFC5FF/UcgvfvkuslgHzDQL5jizxUmlB4iDavfyOklSl
Q2WWDlWycBggEK/Y/fbC/VYoFtYCEp+9qNIzmOx1hAYUFgPI/2yXbm6Rwj40F85JXYfJfEtrk1wF
lz8S3cEAfVN2zKY6/I1oYu+R5/XLrxgY/1mzdhT0O73QZJgKquxYNiP79cDOey/PM/NqdVSWvKDW
IX2xfjypc6Y1WOftY/aODIH43gcOGmE42LKWTYLjHaWGashs0AfwJUtw4/b4IMSVUwEDtRxYDoWJ
Cse3BOidcev6bqzthwpq54mIJp4+i83lkzn2nkslk8KAkXinbJOXNpNquI83/RPS6EElosbSbXnH
H5ky7E9cnQoXbKn1xJolGm4fA7+IJUq8vOcOm0Zafm778fBFvSjoPZ/Nd7yZPvVocuA4yQoUMEgP
Qsof1LN2t9ieG5Hi8yELsdo00R5cZ02lsPeXwKnQaZ2bQ+852HneHP9kv+DNl7qC7Y+63cOB4htL
yZjLX5x7lGxCLTmAM+TgaHlquczKrQIsWrS/275FJ5l5tmMogBaoTj0+6480WfBhfDgE4x9LfOn+
C+D55BanueGYRjJ1VmWcMOIt602ZuNeN0SY6I2nJTFJiICQojFdGHzPkjJ5+TaSfbcOZ6/JThsLv
jXDVMpafAF5YmEWnbL50y3Co+AvLVEQEV6I5Jj2tWK98SOuDkg+7IbMV2MiHdcJZR6Fyzie04Xjv
+tIb3Xf8T8KhSaMNFERG2oueH7zXlzsZ6cfUGC7t/AHEkDfRMRdjvSqo6ry+nrvx2rAXhEt8KCTu
FLawKsmZo9fllEzUxN02DjV3xlDds/vH6DShmB53i/319ICyjvNX4vAs9z5zW0uFMl7mwE/9n2iI
oC2hqqR3TsUS/3YHh9JQIVgF3hTemYT4xnPxIEXonv1CD67lxjRVFoWb/I6BXr374nrQTyzIcVcg
R9V/QVKqzE4Gqj9m6pJuwSJXqBAyqAQiU4spwTxphsZ+jWZ1pBthbSda62rfeeYelb+vhuNGz4lJ
EdMJDhDEBOwM4NlmoGfozYO8MSYtvxwwhcayh6wbOB9ANAn5q/Y6SBO9XPHWMArzv+6wP1+MlElB
ENdzm5TIoyqkTBdZ68RHe1zIqxfI00Ip2vKFdF4GnZI3ihI+AvOd7Atn/xqw7xrMT6PIqO0C1D33
ZqVPQmFAzYsnDLkgFJ/HhMZLOIswou1MWhpXbDP5xd+5DTkGnC9I/86m92HiBLuVIGe/4L483jyT
82ryKcI+4hn2922O7mGLEgNvYxbU1+kxuirWmrAxv6jjasuUj9h/sYf+o3cGXgPl2ZeKNqR3CdOW
EH7NPXejcjobo0I6K3ARSyQ5Kx3v1XHvauPCrCqmishGXA6BNWhmFGELZts+18oQ5cDSqrw2GBbJ
bY7yaDmmCJjiLBcXxh7tLHzTSB+Z3jf7Orcl9KM6QMzx/z+eGl7dd4hfb6sBNEyYbb3GYoLd3YJ2
xX0eZ5p0lJC4JkCD6M1t51gSdab2KVwTqjrlo6JGLoIu3WueIUanLIjPl6QUlci0aeG0Hyqa84sE
qhMdqwxeV+TF5T7XI63omDUhHydyNd3Oc4CrzPPBrkP/rtqDspAk7NScnw+y0DaQ03pcgVgWETOZ
9+ZNvA/FieD8IqLNBefWTmqlBqTdWxJ35mmGGDMZSfTUoI5bVBhNy0uAOBkQqJWlzC5tbLmAD3Yq
wBmiz9xmGiTu9UC2evGRT8XRJOufwmI4KM9qMCZyNT9Ho3tn9CObwvocoqAmamPbOXjtK4ow002y
XXhnBrbBT0egYeJ2zhrksH4BfMIXRabunPYtBgga3uW/FCp/e8vKjxlNSdtYwtUnYocuEQwVQrC2
6+sg+MyLrRjPDMBpdUlJng9rkj/uTEiypZSTQ5wfUUUVsw5Gd9KV465MoIupYQI9dQQ08ym0IfdT
UffHCpfmmNRQFu5KTwSX3/AAszk5N+1l2OcSjPcPwS5t/gXXr4x0ANlydtjl6qxMJm0BdAXZl22r
B31LmVKYMm5bgY8WNpDsnxN1NzpeiKn+YmHjK5Dzi9/ljKi3IaK34yTxJ7entSEiyrL1ugLP2zR7
z1GLfJYw1wv5pbWBy5SACLcVO/tq+5sgHBwL7si7k8JQza+JX5FVst3h4WBRInpKu5N3rK22gt3s
giUc8cX8bmJPtlmjN8NF4Ay2E1Hw5il9BFQ8Yvo33kU5PsKWEuwT28OP56QvvJIZcUPR6oSjBmqS
XPF9//5DX2JhRP1gYiyrIWQutcHV6ez+QJL1q1wxt+IP4PRP1EbwQXEoNitxE52tixflRl1fejA1
VeWbPz9FH+axhnJqDzm8duhllO3jg7Tge99CvAd1fNelJdpYkTuLYFesAL0WeWxKfuJTsKRUuXEh
uF8UUjrNlcJ7PazXlib8gwd7EKdztFLB8a+vZMva7e2KGPYXZ4zzM3PjVPXKljRUVblF22PHa0uT
L6UkXwKFVcIDDZHWIHYvMSFE75UTLCGxySBijZfTpJdK07STRqVFCHfhQazuVF5Yuv82gaCdgmip
OfISX3kiwN7NKpMUiYy12jhLxiLL6ZXWGGril6DVV9ZevyGgPuxzsWSZFTysFxqup4ynBS6NFQOG
fSRIs2YyqGvYJfe4sWJKQ/We1zLgn661GayrDMKdDec/lnV9LRaEOwRhl0b3ygxE2LpzzLFUh5d0
I3Z+AeIrgtTBD5fvYkCHRn/ix1RzsdAFo31PC8m6jtMTUvHEczfoFpChp2H1Q+cIcng5i6ra/7m6
gHWnFrwujqltANATv9eQZplqPJHPt5qTRLUprOH6dh6bs3OaKETUuUnxgUlnhSoUQbQt10G4Qe0K
tqhSwqYUVKy8U+XfLngKFeQMqVwO1mrfPu0HEkETt2PFZMc7pHVRon4oewzzeMMPd6KYUG6RByP8
Xtp+JpmqBZ3UIspAcPzP/02RXR/WWvoR/ALMWqr/eAildJUyo0s6AouuteaHLkDphTm8BZ9EzR0L
w6CuhcJ16ND4a+/BLIr+GKw1T1w4kv1sh1QJoCFFg0RgLQ65fnd/qzyoxMA/KWMhHzieWxE8mDZ+
pqaGc2YB/Z2icy/SWVbdRsqy+gP4paMChoRRguvXvntL76NLxam2YF9GgQU6Be4FkoDJKVjtMtuo
c61BceM8n3Gl+7OZM7+GNBKZvEMtTbrJu8A4KeLpORyjd4xDgN1wM1SkNM4aHtKqIVXyk3IeRzz/
UrCg3UKahYu4Lr29AHpYX5BFEXJJfmFr6d/s/bUPka3iKtjGXBcGVj+2BcM3Sp2gTcrMfiSZGPkN
aSZPXS4jhQdT21LZeOr83TvuFfTzAz1s4OHqeS8xRQntnCrkhnggkZJsWW3BiMrP8jcjrkLWQVF1
VgthtwMeBW8XWeromakZy7iBhEZb8t+E9XzK3andsPSWhGI7QKQKPKzCSc5JhEpvK6xe+dDT7Egg
Wa1wRu8UFRWsdy5h4DdDkq9Xxklljcla2YPDnh+WW1KOC0CiiD4QDTB/dp2d05t7WTNwQS0xg6Tp
Hs2MJpB7tvzO4Co4Wk4xKcXchQqu++MGREg6Dcl1si7+aLuJe+IrvClfD5vjO3RSEmC5hUK4MBe/
mnvRUD1/cXjiz4Q9osc6336hObxYbPwVyrzxFZ5exWY/9SBhbfVU6/O+Bvn4L/6ZcSCj4R/AONkO
BuGNkY5k+zghhavwRdy7i4E1eM4Yv1LTPtfZbt5uQRaUAgO//eeLbbCNIRtFOaVtfTCHp7uQOJBI
bhqEKcCWdo7Wyd6zwCIOzUMRCBKIAYKQf8D/TN0f0i7PE5lI4+VhBPNz2ThdlkMHGFrosZxEz2wX
7UbIbmNHF95gda7QvmGFOFEq+lcCIkLRszKj5nfymMOxKTAPNOB4tqfWTSW1u8P9FirEmqyKQLfA
9N/u/2T/UIfupB4NyH1ZgpmC6/lWuaLT1bI9m/osB19/OA3Y97DLYz8wO7AHYbB63CserW4JtoAW
d5HeCeZ/YlfifGb+Kh2hIZ2Ry8WYZ9Uy+blZiykI3UCqyy/ZOgjwwz/ra3xtUD/wZtSukxGG7b35
hi8iivn83cAPDZnWjLaLK03bKqYPwMspZX4rCUhoZIjunu02n3q/MrBXhXydE662mA6rVuFLGWNZ
SJkqvI2ABjyWZOeSSxWU/MSh3zAKhwngaYxMVCMnJUg6Es6zojpzjUiRSGnzmXyCe1YD95Ws3WGv
wdGjlRt2yrw/OmABZVVE3pA6fUSgaj8obWT8M/5mDwYFK5qoUgCsK1rF/AjX40InEJGhrV743tWz
b0Jb8fJCcFU8JSLgrBlIdKYOx4b8o2B8j0uZwk+Ay4F5o+6EKdCJLIDs+EbA0kSO6KGRBmLx2uUd
wgTfmr13Hc4swfiGKmfZqCie8DkkkWI5FLZjQ3hr+g6YbOFfgU/D4WgXoikI1LU5YXpNaO7dniaY
ZD5UQAbxMrQeCUuZCO32EMRzf9H4i1gFs+F1I3nbAwQ1zD9JQhx0wrF0lzUV/BxZKa/k/rCG2kLK
A2Ug+qZBbTiiFdsKtMXFJhsjPFMRJGBYGgHba22zigMQ9bWs1c53svXQQ9ZEJyhH7Ih+FL6294wx
yXeHgdQZF/snD8BWB8BbHIGorIZU9iuKp9Qabelt3GQ4QGs2QI4HIszEzQcug+ZRqjLhRNefOSJk
MWAJRGFtVXH31VENKjCUT4aRtgU6WlxMPQZA3uCfYXbFS1W9WiQz+S56xtXv5Ds2Y9sQqiH+9gSL
YybmHkL0JE2d/xX5gzpr7CDwPorc1ZK3ewloNLsdxFzpXsZesVrNeiztxHV49LXCi93DGocR69Wi
mabnia6Kw5RqPZX2cxlKIzTE34F1GCfUREO5tuPtxpc4rS/w6JZEOziOtu8iIMASLwP6sQeTnRYI
P2NW2s0wHlA20GSzkxG/mjHY2xSYAs1qbV3eOh0cyd7nCvIF+bRBfWNepUIy5pI73mMamHsw9eM3
1e/lwjm3vQy2ms2HsNSZAmBdAcvyI66+PTVUsb9w9jJjCD2N2FxAI94el6yMmRZT3uatHte/VHU6
4rhmP31Zmh1TtK+vw5moaZt3BjssN5tJxOkCMSJV1RPDWsL/1lFkPxpvPkCfk9MjRvfAgAmRl9Hc
OpzMbM1trhZbbdaJ3Di2kNxoQWbYjyzHqEoGDGw7B8bydXIbmE42N1uyatSfoJTAmVF3iycQtEnG
LiVT6kxrGVbLaDq2dxBnvzbPYI4act64avdOiNECdEV7ZmT2By3Rq3QTkX9v+F3U1J+38ThZ9w8n
9DyHu9KDKZSFpGNG8gyl38WCJY1iFLh4BADcF/yXEL0zsceErnoEQeaNKZCAY1qiXS95giwqv+jV
3W/MZCSq69MvGL6ULrllvDCHcUnWKsJuP3/9xLdOrdhTRvvLwF8ewV4gun1eflePN5Zo964UtGhy
sWsjxefQggFSUReaZg3tTNzlkQlWbV+8BYEw72+ta7+Y6f8GBjh45eyUm2vLZUQme8npwX/+JVKv
P0nwmpE6kYtcn/5Ec/oQBZBdlHUb0o4qPccIh+SQzMbo2uPy8DHXHVVLajRA/TjnckgM0Rurcp+y
Lc9Fuwt6g9GiLm8nGEirI6ZK1vApsfOyjSgA9V/b4eF46VfCX5jmK/udWGTQIxwJ7gf3ySMubR91
77mMCYoUKMUDqZ8D7M3BkyBwsSnJkmKFfX1Jo2+PGJIy3MoAKBJi0uJhljPvDTfvqETh04HLrzji
dDNr15axFaSU+BB76LaJtQnm70xAljXtDes3eiVz/jgMWENPoPIi8TkTBj+JeYao+WI8uALwAh1S
sVTmGf+mo0Q0aXeKH2rCHRdIF4P4/6hBBVb4XKsINDMzLqMydQlzMyRWU3Mm27QG1hxUfiGu8EFk
gefDIsdM64o1DxoZlAzdIDWfvhRd9/CCdsrz1OjE9x1jVnjeyWAZO3FHASRXEbfb7n6CwndXYRsY
lloUXTEm4+YxW+g+fOKK+Kl7RhEgWTW0pQ5u/UtpQsWibWgyCAl3CYQ2EdefHZ+PtzsWAgjCL6Ef
Hp/FjUURqwVxGgiFr6YHdNyqRMFT/2VNy17fwwdNydodPz/ZwuxYkzadqmrqJZze6RK0bC6iJDC+
EqovJ6sSHRBxFnMapKywPnKMgb9XPLaw0gK3HgVNNk6urblfa/I0TOHnNoJp9UW93rsh2TE590eU
nQpQTSLtcfhO/y2wED70ZbqJYstNDKX/XnpIj4QOFZf7Vz9uZMCyA/74DwUOK+OFgwLEbQ0sw25N
BkRWaa4/pfU4vJpPR3WEfD09tVzTfKXjLAsZGL8qZlMW8loF+xHymqvkXiy5NnMo0bTNC2/oLBj/
pOScyBsbouAHmnS4BIKGieTPQYtOn1HJMOBL6KrVnLDGKbxl6uavaLN/KWS45ehQ/bT215ANopF5
Qi1b5sWNImFxfBUSrBopxTLyfUEUC2XZ+NZZKG9sho6vA2Hhqeej/B6c8FhKgICmmqzW9w0l070l
KJaXxwGMCbz6QE69bowgh3i/HqHTKVWGGl/IbXMiBCZulZrjyP5sXPGC1FKk4SxS56rkYB7LgTil
RONVNHfk2Uvy0k7CvvMtNib8FrjNbaY+NBYTJ5tT3dW0JCrNVK1mV0MJRWAwTyKkqqn1VG4OvFOo
mqx+ZZWPscQziEUVBDd75IMLb4XCV35CtMlRVrWlo+EoXO4cdseBvdBNMuBZdMgooT3uyMM230yk
23K3syXz6sDt5eARfUL1ZUi8M9xzUUSg1y6N0CV9cZCs7EI6R1IhPW7WConeEC23/amRnCWdoI9j
geOl8Iz79K6cttzVI0X8kGICFf5Yj/i+ndogYuxSB8u/rWpnUc7eUSmzqVt2y8pCI7LI5ZBVXt/f
nHBIp1wcM+myK0XiPYvPALZqupdb7q65/gQeAFdlbhALVE2kziG/cyr+nAtI5s3qenoLrqGswfhM
uXkCtt7MYiA4bLTYX51VTFQ7Mf5RFUKe2j4vo2ZYGqCz0CT0VsZMA7BULKguwlFi13IKwRyqE1Jt
slWEysuSaU4TKFTyuGwYIM+zs7ljLovpj0dAYtyHcjLxmB33vlUcd/YHBI6xCT4DtYlGpHlmccC+
US3ly/tOka6n/qij4T6TJSBHqyHqIgiTCKr+NsHdA1UaqsWT8XvDLJKwC9+OlLbwcvP5ME3Y/97v
w1puzIpl4v+LsUJeHaLvDxvpMXd5PuhJKPkGvy8EZbiMGbZ9a7KvQpuStmTiq2F/aBJzj6tnT6Dv
M4/otydwfEM4hSzylmi1Us9xihruKvdK/w4UelwmvwCD6SHJ9wlCJ0ZKa2/RdxugTdP4s1eHdmh2
BLVLCDLXAXzBqy/JkjJ8BMtyaDrECpHNy1ZK8wOWXeFgU2aDXzj6gP6QtnRJan8LogPUpZ4kQGiM
7LQdHIiwEjmwnWWmnAoqSDSz18FN6+uS2z0Cfz12ijVEp56eEnKuEgh2+l2gacr9JEmJFPjhIr74
XDH0VsMWodN6CDGrkFyWH2zur/vLsT3diZ0VsLe1sSjT/4hHNepeiCeM55phm9lPQAicfVpvhsGd
cvTC797haxwavKz06wGUtvicQnZ7AKadMbU3Bu0FH4NU1slAAtjK0y7ogIBvsEpycCpZxuacqxmd
7gadmltYErmw1A+I5fixl5ibssoGJYaUHQp5g/cw1Y+Mte/cRHPonLGPFcRQ3M3sDSZKpRNuLeYl
iXRkgbSFc0T1HMcW6pwLg1xS9H9z1XFZ0VCG2dhiOEsEXoXbytnID2HVlLk4lwryPHu/S5hN35GI
Bp2hJRVUPba2h8w9Aefjn2EcjOqcAn7vhWCGvqv12ez9AuGKj4MAASmbDEY7Z/mzj3stbc2DuxcH
ivqLaU9U9u8yl3QFQ1g5rBr8YjCeJxz/oZ8wJxDPy1qLkIwxn/PTjB9TeSgQzB5msAuNtfDkmXHZ
bJ2ixmRjyYBwiaBMqsSm2ho1x+k8i26ND4aGa4Boa6YUyoougy+2aEr6bmIS1/X9ZC4g9hqg9aXq
J3TLd/k+uKHTsKtOY7o5pilJC+kZf/qxArw8J6VG+Ipn9Koelqrq0/KCXdyJdBOLC37FZiV9q0cM
CYwSMI/kmA53G/B/9dct1d5ZxGet0Wsu22DuiXKvRkfmAbAXjZ21L4XmzYX1RntVAy9emQqRkp+B
yGiQXTMU8NGCeh4vdYNxjT+7/9tJf0+OMLooCW4cYhDFBRzdM6oJv4xec3VdYz3I1utKc+sF17xM
T/fQLKzIdyYmqxfXbEFLT9J5zz/z4nkl7xP5+tDIRF18BpssSRMflQBAGLttLklR0JwsvI2eHOip
r3uBp9wGkyr65DDHz7njEEe5lbJJiu3M0U/P3tPcJZ7Ht9vnFnPd6qDY7Lz5dbR53K9BEnYXCITn
bJJS9sPLDk6lDRezZ43iqlziG1sFUAXR++ZrhLqZfY7AxIXYrTREPeHqPhLI4peISG5vZZ+VYRQQ
pnzgrEENrCmBrWrre/JzLRTGKkNXIi0W8vcpUhgy4kmJPMOYxAq5nVrC+gvWYpBesDzOM2ZJFJqL
Q9P723G3tsBXyNQ9BQmFsGxYs4W9L351VFKT49Nu24VmfsSU2ikV9Vtm7XEvcOaej21gqBd2DFho
t+XhjWbMOJ4TgV+AHdIjoVItirnufhrdR+qUEHdL1qpxX5dWOsEcBp1qOFUr7ieI0lTXRkY50t97
suEHUTVqGNeQ4faF9s2rgyhJCEcOEDBXM8DC/4rnUaaJPyBvXwmGld/5nPDHqUuxlpO9gIhGh6L9
OgXxUq4TAdxKy/wW49yOO58ThirnTPpE9KjVjSrhvHE5+OLm0ogz3/SmCZXrr/aKLr6x1vrCtded
ArUipHM77j5IkpVYaLQAZ6teGkhupum87ONW72owLo+NvEr31MF0TgN/f6L3p2o/FA2n7vLK/YjK
CzNvxqfbYQs/rVEt6AOxc3Z0loQ/OPQXm36Pvxp9AjzcyyqYB0cnqXxceTWFnp5krzBIbBBlbbgk
ku/fhuPwJL9SLPWQL8S+SCDRIvHevj6BUB5FGix+17+xi9dG4JH2MWCGd1kK5UwrkmUgk4aIE7AA
GvfQ2vjzboWLYHZufms250wKmUmuQBSJrPOUWNF7HDOhNB7W5xLjFfyA4dXFGh+zBm7iBTiCy0cW
DT2DCBogIOKJgseZ+HRrPH+IOSaTpcEk3wyNDPOyBxOCGnqquGWYgQLSzIz76+nvf0m5K3yhkdGh
Y5wLXaC3rxZZWgrwauaapwWjomZp7EFQT5AM7Owl5cLJQPHudXoNUpfU0d/rtQFsXuPwIpF/jFlK
l3Rx+HNiQuang3jjcvrVFAb69689yZ5Y10yMtcCvEGLFQ6NEumWL8bCb2/nNKrVvwWA9fqldSyeq
0v6sG4CEFc/Zs309269jkxq4thc24b7vUFHgSGX8ggRc3B7WMzL5vC2iXO7oXIQk0b8WJ64qxbFc
tD/dh3OreZRM9dQG5krExUwBZX26KCOGF9ONwQF4ssjXSWc92i17tXnScT3D6Gbt+uM0buBJzvjp
HtXvlyMTT3rukXS0Z1nEcB+WJ6fdOZ6sO2PQ9z8AzN1SLIzFEWrqPoBrMEetnIvrodo4SgbTad8w
QhRgqdNIizI3UOuttslXSr4SltO4/WC5XW/eQFHfnSdQA+U5BAnOUMJbI6dP2rn0BuZnhYKvUlNn
RgfIFTbn354m8bOSNc9c8PaJ+955sj5BxZBZDIiGxKoC+leAIisZ4jPPW7uDG/pzIFC9a/VjSw2k
qjYRIT0dTncI7KRAGlAOWQHpM3Y8n4pI86XJh8ERnISrgbLtAuwBMGv+nBs0z07NTCHHZtlUF9kv
HiQ7LZt4SWxnBmiVaiC3/uGpxEEkkVmrag4c5QL5V9PwoUo6kuXYUbkyFeA4mK6ks8+ea3CgOjTb
aABAsBURYMiMXJAZUZa8h7OAzyoSXPx/szfbB2AanI+VLud0wbKy7ya+Wfm0VDN3BqXAYzcSCZwr
5L3GPIKhYoS3HjQjK8C/m/H9q9l8i09tcYIgag4n/vUbisjXo/Sfc82p0pgSNw4o6ee0cRlo7kKL
Pmq5vTFR3m8O9IM21JrY6qSbpdTp5aJAAq5ZZY5KH/S6kQkcW6EUdYmE0OjMfarYh7FQgUNtqUNX
rNxc3r46k691IXrA+rMUkECXtRjlYu8U7xiWx2oVimtszzXGEle//488BveD/l8TKcIDHuZnhEEc
wU4BswD2bRJiMRWJIU6pv5k5WYsZH9sPmPEANIjDedA5/Ex4QP9/GDlQwe6aUids2CMPUEhuZAzR
rLmEN8NZ8NdjeyudazQY0TW0ZuIuAmvv50C1baP4j5wnbc6Tg9TYtTg7WeJe1RJlZ+xwvhDOiy2o
ioMNxgoVSA4tHeezX0VCqBw2JwIm+BaNGSR4xONehZvUW9NMh82xcrvbpM/kjMwSyWWvfCx4VyZG
0Y/OvcbWN7pgRZGm5FSzYkmv1fZ0WcSUTLctVNKqwv+1ECV8ymGJ4rJ744vEt/OsoD2o1XHdhyle
OpRfG3Up3ckGne3d7jClS3SpyGbjuCIpy2ndxt6/qATbQE6UyE8XdIfBWdVOuJhBvB6dtrzvx/Pa
lnzoeZ5w4Dd7jTTX/jSldoa5LP3Vs+u7OnsaEroJiN4vIjTwGOdiaipgE0AttZpGy+GTNqaCZVYK
ZAB4xH37O8mGpoA4cMwzBiRKuwyZJAxME7m3uv6uK2n6rmstBnXdMZR4F4haxtg4rMby4ERHyfdp
54VLoanu5Se/T+puWXKLctmM7BsOQJDFtOxT8v+vvziwoOhwqdjLYUka5YMLUmlsDV8Vd7sk9t64
DziEpnyjQkVAgE9Mzeep206D/pOPmD2foDVKYSf3hQRUFESbtzhzxbBbuF5qY3FsovAd8GMmbQoO
ew7loJv5cV4Z1EL9RDYLwcKllOwuveLB+yyxHdRYUDaRt3BGC2L5cG1gWRKhsDlzavOi9JuovKdg
TEXdZw6lcsqbRgJ3jTLzobYppMP+mRMUlcEri6B6taHipOXjulMtu2RV3jxLPb3SAECH/ww8y+KF
qhGrntkEIyINo1Q1a3gw+LTl93YqTf6Ow8Y0tbpoAsSH7l2LNPkLHtVdUMJuH+qNpkidRrOrD68G
gN1AG9jNHlMPkLJLnZoo35bb0oyItfml2ABPbPnNSatDRyvy78qWyECEWNfVugY6xgRFNYYPCl+9
QWu0BtVPoHu12fuZFbDYqu9/rAXBDFduVHedX4Mf5MU+JXZTBSKlSkNsW7IN5DsJ83sJht9yhpmD
qcWZ3PYHcOzEwrHf3eV7s5Y/j++jIWdWy0bI7JLIcXamcMaXrBbHP1VCPZ+87eIY84WUph9ffoOr
pkCw0dQPj72GZ1d7yAsfgli1E8iScND4UxgY5Mg9iy2dMe7a9ksGP8trWrYavl77m5aFc0aUFOzK
6rXTETbI3TqCzqntFEOEJOmV+F6OJgaSxib4O5s1GUKtd9TNtFSsnPgXzrlxgtPUYlq7sLJs2zgl
341UgpVoxMXmleXpLI7I5xCtqz8ddxbN4qzNqOC+wCx8r3jckLvAicsNMZfXL7uYMXP8gJFvHZ++
4KWOQbQpmMayLefhwkmjM75fthVf6GmYBLNvS7wZYgcYHw0BLxV7mwqLG5PVZpgFWMq2Y2g/+y2Q
bCcEjwGAoZ9w7njIY3fAsmNl00c9p01JdxMOgzV0YHDpGaOFp3eppYvXxZW1wje2XAas0FiAzAaH
draylb0EVRq6Af3fPvimmaJJ/O3SyfP3a9wCYCP3nnymDJDfLhf4W/WpeQ0fL0R5RXW0aJzKWy5D
xO1QgR5rbp1Cqcm+pFq5Yd8aUg0U5vqHGLhgyb7iYsWjSVvZjyLftxpEIssrH4e7vzID6LqJ7jIe
Lg4PoDg55v/E0ABmmzH3vxqHPhrwU6dSWnIXSPnjZxgo9EHAPeTozucREJ/TagfyetUbZwECoDUM
GKJFIKYqf8uLJw3MbYLSThpMpQs9w75RCc0J87h6lY5FTSVw1KeEF5RZ8oo8WuxFgvQQ+gbaT7cT
yCVm7yFcl2g5bNPt/VfM0cQhbMPCmmWLmI6XX8moLhDS2a+2mY5HMk0bll+tXXa7YrB13UWSTOpG
O+PsRq0ne8rAjmTPYmyEYwWQvjai17ZwGZMJrWy0CrRtj8yg5CnX/1cQmVoXEuuZ7RNNrmsnLtsz
BVQKC/y8yi+lFVxMvVcqTzwnrR0R89wpi1hrxkzMgwOxKNMV3XTnqsmVmH8fAavqCKPfApgEvmVW
/SBlxxrf8Mt3946J8dcd381iEeJkvgS8/VQ/CzLUuehwlVmaLkg3AlEa9nG5AKoi7asN6luWjii2
wQ/Gg9EKZJYeSfQi7P/9Egck8JslQb5pYUnAy028vkczNfZz7Up75w69GqSkWYgc3orqOON3PJfW
HTA8yBLnUIdVbfU6V/Z+WZARNqyaijisc94WSRop+U6rgJ/YLQ74Z4PSp+pBh0rGCRPyeApVvZfT
4Lk+AO6lDhXoYjoPOi6s/LzO4NJZ2ssu0nwX1MUrir4OvcpHCjxsCI5KmRmUf0NEECruAwefH+Ow
NxcqLkSa60l6ETzcIgBvhCcCH3n3/lck1guiOHX9f0tVv2FxENSpxAqMPQEf+ZHGImFjRDcwaeTZ
zbWeNVULkQSzNOlGcK16WV7HdEVaRdILM7jr/Ph8ey3Lh15SIS/1QmYgBnbzql145Y27i6HdcCMK
dPyQVuD3aCuz5dHBnXxO6zv7W//J7m2XtzCmchyPIEhbvNHowZsKwU82ioolKcYASgwNHI5ojwlh
lAffsqkkNZGa+2pDHMmWMUKxPbuP9g8r4QrdprNR6o46qfGw+BKaniQqtV8oj2YSTOeTbg2s9SIw
DrGwhncOV9VwY0udVOYWWVYspb8viXDyM2fUJhx6eKUrLwHy+BwSeovzwpx/h+rIV7TafSaQDYiJ
vLvoLCGYHXXbfff+zz+X9SSfWAcyITrxyo0pBUXs45+lNGNlVEY9lefDG9wa/mWgO/MCmZN05Agl
Cpe4gI5hkYe3NVgK2/zq9GEfe/T36YFcSGJOD22AtxQCAiffNsPI81iZ3VaWpWyEVM8mx0pCRydo
2OIM85Dv4gk8zMiG3zSFJ23T+u5D86dxE4HV0ouj+gkP8wnnthnvPRzrPIqIualo8gGdXqxuO75q
coMrk3zMYyUvoILuuaptaxf6gJe8LvQoxRX2Rd8/HC1vunDpdT2FBigWJkmup3dvU6c3oq6HCZ2l
mnxqpCOPxEmNkdOjzH4fFhyXtYQ4oqKdt7XIjDGmzfgE+lagDtutWTwm+s9cxQB1ZIqYpteBHj48
5FLLN7er2hmdX6la+DepSqvpmlwQIK6GmlTDs1vfjHfW9Jg8hWGTFatU1DhZIOYY0YLJ1hRqsdTE
o+mSZNKYMfemwZBZECPBgyCBhohQEaoA3sZkKZ+fiZ3aN6TaXmZKahiRIPv4yOE4wquabhuH87vq
CFuNZjwHO2KPtAw9I84+tXv7wqGyg1VjoBgubNtPMuQRZJB13EGBIUMqq4wyu7KGjoa02aKRAM65
jtB/3K4Ueorp6uUegtq9vb5VkrsgPpS98M/d3EJJagT3dzezVPieaGdq/1HAkuE9W7HQLM6ofSQx
KVehnMjq0k9fqJGrrabho6LfIW/rNWeI08wqPyrPWrwk8MbsAqPaSfpsO5TLbDh4n0Lk30V6eqPt
50VKGH203bmqVkDZZfC1trr3myNivh4O3ngiziru9+MU16Pex4NYe2LYBoQ9YVR1XQMfBAuLPwKN
5vnqQbpef4yUJ1xYI5Qt7lnoWyOr9HhGQ6mHNAIYm3nYjbdMLEAtXXooKmWsy3tKUesH3FQiRPQC
HmP5EFcAQsGJhWh+41caU3aNGOw6gpmnaRW0PrEHlC8jyVv2Ae7ekW1Ncnc7F6Cf0CqqJBRL9Jdh
GWumDPioFEX9RLGK9hsMULYwbfEuwIMWYSis6v+cCNLvEz36JvOzHZQ+ZwQpKBg2u+JZz270uYmn
CV603GZs9pFl3W5bZYr+VsmIkC8biJsicNYhgJQjLY80NDmj6BZ4sChrWKPRDOHZdI4iT33ORnYy
vFCOBjnUpFXXz42+e5HlL3NA0SCAp/Mg9G+uIOhz4WnCZGF7SXPSTDxo5ZPKgA/jNO/of4jUwFRd
NxjOOv/njC6P9eBnohNWUFZHz1hgMYisqMDfvhSasBs2+bZ63bPAUY/IMIaW1hhYSittQltEjnwo
qOVfn1RTp6eT5COmicTxghwoyPuZLQioGJe/HfV7RX8JN2z0vs/p0PrrwOsavVlKpACqFFfOnyp7
NLOnfh94olVfJTA4aaqxIWe+JCNynCZUZJ32PUyQJGWFR9BCG48l0AtMbUiYQ524s0dvpYITXqCn
780VIqwfNmWFWx7Y+aU9qYL27Vu4cHlpfSiS0FxdS+cmjycTfz3TUR+i/jxLRhbSp+qQpRZ+xSmp
M8bVBHGaX0+mELs/2NhLVr80WuD7MAmaPLc8YuMFeGw8whZr4FCYyGEf/QzL95fygKB2fPN2TxtD
klJ8c56e8XQoxXEVZrsVOjQRqYXAFE7pkmuxe2SL2Q5Gr+Kdw7mf689D4E9dfltyPt2FLTLT7iMa
Gfm9iX6ZKKKVA76diAQm/QfyKsulOkgchtAEi34v4vlftcs5LQSQqdw1IP4Kyjo+YC7RUJxTcR91
eoYE/rlLwFQmpCvCgupcBa46QpaAxQFp5ZuNEiMq7P0YRhkjnXdnbKwiiPP0/41h5fMmdnGdjbya
xecKkOAKTPkXGCo2Y1ZyxDbE+rrPXhz0TrSbQuyYkZ9pQmF7maY45Noj8tuhXaVXccsJxs+uJGzD
1G82RlM0yhWAkUvSmwOQUCZ5Rnx+pU+/eQr8lA2L9DoEJxOpXV8MXwTxoAcstWEsgR6HhkHHacDA
n9qwEuHWmMu7wqv9ozCYblUweQXGwaJPMNK5BQDrWDLgWUzalE2X/bvha5uY4Aajzy3QZPhqux18
CnyM0zlCqlla8GB22sf3tjA03GrWxEU7Rv4E2O//ueORVrRYbpCjbhjwrC5XmpVPuGt0CE9h10lE
qEqCa1Y5G1etqUryQ79BFlKcRxGxZtybZLfYIKxCmv7fkx2fh9DsJ1V2ONpoAzmeLuU6ltlX6j7+
ngRY7cI89RDdlu6GMJxOoXPJTb00+QOZYmA1Upf7jTf2sibuqfWMhYcx4K1PF9xTSnvNqOcC6yX8
3GJVjy1RCE/sV8Vl86SM9jnYm9lsiCbalpxb0RuPDrTrZBJF7R7t20+GxE16jFhvDwljpBLwupuB
JxwVIn+10kxN8OoYgNWNDwBHVzA4OKw89SbTooQ7KwxnDLPnLSyad+f5UEof4IRy6fPY6zb76sYg
EsJx5eUqqg748+yKcjWqLsdeKhP085g3DMEn7KfyP0q0sF/3niZ835wBNtiPH7vl6BRiNnLNRpXc
nOMILV2wvOGOqBSBSfRZqAOh4wUDy1ZkI159RWjQ7FL4+6eAmgRi/IebqVSVi0Ari54c035aCEoX
eq67bqJ4hzmfRb09+7pQybJS8BLyfoPLC5+a6EJCHjD11tZEwqH3sN40bPOOpKNx15QpSOMp4mX4
U14KEcbvG8xMuL+XT4kda9Hast2sIagvmPKe+NWQzih8wSlDNkaaFqntHwX2dCSvmB6Shy6HzgLd
cg/iJ+ls54dtIA7oJd99RTfTzVKAw/pPUw1k4EQIaT0QzYNWIAzeAQmtTyFTZ0CknM9Rc9qF7r7Z
2wAXmK9rXcyNK5nixFQ7+vf3XjONqH6nsdHFWXiJvLxrnRqrgSLRQ2TYJhHaMxBMRcZ+jqzF5mWH
HSGPa/WHYI0IcUtgOjr0C5M6bwcbqt8I5oVdVwpcGYt6PU0MiV037zGfxDqAY8H8C4UsuxoDgUGW
dknbYXSI6NlpemzQ+pVniaj2G1GpiVNWPHynqJlylH6EOI7K7iq0rmFbWRzhaBxyjLLtDn7ofbqW
iiQQ7KSA+1RHkanOp5XZP/IbvTb6OOtC/lmVO6hE/wqxdJ9KFyDr5RH72p79la7ismaen4oBmOIq
VUt+e1iUkRvELfNwCWgY91MCYZp0g1sHmG9QsS2CzwsfYjbm5RAduIVwfokYJ/2Enu6UgGfpEazi
rQHAxnKrpXEOjKVSXYVt9FlYI6YLTxAUo8U4B6X23h0jWeQU6VA/k9VheyuTlUuL90T8MEJy6UvM
kvRf24+bTf4J3Qnkjc0Owaqc+ozWs+fetBQPZsEYHJ9nHNtAx99UcNGhYNVao+W4/9TzFHsCNgwO
sC4s/e2s+79BqZb9BAohmwtf5dcl/iPtMrAzoGQSYsLFLas6LDwtVBunsvmf1JrTJ/fJxwx0N2KZ
EOjyMFW9Zumif5C6GBFU3uejHhdw+M4GP9iEhhYlCRppwER24VCwS8Fwi4cuEV0DaHBNUINgupgY
U18DGt1CU63WuqGmwVdRCY1DQLRuLQEld/Fec053ExhZdokOScUYWLUuLINtiDq54WsFqRe1lsDo
idFTZTkEr2twnv1m4hTThvEZvvT7putHWWPzRvoDRvWpPXfEnd6OgyzMWMTb6j8TI3Q2yR6GMWgl
RCSKCEAf+KfMrS/x+lMeBntDx7Bi8NPcZS65BgL8qLSyc3M5mYjPtx4O1IP4k2UQihnupS7Qw7j7
VEgx59dlfpVh+sUxo3nZfS6mAip+QJ3KOMpuHLLEt5b4Ehcyf+bs7lKAkM68KU4wcJn8jTaA9CfM
oWT+OPBZwbdwVWMlTCpu15kBFOdQgVqB2b4hS6hsIXGKdiHVRhpYR0A50vAn4PbyiCfcHXRG0Z+n
6MD1Q95qSKPMAszvkLv6MGMHwRq6jFLtTkedt/xbQKv2HBsVpIfNIEthhJLVbnoG0qyhcksMuN5/
JPkzy4TXFYeWBmIhvxnYcKubJBsOpelvSCn6GglOCE7iK4Eq2VSZvM0Ut83GB3AljawSWRDysf6o
5zDGPbHu8cCuUEJqwIWrhGBlt5kn7+ZLjNv99lydmbdpgVtghsE9NPMmEjS4zhiGgSJ+ouCze8Uc
IwzM5xpb9Iu7CEkHEDnPwVVVR2JVGnealyg164eRtdnN2G5BMxM6BlzG36k61ueos4CB7uWf/LKG
06h6A7Heh1rz27Pni632boRFjx98utDU04q5pXbUaKWWbsFVA6Fd11E3WNPdiNVDxNc/u4aQu1Kl
gQTCM4HkBKBgGxXBu33u/pXd9JQQpt6snej5CaykMikAwQ4aOQ4d/nUHnq692CkAp4c6HiA75QLP
MAkCX4TIdSuMUKQkD5fSxNFBUlfqsIlScpBBK6aK6/ius/MlkoOkiW71xBQ2FayEy40XW7x5VVrF
SXJxb8g+9MH7A6jmGRC6DEa9Xhd0/EY/3atFgo65G0g/rqCzMv5ET4CmV7z0/nT3sfkACeQ/5iK/
RPrH1LDNjDohkGkQKfmD+we536TXmY3iD9NCR82HavFjSQ31I+1NYqJ/FeajgJIQGIr+MIP9ye59
8IAzKTtetaxulQKVQz3Z+GnBujOhPjjWnptidzbODjiExb2MEzgf2B5jAe+qdJs+XYZdRm5q+K4P
lry8L1hH7eMNhUu9TH/zkd7rx9Re5FsciMrxMZV9I0OzRvnKfEe6yx6SUeDqBFDjY36I3wCwE73u
JKeBGSdbUqK4VaKsHY5C95ENr+tfHNeLe+S6Kll8OTuw4LWA3T8GTFYVZcU+7rpwREXKpq6GBqY8
AcKeWIXZKbI63GSPVxMtcwaAaKl/9oBHN9JEUX+zvLfoHXH7tQJTh0+B61Bf8O9Ob0kzuwDOYBtH
UcyraUfO5p/PUXtp7L3bk4AkFs5jHM35SfKTA9khipHvFhxq/aLLe/NA/k3dKRtu1PE/kltuzaPo
hYqPUs9MbPxDazLKI0ZwBW/hnxbv4DW/z6esU/8ZlYFDtHnnSHzC4+Rn7dXIl738xVgFc8erz3hj
aB9c1jucftVkYknam0wpLpc545x90pdx8bzt/TNfcT3Mk0OKmg2mFZ0GULKG6ZlQ8Cbvma6ZlIC8
G0PMb9a5Bm4QYP1/Q4vuPhHO+U3vlcm2rtpGT3Zql0QWniksJry0TH2ytWYqpzMkulq7GYGayraP
TWhpLSgi2oeuqfva40+uf8IqYixzqfXpzWnFCVNNO+44pIlne1X6N4Rn8Rv3ZX3pRicorYa56FaI
FGfMIAx9TevUkLsP71o+H8aiweYwrwmOAbgByJ/jz/tWOwuQaNy74SWCxTpckbA4neKOxWNuBXBA
jRPS2L8IX7eQ6kCpTJ21syoxzffO9VVk1v6HGLr4XppMnqDHyuO4tt2i/ud8IItGzBftsuRNuXz5
HCXspZJxOwG/p5VRMTcxkQXzaA9MB3Lq+u6ATXpK/jkHEsPgrBCgxjW7KPp2QnQ6UHpFU26jJwe/
8Q2/Nia8CiiR7qmP4M8gJrgUjVoYrvOyGMg14HEwTia4xTxiRGc1LBtyixHREXrcn+C6zxl8QeQt
CzMXoeYHwV33YRXFwU/2Mq2S9QN2Keggn9Gx6Uz1+aCByuGjCFB6GdQrgKnD3FQKaGizXaOViG3C
vPi1IUdszMtKtY2B92I5Iej/3ZzUJwIZKPlphbXb+UuMMqwPMujsfDQ+xVHcVPJrmdbEyO7UMDnY
dypD1zYZtvuPD6EVW/SMpoU3oqxueb3mlmtFmcRbDLT1sHrA8bnA6G/f71soJWp9P6+XSmWl/XeS
AqP6i+j4+IIERPyt9G+nAYtio/2A2Bv0Y3+z/uyxQiYJ5B3WNIJNESansyzgp7WbchR34Bc/xCWj
OKr1l7ECYuTkbhVmT592VEUDmeLpC4EQ1nvGyvzQWbrc7F/32QU2t5GqoyEeVIsAsQi6prO19IAB
YJv3YR9qv1En5bHms9n+q2B7vI4OGfWGuJAsOSOUPkfdyn61HrZncdH77fBhLN62UhjIBrtDlapF
U2RciProsPEJATDbPILCepwcWo0ckfgNxbymo9trX/i0pzI3wsHNnHjAJi1g/7xeheoLmSeOZxCO
9EjFLwfLNWMrctfKxII0sPzCwLpaqwBMTtOpnqTtcP7yLiDB1UFJvgyiYaNQM81QvuHP7xaPf1ik
JQ8bPsZr3wkNCKoFpjyPqzIXf538holmJUKEuGq0z8taB6mmtpCaYpG5xGj2QrjkHIVRQwo3PEwA
lgNrThOwJiHq7CmSJPItIjvZDfQLgHlSggEpFpUpI05AxPm20Eckm4Wm/KG0teYkZKTRDuQc9EAf
xIb3GekSSaUMfrSI+e3kKIDeZsVB9oGu1SoN7O3r6ALhX1uRLoVn0E3Kx0fs8oXQY5NUOK7Adt+I
0gY2Gg75Ct75zRT01TDfPpyWNcI/O2ffvZi2ilVE35LuNoch0A8iz/5uW9nn0+DLoCIG6fgT6qE6
iVkCFn+BZebPvi6EvfMlKnhQjgwscgy+dyZ0OIY1hwS6q5JZ+TF2PbIU3UdZXBkO0kKkC0uhY9aa
l2z1Mj2MN8iHkW8hCiQoakMIIkPbkyAuYey0kBUEy/N+kRWwRKAwDzp+tZjozyfpf4jRffqp7iTp
K2FceImLpO4T4RSeTSCMFpVIzorm+ZBOZnELX1yQlnboLT5QZoo9Se3e8KsZuXt4JyKJujTaMxHP
TxopCasIFikJAE+4L6DhG2V5N2kIa6kv28b69g2TsD8wvsZhzayBfrkrsEbTF781KBVrZ9mBlTdG
jqDOx8ggrOX7opOChxqPA5+bwDCj/uRzCv7Dk45zZzMg4GNHjMS3AoXSppQbeq0OeCz0rha5V6+s
EFQAJ/+SLWkZEs/7VEU4+DvSRFwGI70p1OHLbwwOcqcyCOqy8H/UI4onEpYWwTkrWMDk6XA8sYlt
HwiP38CGTLTP6MXU+ZtMIF9/saJEar/UWF22/D+TmsI5WIZB1jwIUPTzqBHdABLWmE7mR9UDsglp
PXEJoGnrOV4YU7ACYy5haoXeoddI836Up+XDvLenzFsETV3vNZUcfGNNmKD6ApBQASz+YXo4Sr+a
qTRMLOTGEZEUeUbAP2cbOEOYdEVx5ENkMsCBO5MjRl4Iz1CfvNXNKGVu762y5Rvlw1OirpGKarey
dnZhWZQKVAkulB7vhdJuBDgusg7QhaQFasy1XtRJUFT9bsXv4Fwl0g5idmaAlgs8Pul9aUUx1FPo
k+hUel2dTE9DCDWJd5rUqVpdjHe63Tt/6YnJ37i9DwIG1I0WHXOkaARWST+oNc6zahMpgMvg4Sv2
8JmjUfEX4N82dWz4WsDjXvTJPkVC3qR4OpHEoWap8REWtMzLPGdO+ela15+rgkIjstRWjdJbIoH1
QolIsZmKnoJxjrw8h4NseswFrQYuImHyoWvDDKy/duzMtvyhmbjiP2OZH9x7s0LthMY+MkgN7D2o
0+xlmI4D3bA5Wip52TFay9vmVm3EWpeJr0sQfk1t+zsQ8zDnYIMcZ0ydQY1twaWf2gSmznbo1GxI
oMko5eGlJkyYEHcHlYY0WNIUl77/qiv1uHYZxhvsFLmqQl/Tclx2+jkHylrD4lSQmr44hj4ClQad
MjvoMJSXB3RhFWJGBZwR2fQqysNtUoSaMTVKSrxoGa6YtIgcm5mV97k4dr7HoALhMbwnijWRRWVt
DYzvOSdexla3vV3bSZJGgTrs2PHY3xoon9DgJvpmnmTRGTwLSTGNrX+GJBnP1Csqby8fO8wlkNcs
jOBU0smgJsya7sZy/lkARsZjyi2OOrOmOzJtqI+Nwu2LHvw89qL9XdCYTcBdxwWaQiiGw8CrQB6X
df60BdvcXZifsUhHHJ7ByyzNlf1CE1VzwmNxZ8W84FiAAVyCQ5Chzmtdue/3wfJ0RQZVwQFR+IOb
QTISmz12Hwb+AfyzAYzgivN2mr5uPus2Z8lFXYV8DSokjghpIYb7Ly2igdV+Lk/7uKK5NrYEmaZP
tB7V0pXEoFrvFasThy18/G1kIA+//6TOTnTarMAoXq/bLq6PNImytdMcFDFi/USNWr4LSYZr5b37
ur8rz/EBQYEbE862o9iA8KNRkNElo/eZ/uhOOBg+uNO82IaO+DTBypl5wTDTiK3KKyNiazMhZSov
ZEAzSyGvP8afacfQy5kQcqmVOyadzSXYYcamlSzSp8J27gOXmNZzYXRoL+b6Qgc5ATbQ564p+/sh
p/qhE3Y6NRbRofZc5iEytfi5yyQhvHeSJslJPvPjntknLdgvT5mBTUAa06e1uNUR3/0NwdK5XMSf
+gS2WpadVMi1EHNWdqxb0CVEXePhGnRqw26rksaZX/op2i//oXpYlP0Xqj3R/R5YCkaiC1AY5cFO
kFgZGQbSNrUTW/u695Mky6zgU04Ep3MFwgYRL/pXyvYV9Mi3VGAnxNbPfPV4pSfKEbyhSEyhDsgW
Yk0zQa0GlkJwWreoy/G721cAKRf5BUG7vcVP1dZbjha4/6pbipmLKB/kCxrZ+K68y8Aal4T/aD+S
r+lJhgqVldLBD1lCjzc6WTIAKvz+kLF4gDF+ajx5zEVi6jgRp2dzMjsv6FFy747j7MdEvwSYgI2S
8Cj/CxCSrJImZ6EmZG3BOkLDZRR83AVLvj9UrxlKRoC8amOnDpqCfQu3G+IXm0TOf6BFOJTD53ms
b58Y1HWUKneI5TxRaIIpSR9bMAurgKSSuZ/iqxuv/PzC79NRoZBVb9rkRA855LNMDOJxgorB92DN
wQRGaIr8EqDmUbybrJk7X0bqNGr2j+L4XELPRc7qVkLv3i6S/SBSrLcnaNuID7mYNW8sm27LU+Ux
MgRxbGFb06yQ73tS1b21rdlVSNFRhsIC0ohHbXMOf8bI52sSYPKSqSP3+DnB/svdXHsAh4A4CvBV
CUGHt++va4Hi1bnUZm/8el41Bn0RopUnFdUrpSJb/FrQxBDx9sxCDBEL+bTzf+PAaAdzqAT0GskW
jl9jCfzPT/MF0xFErKHZgRhXO6J19Gp266ogf2VjK1xRps5Ja7X/w38ll9oBKavkUJ+cmEnTxHHc
Nh+dW2cufGUdmdyYgE23xmlLqFszHGfA6rnJBBsS9DxzGdX5fygEETev3gMsGqLM2pmsoAkqISEY
H9C4Iy9Pl/qiHVdBCGxeQKL0Q9iHb/Up7oZlmn/orJYaijb05BEXSKx+55fyyYiKmHFyYzvOvIkL
ZSBmcLU0rgOAGwiAbvCWtFzg/JAIvO7Wc+c1FyzaNeBMmoMX9XIhYGR6Uo5XZS2UYFABQaBhkP+E
clOgr74pCF9Yxg0hrL3hu8VZxekSUuN/EFaw3PT5iSJkRusoDX/289xD33WqnCnMhCQZ6TxVbysS
RJNwT0NCOJreDnxsWeh8Z4fmiIHg+O/vWQndB33wTiE1lkY6i1TzcH1vgk/VHxUddgHeRS4kS5Db
S5DIe5sJgrx69fMU3C6Ty0SKpAgQCQ8vW9xPGK0I4rILvetEYOxoDnDchvAu2b/x0RH4FxdXvTUC
mnDRrW4K4SERtlr0z/DIX0k3hfR3/X6tGQmtizbxGuaG9KrPpZ6MoP1vMq6kRDpMwGvZzwE89B4p
DfxtXfwCLhsV4HS0fhSxxhr/Q7tV1Ve1fpZSNIqt9zcYt5Xv1Y9IeoG6iQx3UbvjO3ncbn10sPfa
FQjwhI9hEFic7VsA5wP6zEs1cL3Kpfj+jFvbDX43G5GzjFoJIxrREaYbz6CV219b+CWhGXNjsCoi
X9EbSMwe/uXoL/SdbetM+nBno6vDa/+9rwzOPXVAU1dEJ0qK/O/A8Qa4kMhdyNBfLW1eCqmW1pVk
fbZAjoTunOGb/MJSlndaY7rd141DDcxoGHSO+6WJdAOqf7RQ/4kiGSFO8jPYgO3ygrB0MnRa/At2
XUhvz0Q6CerHKG0lCJd7Qtp+abso4hbyFEusvunWxPoCUoIydhW+nq3nH1BhnVrqZEqs0DF2k7/J
IBWHTEc1UJUPCfAosshUnp8wFAYQAdwaComV3v3oD9lalGR8TRC9xwjzy0FP856rGGPjSPYI3VAH
Has956uavt85fYdY0MpvoDxBB7cz09bE5bTdwMXT0hC9FGQS7RK98BoxMzZe6ckWvrDjB2aYCPDX
WzLYHDsUwmQmTeCiJGzY54humVEIky4zcURYxL9uQRaPPBHhPBn1Nr2h3hYHTF4CD0jMD+y6ZEfj
dCA322N9Y/kEcsT2w2/4nEwPOQhA4PMcf2P2rNML6n5gIlvnR/ccigw/9OT7bwkQ7bAzG/LAFfaV
eNhREX6FLB8sydLCnA9DkBWb2CFmHJ/iiV58+O5J+ckghMIWc5pqzttGEzhJq5OMFeW/9JOV1Yez
vd0yHCiKophSeScZRxLqmvA356y4/yQx77Rf6hdkTaXCC8xV6pi5qT4B+HRT/nDgrhLv52wYGpXU
4IGszfdvDsKWA2Jdf8eBBoFqQsgkurvX0WbqnYtORe31BX29BZOWe37SK3s2Y6VUk/La/gw6VgLy
4KrKAefYYXZdh8l8w97VYtFmd2rHob6F5oPiseubBllqeRZUrJUb4F1hsd40aJv/hsGu2LfkMxVO
xKb7HRjG9J1xjjrk5ZLH9dCOPYF7VztAQj6hQrc7RJj/jayKwxQnEp9ehk/DwAIMaOdP4wUy/sbl
fMi+I24GtGLT7DU3XhUHOIuKt6k41YmTQaADW+YvgJaC8tYOVMnx5Bc0svF+fJ0K7pYy29YsjUso
Lm5K10eAIZ9g8Q3EZW+rUX2btY52rGgFMprIe5x1AgTZRLkLSmfKIJfXI2YQwWV//P3R5H4e8BOr
NuHkhAWBEagiHcgZfY5MtLarSLcqyZryN5qf4HKEfGjxTaMZXMzdBusqvPVLUwLhkrd6/iVMGiSF
KmbC5708Xf77U8aJL3mu1Q9qEflaPY+NEW5zSJtShf7p0HUVw3PI3Y62r/MdBQBT1Yve4zOwyOE2
4no4jTLaYdNB8h00PoFjQVqYRm0CtEOPfa+WBU1+KaR3OXtYI+sUPRd7pM/xBEpSB4n3Zyy9qOdf
U7xyccXqzozoi/kj4w5M9C6efrHWhtrewt0drEXcK/TewTnko/chE03ZMOHbDJMtDFYQDxI8gAT+
PjsqODFs7XZ9OJt0XRif2FjdJgRWXQR48DtJhEMaUNZR71H6w8TY0BjWcKoZbF0U9DNOSufKUe21
hAMh9EF+aDZWls2dR3YCaghkasFkCgtR/kW87o67+SnFOoKuURLG5qzK6pEf/4Zv6zJjXNCmtlHA
h07AknfCY2rCJJm6wrqVrBtyVZ+6V9y/GGZo83OEun4GZn0ypySTBJDKf5hpc8y+R7KP9+L7WEqH
6PLHUCcPtfZmDoBUxn09M4JjkfSCaS3mp8Hij3Y9z6ILYfpEr/6nPQCkb/R4DEqR/1qCF04CO3Dn
ZUFy19OY9q9tUFPJrTgrbnE0zlt6nHC4dXa46AHHqE//RIngrnjtj8gmAcBCz2qSPVrmiY28wbfX
DMi9iKWFif3aIqKzz9QJuAVDwq2lvLeXHnaV5ocD7dNOungqqxBRGWvS/vrMetLTEbtOUAnAJEni
8CJvaWpCExX0HLR0i6gpXa56Ba7y3bQSiHWDiDL0j1Xqs9cGSnbiTVbqf8HQ+fZpQT90ZpwabaVI
Gi2kjZSwGeZFUOchUcfXTjT10dXwR7bHRVYwD4Jfpd8Hr4WuSkq5s3FPsbVdJUdK5k1HUtFywasB
dzZZIPpRH7sA4ijDL+QxS8vpBZQp1DyUmWUMb9uxyuh2k0mFs4eG9/ZMiOPdb7Oq5qupYegHYKEd
HiFfm40nabUGbO+mq94NDpMoY6B/b7mZ3LxTL71kZmbiBeAmyPGv+6ISGAr07cAIe8fAa1bDyCQG
lT+po0aUHPcptc37XYJRvqdZ/PMj9ufdQfQ5m6/nyQzVhy8J81G/rGizkIdkw61pKZrA8GIygLan
nfPCQOIpuj66M33MRPTWt1ywi+AdSE2jU4DVvRrjBYwxQCq/qCehWDb0IJlJLg9ihotGft+oiToo
IlrfGUPJGGR3WaBNG+KMn7t9fj2zqcXIiSM4E+hHQjDtcvBYUdLEBDulcHbdvXlTHuOoCOOcBoZu
CZnUmzM3iWIkaexcPm0kNG/DEeK9GMfg0D/NwY18fis901Y0VVrbQn45DluYOym3ygOMQV/ufQYB
5YssngO8XW8pL4MsDhIE67jEBJvOdb87jEsKH9z6xhZOsqB2ysOPK6NO/CCusJnGpxXc1jq9thz5
I/vR4th2s/IBMhYcLuX6MYFoPJjcOWP02BYNoNU8EMuCjr5Uq/QAF8YF92TtuiqomHLv80+sk5ga
GdpOfBkCFbJrj6981iRGMDrGPLDZ/uYCYm0507sGwq96WS5vRPULXncyhuE3DuvwtfBMiLrO08lt
z/gJwMOlzjnYxa8D1dccYqrCBuOd27qBfLD9u0Xqp/+F81tNEqX0Uxybshie3le9hkqRLOVZYeDV
/jJwevQ+1/Lz2L9yH0xxBL2WzQEA/ebuQTTUI1TE0mIBxFXw9HPc86S3G3dDdwHqHtwBtJq+FTgM
aYhluZyHyJgPOp+364dxd17A1DWZYWl6fBpLvr3RxpXwTlx/2d5dV3w5HJgSCv+KJYCTo69kerQU
NkIY0s8VZzH/bAqnOv0uec8wDIwds6MGMCYAoaHr4jSHveDS2yYww44N5SYkXIKxWVG/TreKoEw+
E8Glt52Kt+9NAaz8dEeOOqXPMuHSlMrpVCVTvowpVEuci7qbkWmmlHwz3WqKooTXrbh85eCK8HHx
r3avjyPd4MuGEtTlxhYdYON43RK4SuOmu45VcXS6h4MoxE1CQe9KZ24ijmNk94Nkc1BLS2mO5jd1
HuKMQMN7QBrgSirdfsWcfSirlMsVet9eRMxFKoVXBn67xp6wZMWA/TyMXknpTAXr8udl5sliyVgQ
jZaxdFDjADMwZ/m909jmCcP2HJWbaSH5A5ZbO9n353rgdcvhbPefUNTPqIF+OjvZ7ZR6leljIYry
RV9rNhj5b4g4l3aydu7qD3SlvKnsPl2fQvozTXPLx562PL1wKYlnC8dWx1hfAaWsrjlGnK90OSP0
Myd2kQfFjhOq87coWXVwV+A2k2G0ON/im8noh2XNWH30N30xJN/s5jWaHBvVXg/SbvnwNKDrORN8
pwbGKcRoYuZp+Qe31TtVgBcNATu7wA/b6wnCSwqvDPvBNdXDiiVRomywCNeuSMKc60f3g3SlH4Bc
FN/t/WrNXe+M/p/UfgOSPiNc+pm0LW2RwC8ZS4af6syIzedAseGc5rFfe9lOePVEYUdP5YOFSXVn
VXIzk2nfM/0ukCD28Hxj5CaE6v+LWjh57Cfew7Zba/NIPFK4o5kVE7dk/wtPSWj0QElo4uEbZBzZ
8rO0o18vPkRGUFhRFY/fdi9zKjO5a5Rk0yZReJfZK7U8KKZfMCaot/AnbCqe4AH3pl+CSvdzweji
snhOjLJqapQErfmMlF88VlYAvEglSyM+dgazZ7qWasZnmmzWYiQ9Y32A+F0yheezbck/CQcBc6vq
6fjatrdlPiPWgi3/24pwuxlMBLxxFBI+HUDaOPVp8kS5zTLwZhazWbyDQdOXeTwM3kAyoGeMv6Aj
Sfs3ooojD/+i8oXt1DuKa0wMuCsdFUmW0THgzeNueEfXkpt1kBqaHL2p4s5it31cfpPDkZIU1Olr
PAEAmGwvdjzG/eDrIuIlrLxuMjD2EYtKSdIA9oAQbezYOSN0GGmYRpXGhE/1owJOpuYA9xgAyj4n
LDuFVLj99pO8mR6KowVdI+eWE3nUxWoIga7npo4Rcb64NDEW6TjU2BKUfNzak5A2y0O7ZIKrkFQp
GLZV4rqo6IXZQYlOIyqKrtUHtCPmbunc5aVz0eBrRd5QHw086j8SRGiVGl/qMhBPJE3dpQKFAlnQ
MF4pWUOey8KSBDtGHeinkrz4avtiD/RgK6HdwzdUmt1yYMWhuOzvAv7ZmS9XS4bRu1W8GZr52AFm
KxozRiPxJzL94JWYIBrne9PTSZKlP0PXpXMOpgmtph0BbTUXvbT1J9BjI0+1SgMGNvM2lQs+6/T+
CBAFTyq6unyoF8xPwCxojsRA9pxB7Wi71AaoRjWAZLh/7KDNyDO7pExo0/WEY8/7OOswzMh4wNxK
pnh4guWNhNaQB3OJlcP4GN2Lc3eiBumeDP3T+mbdpgCLQy78RkRHnZ3+hBOrTdZkbxg1Ol5WGL6J
84wPX3Bm4IMLvLEclP7LcdsDNtiBB4i0UWXbrwvXeuUmmiASOWP4D6mmyBpnl5UaybbVsUJ4uO5p
xMx7c0SsaXaEAWJRdqY5ACixSyDg8PW1mUPS/tVnPDUHTW6ijTNWz6M0Z5xpFMz6glUMDk5n+p5k
w3ZKCwLz4JCBqSIwHc2fKeTvIpGBA8UHjzdvivy2CTCni/LfLVwtDKP1LVHDQ0aOOdT584YgZijh
ZHkJoGoMrtGKdVDJdr6DxldTuZ+38q7k0L28ntsBWwR8hmFasIsGMjIrat913Cp1ZyZGULtwFsmp
QkYvkiaav0cv1pXldYhBDBaTt9gQoJoIamwKMHRMMSvtug4vDmDmhEiOuO8nTh61KvkwbX8XUjIg
1v+254p6LFMUBBdQRxMJVtIKXxpfIKTjnyCFhNKN8gWNpXIpOpkO3XcpPyGK6TcwYS1GstvMYD0j
rD2zILux8k1evTn6u6H2VlAMkh+Ih27Tk120RtXhBGP6iA2/x88cRgOZB4GpNQq/dY9v2Ai+V7ph
5lZ1fb+/A1Gyod2MX8Gj3KK6QoZ3QZlgVNyB016PhYF23AFibs9m2Sa75kjTU/375DohY58eVjXe
ej+dk4Rk/QWenDh5kzvWEYQGVmKOed/yrsF2Hv7Pfnu44kLdWDx0I3u5zsPXCFc0BLX2mk2kh61P
G8Nbo9w1TeKPlNwzijnnXzYVQ8DgkpDUkJyda976Jl39QRQVHatCVhbW4JmR4rGXOtKodCQemGto
1zmcEU+ekKRu7QwGXBVHC+3DIB7tS+WnpJiw1IbsVI9EoIDsyRsN+vCxGtMwCzrCLxZlqiDAFXF7
Y5BT6ScmjrgsE1TYeJhP9zK/vjzdHpFwot+Prp47MmOg+shhav5i/7RX7lvNRHp+fgesj5gdcBlT
mXze026/UJhxMaMB9Pdb6fHwd3VUu586BXSHAyLrzEvRLJ1tNQs/Bso/LYS5c62/K0YHVgDB0kMA
N1/59Z/c9x9Kh4whm9723TLDUndfLp0mSCzzosW9IknVViauvwZ4f3TSy2+L1WwuAjFgsrM3D4fm
EIbH96NII/urYV1VOwX5RYWNt6lhFfsIJy0lAFpSfBcBP/Wvz0DVtTdSSAOoesSAc7CH99mLXW2g
iJ7WBYa2h1A+h73AhCqF84Zga0Ri2WIYzGh+LJv5EhNBT5dyC0yrOMOd5esBDLWBCj/tni3AxLAr
AZ5uRPKJk6AKtg5H4zohwRMOE4BACHSugiuUwpb01Thmr1B/qVC6prmLLiqKd+2nDHb4SSMV8yi5
o+z453SQq0+nltdhcV156P5CQrEOry9irGEgrXxHiDggfDH7/N8OKzYYF3Mop3TmTrZaIJj8+oXr
uGPfAjy99Q2hP8al6LWaENF+nYsPBlrCtfGSTUCYSPO9hW3IHfenF+rR7uyDEWIBqwucddO2ks6u
gyBuMVqvKC9J4MmmxWWXrqq1wlIucmUCXR7XqPiO66bM5aSYDbfI3qHuurV75Z12tu/frS9YkQ+y
37wI9xKHT3dftuOf+BO7IUCVBlqtUW9hUFm9yagy1Q22v6fNZdbNqQgknkVFyQqW9k9zgLsfq/0D
hiHapHLvABnHJqdERlXrAEAnA9Nn5uW1Mb3C0odUFymMu0ydZDcuh/+MmJqN+jELL4xhg4GJt0TN
zEvK6aqXQ7HqfE7Ip7sUinYmNWJSdJced0BRvnFiKsa9G6XDoCwp114Mx3COcGxgrm38+be7SyWh
ERKWzmZiN99DsybLb7rt3DMrMzU97/1QadQsEolsQElyYqboO18kQJTKAU3nYWs/aHM5Nab/kn4D
wVMnjNybuBxuy6Z25xU4Gsqtd3SEtw9HsdnmN3FC+hnOZPm9uW9+VybeezCg4UTM8EgKB0W+jhjd
VrIpl2no4fgw2FZ0cXyGClIj2fIlhHFZUWgWTVKsgpD6enlj0FbxE4VQokO2cACZwKypua1RF8xb
l9A5TRh40oeSAlxR5iYU1vzePpmdsPJ1TVhNTgkLTnkH1CRIc4Z99goG1UT6li7+1Niepubt6qTV
Mf159v+APVGdSZXVvMZPJAqz7TNMlDo1KYLLwhPoFjwfG+T9thwDa0ZSWw6VMRYfTY6ItzcmngGE
xJFxfVlAMyqmqVvmuDm1uhui7Sso7g+nmRrgjilD20PEoblbkHxZCOlKhGJUZiLmt0QWEy2d+O95
sePF2jVhagrqUB0AxQ1971VrXKzBXaIVI6Bpo7RveUAUYugv/VMHEHo+k+U6PkeOxHel2aYJdlxh
yw3WF0a8ki8plZ2JllfNAncql53TR8xjHHPbm4JiGks9I3olI0rUJvRuRO1Pw5EGU1JIt5vhM7Ks
xD3MnQxziuK9APsJGrK0FuJaQlaBL9rzipkEqOQooSk2xvPbZWcD92M94QruXFLS2Jmn1LRBxDOC
3xMsWwntdGvs2/NdOnTFMq8AWrt5C7Lmmu+gJiANLGdYSI9HiN3Hio966QECDrzeYhbEnd0d0mmb
YcEJcF52VF4tiRuYlHGSKX42M0C3i6X0Bu/u8qaA7BxMmpyNnAy4udFowEt+NEIWH0/XGzeCN0Lz
iJC6Wlfc4cXeyHOTZiFQnC7/QdDcFnTTq3E/jUyvzwE+fHmOBIr4lFnWDF6DNXoEtNNRg2WzWcNn
4N/ZQAMmbWaXU5Nf60ChMFbYTgJ1fOB3g26K0UbL5z9s28Z7UjtNX4lhtX7t4VaVK/RebCKRm2Q5
dBOVZ05G1d9mr/9ymMekvlMwstla2bNBRDlc7DSVya75wjeefjTKZ9UTpa3i/M+g4CiqxENpyWsE
nYaqMVPMwtSgnLSi2eUyyC644BwU8O9F8BHrbvniyezfEA60/WV+wISEJSCpgqtKJuj8t8we+7Yh
jo0rebk7rhEIf867NsKdIFrbXlppkxPLoOpnxA2ZBNhKQ2G+HIZvfD9InYb7yNnfLuAhYbp+gfU0
GTyECEzlmCGDtHMdnkSvouWhGjqQPnFRpiZqyh6AqnIQbFWefjbaSQfLT9ydYZQe8P3aZLa8E2na
i77mCv+cf3Kj7PeW1jgZdej/CyzktbJpc80mrC/6jUjdsGJf/Jk8Tbo6GXgBSETsZhZJl0O//mZ8
U9W91tGH9UW0I9z+Q15kho9otoBOW09/ls03jKbNnNdtlzely/NvYGilsYOMWwIKEZDp21fWaVAd
kXmJUHGa7SrHjkuHyW+0JzgusU/dK8RRPz9x5H62gtHWzNo7RB9p0yO4446LENvXfRNcNT4mq6La
2YjhH8GGpmVY79tqrWErtoN0wyBC4vnaf3BEz7gri01VfWYRzHMpQ3DI4S+PXpEOnxPAZ/0sJyaJ
/YoPybVoSKwWhDAAw8c1BCfp5uz0rJmWWBVyKM7qoqvUXU1gXVug2A+K+YQXhaThCWN2EbOetg22
S5cIV2dEtDeTIesCbzk7m/wZSVz5iqLyLPiud2TqRdpn3DmlRW2ioMVHsmpnljRRO25CJDSlvUAA
RKl4EZXFTKvKGVLxXEcgmxbxK54QD7u9jXOIckx9lBV4Ok3ENS5FIX561DmlGlIQZ/DHfU1ZFCrX
bBT3k/wYsT4bM2JzRHdkDBC8rvvvGAgSlAH/xWtZ/YNZNMYu5VRtPloWWuOCt2R+JX2m0xbE2vWm
cQ67PfyWjc/02KMqCS5L3GV93/nslkKHmNs8arrPgdmFYbQdtXC+Ke3thL/VQQOyUfug61vSvsrW
PoHBK8b0kEgFyZkWsqUDTsTVnpgm5iKOJel4HUJyP9MFfxWn+mFZzrOE2iRaE0rJq1rQOSgWOFW4
o36LKSct3yr3VLOAuzq9DFhWPD5RTuRK5oFPoDB2iNQT/UZxk+hqAzGo7OoLKOY14/e/SqzSgngX
RdqnWaCKj9kHodDHb0RN1mKQdVS9BooQSdfQ/kFVCn+e9I12YH/qoTbTqo7bE6Ux2Sn4dq+eIbuZ
QljJz/eEoHjyyenu8XdotEmQ3YLKrCeCYpr+BZDPsCYLgWAWESX16n2VfZPaqLRZEsug2Y8l3cbP
Obsc++rQC5NAYi4rC/REElWs/zTpQf0P2KFCkLnj8+lIquynz4a5hRQNgkzyQGeucttqHcMrVZpv
QEbBox7luL/LGGDjfkmsKanzL1Qwru+QvblVYZ653j/ROPNpCECDkZSx2WylagwGV3KA+nf/yu4U
TnJPl7sG+zcEllPyHmOnJtbq0SmXCKS+lXSCTwUXY8+ynbeF1dNnXNaLdvTCj0WItMCuzMVS/KfF
OMHcq1B9R7A7sCI+MbbyRELhIewEqVjcVR1Fe9yYcjbZgZJQRbp6OHctvmSuGIGSVxwkg0Ug1awk
cibJ/DrfrxGlpQ+JD0tyuN7iDtSCCsUCXcuQVB6Op303P0+dK3iBSiGtggxfHrhAesWjLmDbDZU9
ynE/UyvnliiBrchAIZ9CPO53cMk99KgOKp2EVbq9rMvhoQyG4UxDeQu+C9uyws4lrCDZc/tINcl9
rIT1GyOicLf2zz+xUkTwgy8wXvuqqvrX+Tvzz5PimvF02gnWtXjuEfN4G+PwBsLN1MhaTmo6Ozbw
A2F4uE5UrHjOEC5KACufPf7h3UuHOyb2AvjHSeNCNqZGQK+qPHiUhIiWBfQj6Ka35pK4AvpVQfHq
gD74XyPmBKSJ05CRo91Nq7gL4XjxvzBmewrn50iMClAPTEPd1nBZBrCZSP0ui6HgszYE+y60LopZ
x3w1L5nTlnb8v8ycEwRduEQrnfg8f8wcWqHSmEIO0Duc/9DYp/n7O/jS20z2uAs1nc37VECIZ6cm
+zBf49XmStfunM0AxF59vLqaUR9HRs7W4i6rLMpywGVUTkvyF1s6FT0fz2LsF479px7u51HhKrum
2J23vOE6JQycnPbjFCrf7moN+zYKmR9c3mzZbIzXGEx37+vvd3HRvh1Bs3jJxUUmKEr6PFBYSaHe
LYxWia9+Ps9kVquftUTIhrKN64kdFATvTvmMJVidE7uPxtxosszJrQMu59cXyaNzROQXWT9oBbGs
bUt/1f/URjlyT3IFza5KoixgZvtU5aq8j9Ur94fBBGfLibqdBv6CdsKjhNNXjoMSVGyzeHqYHN6F
y9ayfifoVv8feqIatks9d6NZB/PBMDU7YD6/Wlg1gQdWaJCF2qJSxvorDs0rqq58gNWK03xbAxti
aTuQSv2pNjDSW1ktP+xavlYCql2kvr6IQTEfa7+FFLtj5uyyu6AJVsxCCcFW9dLTqr3/mvhYE3W3
auJWex6GnoZLWJFAxyiGvINjBBpITjWWCIt0vk5XwF5SJRZqZbuG5iwz5kgzJFcTx2btPSxejl9T
PvBa1p0RUPY3TcyARPWPvLbDuJcvH/cYtM5eE6D3xbuEBiyb9ACZMo80NFjGS96kblpd3GCLMPkH
AQopZV1m4DkkkhuB975xm2sXXSrPC8xzOEhLAIMWuMasQMjG6UI45YDsTSuV34UI+nd5Zt5+iOIR
8d2fIFrtJwiXBigdF6jetkjE0irqZfDtEzRpeh3JNHeite6ierljGwxQNLfhMyqzjb/hT+f4ZDl4
MIPWmBGl4K/yXI9s6XYwd+YNzWXQroqYUfP+tZSKBxQJ31TmRYqLgygdCvAG21jIcnB37Zd6d7+P
oopF/vXgeMMb/Wcj0Jp/g4p9qqg5m9wWbrLylFLr2Weyf819FzX1yIXNuVQr/U3+aDzq0e+4Znou
pZ7d/rR9C+/7QmOJIGZCALNtj+tbPONJxd4eYZX2bjg55idEovnMt+JGT9bIzDhoY/v6MnEhNcNb
1F8G9b+bXz1rWq5GUdfHo1QRvs+Kw8ZcuHBh7OEkbu5nqb+zzAOSh7eGDDNE5ypnq8BO7uW+ODii
TK7RFMEJYnOgPBnn/AxrdIlb2QYQTLeH/kiCAEiDzbj6xADXnkuZ3cOjFmVZLaiZ5wXp+qAhmSom
5o1XvCBq+Z3ZHfsw3/GOjp5VkJB/2WQPcGx+5RnWKyMvyqNo5WhR2caGin1suwzQoScq+RpAik4V
tq4YC9A+xImta622bvpGyhMUVUGGacHaeBD6cG/YhVTUhP0u2GF2B5T0Xh31dNcpi8h+sUW34WGI
MA3JWZuoSrT/1xWYRHECO5Z0uwLfnMckUV5pqNmP/H2yZTyMuJ4TrE1wxuJgDaqGUo2qZe1WQg7n
/KZr02EBlgeC6e6KGRhV5C8/HHJoMEusiVZV+u/a5n41J09BMAHJA9nZt0MIelSbLIr4iEjBZXIL
5z4G289qN4yhpm1aZ1CWJIOr3ubJjv5Z9zmpLXBTz1ugvO9J0Z9V1Wd4uUD04NfLYaoVzGOsgkGT
o1lf2TP6pt/+n4Gw3xnLefDqMaReaS08K4Jm+t8ZJ3AMJ+ity8LhS/LfoM/z3qHbeBzR2cUUjNFc
x5vDZ3XN/KlNgaYmajFpOsCVMg11Xl5HPBcqdnA14uKkXkyu63iYmCDZlZVdghjwC4BQqo33Hz0H
OORf2llGEUlVkrpqXTg9TTWbBq/Awx3D94aAVXvWjoSuvtfB2uVdkTDt0nmSVUeFwjmb8lXaxtjQ
MxVsjJUE7GdzZbu186YSm/293sJn58DtXqyJGahZjTpg7OAFR6XK8r5OcceZpQCRHKv3DH0TwnLQ
UJAzNnZiziGxVCc1H+mg8WAf0jJp9sVOOU5ICsNNEQQyjmi1Ead5TxZKHXlGwDlxh/7cQOE/6RBF
z/NxDOMbFmJr9TzDYgEOoOWYdffKq+tn1XXj21VuAuTdkhmqBB/upboXKoSPzDad0r9e9Ha0GfT4
mHa5q4t4SKEZlOyw5LlaWUhpSS9CsK0akepCevAk538wU5KQl6rdcwAlaHM7fBkEiI2TMxmr2dCQ
nzogwL8J4wOzVXXhLE4ItRpFQkCoOAONPC4LORPlKKcwMqSuAu0KxLdsyP2x5mQy/AeVNcJINtIo
pVVxg2A9kiZvUcAs46/C/MGE2VOVDbxvJID+E/Y1JuM3d9IeW1GUeO1qEQ7GMY5+/sejhEZ2J1GJ
XJWEtnPGKWGVMznQ0GtgurzaMKVZ+MwVM9mH2xzIuQl9l9Em9NJ13qp77nJwn9lDFECTac6ZHJTY
Havc6XB2s8airSxPWw17NLl9MjtVFi9nDJ5j1Kz+VeLJmNp2vgE/nQm7Q+8gpAbs/NUDuyVzC5Og
doLzEYHjkFKaVPmLILYroHbrrURHu/IchLmNWQLGmYGCTuiTOOVaUqcizKkPwTbyh1J0xNmvJTCO
fMa1JHTjOGRKSSnGmFgrqeeRzOyrZBKwqf4BPHzzhLzYQ02776cwGfVcTHk5eXkE45m+DXgacuLl
9WccwleJiGKeZRFCwANMkOMSzqyPmIRilGGcve5den9WZ6jnIwR4D/Z/kc5lnkDe/RkrMCH/yKo3
tdd81QK3xP2H8gCoyfs9Y7baWbLuvLYYhbjTFZ5NIJmsYz/VOJunZ0F1cO6fMGuR/w6rphS2hp06
4P4Lpv0cUdt36IKmXQoSQhFBo8ZomFDcNA4lfI1Smteqjn+WSprrUrQjwkmePp7ffC/eDL8d1mIh
0od/iitoGSgoPDUPcEwIdBTQrJ8Wgcbw4E/O8EBKs35c6ptIeStUVOlqCZCH8w2yoY2pUp7q4Ynx
kkSj3SEEa9aj9xnq4mV8V0ibc/21F6vQMTxo6IML1n6CbDc/o9kNq8rRAJyjmIxizc4PvxZngdgw
EBQKpZICnbnVU/waeCZORRaW3tnEIvOkgE9mdJSpkJlT3donU77PwVi9bnlMhvdKyj/COABdca6s
cQyHRFnfjXO9KK6K/XtqoyRV23yq8AwlwdJC0eVdgJDhOJ0/3tAjmCkVIHqYHhzvnsE+qmE99UlS
i2Yi95IWCga3TyEK9RmWn1urwYXUmb92jfvJdTGW1FxKrbjOesxFrLTkeB6VohLlZb2rmxhisJRL
CA6vNoKvqo3keUsJI6/5BjQGZYg8gres/8Vu2Y2kJrRF+gjcW+vnlvutNxxmtJKbo2ZW9SkLtcDs
84g611XYPEjPZf+YOLSheM/IdraYXxbPjS/l+OlxcuniL0WyBFzoFVlBUWg+GZqvmHrbYTTJnpJh
NsZFtuzR5e3z5r+GSWEGg6AubazCe8HeCaGpHZVOthiRtDItiIqGFSVNonfqTLc0BlD6MTrC2Mkp
ZVg9ZfasulVPhSebkRM0k8MovTtSaRYD8N7ON/RWzsVuuZmEpvb2pzz4r0Fw5+JGm2y1t0ubjNoG
q+Gan/pUvPqW0Sd/LGawzvrIJFjbl/6Q/7bdR/3mqMR42Llqru//pcMqBC2LIsoiDTxWYP5Z8aPp
nn7r7UUqWXP18Ab7AgUtmXpWLfhRzKmKFHCuQcquNVt5tDqkJfycGYBg6HrPYphgH+Q5D9ly7gNg
kHpL7ucQucP3G7BbrN/aATDl5EhcxQmVFvquUHR0FMoMCyWnjPpBj87D7G+ph5sD5XZ/YU24vHjB
Qxc4j3YvvrS/1Lqs5Cb/kFmR6h3qmOOftH9bCjtUDis9hEh+/0FM0QbTtKAQY1csHz/edtx+rOxq
cW6QmsKXAIvv75U2Y0H72OeLYJpqg4KZTc/xzVBokrwgsW5CGsT0uQbU7Okbv/IRm54gsk5uoG5m
sY0ve6pHJnv/siwwTY3r62/tR4mDlcZLJZUxuTIgTd/i5UBQqjxVXloYYt8+XRCHDYNzf2HRy3Hs
O6x0BupuYeS+4YPe8jIKl8k//3x1CEh9BD+D30URYN/YeakzMjIhxhYV8jOZCYdIRaYoL2bmrVL2
+N2QMHx2y/I+G2v44dnGvDcaT+ynieo84eFXRLGC+byIRHEMqMQAPo6/vIPNF5FnJgT9sTpcpf03
SwIJfhnRcanM3mpOFdTLM1S5JH4p4ZUKhMtxXoqLKg5Af0Eo/xx9+FDzvskDR6h2MqAopkhNA4R+
Q5nxxxhSOQ5ljRqSClOsX1CsfkGsHrd8CFFHAO/XPWJxqRhUtgKHjGL/FGWR3fLkpec/vQcuQ4g4
5yehayQiX1ipeMf5IwZ+KMT09Str/n4V5bt6e9QzSquU+ZY/gVY7kBF8VyjHGGPmwC2uPAor8INi
7Buo0MI1k+zbEE7AARA0rQJ4iDNMLVVrjyKqPBnDdII5/SYo1y/XucsEmglIEQaZyzHkE5kDj89p
TNINXxB+GvBiVHmNwddWBSIPwa3LnnWKy8/KL4Ac02Hyk5vH/wDmB5Ksr0RQAv2BtIq5sT4BD6wY
9CLli0oVgZvGVABdm6+qHVio+hnf+7Yt+WqWJp2Hq545C4NwYIehy3DSOFUk79mG3LsfojSwO+m3
N9pPPm/1qIrDfTIsXW3QdpRvnmOAAGp218k8VPZx/a0AwS3tyitumWgcAn3bXI8kMRuYHoJ2q9u6
N+5E37/IQ+JVGLj7MDljSRHVrWDC0fI5baJDghUydkZNU0tOyE/SETv9TYGoK0DGHUVfCNztjePv
B6e08QSj8MXFqYBr1OA5jq9pLDO0TNrGaTZj06vEo3iJsXbcLYEkPwEpH56jVYr0rOAtVUWGv9X8
rCxkaV2111BFfv2pU1jK3iVVaqXRbP1LDuBSMsSxSAzIHZLYDdEQHprDElulQTJNJoDEj4h+Kbi9
18FfEJMYMDpPFNQU4byYOHpCvZqrxyEV1qgW19aitmiztl9ecfvuokJlr2THbdDrI/9P52xLBt23
jpwe9kEn96IREDceJiYd1ad/79EzsMdyF3t/QBEK5OK5T3eg10mQjmQ1jNphpDb691bTYN3Y5eVA
gGaa2VjVg7bvi1IXr0zccvK8Ur5KIZKx73rP3n9rgTjnx08lgFouDMeBpy/PhAGfahDi9FKZy0xk
Z66Cd0fgYDWY0/JSfPqfhtc0bMh8mVVPy3MfEcYvWK11VeSFNwgToJKK7Dup6/xzg4vuMKVFK0eY
0odSIg8GQC0CqAAh7lbJ13l+sah/srPaHBdqtxuwa9j2QdiORB0SdEvE4qGcELMKFeSRsb2S+3zo
4tiaZepKGv4HicqCrpROVqQDOzVyI6+SVD7qoEwMccbzS84Rvy2m25lKTivZ5NrRtqmnqZ6QmUgp
Qyv9+HVh8j3MnlbiiF2G+O4fSJZXXKohsJlRJCfs9dWT3DrNv3psTakzzTPG9wP4yQimc4woX+jv
LgeDD8CCGIB6EXOsRvJz7orRY8yk0xHOtlOklkOLRaN2VFQh+ywTBCLZUkS78N5FwJQl0T5eODdB
mCe+VqRGs/xltssqBWPq1f/IZo4MtD/HoCDW7aMb8a4yzSzzyet8JBaKnklpIhfHPPtPycb7q/wJ
n6bKyGdGI6CUxvl8zhf/7lY9DTgQ+92ls8NE19Ne7mXBoHHRt8EnJjIoqpH7X3Am22Vi7mDwQJ54
LtK5zx6AlVujfE2rUqmHf6PDQFiJ+d3yGbakJV8Iy68xqrHcXdI15g4TqMu7yGd1fG+OiDGaTDJB
HXJS5Lh59+cIEuayZC9rF2ishLwPrON/e1ViImQrj9y3Z85ESQ5PK4EYcIqOhrvfNAmII7mqeW7Y
j+pQ5sxwWXQFSYnBwxEnaMNcH1X/xY1sT3bsRGaf04B1h8dG7rWsulBkqG7rmrvf48Z8kXV4RW1e
30qt4gPHovFF8La/GaBCqT6L7VvJx268StUuHGhcPY8n0hYXCuQRbm5wsvtW98H6xlTnO7uops5M
hhR552wgDt/H6lzph3zyAwkALaeo5BcO6fV0AMNe4KafForGVEFaItazhZCPW0YMMPAICR3g8hcg
7c8/6oMUBrMWuze9W7vFq8/ha7anN881qqTg1Ibia1PCFWcwvr/GGL6l49Q1Kw8Dr1FP2fD9zoWR
IrolhhrhPEYmnX2UIb7yTdJSRNzKGM8Gm5+QDe8x8peNyuasEAaDuIvFbdChyw+1ED1TuJPIRTKN
9XM1LMlFHXVE2AVOPgO60JzaU2QFMssgSarR8uBQuukmuFOwdp0ydiytVVGEoeN1nCDnzK2HM6mj
yry2JsqLTIbiA3wr59yoRo3OfsE5PjQob2Ih02g+FiAg09e/KzfRRq4Sd6VGf6r3xGFpeh56V2cp
0wVTM58V4mNbZh+Pq6jDnC+JCnrJBfjkEbGY3X1xESIYxwlI7olv/OjNhXQ7oKWEdEKWNs9vwUty
ErQh+iOKRfJyjxukrMBzrQaaUc6rXw6uXcxVFn0B70wgypb9kjRsv0EbHbVT21rAmOchz68X43WJ
YO9Jpyw1B2raW6103Hun8fqfh5TN8G7Ku7wWH82SaSj+3JdAXGSDTjVpuzJXyOrynaoPPtHaxoOE
ACyvWsxyeSj9TThJqL4d4Ljxa1Wf+6VxpCsgPdV7X2YltoGAow/UlTCXo38k3H5tScmfQ4eVcfvA
RHIV+kgK+ILHI7H4z/wosIKSOD3x0pzrMUAzpR8FYcMXzYcu+yYl/0KJu80bgx1nrJVX6bANK17/
DjJd0Bow7VgClrfcKCg9UpC7tAv2T+12SIJIHIYTBOquymoYHlypEanamydl102E96A0VAzO0/K3
893bYnfQjXHLuJTpWXuQzPCJ/XHX3wi6dWqOfv97QzZH1mIYIGxG+moG5JFcb7ngg8Ctd3TX7Z8S
FwWqYOjfM4ZD6r03BJGK8ThioFBmpwBpZnvfivOJ5eZ1tk19NfKKNSOGTnOLMDclRRb8f2pxRr7+
qAqM0ctZpjA86YKJAUwFeFXVpjXLYbmcq0V5nnVz/fyAr3mGDxcJhwevmi2TCcPKJ4P/yRrJ7opW
V9AjQQi1dbCAa4QOPzLruT7n/Oq+ot+rWK0iEvndm/0K5/zr8va/w+5w4NOPaOAZrQSW8aVZHOBu
M2T+AgWKBesh4AyrcHILDfPhrxSlMx8bru5i9jAMk60Ha1/lAt2y+uXaDEw2KaZ26NRB28lcQiKc
Lin4cLyM5TZ2Rs2QgB2FURiUniXxhBWpRcLD1kABEROe/5tqEDrAZf6UOoPhjEGBiZKjtLzzXRQ6
cwk7/tteTltnFjDuuoXh7pVmZAciKt6eDm9uGFix55Pcmz/0yHv5JexHNvGdwqVJugndIGI2v8UV
1lQ4Uqh8rg9fffNS2dQkdLvbIkXWS3itnu7JbbekiMpEC+MeXdg3JioAvqc0Vh9Xj3dUCvqIY+by
pSjOvNVJdKvzTvvyXkDo+YNElJzZwzuTrCcKZa5fK75TPjIYL/n3hu/ChuhvRJDKfPHP8FMmhl4l
CR1qW8bCHOg4kUiSC5yLlh6eTAr5NKdeqmlH7Z7qhg1/WO7t/YTHnGBUDg79/BEgD10z1TOzZCCM
BtJ0bHIMWe+DISlG0Whnb7zLnpHVrGWgzuwp/TZdWphFJHR0Ckj1yec4GFP9uah4cwrgXUoUv8Js
8U0rRiKKmqap8OZ8R1sy1JlFn7mTl5c8bJRTYv1hNQYRHWCToQMKzwIi0a73rq0fu5QY8igEHswO
ql28Ml1ESlrXmwUGILtQ1pCPjq0JdlTUbz1Y5c/pJmygFrFsy9QzEAZTfG9QST50pk2EZSlMc3TW
lqzJ8bYpUngFHn0TmWGrHUqzu4ni17GzezqEIGcK3u4s21NAC8cmwK6suxzh3BQ+1GnVtSyTSISw
rlFqX7iRjQA+tZIplZHf10jjTQ7fxlxpIE8Hs1PMX7I8qFcnw8YXWXK1+8WIDwFgHjbZNybAKrCg
pjzn43EA6lWxYFxGsNTJQRnIpAqd9fKmQ4OFWm5N63EewOdPwrmr4vVYVjUV9/6KwE/NKxzXJ9NL
opP6bP2eUU8ncFQ3Da7QG3AigUBsP0VEABpOzRx/sKhoA5IOJByYOhoEDxLENtmOGTytIke4OMXu
WgdiGe9EZTl8vRDnoB8oyLlBmdyHime99r90+ExEmWBn903JpsXpdxRsAfdS+IvpsV50pOdFc6aP
syl/ChZo+Rqmd5dEshvpSU715ojEgh5Aj9DfovGX8EzSgF+aMnX76FL9AyOXr/3KnLWeX3QzmS0R
WlKVPjDJO/sCDS3IOWv1+iSumx99QpVE4Q5vBTpEi6/SJOF0hlYy58lQjgchz8DMRus+b3pes6cD
RCZ5qQmwDuzH0KabybEyJeNAdauxEG4PkFzP7BSlEm0Cl1oTbG5fRjOvltZ4i8o468Tuuj71Ka9V
E8bK3f+BIFGY69Wiym8zCbo8iQ22wXUkfoIp3kGM/C7/uYL3/0O0qhu6kkTMJv8A01UVXJiAXc4x
WcOhSAh75+vSZbB8cCCg2BRzQYy/TZW7iPYVbM39mCmoL0lWWGDrEkgi4+FF8jrZ2jxhYTN+yVOm
6XZvR1M4X4PcbYXijLKC3oS8ia/Ybaa7c5w4RG/pHOTNTzPxKy4FaG1/yepZdiv5kBxB+5ZhKbar
BGfkKWOzVQQXRB8NywhvMz58pw2mr7q3r8ij4nU/xfgl1g5o3Rvun9cIwgJ7UG5SvKexEayvth+X
aW+p9HSXgoY6zH88Pzesl5VqVjkAtUodJaVPm7mFH4JgRo6CkMgzJPtSut3hfFm5lOAzw36aM+1s
ZPDLVOZmPO67c9B3jeplwS1mjRmYeipoO8JhD+hE6Vxtu4gQXrPWi2Av0lFFk58AlJ6OBUcA7ZnF
QMxQhkEYw6ZwnI01XUSz1RSix6SGUg3gGq+4ZfLF2gZ2lO6vglQ0VL4XdXu7ybhm+ts/J6vf6thb
r+zxkINTr45Tl0T4Wsk2ZGPSXC5lkgK0NzIvJPI5Eb4w3Tv41jAihUYKLwvNzOCTUaMpLCXPEsRr
9gk413mST1Z0PBomTVnMjLyZ2LEb0CFRkFvQspTL92H3eaXG5mJiyvaEjyhvelcewbEcmnnX5S0o
bXLeFFg0KyJGEqgLF5jxGXcl7GDNrxmmRYvfsyXqILW5I78rMb57JhjSjQigdXsJ+D7KIIeruabN
XAxXESkUJQRXNayq8Ww+J3jF4QmnCwEfAVLGmIAlNDQyNY3L3FT38YowtD7kdSzN5HQhzZLK/wA4
6Vrw5Af/fuTbr7fg2vBUDOflMKKHiCKdIgHUfFoEfdSaXBxHg+epJaI44XBYMdC36D4sNrR0vKCg
KE1nV+uIysUM7rE4dUMt9VuEf683ZHg005O9BWZQoERwdCJKY3bdSGf/cu0WHvLdQCCBOjyln3mb
WkdiGJdXiENhNwytqC6lzla+16k3DFvJ9539VpRucjR8sFKNM4Hkkeps1tbLTn6Zf4gX73zTuBaR
TUg+WH/3Mxpi+2ObHi1rdSJNK+Js/GVtDsErr+NEiRirtvy9mPXdnsYbZZEjRHy3gzPLyekizvrG
4UG7WHd7ojmMzFADwsBVvqAXuOf0Dqq0hn5fFmonkIdifQirCT2hRAmk5kZztlfZjiLvEVs3Rm3T
HMzeMe1UoKyCC/ZYn9xho8HBuUsLz2fjrchDkDwC+CIy5FwUJjcLgZmSVHF6F0inWIYMH9PBXGkH
eRPBYkG/DgBDl0EPLXYZhbn7m5VepUGOFJkMKQQhChNsSi1UJ1Q359UTgTgkQw1dnwSalN+nt55w
5kVimYAuDgSlob+E143eoJOTBoImugruRvM64WKBih0gTQw1eq08DqtFln6GcoVLOmKJPORLS9S9
htSzIcfdi1Yd8m0mAyV1S0bl6vTHaVZm905rae27SsSxbvWyE3LZXsjyZnNaUS+B/DvL39gMn34e
hoskZSuDHXGn2DCLF0PJr6stsAWJVb6Buub7UFaD39u6zGRRD1kzClAiEQN2/9uBgYmh2OepHpoe
GK8D57DwpNd6Gkrrm+E2AYftfRsC4fy+KiBOEvZ14T6J6PzSp0F2m9kmGwhLLJdgW1NDEDvk+MYT
NL1mwY+4AmqL2y5jj+cqrOJZ7MPRcnejNBlHA6m7X9ofwBaeQBZ0RcnOHnbuIpc9kvRLorJlGYwn
/hcvnU0ju0DAM2N6t0mfZeNQHxLBRD/6CiJCT+2cCehLZ4vjUAByGmhTODo+DVDftdpCEfaDajqy
fZEs1rPBEcCxYhbYiy5ShGjhSZF65U8BsMl8Jv9ndYfQoU3vQ/L/jt0nTt18Bl2L+K91+NoWq39d
dixqYQEsvLooIEEXI71uSOuP5rDjE2t4PKjgvuCcWpRsXHmGFEARRdzPGuw7DUg+nzfFWEjPg7ew
21FBPl76gMTjgzFoFYpmH4n3SqYvJ3Es4gJE4Kkv+o02alguMOYACtnwMNLXdcfZ2t7IHD5YIRUE
oUEHoWG+OS9GH38nXtTDz4A0y70Tr0sz/hbmuiFY7nCZpXxeFbNT3uQIm5MW1hiOGylXBUVJG1+C
9lKr5YVTDHpB8NRv31d7GHHEVzan86pFpSg6hEOgDNJV6g4gdSBr/VhpKQK1a8OHqc/N1OGa4pfS
rePZ1f0uqpu8KB9E00jbvQYsQTXpp6M4knIlXcVFMFf+CgofNvGmNww3SKqeJlyDYE7p/SoGE58e
k52hjivu1EvLwCTrI48wFvWrha7PqTDg0iVm0QOy++dFe8p70Ilze5oUrWhip3SWGrI/Hgp3Qww4
B5y4psHPJ+4QCHZAU6w3dExh5gyZrRig7IgR7lghLSaiLAm0YoeFkqHCUqM6aIv/zNt8H0oS/X5T
7BB4BAeyJ/99P/GFwKF2VtE5l16muuC16Sm3HY1C5lbokPJtBswredWzR+BfDV8BR4dc7TuQCBoK
Jd1RaAmUbzpkJ0H5rnzzypxlIUwtBtY3W1hbipZ56kVuR3PUtjDOcMZB/4XrKvJl968v6Mk9DAPD
v2o/9oRZI0e0naxckhmrg8+xyDta+/pMZy2mdZwpII9zpZV++o18md/auYlDkXNF+r6HOuMtqHIE
qCg1hb8SU0JF1sdoveOSfDt7clBBYKNAtqSq0wr2sMidf2g4y0B3EfdMvaThjEP8SwOKyppXbrXd
pUV25yLxgHTSyLrxwqvkBp6NCwahTkHwUDYLTywF0o3gdCYbzsmku2Yc+yIDK3fSvo8+Lrh/p3Xo
cZZ5Z2cK6C4+6LV7hAONsJYY16djivAxK5w3xS9ircCj3waNUYygH0vnkHqf9oRVDSWZ7eRbqwUO
3r1gc8tMmas+PWpA5OnIDvwxAQfpS4fR89UJnAtN+Zya5bwNHX8MSRrfGqBfvUx0NKDEveNgfiCJ
JPTWOldnKH12QpVJbja9xJSpgLTsjnHNqGSCsyYM3X4Vt8Cohiz2+a4z60zy9qEjbBRO9o214WKm
udnN+6UVYfTL3Fq/x4ZV0ak7lXxZXLduWvQS1wETImtC6sFzj54MdbkYTeZRQZstWbuz4jnF7cPe
BglTKAmBVKZGCPb0jG8YJHp9I1sIUDVI1cxp7V1PbW5aVqwTdCH1O6JvqzNKdPuXmzpMjwCOFwQs
E4xrvkRtUGFc2u+jU+Kpy1zZIyCrBml7QUA7MQ5OzL60LzaQzCM1wBXSkkYdvnxYOFGhJbdXYMBw
kA0cbOlPmAFU4Cpn9yZXoIT457lYIFhyQ918ZbNlbVqxCAodoyoGScu1HL6RWY3BaEwxyR68drSQ
xZt5paqpq9FXDRgXSv9TM1Nb4XHkeOEP7OnRbc+hNyhde2n3AecNwbAnbdvVXY9CtlBDYeuw0Cfo
jVe0LQfO2ZCOrSIfblHvrzYYLgSa2MnvwecMzMjdtBLqUIdyCVhgRIyO+RrbyVI4NYYt6u+CrjBR
i+BOWrKP62n9Z1OBeHeEZhf89ash82rogvqGOtnbJzXhHLRMcqoYi/g0TkokNe4Skphpa/701MgO
tPauhJav5EXVGmcq2Sv3RfuZu1IcV50UC47CZ28nK3D9CSqW1rqSJ/qsgJWurcwFbIVSTLBMzEbX
AuwPOUAa6pV2jjZZYczFqLXOzoHNUfm4zEMM3thRAPXfWaqRQHZpUvG7D2xcmhxr5QB+dNFafxE8
aW2Gje1jyAYu5shR3p1RRQ7tbX1/Qe+GSDRrwnNDdRJAc1fD94bJR05xWoVUT8BBUBERzUk/+IL3
/PVdnwKNS+bplw837oOk0IkfjESAaON+H2oRBZlKc3Q8a51kwq0IG/IPtiXaftp0R7RDJRjZBZks
yDd6TWwaZ/q4TpRDt+jJSFZaM1dpo55I0nL2M6InR13HLXsK8+ihJTRcZknlHdm1jvMbghcnz5e+
nb8Qk2bRTKuUeLu+Pyvo5QCJe1/bjTUaBfNSG1YaX4dNUZxFQSNfJNWQE2CjUbdhdtu2k2r/O+ck
5IME2LTi1aqFVWvOnO9Tk/+9KAXN4ytqyJw6i2qZ48T8tk+vreNYNq1KJWCM3JVQH/l77OHE5lOV
SYdpd5BkOKDFtzPBvL7N6+XnFZhqMiVaeVyzXw/v7DHfQKPTgX9qG/3kTFP/aZENfshAWgdQhwKM
WMMU61YDDppix2abtSNTaZRkfbalhRqFzuodjKtKZQNPwzCaAwDzz0oliyMX4Y04hY8JqtrWEBHj
cr9j742n4e+nY7sSIcV/36Oqrk6vrkAxL/a/5XxEQpKfnKZ+nerNbPIKkvJOWA4de3KE3BXypp43
8snfqQq34EUELbcJYtLzogSobeCsa/QIvSUZAR4s7scL4K1Pt0WKKwAhq5tooG2LvBBdDTOS7XuM
CRZvfSMn6ae3ZzgYVeHv98UYvYCsz4JRelJzoxakTPFTbEqY5GsT6xvSLafNs0CMXtRbW+Tqm1kp
LyxCbWhtdmauZTlKLTf3YvqMAshlr9LXMc2sgWNs6wqbIrulUz4j+oAV+/zWtPH2A822ohWjDgdP
FHF0qCEG/aA1K7hFyr6+U/Us+lDexOS3Zx51CVL0RVupWB5mCePxeoU6sZxa/KSjJFrRKLxYckYe
4UG106501MKXTt84vsi3I4Aa29obvDSeDKVkYULs4YRPF05c+H3CL5zfbjas8tBgU1n/M5ELefOB
wYQM2nEmDbAp6ihf4qADQL4Ks6zz9NRM73COQCdjZN9Co8YBHtFsJxaBBJzE+rWnSuJbGg7PfCO/
0cuAxfCtXwY8tEGDwocafcoUYozrxfIMcJaWT1IiKdfCVTp0yKT+iAZMtDX1cZInV2i5Ntbbk5e4
1vLSDH3f5dmbK7rkQUQ/G+cdzFc5gEMMMSMH+ipkhc+SkPbeyL/JgWot7sf+nfvu8TgcK1Tx4bHI
bkpuU1BzlRy0TeIP8UgXQxcSRCcshgE0pOGBiOC8nAnFiYkkzQrL4BLAM4Rku3pWgm1ijCudPLyK
ytuBeAlcPl+Gp3AL24EZ+xbn6yzovI/whGVl9fY6DBXp6+wXD9XzKexuEmnyr+JfycEQWv2/UCDd
3vdS/SVnUBVZQi/ZQ7U+bRAEi5Qsug/UNoqEiNcjAYjP8BNZwrD07pivFuwX4wDp+sSZOq+F27WU
XR4jUPqHD4CgG8WN3jowCTb6SSS+MSNpwHLWMLD05mNJADb4aTpIkiWiTjNnEz4b4xy0kCD0tbVI
Mzv/lhRwJuRh+L2dVVbl/4s4Qc9WiAseXg+6Yx8jJwFprHeEkxzqewYz0/S03UXzOQj6XSGEufVb
eDbHaCXKkZuYkJ9iTD4yLqfE6BQoUoEc6yPu5moDdkGbBxghiVBT5LYtxx26aPeDEVTc1lKID3aF
hYwAIOJH+ZNIfYOehLwo5LsZnjQg97CasPKc19AD2InZAoKFmTsJSyA4K4x43OBFBcW7/Iq4VTqP
SknRKv+uSoB59pb6VX51ICvrtPEgWUpu2TIw4Qsq9KzsLPZVkRNIuMFOvXqwjCwgVzz8izM6Sgms
rfEcDiJLOLxWKdvtitfQc9EFmfDnnPAix6TZ1wJXzKRP9ZufYCID6hbUinRH+699G0HZYiOh/Qp7
fEIw8rQPLtDCgFLDm9j9KOJSG9OUP6VBcnJx2zAI/nIBz+UVt9I+YdTh9/sXuvjImvM+dZ5I44Sp
RyU4PNl5EW2vFrDtlorArkZjbmRCHotT+s2SbiJeXooXWrohN7OD/8NrcDs07p87XmZu80f9swFz
R3Cp45yc/3GS7cSSHZNJSaEle6vi4KYtinaBzogAEkQ7Z4q7p7hlQ8zDs9dgFwjlFVkZjap7QiWd
/lefdbn5mlKq1120BoZdh4qcTb2P1ZMaJyiCgsR375UGAUj7LGYJWT+p7Yn9uJJUlXFMvczlplnM
G3HuotHy4pcQxjkQv2nZUxBjnn5htBt0VjxJZnXMr2ZaAkFqv8oato3bLzJxGq6JYXsZtMS3UTpl
Szu+dIsfxRrDGVS5zdmVY4UoJv83jI3IlpmfGUO0aBX6uvaLmSNeXmRB0KF1jwty3cN1aUQ1TR70
0DeVy1D8MnGKEE0ZUAVbHnis4eMb1TpLQMz56pa99Tqfe+IvgOjTNbtJwS75YG1aAuv3wl08+qIs
Mhs2PgTj2W9+SvDeDvaJ+NwaqwAz90TknFyb6op/jc11NW7Chv2p168/kT4B59wou2oqz2hh5+Hk
IkNhG5Vbfu8v+tObdSmXYGlQs1raCVo1LnQpySRxGnKOseCAmawCabfw/Qq+CeVlW0GSCtOnTM4Q
n6/e8rjjSIsQtl7JxXNI77zd9uel/H8QWkPHOgaWceAZdd8bdpFvGev9pPpT/SfhihRRLNkMq1g/
onzLKoswsqg+mMZrx04WQBUj/MyIblJNoi1RD+3GlwcARmKzVnIaPue0J8aKLNc3FG8HLmzNN1EF
z7UBsM90QmrVyx/axjBdAyOOW/5w84dY0pdBqMMKViPXojWbNMLvJYSGaXlssJQbp4bxmRCbV0gb
Exawz/N4s68a52/a/YWDcUxJY1fED59dG8KA7n97EGor3a5g3TOvInKX1uTphHd5oZs7a2+lkcCZ
xdlnuMoQfRzcPGQo/CUdFf5N+NH6vJhfL2QY0xbFLnoeu0WyCjt3lsqE2R2hL5UzcVoDT7NBMTZX
tliMzDgGOJ5OHcQPQ/r2Hp5tR87JWdEoi0MRv9njRKatCNIHAuF6TXl6mcjnDdC41iq4RX4OxU7/
JCPDfZfaHKe397yM0l5IlssCSklsE7+wfFFvdijIkT+45CXiGtGnfrlT1jKX9czr7hQ3MG2skKnb
7c6A76JYoFnbtY9nmKqjrJjHyQslCHDGxCGOmJd1/1x0Dpg02yF+KOqsdUuuvt6cdUn3IrUNn1A/
fWZBPobkQOmBIJqCMOPC87a1rfyh+D3mWF+FXmvs9sSKVYEjR39ke2Up0vCnSsBUPIzR4Dhn+aA5
qzyXSe8kFy3USR+LYZkAoZW3HrhxSNM0oUOtmjU1AzvrUjSwDK4YVybU0+EcX1ZTSjqq7GiVQSmM
ZDWVXSo0SGlCwUbwr5wYwnBMmgXPw9WJx3oPmbDNQ45dcakXAH8vjr53fXu7eFIjFgOGolpWXYbC
r5Aq2Yz5ewv9qpbUD87XJujP1YtlIKRG1VZ2m5gMRlyShxnSXJIHDOTesR3m7m0dwezOlz3ujmFb
tH7SbqYC+MaZDx4aIcfS/oOuAvgjJLCID18lyakeRXXAN/dCZ5of0vtBmJPfvRnxfKOtnEQZTgoU
OqE7W1cFT9rCH3Pp1Iyi66T2GA6WUmEBAaX+ojnZuROEUKkvmzl8m1zPfchdusTf94tm0u6eF2FW
bbiaXe0Kq8vQTnpjSg+IR1UvjXnrG8hj9RcwkBr0TgaAudw7oocviol+YX4+BC/CscBQnYgpXJoC
pWIaAiDbNMW2PAy0za7VWx01tadTGYr3Kq41ubFZvJZL2xxatOvSZRD05wBzqq789FBVfHxYaNUV
LdK+C48sV5DS6hniCU8ez7Kw2TTtfcpwgwZ843zArp78Q0EwQBH5Vq6luyOdUuFC1HOByj3kXFgi
odaxDc9Lf9rDuBhlaNdaLRPtXgTVOKn8BkOJ+TKb99+HLjCzDVGIegR+bTn6Pkmoo30ExUtzqbaf
ZvtRxKE0lt3RoD+y5+rbGAHk598g259+tgILH08sGyUfJEbLCoIvglB36yA22oyyi2WosT8H5pW5
nctXbloOC9ufNNQNaOgCnacA4X+f+WryJFztN3AuSELZL4mwGgdaACC9S+xtG0NpXzKrqSFv5vDE
RJvTIbeCRPacsImUsjQaq0Caou1x+P/HyFlXT1OR8pgU2Iy9nT22kCT1f7eOXI+S2FPql5VlwvPh
ZBBFTsthQ4MZOgWzhGeOTiqm2wGBKHeYV0hdbL2dLdNSbUx1I/ZDRWs2DvByszrVHCpCQKwfxmop
K/ja9RYl5s/0nKaCaB6kFs94ffHTI2bB2r4MIOEjyXyl5Od8SwSBNdZuazS97hE4iJ7aMgkFFhkH
YVoD8JP05ndcEcRQFnQ3Z66cpQ4yUseB8eFjiQmU3C0YXnQVqNrN+jQhTXWlAW7IzkSHAgtI52zH
zpiLb2PHSLQj6GTiTgU1ql3w/kggfKQh6vKm3scComr1q7ootbur31t1stifL5ZQDZI1pRnBGytv
EQZ928NrNGsX8M1vDaTFM5OOghV4GEDaeLVvCV1U6xFqPrcUYAhoL0gNGgmbX9iQv2yGGzUYFXIx
mYuXJr43JhROCMAxnBhnN1Miwh6wYUhrVLBQYUf/JhGysE7tLNfQUMufxsaSDLLMcFYVEzTT3D+i
EoYMASrK1lExLl72t51S85KVxrIrGZzXVyADTQWuOGbetVuF9OpJHGf4Qa5ph5STT6TPRZ92/tyv
hfl7f8Xl5VrnmkZFxHJE0EPb5Uw3siZjMYGwAiuIc/gsOEXLQZeooBW/tYdOZPxYmaNRHwRJUW7Z
jUEikTWOG/omimh4IJiaGRv0hj61nMZHwMCLxRUCR/f7/HaMTeyIdqG0BgLt1trzSrL9ld5OEqot
vgaVIx9P3W2UhHJ1GIlVwLjUP4Jtv2dxDlibWKYMJX6FcwTUOYPDUMBfGcAQTl94LETTTv749QiW
SP2V2MPOK+rINJPy4hCAHwS+yC7xI2jEhq7UwwSnPSnA+Y956C3FSonLCjRjUTJ8tbKFUKjqBNaK
ztRqvDosEUFKHKOkbbeOmv7LjHO694f/aPxbtLTHoASb3CA5UmYc/5jZorGeVKQJTAScjqOGjJoF
fminas5/EOJj+/WYgqtdG7CFA6dhyRH2VY6xIiD6GR4JxI9AJBRLu96umXTGXsMwNwrG708RlWr1
/xR61xWYp+A/Nv2oyXx/EYL89GpxmL1bsztgOAuGw3insdFkX1D+YVNLCeml0SdYO+nhd3N+rlj4
jPZ0vntntZHYPytUVXL1AXtv2WhLc3qBtTF6F3ccfonX46Edky98VV+iknD2DscMZGeN2KiDEtjW
ti4s5T5/JWd0arJDpBWdZVSsS/BFZSF0Y/t2APyGqIrLr6GlpXcAtBFU/EyL9vYWdfcDBCfFbFUb
q1OSLIPuL1bOZJVlLpQNrWwcRoT5RweBRLS+9qprv6QmuoCrg49kFDBXc/jqjk+byn7Yf+sC+GoK
71EKFGpQdGn956Mnfu7eGj8HyWgcJWtafIfC+lNlzgU89wBSSCgYcQM9wdv25YMgsD0N8x48/4HN
UihwPHCwT/I85sQJQ4zJeqcMlZvdh13DNnHdlQI4Eg5VRTUeLFp2Ddi4LKdXnqzpnbUprXVPjco9
LhZLp0ZrLoVWwOzLuZUM8rbK8Jug2S+bS410kWrQJCTzTAyOLQVpy5v89U8FvOVOzgGYJDIGCEgQ
BGsgOp2/dqhW2RwwkV0/xYW+Cthllz6bna6BOQlo2gbnHj8s2ncyp1MjiygpJYP1UXf9iMT78d7F
+Uh7QYmS12vDiv72JCvAZa1omTVsVFOaJo3E0OG/t8vGXLp2rUMS+d4ZQAynNDccd9X2VtnlB2WQ
sjFMd2+xmVjH7o3dVj+eGiK4fN0xpcgYsmKZppgHbnQmhHaxq4P4FALIudwqdpi0U2o9oY+qx9VK
XNO1Tti0kt2EAExgshYZ7vLtJiE14WVz7JowElIEtpPGKCo0nI0qLM1ZJ+frbYGtvvEbRGq+VNbm
KBfQh04eRmsfjbeJs13YT8J4WjF7CeF7FxQKHGI0Uf4mvzDI8bLner2gV17iJ5DcVLFLbjdQ2JN+
vM/ZBre18OX5aBshN4NM1oEC4pIS4dPcK+sBMEDfgv2LEVg7duscOLnusTE6keSvbg2mKmXG1IL6
fYjymwdboRvwYMeCmVCKCjvKUMD9A1lCut9cYYKjkcHzdaUdWbifHSUbuRBfR9IaeBUoDFJ4WK6Z
bJVrTMaWUetXSkcpe3xqeJHrtLLjwlZ6VRKFmxm8G8k8iAAgvtGhRfPcDP2aMnkI7SIXOsgUTFr1
dOo+cV4AUdaoN6G4L8/PSzaFTFqbNSduGJ6/zBJGHFJ7c+PWARueh9rCJIfhEMxTKiePNNr8FMAW
bhhfIfR0RF5hybZqvNtd+mdIus2PZZkFKOPQoxxPwYp+GL/ya+t45Ok2sYUvLHUZQKuz8UxZ5Cb3
FZdGV9JJtgO4Bu1NLwGGjmUpxTmYaUvmN6hUk9/AGMykN/m2YxwzTpgLi1gmvcqOzVlZbPDBszql
K31ify74CStF0wM/236zmrA+QL3GZBKVBOkRT+6bgDPJxe65Bt34NQnm/sZ1pprkjhnndrJa/v2F
GP3E5QL/U3A4SF19r1+XrjYeC+y4UpXWUYbCoqRWsXBve3j6i0TwoJSPajoIvsFQEsXc4Ddullfm
WcH97fXMIw0HkIs8fXOO5lVFwcImleA0wPOdjfeLLZyUAD6Kt7RM1jFj65u/Y6E2Le9DyhC8eWLJ
+m16157IxJSakv/ppluFlehlcDgXpf1TsZRMl5pda0e5rZlTw3sYUb7FZqkuuUHTLRqg2o3+YlDM
Lxi+Yzvv/yKPcdfeVQEr+dQC2ZpSSj3oqc28sQ87EO1muHyNUciGVvvwY9/GZORFAt+wAzMQ5PXL
+o9GhHEdH1mRcWYqczfbtHZxJSpRRd+hV3g4+YFaqklKl+YZNwqBCNICwQQt5J3tQRQR+foc4Qt5
BloKm0d8mcxJ9IQ/0VYKdzJdf/pvnC9WDUhFF7UWpUAcug8Xu46yG/s6T8AR2EMhDFEw0A7ub9TS
xUUnLmZAHtWiYCFCIcUITHvv4OZsOhTY3fgECfFoRS9R5lO7oM/TVgqPT4JobrLSV6IoU8X4zx2/
oXCQzJFhIIU/fPivPg928mkaNwzEIMELEl7/p2z4lWH5aawUpHw2jRTTBdS0OJBe8AkLw4L4fBGO
i6Slys9JiymWli+SN4S6avQ6GFm0MwnZ/0ZkIeEfH56JPwKtqPNArUJcZzjAsK2k14KrRYCpDgy/
sDemz2SQuufzVPyBwdsgk6O5scgFVHxsN1/bqUZ6aFVnhmxUtk5MND8tcItXCYvLfxFbtWY9M37s
flokU/e5xe/uw/77EX5zhEj9rj03GrMqMg5UdvDOYoCbZWkmrBZPsUaKHt2Bl37k9CLdscbBajLK
Loghj4Z00atUJvClnp3+2J2wYK9jaVG++AH7jgPhMaZkDHGxvM6hhLYjropK7j1EtZMmJlijIM2h
FQPXEbLBCK/iUkVmloQd/iDjBZPS/nDhgS0p8zUGnwMrrvcI/rU/SB8pjWb83EFnAKlhqSjWQ8Oi
oeBUTOpfxB9THci5i2CSYhXncw+R9z916XfXDeWQBJniq1wdYfxjVXvwJ16FaBZXHV1akAc5Dr4U
kxv+G3oGqLVpzLiODVZn8626ftObhldunz3pbq2Cw8jlyzAWKDWMeKF6RjtwmH49XQ1PQ0EEtZhK
LyGKCQuPsMlMyzxsSzlko/4QpCMK6eA3f5se1Uu2nXoO5jkAVS4N1WiAcF/5Fq695Yowfc+xd4Qy
VF5gVnhJYNTjPVLYTN6eo+iAkkHMTuxI1HcexUzfsAWUK+FkKTIxJb5THXeNQY1rPawvrItl/d0V
TMA+O7forndNIXdwRhI32906NkNM2Ys0dZw4iKmLZUweV96l643QEwNiQxSxq3C4FLDcWfXjS/3Z
Lc87SSUwv/nMJahB6Ux1K0gkGAR0UXP/l1fIKIICfRICh5kawyGUXAHsWnNZWqPM7x3m8IamGX6m
RCaTogs8adAEOkECyABtQiH0DGY6vvO0wI7SZGJfhh8cCnMEi02fWa3zvam9J1ocTT520mbrgT+b
5vdSmp/e64KTfnsYv9Fyy3stKhlogIO9m7u326SD6/yzwQJ1yEroaTTKfiMpNdpmGuMA3o898AHE
oeQImedRlQmZYidztL4EfZJE503gUe+wfPrJLMLGSyU5lQI6qA/wnwelUD61w068nHDAy5U+BvJb
8Fj439eCl8iAaYJjNYqqxh5Tk9ljvc4A+sBcr1dTtYbCPc5z3u7miyxpn4Xk8gCe7FAfv/Cj/UAb
NbzwAnRmTwC+7zBy+7OLF+v048nO3pTBPF1w3QK2+WjkOU0U6A9p/5jceSGBPqPJg/xd9DivHxDe
PzH7zBMl9KFmHDBgYUxJWc5gn2hGeqEoBo+5cm0Wc+q2xcABsevlrPUOSiNptEUkw8MU2PIzw8F9
hQCoNwqqOj/9BYT8o/NbgsUBOTjuugNV6YL1sdUTu9mATMlyfhtfIw0MMSbvZ54L682wZ7uhz+07
o2pGQve3Qt2Uel83cKcMiw9TxnQUeKVFha3Y5n5DBSq2Lb6NYPVRngxosgNGoiW5UJ3yMxvxG4sN
cBJ6+7LU26CdPJijW0TOPGtGZAbcpA0W6GdsTKMxvHBVJRokSN/r3jhL1nTbiK/jQFp5AAm9lhhg
6f2BT0BlG4/D3cq+zBpJaEMXix/xLUKhYb5/v/QsLW4NJMRguaNaWEKyCvkRnGPsVeJPUuS+2yUX
nUGJOSOOokM8Vn61jKpzRjnQCS1hnbU2ctfrIxzc1NflFABdX66mnr/3aukfkcZonGWO4FRAn8zm
Hf+zQ/17ZGKGXBzlXWO/7SLAgi1aiOwZXFm9Ayams/kcmiS5XFvW7qar8awDUO182/EHc7btT5AI
GKM9knO60AfL73gUaZ6ioE2Ja1FLHK/95+9Sf1v3FN4o3Gmeoyd7+ZHqrJxp7EA3zMLm2nARb5R3
FPfhJLBGm7jylSJPBG5wDjuW7S6WTR/j6MYIrieQD7RjXKtNPsnz0anejC0HPNCjQQkgpOxTjhe3
221d+sL6QGL3r9roZmQkTFzpUSNGVFh5Z/HZleNOoHia/eIkRp9gJw+QBWQXuYiCPxsWtLuvYDva
g2kkHjFaNjLJbUtWCv0YGM15ptnxk9h6r1YBTxFEMaS41ISyf+8A9MGi6hQD4mefD6YddihRclLi
1+4JOFI30H7dxl2i6FMoyhMXOAlclsUOpbuSH2D2FLXZKVK6g+AhPCegCdd9XTWaHg0+o7c0375J
fooQc6JSBThWnAi7FG1BIJLzDTNN23mapMMeZbKqWM7AUBE+aCbozMojkIz6iz4+XlyIbCrRLiN7
YeaAIWR+fP0EMPoUiYZyNM7Uc61G0v33jGwzuEGDI04j0nvlJSeBT/6qz9HZfLj3kLCbWFEY1rTT
8iLcOx+CCpbh7nPteC8GQzdDM/a5561e3ZFt/KUN4tNTUGwDEDJVo1VoCy5k0eaH1axoAgvx3I7A
IT4rdIgcHTu00yLPFd+3cE7e1Gbwo1pdMFBNh7ay2ZNEp5cIPncBUmaRLws3kZ9jdgk0dSXHgT7/
ATKiTdwuN9toA8zr3xvAbf6e/Wm0C8bFuuVIBiFExzpVV9f3j6bsGFemHCnelnOxUuBeUnpg/+Gy
vqMitmJKtfXHzKvOMCdMjedByE5C8zKWRLT6priRdUMTAvefzBCEUN63v86p463H6/PLisiKPrW3
74ECMYDtMKbrQDpDRp1MIJSO1rp0DWKQWKcqRAYusJMzDshA+2SMwT/gWg7acymm7pRuck/fYu6x
xzs3zdfU9cbsyPuGrSmfl73ejd0jNlKJeF9g5Bqz3fQccC5KcXzUPMbbmFKDhqiugYid95VzOEkK
G5VKRqLDGVSy/vieKrYENwnAwfDqlQfgzUKvKx/eDQQ2zOIipoUnc/eKYOzIg9zKlngqBbQxUGGg
8bnN7ZQd/WyZc5K936U/JWoI6FqpYuEbHGDB1wp+ZnUWwGlSa4amCNxgGKIjpVQ7dulI0kkkpN1J
KY26w3/aaCxvZU5AChleThAA6CgaIc9qyLb8Yk1KiMnwwVT3jW4YR8QMHCD+Pjwny21WOAqIGK8+
OUKuFvjaJvp5RBHVYJAMleWx32ZXXNhX4O0mjq63a2O/NkBLk3/Pn/cuhYUAVqKd+kxEkpHWdIJP
8TVkujlEeT8IhEA3You48PShYfi1Gp+rglwnLCvN2I63A93/LPSIadgqY8K81x8H8AhPvUltzP1R
GVH7wZ9TvqTxW9fPTeW4j9PpSmc9OtWZ5SsWFgSL/wuUP8je5IOvwTfkaSEq5O0CoM1o3sTpq5/D
ufrg2yRJedK0GU9UTfZ6w0o2pRDlBQeaRDQJRn+LWgKw+hIR4fk4RaHHR0oR4vxK1h9VIlfgQXFI
6UTV/DyZqR+aTxlEkFj9FvnCvQbHRcqtfa5FVvVYMSiSpNYleEG2so5+EBJwsfKEWWdOPf+ViThW
5Qx7ygMTqUAx/+vch9xgkzjdSgC87uVQFKYLS04PM1TqUUoPtWWxLZvytyimaqmCJjd0X2N/1y0S
ny39xpCsQ0bCOd26RHSg/iVCfYuX2W3ADPy0PdhWcmBOwR55HzTW3YP4jh0uup24bXlrqf+w3N0w
aizvGaJZeaPQPHNhS56wJu+fA7G791R40BrvnUgbs6yfQdIc0okKCyTunnCJ9vJFD6EIgSe+5fSV
9cLfIOPrbHz8qm4JUaExTQZyXNZb8f3KOycZX3YkBTa8CkxsRcY7SCwuNKDznXKTndRT5Xlx1/Sw
xChh0HfKLwkjW/x1Ab0hkw2DSlALStjvu1R3CO9zWLEaZDmnT2R4xFgkT9RGwf9kYc2DnUgxEDm8
Vkilo3cSMCf2TAdIDf0WdZRMoQznfJst7QnFZIBaSkuB3+iOYx/fg+g65ZfzSB/mVgMLFcOUVVQY
72TfjCBadoglLA8DR2d6rCE61c5IWd22hSGNQvKq4Yb8l+viUCkxn3HVXDMEkl1FBKrgY57gfpZ7
T0QRaly0A4UecboZzkF4y2B2zDmC2DYbn+9z/UKDtEVtqzQEmc/hjooQFYYNt8+WVdT0XJg0CHNg
ZzxtO02K/yM4hGheQoP5Mu0ggm2Uz7k+dvQ2XIwEnkUsByFGkDmwz0qpUfJYHPyP+W4W99/8KZvj
798EQhruKjeAJt0pO1/KC1SDdc9bSsKrdwGsL0Y3OSf9+sBm3ZIDBhi2+SaXwSJybOzobG4g/GFW
Twh6VAz0mBWxXF6UCV9lrhgUvd/e0AVYh33jET/S6D3ig9cKEVpFnEZOfDNoelT7wIT2ajTGkeeD
APVx3TrHmxuJAoOWE/YK1MdHichA4UJUTxvdt3KYatf2y16R0ORZO2A6URxRvy4ykU6UvBLZ2nrC
o0N0ZOKKn8mCLnn1HyxiVA4i8PSOSm6S1UED4h/0s221EhWy2v3bJkKsO3XIuEzzJgQ1WE3lKtED
Ja+eyb6GUbthhxlchK7hAHlVO4felexXA2slmWUxVlFq85QlRtGyCDeKZ2a0SQbeUDcqyTDQvt7A
gM0GGjPAJP2q3XoHwSviDgPUlFNAJuEKIU9BTXL2wOemiINAXiuh4R2q10pu8FiTII2NBFpclt4h
P8MUaIhBcGMFGGRkOI4oGFujBYbYvAf+YfuATw8/aifGJfqwjZKYi7tbV45W95ftSk6PQQ1j6GsQ
diZyaZ/hm91yP8eA8y8DL3403ReiVal/hW1EzXNXe1XC5kgDIdXERH7WV/PZ5LLHVztmUnZcNWPZ
L2WC5L1x9dlOwkCTzwuvMLKT1NQrqH09TzHsZgGf5XUJhzqv3NklAjVSR3tRBoKeFxNN219qGyRf
8DxEqc4eRYtxkwxZzSQFuXh8pftqjXWwgPyR+YGnEpeioP8r5EmafPFQiXP4oq4VVbnbOpJb0vJf
KVV7dAsTCNw+zpxoGWeo0ifmVJc6Np3ihLirfXtmHyHmkBhkEbPoXVInZDQcuqvGtSGwYjNLcoO+
ORVnTIsy28TjxWiWWvbQiz5DIYt4bzXLwHhNStBQs1grarSqc1FQs05mWNsQf8opF5W2qHnrDI3f
/sIolIgoOwCCHNcZNWIaC2lzxXw2Ch3uP8uFKrxwtZ4yRHaTVthQFzpeT0WcSG7y4DBrYtGdOZ3n
Kw0rtLX0SqtCVTeBiYqLWXEEDTByQJokKAcuxl5ve6tA80SGf/VREsfMLtF0I8eBHm8IpgPvbGVv
jgpehHGQ9lW9Tc0ec4YvXW/+r+UPWZMnjdUSgdVoXxFXRYQT22L+13iq5JXxV0h3OuIzW+P2RKwf
GDcMU/M/6e3ovTpprQpfc/ak3KKTdU2fUCOryDgwc6hme2YGmsTS9J1hhnSaLHXXp7sNDcPb4jrO
qS+Nuc2j8xN4ZBD+0gngRCbdc02iaKTfzYWnLOBTZwPF3YLf66yRFFUOwRHRFlVb9JrPSJTe0kUz
EbxWjb67lbFCRjd2S06dfmbH0kDdGGE1Wdhuk3pXoWvpUfczC9Q1L9SGUhoOpfC6VnEYg7tVJ7pA
M6WTTkVFDSg71SFC1kkVQKVT5QyleQwMojF2PgB3Ngibx3D/jRpbuMvRKUb7cYfhu0kgKy+WEH0D
Cav9oHvK8ritDhzYe4NqAmxK/xjyhYiD3z3Vhu6wo6qH+omHc0ucoUpxSLN4mmBEBk1+aSrEl9Bg
TNESZnXrWakRcg2XHVushYO4mrUivN1K/tB0mnBr6fGWF6+zkxqa07bNuG2oTQNJ6e2nDu2DRZNf
JjO2jMTIyN9I//iiyXRckc1KZywJqpCYkHDJqZcZEwYxeDalH8alcj24NKVxHEmRj1QO8jyMbMm8
MZQDbSa7VrOKoSDBKXqts3lt17QMsQo0gddCFZgA6y/yXc0naF3PCJzHHbgzBgWEFwYxdfH7841p
FiB7JB5MEICmbejIOHvYlG31+yJ4idNlgkCpVSQKvEPECZ2OHzNQgvdP0dFxocdKTMJ+XVI0go2N
TxwJrXcavqm9THUOZjYExYlrOdY+dWTWTewlgB++tBypo9hilMC1s03zAuCg2tq8r5oI9XgJHfGP
y9Viytud2gxI2vdoRNIpNegeeXqcTP+QXwwxllT7tBI3r8nAx7wGmFdNGoW1G76rTUUVoNr6zT6R
sYyHDDyXFpXHkNpe8i7rtcnATPma+jpZW1Y3Ay8S4kC/+8kMKZb0MmxFZma0WQNtn8KjpCq6J1mj
jLOeoNC8wJVut9NAmkvfOA0jE2BaVNzp7SDDgeD6hEVS+V04kyHpXwznzEjoh4DOa6gC2poBmTqU
2dtgMw5xPYzKb5/rwtdZ9ttvN088RNIg8hQHAcM3RPfXYY6lecLj8jjxmk7Tabfa9h/OC4butjwY
qmBKPz5SvMa/avAS81IERj0hEre+Le595HJhgrMjCgKQxw+NNU9rvSt1LhQxddJRM38kSbg4muPB
kwQu6R8UMb1EFOuo4vfYkrvWrllGRZ1tf1yg1JJYkptH9Xopw1t2VpqwCUGjCEO/c+8YMqESgnh/
5lpsYvm9Qq2p8d1MwqPGAdF81eFMwYPQWW9fh61It0hCa1ADEhJu0ktH7v7depxC98K3rhqcKSgy
XorZBGRdVu/g2EeQml19V+9DUOiYnmFW3/p7Q1xYwCdzeS36eKK9bFIgR8/8F4WT455DdSjQSlSw
J2AuItqmTrvdyH1lP9b3LJ83q1Gww6PCQK7Gu67aUdg3smQ1IxoIK7d0zVIC+j0ZwfeshmNZ9IS6
xI1YpeXjkyx8yG/3QTVxQ3mzeW6Uy1CDc3AZTsQWO7L33jkYLvFLoY8BXJEBu50QrhWNBTIyGG8G
OkHe551q+HLhzf+bywts8aW1sA4eViA06KtT3buqmS6XXaFSncBlD0xGgh5zwvsatDHUG3FfufMJ
P14Y+Nv638ePYyBVYuKG5OR5L/HOs57M+wMtwG4h2nY9Bj0khHdYKiT7pN0rdgrrhTyawkJrfcDw
jf/5Ib3FRMzHMtGjnwC+RNy10FTom5TW2UDAUPPfF/4o05rmbvyRKXclDij3ZTxDr2o0ldeioDvt
kQvXr5qmP6ABO9aF7kEGA12w1TXjjY2A18CLd6nVRZDAZUm6gxS6aiKLrMqr/iw93iiqpzZe1pBy
xFyvFTHxuARCZlnjmUx7tF2obikw/SrYEoBGsciHMMCdV9MqMo2c+pSk1UbLi2ewgIMXgIHhkc6g
AyFu+RTsAALi/QyhMaLirodOK2oSr3KIWoEtvWOKYrt0hg9NUyARhpums23iJ9nsslmCR/zSSbZZ
Q26R0h0fRrUhPP85TNNw4eSNLfQSHra0uMbMigXL92Jri2BQgau5OtWiTUXjTq3zxwdPM2Hy4f2Y
H8IDZz3sZbCOu3Ai8vO9/VMrAJVRxEVNZ3F7GVv0wvXtj0IPUtABZalK/QtKYLvg0q40+TPEWIfn
JM5lwA1JVdaXLKCE+nCaEkRNCrGBGliQBzl6ANSgRgwNW4OhIgHtKB2mI5RI4O6GjGXQ468BISv4
AVbCUHpHn+v+8WksdNLtXidaKJA98B/YBdOx1rRp7c8BlpzPnstSSZOqEYefFnAO5LRpdv4zoBIj
OfnDUHGcNu4Ic34Dld31Dr9rhSJVH7rN36juii7Q5FSB9vJlPMNAF+8jDXZFLxI6KKvsl178qfAf
u77eKXqomfos10nkbc7nNCfyYVYOsNwHgvc5D98oXLHUx1MQ9y0S6Ir5vVtg2pqlhoQgKhyqrojj
1RLd+Rt/KC07IFle0T7BIfjxcCog+mF6cEiQS96OFauOJFTm7e+Z28rUPbofrM+LMe65W7IE/Y1R
Zq5RhevL8Wi70+RRiJeyLPRMSrhOUmcmClRv3gRNp29v4k8G7L+MwnLr1v1GYo+WLqdL84RObEtF
Wy29QFfGcXqukojsEdzhi9b9E21P/k49hHAbPt4kFJK/KDkLbIg8X8/l6kZUqzwLg3MDH2CfS7YE
4i6qrN+DjK+sudNeHzacstLKrhVKgh9OcjV8IDRlxdKRTQXvOHdMiTbUifU1Nq3Fajo0eoOg97Gk
LgXx3QAyeiXZAr2F7J8MSTJXwbf9KYxhCRe+/vAjyp1OAcaUtZhctUj4wGhcrl97q2IHOUr54EOb
yhWcm4h5TDnAQ7NgxdhNnrfHWhu2myNjo/Aj8e0C1VVQWHe5wbNweB2J+oMuGoOcgrsdBu1EuDn/
pJzCPR/x13gcZU+XFAxpoSWVIsxsHKqZhpyD/I1+wwa3g/QW88QqqQCpyOkaszLAu5vtp6acgmbe
QcJrLXDhmnAdWPaJ2V98BPcamKekwYU5HMvNedtu8jr9d8EKa0jWC55OZsBcjrWaQ3yLiZ6lGa6j
mBGO/Q8okxSW21Hkk5jPN7RiTd1KUty/DLAHsqyNVN7+mq1m5QaAQapBXbxUTYIwbwZZR8w8CPCX
y0Bb26M0pzZ7fwhn2j/H4Jif30I5fe0ekbbA1V8kCBd6jKZ7BOJzFaTxPqQI6TZE00BoVRarAAs+
FywQLvGdRWRRmA2PW05+IzFCQf3DreOleKmOK1oQFNG5IoqpfhIk6tJdwnjMb6QaI+yRGnJkeVrP
PT5/wA2mWE6zxk4sc2RVgrkzQCzrsm0zMmeeq8TO8XraON8zlgF5MpCJzYI91cmdGNpdAkmX139v
XaIlCv/Fdy1ehx9lSXNZAj4EKuhGmQSxFQwdXi5skVYnbg6xzULTZM5pw8odOtu6JGbc1JGJL6Xf
DoUaLfEdEJAcWd0frLYPpMYDsAgSbegK2u/VbXdLTtaalv9vtMVbxnHJTcI48zzssjdqSPkF/i4l
Y0fivHMCUUrefHqiFVgppYIIXwGksBjAGkpU+RBNFrrNgXL9B0CJtwJjoMpaXjE96Or4XH5EVqiF
Q6N6fjVjeP6JrgGJOS+EVIQ6QOJxyrEPAT+9rRpgQI2w62nE+HP7yQaIPp5hwXn8EtV8QerjfTK1
FzU0j2WbdGcBR3elc6cvmW1h+9EkBF4PsQQIY1cFpAaCDUMB4e8XLpCwzNjJp8MBz4ROPUTTr40y
DQ+vLcjwyYILYxmHNnjcyIXDZtENxTXZl2ae68Erwdgn1bgoql6s/DW5MSHEhmz8eW0vK8XEm5HZ
Eoif/0HzIaMJqZdRuPP5Qg0v7J23FezRwKH+Jh+fdpjdALJjQQ3KjR80F2PPgUUHWtOZICUN07so
Chk81G4IKyr5k8Xhpf9uoffc+tR1oNCS9inGHsrz62LpDHXMMyG7B2clSKiFl3xPfJ5vLXjo7U38
1wcQ2bv2CxHg0sn/l3ShYLU6t+lYjwmIOSFe3gzjlSiMxYBN6ZV9//TJqb/R20Yt8gvBKhKcQefi
a94UojLoBIqlO8exfTrXVo7VF6KlJbtgPiZmKVU/rcvMEL6wQlcv5bkfzVhk8/ISSc4SvzLOM4y2
kdstFzswBeRkcIc8wVP3lwtq9gE3ps+dKF/ubeka5rjrXHr7s0v5ofjOqUM8zaxGi2gXx4tPE3FB
dn9+DOQvab44KcC/V1gBHByy0FAWNkrj6tKh0v38L2ia8YX7RNzt227YYqO0XqnhZ5iXIpYMhorX
tvfnpKhsnwBEBH6/wObBaMsadoKaTs6HTBw7Z6htN1M0J+eb1PkoUGXEMjlNkhULZ61Blquq8k1U
WufCXEihFTe50FdA1W/uQOM5HuT1YGC/SRbnmpxYPdGJwhqclRMW7q2Gs+8Yhx5W+ETlmV6fbNY5
sV5eOidH3JrCH4u+aByXl1H9kb0q+l66tJQ8lVorTK6ySYAqMtbwDA7639T9TGCG77IF1id3qT88
X5D8FoWASkV1/YAv2rl29p/U4URzitw4znsPSW7UoBpgPEHBgoaSKdT9ZFK59Nu7e8qyF1SH4jD5
oKICp/Kcdcwmmvw6ahwgKJItKfEYirDCUHh7JxvC+bqdZ2zHPmW+pz8yc0f3VQDcn67LPXB1d+2M
5vVBnQL4B660b3jTDB3L4wFFTId+PEmlZ/i5VAKYVUCkxEhMpx5wJgO9gRWWmB+E/LxnXccGd6YG
HfoCLIZ/fUaDslYAFr0aK29UJ5YeGFg9uNpEInzaO1VKu2OXpz4t9b3qLLA5g0dW+wpsIZhY3aw5
WlZKc0ltJ36PXMIKNnynt0nIGFfwLOJNfwBRzWjGh+fQnxYn9zsY4Y9Jy68iz8hddfhEtQYZSs01
DSbVR7fAMc4EUzOtiGEUpcHTuo5qel5Mhr0tjGYYiC2ocMqmy8O1+C4MFnpGp2fVGISB50SSiNgq
z+YDYBF0waQLHnGEdXlU0CYNyfCD7w+/jQkk0ivV1iOdg4dMk1zIJLM+fUo/NmJwtBjaqaA8bRTO
Irk4Roq7404r++N2ZnvJziJhGOygromj4SM/8dyWbur+oA1fnO1pkqhJ+FrhaseZbVTQpvYXtMrn
PtxEGZ8N+AlNdENQOwQEePcU8vRxxLoXkCquxm7WGWT64f3FTB3PxPxfrl2MUSEsGz45CJQuwW/q
o9SSfUGDBX9AM3IyIV2bGnLoOR4L3ldX87WkpJd/lhbkMy3G++OcWO013xxMgEh2PjJ5ottGM6CN
hiLlruZMXnGusLG207xW/vp1+wPKVujpvtyZ87NZWawvepXiUzJPpmEDVdZxW0JUo1yTXtZXlrz8
2wkniJ5lGYOTKlEog0taS6Ec5ooF9iYkYLSCIesGBQEX3jQ9d07z+8gyJJGX0cw88GJ4p35oUVXK
4HVTTbkjyojH2eSn/1EeZLDH+dGCpt68Ax6QMJCGmrqIXAqc5BGGyONWIQ8bRQY4uxATD2UEpdoY
qPYGeZ2RTR9U+j9v10Y+RkxzSjVb/GeXRsrRhleelLS0qXU/y9GNBBHgJM74NSqquCbv8WE/+Z+R
XiRVQqeRdNqr1ysE940CIbLx4SJtD/vL5tyuR+gnT49HzAEcEA4CJzzQU0IqSAxBfIa/2Ctg1s6e
D5u2Bj1wnj/A4Oze+NX+itrvb55g80SKjNM8DQ4hMoprlHnQFg9ERIMjMSxiZmoAtpLXK6zwC1gc
9xbMlbftLHQJk97w4ahVKUZcwR9A2wjq2xwxELVHwfjxeZDJGJ8rHJ9QcqwNYZKulcBTsbE5DKmI
jgTNqYOYUaDhqmZs9ivwaXFjkT2/v38wbcGug4B/LInzCEUU1H7X4NwIDeebB29/kOBOl95ak6Id
0nXe414vLM/ZPaCLMCFsjZcsWIvIj3jIbgPpJyoOel3x9oSgxUXIXNw+C3kUbT7aJmKYbDtN/aeU
TRqxrl8NAfSTdNoSu0BOBgagelGgVvNqzyq3CFKDnsb1EYW6gHaVCGfLqXLNB7E+W6LXSmNtuG2c
CT/Dm1QnwB8ciKhr3p5soXJk4UcN2bcGNfFiFTEzOIxMz/LhOXAurdCZqWcbKCcflNny4ZnhE/uN
yqucpkEh/LFZ7uk6VxxZVOwiE7fs8zyyGyLGC2chdia34Luo58p1bl9vBnpPlbkroQr/ZETBGP9Y
+MRNFyUBKRgUPJoH21VkiV1Zmov+LTdXmjvQTXNly2dejBUbYYhgP9a51OoAiuRsxux4nOdPRkWn
Rhu3ascenQxYnijSz3qEk8GAjbVsAW5juClag2yN8Agm5kJMJZ/X7tg2+hCeb0zAzGvMKUSFQ5HI
DoRB6FNqYG8l6JU2YGLVuxB9AzEZ7zi5zxqYvl1mqat0ZmQD5fs1241PnbU8u0QhAntJZz1A063f
XvRIuzumgopEwMtpDnquEEtH8s50YjG0/B/gwCpUVyBIDJNGXsevK5NprZE5sMVLBJv9N1HDoM8y
iB2h0MhiN0A8RN5PDHc1INi5u6YST7LVwIqTD7DoFQZw1RDwM7kGRjM+tTBRb56TrxCTb+BdByV2
sPuktvkaWAYjIGV+radVnlMG056p7bPAaEYWI0Z2VnwVB5Xfe9hI2tTk48kpUCyJONaPBtNyOojF
Rx5ySn5V6CpifRQbyyjDx4u7Lnzm8byvBJI9A0OHNwlxRCZNUOonQArsE5Z5J4tKAdGnNhXMIRZ+
Mm+1YqScoaDIr8sSGC1J4ZuAU5S2r1U+gqLAcxuCL+VNWqQp61DQ8C0CbWskz72oITfQcs1yf3y4
SboFeQ6pWD4c3yK1ZN8GZK1egEjy7nR76C4kkbfMMQILMGQ3lYXBBJQwas3Z54zvtceVdB4Z9gs4
k4Q4k3LcOguDWlXWi1/kakV1qYp3cTz+ZXNPJRQomNjtWeU13CPTwj8TL64pPPMyoKRJwLD+Bvfj
SHXun0RsbtJXSRRF3njZiQSvzQ/QcyCoYHUo8cCOBN2wFE7NamF9WOuGca/iCYB9H8EYQ0exjSVz
6guNy7T6gYM41tBAdiLGEQX34D77HwKHMYg8dgJAFCeW5VV0ObKR28NP6FVsA+VGqsXqGxYM+v3e
1ssyfhBVMQIbZ/iZdNX+SNec1dFiDLwIVWJ8MQ/cjfVveLfJAviJ1NAz5RU+CbNTug2tQ0/Hfxw7
/cGTg0oJhxSr0f7ZnzWKutGkhL4XL+NYgtRpBnnTilUk+cfX99jpCboR7WpBv/tf0n5+bZ0bgLA1
sMeG8Unc3vri+RYEvID7b+Aei0UEkW1ZZq8XCBYZNu6YUItcMQ7nGS8921gIfVFnHp4ELrbUCux2
m4//fYVvGA5P4Fmzq4oDbRcmoU71ujgP6XmmobKcQM9VyBqarbjLaByCWjzkNPxVqK36TLXNuQ1M
Jv7l+voW6EgNHR7znYAnbWOqm9cNBfTzgSoB2KT9cI0MEH9ra85gKLIu63fl5xXEhG9KnGjIEUwe
gwe9Lyogfk6ixa4liQtP7wlS6svTuTH8cl6GyQKcesFPsZRs4xk1Hgf3xz1CZLoCy9oNMXJYfSNN
OBvDOMl6NeeeEmaT4RORekgIuwAMkzLyT7qv9B1ofnb7f7HpZ9A41mMnsKMqne9tXTXwN4Hu29Il
735bQ0sF1Omb0XTa1hL1rMUDkbcIgyrFqc9av94Gz911elKEf1OUay+w0kmXMXG/fpM8PYWLJ1ZT
KmsgLg9hXuLKg3b7rGsifeaFjzwOdauHiJwP8odaqzqvz7dpCO84cx70ISS5XOg11INCm00ctG9d
/f7rrGpmTZ0EMF9c/JPI81AtNI6/grCtHRAbspiU9Z0eImas4RiF2TakgmQIKTKA0SuWOHbpeQ74
BwSlQW2fwDIF32PIdTzPPM1WYiPFR6as1zffPRRFM0IJlXOPIsvaQgm5wZGQCA8zZOuyZQENl6mx
xN1HoqpCwAVYzSTOIRg37rvXcPtC7Q7qlX76Vw3E63nMf5TZFXyl5/wOVWTp9+IYAm/SIiTGFHqC
Sjx5HpOmOL39KvJTFzD9lwOiXwFj8r7ukzsrzjzZlQlyeG1+j0epM4/fcRXMSXpa4PddYtgu1B6w
G9I1MmmUgdk8yMxf5vRmeJupneVyBUgtUBULvrRHqhAOS7zJRlTcdNa26ylrTZcItVsDuVf65TFI
QjjoinBcpdI1cIeLNjy9oNt0ivROEDkUipLXxL0FKHAlJq3OVW7IUAeQEUp9WoennnvJka0F62Xn
WCmmRpcf813FshWLeJtjwG/tiKajpFw88WnWr6qqkymkkt+hu4tpwmiE7bfSxZC+oTypb5uKtKh5
PEtFk+QQ5aBomkA37+mUs4ezYtcIZ2/XFpGEMGgaF5pynBp/FwW10pdIeJqV058Kh7V6je8CpCzj
2g7MW2wBMMOTO/QL2zSKNg6Ju2fZeTxcPmcKU8jCyehz4qiQ6CbDFIZfaqgziOVpfpwmmPGhsukZ
7Jkmt4rPBI17yPsPKQ7x4LFcV3yB9HwhqCXHAVajgyR1BeMNFpZKR77v0qr3byxIE2ZPOKtXIvn+
/d1JE11qXe8tiB5SVufzi/s8qz6OtPuj65i6xteAC/ZR+G8xpm2jEATi3BYTkIEytisFgBVpnh4o
s/JMluo2NVKmUXwGg0zIYr7M6A9gBaQW9tAIAAoRuReA26vGhhFjGbFR1Ly99L3BFvP1wvK050lo
LMAdzAqKfSFrqW4uJ+bcrTjQioHZR/Gpjw90/1bHQZAkWfP3Q5W6k8U1qPbUzTuAP6pbCDGSJWlb
YeZjW/9TnTvGS4zd6sFUCiYui0/PvzKK7s4E+ZZqZ1jw7c7lweoKaQ3F9o/lkJtPB19phdOna+SE
8hmE2p8cikZ436TmeOH658wxFjSZVKVOzdFFLYTJ9Qx7kj1vHSdX1zljkoVwiwRghgz4k2UaOhdr
uTPgIB7hJe3WFwEaqAgaexr/OXKh15lWLrluE4Oz4BKLZhq7nEEXy25QUOSji8e0WLcEZcOu7aeG
bUGwq0QkNNx3QGFca317ynacVr52Hx4PYQbDiTyL9PHmcfkR8zCLsTluw1zrCtxAbpv00grqXtz7
EIkviCQAS3RtkNsLwcs5jLOjMaE1oB1ORD60w0/ncY0WCI4i9wQ3oIsmfDjfo0AFBs/UaQ0DOWnT
uSU7RA4Euq75Nxu/m6k6nzs2I5s0qSRNAmkBJI73fjslEBtPAwnNfBl/hg/tZ5iuNQAo41V6yDbp
XViDCb17H2WykwT+yU+J0mhcrzxaCNOVblrjF1Zk0rm6QkoMCzw8XM1Dpld+eXOPQ5Fc4IonMB+L
eS20GVxyU1r58TxW/9zF/0bcwF9OSzqyRvzoA89kAuGwbcC3T2E7tjsLudhJ9wUlnkwARJW1JIr+
oCEIIwdC+SIXRlqmXT8u5wpdWdXtX4ef1C0bRndgc0Rwh8k7+kpKz2mecjU1XOZdvQONIOCgEBNk
f+7KRCY6swryXjsLNfBcyI5sSVe0k674EybF78DcfXR069/F76iaRdxDuM4O+TjDDxbi3V5wqdTI
ngwye2F6Im0WixnoAOAuGBKD860Uh0Vg2VtnUoTp6dXuR/D9k9uXGMq0Zwwn09XIeTJylaKERkqe
G1/XQcyNV0XYV0zH0dL8tlf2oPKt+fT2FJSIvotXHcyH+KKeM3P0fiYwKOPE2/qBdFczgDyeIXIE
dkCNRRYv1ClZcpEoyRZFeRP5m+OKjwfgDFEv2UzfssNTHos/k9eKBhs0HJbQtFJMvacAIA+FxMTC
fvce9rM9nHIzOKr4lhoZ5RJVQog3ikudilV1paM54H5yLj3RxH5LG40duJyKuBXtWRDH0twYIbWc
GBacAB1LEFMADOXxOsu8e3JiG35er60cZWSd/hYBqABXS2KFisqRIDAj4+drse21XeE3M9xZw73g
USghbUfaHwqWK1TNcW3BG786WXMl2NClfTOWoFB9N7eutyGOB+pqbaLS6m61VXUnE/QQ5RNyFw1t
nOMST/IXmHs6OkNd52a6I7EuWsvOG2z3iDU5JZKPIrHtiswRUiC3OEiNNZgOIsSlTa2UgfcV/SOV
ztXi4ONLH8Dz88TVsG/LpTqlct9njuzlHQZeYMLJSbfZKnkuK/Gr3ovlvMo+2frzFomVKw0LltM7
6GqGsTGzLxKKvLx6RjI0RcVF/gR/tHVburncuVig29g8lHqy7dcjvEO/UcEkoXvuHsX5MvwkDdmq
1huhOvRQqakQnYb4BM+HKe1opBPy8Iq1bSTMa3IhpXpRdy5s9IyuYDGaPAVVFEQl5oifM3FEDfOd
4zB4zdiyTsr9u3B5YZUPTSW07mUoPX2M5/xoVVjcgseBVUJeQPrL4Px/oUx13qOEs1UC7mggfNim
hM5Sjn/CA97RfW6W06r93DEd/7bQIYfIgrccgXGnLtHA0PxKuDi02Q5ScRtmPsOwyN3f+ob6Q8dH
Foe0iihX5SB/E+lUZeiK8f8E0H4wFuk5BQ8EeVkesTdKMoDveHJyvUiLzrfC8XT2e3CoG0dgAVIi
zUuy3vJEJkYjo9Z/a1nvXxatm2hZVTHS/SDrmYsH+qpbdZImEyvkQ/aYXQg0U5jkaF4gnsiyUlXN
PFQMlU2ZFhd323C5UtJl7r5IeC320xwlHEwEomoNPWdVZFFz/1359Xy3vekiA+Hzkatiiie2TOLZ
L1Q2Zxjj7vbpUtIA/oT1oc56m8u7+PLqMym/qJ9zP8BUY4L1bsFe3yADh4yEqeIlm5x+S3Str1Il
PssUmC1Qu4nlXfLoKqm6XGAY5ahZg1AuUT000//kGRLWePBwpJUKv2XqKPYdiFyHvuebXJYuZ9E0
iB5v1f2NKaJSrSLov7yTm4eptA1GuLJMJyHScEs37b8kI1X2n51Q3YNdA0+JLxMhh4gHytIBlgJB
KCsIwHS4syukEHOE8h2DbYaa+0QICiMhaqLKU3mYqf0NcV6VYlumyKKRjA/rfwRbdN7x5LExLqIB
oQrd4zrGYQ3WtZNLCOiesHYcAmCwKhrFS+iKhh8xK42BbAyWkjmZrbwaZvW83HzSDwqFTt7mOvRa
33MrB1+ZI6LmOhrNf+CykAklzytXNa9CJROlsWFo+DbEwV+UnACLSNH9aN6ujBHIsYXVzdwW7aBX
U1l4CrE0cbo5s+gEttqkeXdbv9Nb9RrjLAFIBKOzSebA/Zh6Xt4oSTyFGf5r4Cayy3y+dovIxzpi
/VLIb2bKoALbwhIAByg6lrcZ2mNebFA/8aDQ4HOafeDg8Sk6K65QxgndiQ2CqUqZhGUIFoXIxFlZ
btrDlgYnvwONHAj0V4SpiFOkak6Izu0ZRF8GfihlmOvs6xgN/eUQpGeamxEsbBOGrXdHtuQP26Sr
DrqBRk+C0zRDowGMvaWg0cSXJuPUlPBda9uVMwGSGKR76m9LYtI73mEJs5lKbujtDG4t5B+ymYgB
f8IvAmiPO1ftDzmjP7f0nQJsg1DAFj1Br2rkSZjbW+FCfnBGvlWOy3Q1IrcS9b8PidRmAukRQKp5
F39UlYzQ8fTJJfl5vjQtHvcIrTab8NB4TSR91uoPYEeJ0ZIS35SyznL1QvKQTotb7en8CuSIfVHe
cBLCUP8+xG1pUrosyIeB1PLJSGMDZ+RTyIDfmKHHA1THpSLjmWPp2majBDdK/rP38cFJMudvadYh
+nG3vlcw/gh4bNyN+uSB6wdbzjy0uIGuKJHqxm701dR3+YVx+wgqBzPIXkDSryLJfMM71kCbUiNq
5oX3ONGrFwZX2KK016aUKyiywJxD6pujrYd2QtP/Sc7aSs8WR8psIrEzirYh3IOH1gOD2UYCZP1x
4KI7c2T6o5M3ZZ75ZmXG8u2dG7qke9nMTIiGiLfAH2Aww2MPczvEBztrzuORWkzDWsEbS1HtFUV9
OqnHNlS+NSKIKEFSEcgt9tUoMjQ26pXObSfuAa1unXURAoXUzL+xsvihYxpwdX9YJR4Fak7LV7r9
7ibg0hWs4lvRV+YUHQSom+DYqo+NvHXUUtDk2ln24a0WLEUQf886lSgZ3U3zjek0szHW5cGHi/Ij
fN/CNXbV/LMsMZ5Eaq2vBkIiuoFky40H0iSBpIwMgD9y6NTftvAqWRsYcKMuX15/Jhhx7wYzz7Sh
QtVkqt8Dq69ny/Sci9sm8jPxYkRvJMAbT90rbC6gEGmzmrkvl20L9VwFnYM06V0lDG1PzZBEpZLB
TEJz9xjc+Ulz1n9s1qE+d0I0WanrESoC3HgI6aZvN3+FAt6SrB9oP9lIoKV/c82Ku4DapBGaOOcc
qYlFu+vb1x/3juAd25yrn3zLpckeiwU4mV7PIote23OUHMB0eHuQVJr5lp5awxwI24YIE1njke4l
mfiBi61eJcN4DX0KG+/dnN7TTq7fOXAZfl8v8VBUoKRdSL0qdSuDKcSzjP5tk/2YPwVcLTpd63na
xfKNkKOJHT35siIqwGVVbOU0uyXGPIV1ZkSJbODqSE3v7XXLRJIZP6YtI82waUcwqBzEaDE0NmTv
ESTO4z3YwFgO09hGsI1yvKV5UQwPaujEnMRAmWcej1tjDTENKhWQvI+wbZxfWq2QRxhzuNg2Bzlm
nE9N8J5fKnIhEemDV5KINUxqnuvDRDf5plM8mo0St6fdWHGeyzhs8CcECPzRwXlyRpFYsQL2ZKhQ
TnpqdZCoGr5EQCqk6WppsbQHolrTmWxpaSS4T0oKgOzjwD5NI2bhly0auGXnX+qjpfrY5L2gYYfX
4LpaV2KfB/JbpwgrdpX6Oguz/rOx2an5M50tGhBa6pjIXVPEi4lZcCDls8nf4+9zlynVq0Wb6gyi
vSeJQ5Lz6vkCPx3S4wfq11VN8wAnUtBrqc1gjdIwpgSW4dHlTDICixIAfXWyQwfCjPvItpV01shT
gJdOTIwqUqZOZajO76FcqQRzorT3S4+ZsXa/ToxUr0dVuakN6SQZHMin7exDUqAADbgZkNl8l77d
WZ0/Yh0G0TMviJvwqSAvZPeTcbuTV4eIBNowVVZHWJpWbzOJ1SwKiBZM1hEy1k0Jcy2yirEB2+HJ
gjTqwr68eoGwiqHcJCk5gPSW3qQm0rtzUrUZXXWlh5J+MJPA5SNXQkJUX9bncUrT6jlxSK/iAKLc
+JSFBqAPflBXGhWZ3xg/hK7m5KQOmAN91D03MfdZftZ5ZdSZMCp5I7emnPNhCNW9ZsHFJCCvXlUi
Fz0Sq2bMtWJRc813jdv/dd4RbsuXFik7GbncjK9sVHntdJ0Cu2hR9W8QWKDiIP6VrjuBXv1FAMYh
+C4JT5x25qlRxcyEq0liveO7ef/0F58Lw8avhueiNL1o4SNHYnVsYv2TkNYcIyoYQGWDT5jLwCPs
+PDPM0xlrVUyP4fZru907IVtTboDyHXKcetrFgmtwdZVoFC/jkvWyGvd0uc8DNzGmDt4yDnJFpgo
eIq0patpyVqeeVsUURjFYdmtgOjTp0so+psoQLcRPZxnhwJXR3LSHpYXy7OjSxTZHJ6yPp+FbdBn
I10Y5287eF1QFsxAxp/lEcXepTTLwRNzYeQEK70RlcbNN6Hus/nn0SrNxdbMw5PeYNr+yR8ia6KC
F0JaYa8Cod1RurZmR6sBwAKnoyOIxUeQlaaLhS/IDLQ1eyfMvc20Qpyx/aKHpnMOGM8UJABlKX2Y
/VcTK4v2u+jFQvCL5NhpRMZLyerOJCGBsWOlvytShvKmuwsT1Hqhu/no/d5ijzt6PrnDDutUfEze
5SmtN42PVRLZ/gADZs/qmES/GR3w+pUSbBVOevMTCXqCzzq176qpDnPF40hiur9pCYxJncLUmam3
COCWUG9jupIzhKC9uPDNQC7vZKEh6k5jyixYrSNHHgCjwy0F/aBN94BZvtHVgSU7gl2WBcFqyr4e
WUtF3J1MYFxxBzTGCH+oSaNmkw6KQj9M3pg1XcIjv4xZkzNM5/NRA37AXP4tZ5atW6yHhgBdeMGC
cKe/lIGg5UoN/V8ZCIfIe3DCD3S6v1qTviowvoUvK926rv3upnmL3SIgI6VJaDGPGSF4O+Nzc6iz
Xa3yQjf5Fy1HDRiB6h+nKCkVrKY3z6tTTvGtGuVSUszz4jUnrKvXXGgQEu5HpwWVIoFRtf3LfF51
2jZPp+w99qjJqMWUSqlNjuG0ciJvbs5Fn0JE6H3Oh+JnQ2hlHES7W04yh73ake+Ra0Ix6hawdk3X
lACPPiCS+mD9fwEN8A5Vzq5eYzj51abUEkEYgqJQ1EUtMEpFBjfvy6sQH0qloLqZvmjZhUkD2O59
bdEVXtv3TDfbMlPF9LvQzmkPL+mEMAO+V54gHnsnbc426Yz5x0XFE8pA52QUoiu6u9SC/j+RIAL4
JqKNeVWCWCGfPUooPMnRsD2Ax8DRKQ3WbNSp/GSxyXfzGx838ju4Aocbdtc/aDC3FPrAcg6Gbm8D
WrtxaX2C3jn4O5ccQe4doQKLg9HrhqA5AQBB9kKsehGW1TKnOxeVbL0FH0UQUoK+Kl8t9LXQT715
dAS56Kw/q6WQ1/AwHi7rtKWOV9D8taZ7lPhSIJZgLqP+o3LTL4dMhCByZLD0jlxvmH+vuVJWmKnP
fPaQ5QG4Q1N+AYKsYN8HLNYpkNmz7Ff5kHqUIju1pTQtcb0XCPiDw7yh2zk3yb1RZ96uhJAqEXgT
m9KhCEdPyOlAV8pK8bi0GQs5ve9hsZ0aXn56OlsU7WFKX15fJco2KOOAZQJiPCefuqUGwmLy1c5Y
UE/Ov+g3xlpnBQePJIMVdSQONmfAMWLHjQXftykVvUBnahDq2eBKurYPhIVJ8gWOytIscT0qwJwG
6/TTDtWMBikBNWyPT1PMXMp8p/PrW8OFul5qVbGQDTwV0lM7HOEI8eXbq9GLLhAfH8D8AKWz/z1Y
+RxzTz1aXx61tp12ZW+DaEZ5JSWrKvtT96zxL68QnQcHzJqu+l1vawlAr7U9ynKwiuJ3vjG0AToF
Lz9PlRatPC9QWyNrdi5LpBn9UodJ52JlMCY/AAJLgB0x4nGNW0DmgCykXtm/QeHgXrw2bg9V56H7
uRMLBj6YxtGx49+VsJH4mIcLsHK5FjI82qf3tvEN/NLteYabbSBHYaIQGJGzoma8PaDIcVndDkAr
6r6C6MOdNy3CTkWgBmQjVKGBKxnhjXMxoO7skqltO0En6brJP383k/Kri9I16OfRcD5ka0TrQqKE
oXN4CXaGY+QmUgTW7bKxEBFcVJJlQKuD4i3gh80mmSnC1wGc9HEaRxour1D7PiCoAkZNxL9XXrwg
aGOaiOZb4fgmR5vETWU02/YFFnhP6LrU9Hsv7j/onosoVo5VvuBHdar2+S0pZqp6DYYHGcpCHtq6
Q3t70eO4JC1VwjAN/kzd0b+58T8x9FcuL7Zt3IQVK+IOGDDm5obfu9RmvUrhnokZAbFduwL9QVSE
NGqAxIR+2cHJAnr6cMwM25vm49n6L9OshOYKc5OHVULbu+3zVv58RiOE+YrOpeCNXds1zf35+7bI
lokXct1uAEHWKQVAtgz8K1XVJrKykv0C8TCF8zJ+7zvqZaT2goXP/i7rg4SPVd0nWxk4ZZHc/IOV
+V0cCPArUfBZBUgaLRzUkjNdqy9HdxTs6gOmS7ozsQxfW+f3D1vOpS4IdASstpR7j/TcNp8Lw6xt
xcC843Z1LCiReHFUCg6HOmy1bLPCJxKbI2YE7gdgXAbtW8RHuOLJR+GyVEuRipnzhqcj9UWemwgA
sCm2qYfZROUGoSk3i0u4v+4O0TGfguOIj+XNvW+4j4xJJZywm5A0RK950yFe9ezmft4itfeBAK/s
UxDtp1+qqkjR2OhQQG8PmYYL1bXSW/m4Q7V9X5WPP8vuPzB2B6G9nvPd9nrhHBTe34EMhoU2EjZz
FhTPW0YqTfdDo9uiViId1pbpsub2x8WS82IFDJ8llMetAoshaGSCQO2LroBfBGdI8StqsTEarGe0
QIQ9CbWgH5AXO64R46B/auWix2tokK8F3Ky9D31/3mq7QijXE8Io88tZOWkdVQTpjQkNgZv0hHHA
/VwK13NQ4gQjhxe61jf6hugtLPHcC6aVek0T78Ki/GvRHs6V7qVjd0B+hvDSb5CNzkIqxqhi5EyG
nWfHnw0GGEq+8qxM+PxEdVS6aQ6nYKeyzkrbPF212Tft6z6fN0UIpyEL9OmwKdhQu/RQYZjlHFep
O65Nr3xTcD1h9XN1XQdW4XVxC2riTAoRY9QPop2uNoWHMRy0f1XLqfjykWat5OVi8YD4NbXsyf5Y
1p9AKbZ6taSmmdjMl5fr+RayVk+PRr78zXN3hP5L0vgQItUrjEVgMhxoS9sDwINKIiQsvP5ZbZuH
6DFXoXtJzMrs29+/te1GFwCVzcIxVMb13uNeIFy0WOTtAyUxkDa/kXRoQ94O0PCAT+FOvt68FVVM
89qrllFLU4mjw5ohs90MG9xJVVO5lTX+WXKshRA8cykO93eCrnIuyUXS3A3daXDIm0uVymok4Ova
ShEXK+u9rO6AnagJRSMoUZF83DCFmOaJBNzNKHycHEP6kJcFzp0UOYWWwIWzo2TDJTOUpA5STrzi
wJKGWs8xZIKn9jTm2qSHAvRIbGKcuLtQksRJwBB3irklFeIpz/RVkkCGa4AsbANQJ1kWID0Tn4eu
m6iaht1qSd4Nx0ULSg7ASPwA//OAYTqtW8yGYLKcB287YvnudcBSFkabjRlM1w+wLpiDgp+tFL73
5/QUT7xQlmWru0CA7jLShPgTitnZ4e4ofqDeypNnmfhAwv+1BHnVoBia/DhWfxEYo+IKP8S72Qkb
m892z9CkVmtdFN8YHl4VjNnySWjfysBCAzaVQRvupHEiiWN214psA5OiAQ4xWe6m+yR4eJVXBb5t
Zr/ICc96vQgBoX7Jo16uhXOctQAKY1KrCf30ZVnEKkqWu5wMB8uPKZ3GZtpUwvAV4zcrV3ITwVA1
K9jVjIOLTpTX2QRwDQk0Wq3y1Z2KRrg5wm3cG2tu6dlEZEFdvdZ9vgFz3NFZKMvpfAvINcRKh+d4
mrey0YkXbcWFNJxSqk/iMTQpQLkXPHIVGLFpnmilxGqtsDLZ80tYyq9v6eGXkkGj5PSYY0flS60w
bMpAwKOR+wDYglOuGJQ/pHHEtsHIvFaKXUUXnRpYtEqBONGoShEdUHT8rmJZ1AWqUj7rGMSgox4o
rUrgIxMFKqUvKB9VIRYdNdRVpFsQW9v8ID8mw9RP+eS0I5j6QwR6/wi/EgOOtFG4tv68PeDoy1ME
vJ8+ccinGhpF95Rvbq1ApyIKBiYhDr+j4jLQs1E2NJgKEVlJz+qPXYMClNaTG/elk+nQlsLPdJSh
siF9CJ2D/1TlDudIucraQFPXpZvGGEllskdX3FFIFpVWzfmeak6x83NBkc5dZvRvxiyKrDsqRdDi
l54eh7AXwyp9De2IUIhBMm2AigvMjl2cblOEjFUfAyd8Qt5pETjzuYZShrFT8kqElj4X6+D09TNo
QsyJFiUPmuA0oZ5GSzuMeGv8gM3c/l3isthMqOsW/x2v4xwAb2+j58Os3AlltdTqJoGOvjhB/MHj
1Uw3tRQB9j6aDxeL6ktGYS9bOFPrLtjOvPd+mdM/3iGJW+wV55j+dYqWl9Oeal1Ovvq76Zw/eWnj
/ugzjLBGQez3+GWmey6pTCzklD9W4V1wQxMDjoOcwvSaBRHcFCSycz0BOSLAWrY6BeRrMRUAX0I1
ZqLMcb6AxKhhYO/XGDPGS8MxU+ekV9F9gsI7H0xLnr3+oHZ4eaWVHbX5hcCNxHPp55jd/4sTG5qr
hIxt2DJtI8AWQaJvA+6yfei1Kgl12Qf+x7qwxQrXmiGwJVVNQBvWhv7ND5PVqtliOqyXKtMO04+P
pYG8vbZR3NOEQhP7rK3cNACBCO/ZU90n53m/dRXPKoq90VsS1GCuxhEx3wgy8TXJ4E03QrLY7KM+
S68qEpjG7EbvY98sLgDG6YI+eNPvHk0pOwsoYsFoGuQ35EILb/cfNm9GIW5Hg/+3QSovEdADxAig
vqnPoah/dBQkspqJ2iBN3iPDfDjoTmLop/OoVerp536a0aZezD2VnptKxeA0A2ufiKH4ufveDsxp
EqlLq5RJFqsvME0sXr5K1QMe2ON9hoIudSdjklN5dQ1toJ4saV6mMWXMMoujArC/ihPXNuyVmMtY
ApTfUnV/3dSrHYGL6pT/E24VJy7bck2t1VymR/nJW6NIgjMLNOskVqx49SvEnZnu/A00XpsNgOeK
QaLnxWQV3KIraKDB4AqHP8Epn6mxgZvHZz7vwaqM6hf2JqmunDLXZnqCiSWyIJU8+ZNSBQ84rJ29
FloRsYUlvtF+aRsXr1xdPDjVApJZo/UqadQmgUY2C0+WUcR5syL97TEi2kRmOihBzgRN9zeSuFKi
l+EuhEBDDLzQKQN1mfxwwv6RnluSO3nhCo0bjxNGWj9iZJGBMg/U+GXFueHPouxrozzQDAez8KnW
p5gNEFr+Ka1HSd7LLnoDpmwFTP7mj0VToNXCHPfg1+WsfHoH3xnXaTTMn2n4XG12W2f3aGZu1bA4
oz2y4oOcvMwjia4+iHksti6K1JGU5TqrYzH5cru6vo+C12+qD9Rn4ZRWoQ/TiY7VRfDfnZvlCXJY
aAZBWwTYa04FhkDB0BCqCIyULBrop30B9rEJWS5sCt0UVTnHJQP/ac/9XcdO5H1WnmN59snB7dK4
wR0zf8Ywu16lYRfn09HwsTYUQeBd9xCnY9gxyYgEq6CG3OeLbU3io/c7Nf9qE0MEpOryUBeVErrJ
ygMjAx8ntpooGlkn17KS3WRto+hy0OJd+JCWl5zSoO/wV38+/7TMN9k8AMx8ksJpifNWF5WRaJCq
qDgxWXQ6o2sg0E4dWZDCUhaoU9zbIE3OaEfB/b5ug61CHyZ9I/9YXVUWqXwXboBJm0hJl989YYhQ
3QMMKeNwXLcAtcXZ1QfrGv9XzjSF0+V57RtuZgw9N7HJfTyGDrXI67n1IoChDtRhN8SNbuftvJe8
WwEtQif2UxPQaMDr3TCB55LCOk4Lq1FYH/SyuiiTRwObMUfkd1/3AmlBo3WzogHFHMmphU0123hT
t+62HepqxXzemOL1kRp6z6JdCy0D0EvC9BSJfbzHidVDiFw5gaEEtO6oHkcBH7/f47W26W6mfnc5
WWR9u2/JrCUbgjvoLadOL3AAQ5lMdhhcR+ab/Qlvq0ZhkVFVi7+h/npeQCd45r0ZXxfRw3hP0Dkt
OcGql03vRFkIDtbCnLo2+FcVye1PYViCHGCRV9t7L+QWCs36GYAwKRW7xCaRiYpzCFFYSHUm5kJj
+B9Kdqm1Ch3ZRmXqXbAtD4eABd4q32Q8KCfRXPC9u9m28GDrmuhPwPXf6Of/U4s+58e+ZmCTJUj2
L6JUAAhIU4OsTqdAchI+mPcNn/l6lJBT+zNzmHN0JHfaQZAs26ysPrWpQjHfdPNralh+HzKxdLFi
iUUABUTUBVUyj4bp6ENIJoxWqIsJF9Bsf4tZJPtLJ8O4z5DhPjVfoPM0XEqog0GppHEerO5ggBZb
cA4Up/CF5UceSbJ8ncorgIsYFf3sv+pxr6tokkrl5yrNYJKVe41pM2SfJmFyBXdl2fbkjubqF3lX
meNGxkj8NYejvKNFD47otOh3lL7zKbOL+rPqLhQTZumkwo5B1LEtcjZPGoqq0wyfEvGD+DvK7ede
Wzy+PKT6q3lADWBndjDGuuGTBPSZSAVTxEFEFRLPaL9SGnrPPrrYytHQNujknUYYBmTr/nHYNa9X
Cr5j9RUpT2XKkT17CDVGaWlpHMLGFlzt/PWRpkt/M7XDcnsvSpBYxSziR6lXK2Fbm9DB0Y9tOYXn
OFLxvh9aXZhsjwVyHmbrGjD2govSM0balY8loHesqzXvLlcRQX+QVn7ADoQCvBKUNyvwb0rruldn
Z7ByQZ2w9E4dQtVVHat0Dn2WK1MLHbmLDHMAOvvJxYdhmh7Ire4FWrDX51YU/bCBqCOKOP7t+8tR
s1oOtKIUEOAJKtBSjx9sHYj8qdobqIsni8AHvjUicAyS2Ks3P0EiPKiQsJbnUnXSLViPoqlgyq5d
JAks2sgkX89DWoVfr+cAqbmZnb/aBNufPU4mj2Io/wle/DAbfyPhTKk+Glr5O2f/znhmlGmE2feG
Nt2yg+yTSuLle1phNKrbl/RwcBEQFp9WdpgAGB3TwSZFSVxhfZbt3HU/UvzhauN6FBc1lN4xUpkc
zNDEifUvcoOwsd7k5L2kRod2qTDU7rDauV9FK37eYCatIN2j8fDNLGcVd8011YmNd6fkacVRmuOL
9xWnqFhBYd9cpC6E7FNclDHkmYVY9wrZ8gLkwDcUN4XIGITRN3CmjxNZBk1VLZdSvSp3Aymc9fe8
2w59d9O6ml9jpz6Nxmj/rGyvqrbAxOzuBWMePIUZv0fFOxVWtFwLLGzTT6F5WD7PKqK+A+5S/TaJ
yBh/KVce1b8dZ+7wTj3lyHw3u5rpLK/cKEOVKfUrTOccymDAv3mYz3h+0vPld1Ye6kqp1Loqnxk6
uo2+iwiuo4InCwvAWVeZGioK1Zkr/PT+B8Q8fyXsGIlQPB+x8S816EvszzGipW4icX/0OvCAEk9X
3CoDOWQfWhpdJu5vRiIsPgVcetnvPa8jO8Fjv52TG0OMcYwv/pFanu+XUBOAOELi7BwyQlu04vEa
+DPURQkCoOPNoUta7xjnaMTsqghv+gZuoZw+VOlJZE+UkdE93dpEuqPiPch70iMgpk1R1kwnzIVa
iVk/92NimfSp4ZC9P+L/t3ShvESpeuDOW7ALrsrtoV6md9uTbyHhqHauLAy3yLtvWRgr96tWaRNu
1+45MD230uZv/7ddqmLFgYtt+HycNKcMsKT/XFdMH8RdyOUIlryLv712ECIMgd2oeiJkWRjLr3+s
tN9g6zZsQCFI5L+2VNM2dIvu9VFMFP1Z3ywgkyhgHm25JGJuPjvcWmzyhZfj/XMZDuinpqY+dNyx
TnaYF1NpV7JTChFIeJQ8wKbj3uO0EOiEeIkK2r2Ug1TB82bu3gVrFnbtOV/mcEQakIcMMGiwpMTP
EeYboC8f+dEduP9oBeqAaiyuhhugM1GpyhekICiLgjjlEfEcAk5pjHUQkFGFHPtIeOUlgsVEyIZM
GosjbjTGRONGkSQd6k846GNITKIJlLELNDgPMn3FkiXGAEcPzcxvQNlaXNOhoxG6nCz6iIqIWA7C
HHQV7osuVC7Z4lV86zC3e1b+UIxB5uTjl2Ih3TcooQB5eHFHzm0jGpCYJUBUJr8KVVmtsenZ3LTa
ovi2FAovHQmllfyyUWxsh/j4FuYkklNDrtkGeTfP4SO96jbm9dCKcOHP9fEzrawbbpD7S+CAE7cO
yYg5+ZuaqYT1sjZpJhDKMNTgfE/Gkt3QfIEpYqHqj/Jcgn4Wl4WYmPLn6qOdiPjvHzYCL5hMGafB
519E3cgRfYqu9ViC03E9hC6fkA76HN772Xg+x60cu+s8S8kKYtAgUkBQp3716CIMDbAeNtLxnFIe
SU5sthTjNmqkqggzoPIIyItNLCCVlgJNN4nVp4D3X/PFoKq7OmbtFPha0USKvAvyM5nrfht8+kx6
OESvnVLLWiXcA1U/qqk5CJjmTE6iWlalRW3+CY9qXryZk4Lh4WycC9QqN/o2w6R/ptoGpQL+Jr2c
fH+ZyzshUl9RZyx8PRoZvFqo2XnYBdVtiymGP4GhP5KMuvu9wGT+6qKf8ZXILsxy/H7YLYUnurjR
AWhqnmXtJ4Oj44rVOdOGjMb+KZpgQJXX9rwS42kPokFUVgDXLtNcO17RYITfGvi0SxbbBUAdyMCY
jXyviKXNm1MV4dVZSlJTdKhFrbeXRA1clx392qix9v2xDmWJFNF6nkzAy1tRrLXSOf2jTbwkWHIX
hAYhzCHRMgUO2e3bDoHsrv8+p4Ck+2JJ2e8wylbVk14WTVbigTnuBYNMWz7lM4AHxVZTt+VwqknF
vjoJBKRSB6ZF7SzcHeKcAwruYdHJ9vJqGrzB4eNfyECPTWqPJM/U0EGwjAr56n1jRLI5OCl8ofuw
Z1j1qCQiO6RODgnS+pPskgRoauiWhIBy7WQt42OVm22Vk2WTGSTf0vIlttBcJN6xC5f1fh17hgfu
zSANHEAmY7A0gpiz4mZ5XMpmvogmCkBxhmkB8EP60nCoXk6YFEIx1anLbCTioaE9CMhytALRwMMf
FBsMkZktJrX8QV5gfxQI14BJn0qXvbFJBDot6V5xAUimMOJ6mLRD236VzL+7S6D2/eqyon+Oc8U4
AwurHWwr9dq7XTa/NUyQAwAqGTFCu0WO/MV/W02umgCD1iFXbDWI84WxjSAjJOd3fXHCgJDY8Nc7
X1XAiMxicmFxeGsFK0cdrgzBFLUGa4KYz0Bfkdxhpw/waBSyn2vjA5N6NbfOFOEWsx5Pfx9LlyfL
Ds/2jvgazqsMoUsI71TJivvimSfCpQI3+NXryNeD/1ThCRryF9TqoG1DtMYzzJAa+Z/VlDuHxCHg
JU5YTA0D1ReTLFud/7O0/LyJ9yet0EVdm7vB+HBimIJIaZHAD9sOy19Y2FbMEkKkF5URnZ1Mt19P
Ua5L5k7ftspHjMnkCYraWjC/b30yKquj163/P2Dl70X2p0WqkdDuYATIS49qyeFSwCcKnmZy4k8p
FMDxQ3CGQQYkD586lI4ed0VYi/GnzbnJFZM81i5E/w2z4eU2ZhumkQd082ZDkUH76UxKdUx5+OB0
RJBd5beqZhU6410E8I5AEjIKbWXxZgatHYmYldS++diilaVYpjuTTtWvmKY03vQjpuJFM1OZZxQI
duntTd50PieFbR+FKG1WNO5+4lsZ9gTbR/KXwWSLoX1bYHRG1V0f5Gic0a9d31DQe6gPO/coaDpr
2JBDkMqM/IfZrISEtMfw0a7wLjjp/zV2RJdEeygIKyS/U6iEm5pb2DCzlbnjssvcVGTEDm7H4Ov9
b+NO9hXvWp0UdbsM9Fe274nkYKwjmqPfcJ60sfg5fgZZeMOaQ8ZF3EtnsNUNZ0vEiVpQf2i166X+
KORE5vBrTaCQ3HbRr/kTsETMooISCvywmjJWypNtg0ZXmVPDZbnoF7I4Y0nKRRwFMYFIBnTvykWT
uGIf1eUowgy+abysXiF5bxw8QQva5pCTRUSvMDG4at2rRYUjt96lLPWN9rzESmi5QXXBv8UYYVzk
9RM4u9135RmnIE4g+OhogrQwNHnDb4+c48WWzO894a68RblUZNKgJZ1xMweovtBPLml3iWoP4zCT
KjpbDvbguEzwSmbBxH8bZfd346r3uqsUYrhhkGMKcu1lp0s5MhPFD5mRCgXQgCGjj87lh7tuH0nr
+bb/FQMkrmikQT3/K/ETXWFlTWv3kJWGFxIS8SLTtNjnhEjVNTPw6UXyRuBzNo7k7ploUJtkEvbN
U7nh2ZAXG1BpT6Y6BlRZvcZz0NF1kjOZvqf/PLuAlhIpoI5nhAv2iZhaJVQDahH8pHlK5VtSU3tL
kP/kP2GpJ/U1OSB1L6jYAzn2DsnlJRKynVmWYR8zy3ikSrXyHQH5ido/cDXapJ3BItl4wgJteaCR
LNYr3BfFnWXEk1mlf82SaGfcWdFKT0XOEkOGL91P5S81PcvYOrI2WYMSGwUPkGUWOdnRALS7QNxj
hUGPD1fQRuef8LA5kqM8dY/vNtp6K0nYQuVXiac5R8R2Zp/0y88eJHCNoWPq8lbUuIK+89G0nllC
gUFpEDdYF+vhr2dO6+GSOH/s6g/1nkB7K4Doza1pVsyHux7BB17qXnXTwEf3n6igPOjGMY8Rissm
0VpLPMEh5x7T9dM+6EMMZfiH437RXaJIjobbKEe0JDVTIHfIHRNKDJL+IyNoYw59CQO8V/08ViHt
aT73bmIxtdTUDGK1qULI/LNCvr3MzNCjHQKAheMf/ddgcRYNz6YwjmqPKEFRZKHQg/qwbSzGN9AP
6KbdZ1a6Btvm7XIVKvm0ZMzahlTjHpvtOvBpddVlfQnC18E0C13x3VdnofTI9KLECMagzCwd13w1
24ZxqIsr4H8ZOEbLuVr+WLsq5qbi75yrRps0GYf3O2ove2Jole+tcoGx7cNqNj5ckFlcv7BNBHYQ
9a8GKLEUvPyuMb8BA22KBfxC0682OlN0Fv/JbGLV/O/2BPqsZjMvwRPP9Becu1zKO0PfZYf3gD5S
SHzaHH9e5xd+Z3n3Skjk2ppWfDZI362s5nYPCjaaVbcX/Yeq1Wo1GSRK4Ryv02C/ya/xBMK5zw1P
14BhzywsGlKOslf18gSojQxjNS3ipgwE1MpIT138XvzIHeoKavlrrhgRTH6ELd4UVQuCE38qy7Rz
UKrhJvEcnriK49E8m75l5jBlmtW7KZgsl0oQu4wSfs+su9irZFvm4oykasa4J7yE8XFSVP7QGzA9
Z89KKJRnNW0n2f4StKZSrQLpGk0rd45c2gx5MKldxD5fZdL1s9kXCrMpOnzjGxjyKqegLXYvlfPg
/iRBE0ePCGT05GHe6+8czEpjRhRhqMnU7dKndjfReE5Waw4RtMyQcbbwXk9ph0MD+h6JksShR6lk
sQg0NFbJgswruOwlX1QJmUAnQjFH9io/1h6il2qXH3IeXBSEQpszBUF04+UW1tsqnleL+5OS+cME
lnPZvG3S1s+B4esHyJTEBOR2pdBcw3CukpTL9PtmJ+wD8Cc0Yuq0jPriTci2TLysSSzw091Mcp/+
LYmTfZAxZNmUW6d4GehJz0aLC0jgA61Hm5HYkZNFo+tflg9cv1LCULmFD/pcX8JBBx8SkkLIUONJ
YZuiqEtiw5waX7+ODUGRR+zLWc0X6zaaYwCFUW92WoWBGAq0J9t1JzNqk0p6G0qquOH7uPRQfs9B
QtZl4a8KyMi2yDQrsKWagemeUSRWSTj8D7LAuZPS6gDLTGMB4LtGypOqc68QNLN+h+Q65zGRkzdq
/MK7xSs1I096pdjposL5biOLVoPz68Yn+vAyafC0m3M02JngghYDh8xnQvxJTmHxW3T9f1S+IDzc
sEEjsvKepqjr3+hl+bBIfn2NlOXxDlUb4CeLdA+TItM7jd1WpTLGAv9peiuCSut3u48DdOGGirbV
klc+RrAxYBT2GiPB2FgwzdS6kLrqoE+l9YsukiB09tK/QGK9hiLQ8ptWF7yK2LpTQqaA1FoWcf+w
FX0bAGT7tyGMrmZrJvoURiaaLLFnogCeOX5qu2IwP0L7ATmQCEGJvCmFsraOzSvvrhYzDNJ+oaZg
TQHTmMD7Km4b4cE84SCSACGdC3buUHoUGbc/TCGRAcDcgibelDq99z4Hs3dwgR7jUmrS/TSmDBH4
5ijkt9f1UNBzaBUqNCJVf9rhY4zWgYbv+CK2OvM2ye4xsDn58N4wloSHUFU4tsFlbPw1LZ3NJ+DY
xZKkUfj9YeZgVkU9C+LR1LVF/W3nNRdol0b0hlzl/lpe9PXY0YIg0LETwl6tr03X2cY3GSe0JbS6
eVHE49XgpbgYQl+xbFgntEM8+tcFFm/cNZ0iyIgQf8bfpH+XpyCp4RELD/bSwQiJRcyj8ynxP/K7
jKUNYREZiW2zL8GTa0qVz53a7Xxh6BDY8HWq+gMp+/wyfJrRkWeTEbdhAsNkf41fduKIjWd5wej9
MuTzfrmYoK2Mn1ou3X4E7KFFW5LjEoTR46pt+ZyC/7BjZLgYLP4JxIAE+CsmXsPX36qJjdz5xW8E
qYNjzftDOfntHj0mJCmXWr5S5fj89Vx+PhaIyJlBEwaaG5vVouaZadl/OiKiQEJY5+UurD++SxO1
r4waiRdVeAA91MUIa8++7j9ENIoi5KV45L11CBE2J1kto2N82PEe6RhcfL8sR5gsFLF1BJbey6wC
io8hLVWDzEdtoeKjd5OlrBBJCkENyf7PTrzHaiRbnNYxu5ePtzf5FPbKAzfM83qVRcFjD9iE1Lxq
5iVdRa1Jn2qfCnO7RJfaDFP0obBxSOlVtyePBIUZxER7/fGa7mi9OmUgzj52ED3xSqdj4Ig3znDE
Q2XZa13nj+PYlAZc0ONzOISjcFGwC6PMWaYHRuXpRIcvzyk2SXgr5kwLWl82GT/sExAEu0T61ezV
yVa/9A5WdVITc8eKhI28wQLihNRttG19zI+Hfe1eXrvhrYw8UBGaoX9BbzDd42i+IgQMLkodevnh
p0fYQ0ZZHQFlbOl+1DpyqtZeN7AT8fbgzZwCzlPFHoLL2XI74ID8MCEMiXl21LJHd27Es5uMYsI3
5HwL3D4WrdiCxuzO8q7cm0iHTP4JIy/1OnbRLeukMHafobYp6Anzybp6dli9ka1yR1XIs0LnZzMD
A3HIzm448NKtmsLqHZngzr0fkt0fzmxLbHGxlRkM7yLlaYmHpcQQrZ9FGzpLvQPTFa9mPCmbdOWR
50ggLSlOEUyrD9l8EZSorz3Expz0/wzGQhG2R9bpjbuEMmKsTcISaMBG+DRKtV+sj7jBPr1JrPNK
DHP5Gvnrw4uAdAM72e9h797XLC+dFHY23F2XzYkoUJnsU4Ai47u8vU6dG+1qy2HHB8iIAWgcd2to
PN5VSA3HoKNey2ctdTftLw9OKvJXX/g6EYjn0etPtbTu84hFfXbtaWB2I55WsCvjdxK16HtdnJdy
cP9nvj0245sJf5lVWSiWcOXCQCJVDRSVxF3QA5Laad40qVVocVx0SzC4R5XbjJyc3wV8PppqWtb+
nxUlaWZNkE/YSN79csgHSKmBd23KAB0FTApLQ8rJbY3/qA+NhmEkHgMelvRCTib/ryTRDSunTrDs
t8gwAz0jSvOX5oMGlRqojN/b+Jm6WLfqkKbSK85CW+TRi9tEQvz0oDMC/+qkT9eAoxqf28E08TBL
bfgjXGzb3r8C8DvmS896RA45B3V0lqN17ZN8ljz2gqzpvjKn5rtQZ0RmPkLY9MjBKTtG/d+x8ae7
81AcDOCkUCOr3EXwTTP/EHB+jHdQhDLoFxY752++dq9npTVmEFAZ8zLnpdRR/MXbRyGx7fvLtZ3n
c+6GiWzQZy9uRmVzR7+EsI9M2wueobmJJv53z5iA/xI6fLsg/sRX5JHz6ErDzucossezdQLb2zY2
x9KVhY9bJd40t6hEUQSKqeBwDX/vod8mFbi/6bLgur8TVq79FkfW2d8vynBk6Y03wEKafdFrC3eA
4lk5qfeJ5hedNhY5yDpeJxwN7opiYWi19qMaFh0Ewz5J7/97fNaeIrC69TObG6x6fChrkie/P65T
czcIATdiaGR5FfypUHfKUi7RG0kpLvPXQmpAIiJJB1D1/iu6uhPqbURhRltv7Ihj3XTQXKuJECS6
Tv8amgP4PtONQFjAeJ0Affj20/ZhNa6lziwjr50FoJF5Udf8SMGm06gfvomINBwQeL4OQPnqxeZ6
AOp97jRZgBi0XRQCN+nTC2Spzv3uoUQZBCzJEej7EctCdpvVh2Y74hjda3COWY5QpcP3BGTWbAAA
P2a/7FQFbA7YI7h1nrYoUN5ZU6/BfSqOBnqIDmWaBJeFlexgb5SJKNl7vcifmhBm60pbJjA4dskV
57zTjiMvJPZe0fS2j4egQgS8+SXSDm8eysMO6ZZJtjiL4eU25K0anbwZ2WTnxWEplBp6ekAJv1BS
iyunbbgmX+i+3Hw514oA9zSU4sEy3tUzXY/HsitUHoDLAWUXgBBfURms0rtEf5IIzWpFht5jLDw2
K6L/NZij9zMpBJdUOYnaH6o6oGj2mMkcT2l9Phgv8VOigB/D/sNPI3MM8gjJ/9lTmytZspyIwtgO
zehn7qiPFzbftgDIVj+GKky8osvWJgx0tV9KDNJ0p+cTbI/6bFwiMFVoJydGhSxCRxRzOmZ9lfuJ
5VyFaEA4IRnsRwM0e6RFbvspjdcYIF/Z9pRXoiPDMCfnrq5pci1Hx2P8AC4nN86LRHDiwOqDayr3
e8blsc5BGsqW+oL0f9qdwb8/xBFQJtIOg3cR1Y1vuLeS6DEcI0U6LP6sImU+M+UCiP4VqeGxcPmh
y+M2dr08zk7s09HBxr8x9q9pr7OVY7OofxZSFhlg8Bk0Hhc2jazavUpTDZGnR+NVFc9yC7nqJZsj
sDdEIk40f9/F2LyP8JWBJDNf9/XrPrtvz1CVXXYTg8upGKZpZf5fpIqnf09bAm14qgMhPT0owTuw
bNxM1SQGYjHX3yGXdVEzgQxLDPmAvcuBB39x+KQMwlLyuIs/QndWl3XbQlI2194/hRTfk2O8pJVH
/RqgppirGxftu0wO21wir+GBQZB9oBE4r+EbtM1UkT1H5L3KhQfM6HniHyORQzZsYvSJTqc7KcCR
t126fVbc88dEWNvMnafl0fzOYNp7ccmgFvxoBOH+C1FVBrwtyB0qXqaG6TVsScONv8xz5vKui5zq
0Z9GW4xyCIkcrFQZVs3DRyPZUtaZ9nER4Hoftjcw6cFVfazSZLT/YZYykhwWGAe06M3FVt45I60h
9DHqVYDcE209HOwS/xJwc857ScCN96zLoTB9Lkvai/BZxoly0bjhsYtkGtB+kd7GExHMQAZ+XCKQ
qtui5l7bwkVtIcDjGR/id0kVVm0bHrp38nT8iYSIhGcNY3NjAw3Ko9+tnEfYfS4FmAxKsX3TuVpc
UV0okTBbHrK891WlIIMlcntv8B7PeAiTzSlxpd44wqRCz7OBKlbpE2INhmJoLYaZ3FJB5Z3kcMy3
lCOCXZxuX/rU0XJPpAbvvKUW7cEfNPb90GLq8ZUUwaIJ/V1WyvVOxATX1CTsCGDFHgLGTXisvO/7
+rcEKWw96CYfmdE83a1G2DM5EvzYHB7RA91yNSyecPIiM14BlYQKOeVOIDyDbCL9UG7cIlkY9HXS
+bJOkZUcjaFzZOss5EcHaXKcrzqrmZ1Y/seWV+fyOdRgG3E84w7mCHrkCkAQj96RGS/EAFbLNOHz
h4/XvRx3jAwcV2jXHs+PN+BcJzw8NkqyrMArdrlxM2uieUb44Vlt9VOWpOx6xItwZnd5R9Ivo1ll
Uc8d3tb73CPAW3adr98t9etA6fTGcKWJMSUXr+k3ZqRwpXYXopTFQeoM9IwKfHFAkcozNVRlyGqj
A8sFTKA7dPZkxrkEDLwDhSWvaj8QlW3Ev0hOmFu0v/VzxxdRwUAzsQ7LaulVx7+hs4wEZKsL4tP9
2raiJwvWJWQ6ITB04vAGaYjbslOOR/C3zXrNGgNl9ESnrXJGDDRfgWeGQZf542QWPmqZs30OtgZi
oESeiL3I2F0ShvkCG3Wgz85QsQIyYtc0aUdTVxueXbNDa9JWm8D1fhYGU6G++73rmaCwQ98chQqb
8eSZnFWaElC5PMxLxXoWKl313eKowVCzCudyJtT5L0TetOJWqPSoRvKuojZPtM6XajxeHGm8JSgF
9lc7pcAvS6l9EOrFvtj4OzNVi14CNabvj+XF/MwFQAdrBoTrYgyJfmu6e9j/HKyHiBJ1rjnXrsh+
an2rdw1rgb3kKgnAhoR45ADMWzQrm0ZeaNlw1yr6ZIqNmpehh8jdjuHhhvEKY8lUtKP4pBgc4BfV
CrEuNeAKuLrDyGZEIm1NYOPygy4V6MwzbJIuppGQY48qzx1o9UuVnJII1iLddmnNiZxz0ZMno9v4
HHPIgq8gQ94MpdvgMIeyyOH69rpJGPtNDMJ+5lXga6gShH55TmJfxy9QPpWx6Hzb/4E7bjj6m8N2
D3skorxA/Dfs61hklLrIyPeUQJylHTfD2Era9etyzqWcWO+V2uM0wNMJJbfzjG7VJkzMOKLH+NLL
C2JTUmoXDAtKLad+EbDfhcIHr5hSm+D2ZTPjYEPOBQGBsTmCGYDE25jDB+aMons1gpnJ0jpY/NaH
RYSeVTQpDh46kIbgE2Ngh07Vi97ZG2Nj3f8IIYZ339NuS3AEoHpN1cwSeSAM63g9diCii6XmGhKr
y0q0+rm2Lv986Nml1uRkwLNzKUWTnourgKTbEGXHnGsz7ibprBtC8eljBW0mfC3hwaIuFVbY/IcD
qf2ro7Qgm+Tp6ctkTYgch8pItDrNx6iQXb8H1n5Wzj3nAzbqZVc26894d6PiYsUSCBMixFdTz3mt
6s1POyMaiVj0Z1r8vp3SlmXRopCj5Sk4OGNg/okwHjKJY8PtPyMbYuWt/gSQc2tq00mzbkIjhOmc
yNeoJUT4BNXRbZewczW5zffi1SaPyJgqFUQHTlXe2zUnBahDrf/Sg6hMeyQAe8znOOe/03QUblzD
pvIoLrgEpeqlcQY78Q7tXG3bNQIb5dgh7UV23pkBFoAe6z5WFzkx/t5IBj3GQgi6QKB4G5urkJ7Y
srpu7oaql+H6Bd32ik0b69SFmlbIf0vcOvU5HHBeVK45T5bzrXDgmbOMvPa7LLxlQWUtXTGaDu8C
oqiWAYmLYTaK8PV4upa/G40pE4Qm77da6LQj3e3u5zfuTj3gLcHcut48Q1zZ2XzZxaQNTrvjlkFH
Mq49Ah2sFnbPjjkn3VOU9MCuCqCCs0sEplpkvvbxyzB0CSGJIR5QobtQzjZKJC5VijTSo0QjtS57
Rq2UPmP8jRl/S02VPA5qhqEjrEjwKskijQcVbkgp7f6mbWgj8qDtZ36uqxXLHqEmsDvzZfa8zJxt
0qUhP0e4KniPHs0z/RtdPuH+TOIwnSti0RZF1faeg3JnmN1QFrMZyI092V/OxTUzU+MJMRPj70mD
jhBNKXaIOvRvSUoBlS5l8nIqAJyjvBZm1iuRffCAnQ9Dw+n/bnidrIUEiFyXY6gITpqPJLnKIdUk
3UezbZ1ilTnt5mzNSwfmKgqqIumT7R4+Nhnxebtex6SDSUmxeWedOD1NzFTe+h8eiDI5iwejPsu6
kmFktMjP3k+4PQCzfHBzpb/XnmEXz5ja7I9HRfqgmtBN3bwdXt6Pd+oVhZEpmC8Jp2rza3xpFyZ5
8UUMJjkIhT4xzymRH9hCMc81YTIXVPMfa1d3XN5BweQGwdahhlPXQstbhAErCPhp6S/JVDyjPVYF
J1FxW41Nhu8nzgLb20BlUmfBp08WIqA9J1PAuaRYPiMkSaIGtl3024VkTXBdSunkuqwfDOcH8LaC
A6zHgL4WO5TOrT4GaqKak1dxTlyJy3K07gBrFgVxde3xLCnJGEeq9+zNo4Sm7jQozcu7xF6aydF6
Z6MR5Qi24DwtBEW8FiXoTOk4wJASnqMzUVXP/h483+vS1Yi/cNnnoTL34eACklS/zKofqD58wv9P
KSdvpM++HNfK64D1ej6r1v/4clSNJgDDcM3CuMMj0Bwl3UeuHXhQIfHEqt8IKZs0Rxtlmf040Q2F
+ZBw4t0RSqnmXQcxeuLybOmucBEN75qjSB2mwAtbqGPLZykVECozJ15NLQhkDudvk5uIqSemOag7
t3/OHOYppcF7nm3z4zVorPO5iOFFF40KJc9Z1tPj+ucC9vlbRa/u7UrdSaIfhW9iATXKPofj+btR
bGbTbHAt8UDlVTscfJZRBMGnXfjX3zKQIJYdQnw9wyVGmeVykAy9qI2mYgXQ/qnIuDE3tKwRV+C6
IeCXU639bcXRa6p+7vmz1mdFielwrI+J7aaOGj0Cf8BiEoB9qIzQ1uKe9BymhATwWRMg4b1a/gIs
J+IIeogjC1DHcygbz8vP+GhU7UUw4TflOk5QXCoQA6zNrJqSRoDbw27WbLx93Pho+Hnw1Szgded3
Lb9ANZfhSh5F56acqMyJRLY71kiI601YAboOwYqjTm3xdzxPMbnX/xPJ571fXiRdUrVVNf65erCg
vhtbYotjj4tfWIip/FLq9Ctw4V7K9tTDTlBQjyIxhYW+sDS/RLKUxuOJAQpJeFrHkz0vS3l8ij5B
kM1dUpqho4kGJyfX0RAkBAIUk+eDwi/QpAUL7oi8WVp1kONSCGXfzCBkWf5qq92e0SNrH3nOL1rg
AJtW30P5pKgF3VztalAW0kUWVKtNtjdqPqXX1Jmhdq5yIfyhluM8Bn9KHT/eHuNcS8u1ijzmlwcq
l+hbWyB9jn2AuT782+33E4+58ML5O1fDsQs1K+TVF2VyMOIRXyhok/a1YJBbcD0//dRYBVR2ZxVI
HLOFDbllqp3zNYtPT6v0mw1VsMtWa0oRRcSsQ1q5yKBpYZS1qQbWhc1nEWG2E183DYMrdPBF23Qo
uNfYMrz7t/ccD0VImx5cQW+4NWpzbxMLOpnmwYEc6KLZoVAxMyjNXunGIsJDxfYaZx3DiVhTsQDA
b8Lu1vzQl0RDGf0ytpmHCWKl4fpM+Q3FbzxDJCKfRbcM9HF39pkIF+oCQzV/7LnL9dnu/d/AhD6h
NUYPrNRljI8b57P8QqQZI8PmFdcgmWqFshgQfkAv4OqT3Hfjyh20YKk+yJg2gU0p5XVFBN06KeBZ
j+/7pIXi4Swa36ElQt5l5HODxLMcgvt2fag7MTcxEokq/r6nj9l6hF7fSo63rvpZma/sdJMSFOTy
JQRBZ5/cP7Dh14sgsB3gpwmt9CWn1lSS1AY0w8puRjQrzJYZkX0Ey6uk+gAXKE/2jwutRKyyCaNg
IR+3QyePJYBMhpQOsWkp/A+Tz3ZjdzWS3pQawVZYT3uFmxDH1AilNEdjTawjcK2/OyOaDiMgT3rO
mJ6gj/sb6nosGui8nXmgpjO09ifyIerzygZpXhH42cQBv1pVwjUmNrJMwbZHwurXVcm6scIco1wz
iGyLpPlhKJ4MGcSdgNC96Ly0gJHQOKBi4yLXw9KN2U0BqkZt8lUbOk2S0nYpDoqGbyRiD3h2yxTK
TFzADn3nvL8kfqFiECMkuAfOW34Bu2M8xkiJ4+GNGx1yUgbjxnXTQojabbUHXAm4ZAc9PTi6/TmL
9V3SxtQoYR4opvQHaRY+cwIpj/x4THIQAtxIVzQ0rvkJQBTvBG3o4ze/viy+hv9bVxKL1qyJNjbN
LpHNp5N5VSd1fMH9KA2jn/c8tQbUNTsbEBgmDxh2U7i9y1g3ZrPkcApZnDyPXX+vUEZ2RtuIlWuh
yt6OMU4KoHCxhja63ANLPHlemNwxxkqiGdsqq0Fmtvl/n5iIrv3yHanH6v13zqEHYXDcGzha+gvi
e4foKEi3DchciEmYF9qVYskUasPBQlifpbZWOAwwLhKweG5Ap1S34isHEsbj5ay/yzICcgX6TQVZ
QJuYfIgwFFx0CXOhUeMUd55pGmi26Z5+o89iANWkhwXPBsJUbKJP+5lD5qV9Mlv1GqvDnGeUa4dv
ti/m9et42upL/87ukNovIxz9CUjam/OwGUW9/GduncqN0dDKGBm+t6d9FgTzhOngZhHnZ7SJSNkQ
w6Qm1XMJSOLiqIPEyGNG32OnsN9Zu8Ne3199ewnAnrG3w3QAFYBng4lDQN3Djt4+V3TVdzPe1p8F
IyRUEXSvE523MohBcZi2kjY7oqtAmJiOcxEjfIM4KkBy4wiKP0te0YaQhce49BaNPwRRL4jel+Ek
vqBQPhF/PTWvGG4mGz2gm+i1Ae7quyp85T3WSCG67YdCVjsdKoO2GF2zdMmcHr9ujxg4cSeK1/iJ
MR0+6UM/IEhJacGXMD+b/FfUHFY35cV78/7c0b9/rDk/qpRfmmLwo2G0Ya3xrv6bJdDIYIwbmMbS
bBMC/ZC2p2P7z3iUKB2guQUwIdPZY3ohaLPnN3R7UE5iL0SxGO9fuEjY0vw2z7272To5I4xpa12O
9dCgRo1/rno27v4dfWFt5JAXxHlmPOczs9095vy3eRckHTMqQijn7HoKeas0qFcYD2YT6mnJ1nG5
5EQ4CEMAoSIj8Zg/lVM0Xv2OJyrchPTNtJzi8XbKXwiGaIOSE3/kvI2dDFqKXpV3I/rvNmQzjYxN
k9eQZUfXh3soaPiqd78lpaXA1VCB/nuPi2F0jT7008yrDgHuzX3NVpjZ7FDY/oATb1ZSm6jYEJAV
/dga7z1UUOypPxDOoSgake9AHOR7hrxLQs71tDbjaelsfF4i3g1Ouwx1tWTAs5Qw/ap2u4054OFv
2oauUIHWtzfms9XrRJOF428AvCyVuN0Xoq5o0oZWsTS6yWsh+h6YTvKnxB/7XyzBX//I6dmYpoZf
Ke3hUfqf3pdEAdC+tNcgBCpKbVz+2VFdzakno1B4FV16uN8zo+a4v0IOs3/UJlh+LP8Ai+rtF/Q/
rNWbTGDdscenAV0Dm8zerSwxIcg5EUw0fAqcHafUj0v6dWPzvaFZ0TAZWGyOuhxmum4XKbABYk/K
sOWFJQkjkpHH8ryXJZDu8zIHkXQWuBDUvru8WKYTbSYSFeHQ+rg5jZ6PnUJu6g1x23HqWB0vKMj1
0bRCmrK5vCS9Wz+lOZmb1wUiZJiQvDYUDtgIStrMnKJxPt7YsmAwAEWAjj0z2mrVg0Pa0qeSA504
IXo3IaEHBm18BuW6ivuAHtyQIpfMpE/a/doSVaBgcykVTXb6GzhUoYR5h3VUWTqbYyt8o0ufZsBi
eWNmdMaHnzHtelrQO6NPvzyXefIyiq7Wh9IHq51IvVETrv7nGSqmYmYVcz7OUVeraQfqoLGtehO/
N7pD7NLo24tOs8N4JGCM0KGmpuCsklZdWpYZX0jVmWkyFy3mFaen08abxPCripl4IORKdn2Q0b5i
crwy8vmvJq1EcI6emurS0Ac23rLc0VBQ3LPQF/iqV9RXKWIk6NM3sNyTarRQBCjSLEa/6vzbY+NW
a/Pu1Blj5THrzMNhVyyaEVIXPRe36a+5gn7OnkfrIWHHXc3jxmkAxwscVLZcD2jmQVEWkrMW2UoT
VFvYqYRbP7Kp98fUhpkXcrhavpuYOAj7P3ST66yDUD21qUz5Rb1kxiaGC9nWhD7t3nEBfayUeV4Z
GG1wbsDpmPCN4+UCe+C0NEreTnw36WZjrvxp/xaGGYTuw3lwHTeHLKcdgcA+mSML7E0va4l/DtIO
OVX2s1rsFwvZh01OTJoeHdPQUbvbIc0GggvEPhuofGlEv1BEkSfNcPITK5JMeAN59DEuZTUeUTQZ
C+cIKVruRVQnmnVpSjMu5w2rTlwe5wF/OMS6/BdKTXAQpF4GzjthSwkpKrmArSs/KfSEQKJ00JYo
1pmRFlJFidB4COHl7lj75iHBQPlo1wytu5BpLlRq/eDeLmULkHSjW6++ISYixj+PWjSBnzFj26Dr
5whDJnKlck1o8QCYm/4ITiytiSW45Yj+cug+LAsRguC4KFbIYq4EZXckRHVYNR00g5Iws9ai5ewC
6/eSVcu37Ag1aJY/CoXFZfI18rEMsjuTCnwexZE9cgcTwiyIQ3tVYOOySyM/iy3ntqn7ePkiTCfE
siVSuxxe0Z+yzDhsRO2sURrwvvh4PKmNSavX0iSIyjdwZqdhh1AZmROHlbWh/rtGk+UpPBrZFR9i
wO1cnyEcREa+ifQvNENCtQW2dN4OCepzUvraaL+7Zl3322wKtQpy6dBG/kw88EHADzY40DtVihVw
uNQTKKYQoICr3swjvs1c8bL/Jfgb1BGXhI1eYBH5tmDVlYK0V7f20tq6PQIN2eTgKSGa3TOgOvIt
P6i+Gc+9IZBxU9ecEA0qLVf45/EZsoyYDic+d21sb47Hw8lLOfkjqASYS6Y+QPGkzpvBlSyHzPIb
J8I9DSP50DMzCX8/obZi9zh/rs12tFP+fKKsEY6l41s2lM814iH3/JdXt1u9974L4DOwM6/yO0xb
y5qXxs9vGUEYnR/pkoXTpHG//i0yaaYzJnzhTQx9jT4BSEFStINFHszUgK2QhM/qpK6rdLKrpyBw
Cjn9kMJxHQCpfmNHl4k8lDr85g2fdLL+/vlI6R9DG4oi5ABcnFeRWBtHbWl9J5QAUcHe6MPubIIh
btuKTFqfeerMWgkq/cOgBONBO9EA69BankjwXnn/D+TAedY2l2JjTUJBF/rE9+zCIrxvOPPCmtNU
ZEfmM0DAjXrSvwRuxY8KdWffxcEtagxnB2gkbOMGNC7drhxz8xrsQCKBt47DsUBEoSEhJIxTgcoi
SjCso20QYGCVlB2cN5lWXzirfXVtAa2iJAPtBBFj2taUnJXpDQra/jSIMLehNLjBdLb4qVUOwSN9
IvkBT8lr39jfDBQjaNNgBrsEZYngkq2461DrkEK+aVwUXEikU9qV10Io3kM+bAhyFfZN6l5V5E2z
eSIu6T9nYe7CHH9TyUrYyr1W20Yu2j9Iir+xpnz3Yt5/0r3Duld0gpGYDN1jr43SYQWx6JhxpApQ
xFByokIAUMC0FS5xiZcOs49AUGF8MJqBPd/6lFHUT0BZHi3Z/dI+qDTeSvMB2pcKKLmYRUlsJHKd
WduhEtO/CjgsvwuxFMwQCCXEA5Jt3lQ4KKK0vf79OTQZQfbEjDaia83OPOEnXwNnHJHPpOKBgUnu
C+wdK1/eNzY4I8a9uqwoS/frFV3592aL1Uhgiiq6kj9F/Hqr7KsUgr+FYWcZhIDUzT1qKWDzjcmi
UWwRrPhUoxixZDj4g70CxmS3njzu7/JqxunmRb/IXnco2NYe62z+vMJSDPtvp42KtdA9VvmIZVjY
03iw1Sll3D5RHef8Xgw/XJnyTWhKerJJPRQgEWkAasTBqNTMJ5ukZXY23Wjr2vRl9l3LHEguU2FD
jR/JI6aGwDz9BF7C6Pitm9gnX3atlC1e2b/unbN7Q0hWu7VNXPyYE8WYsyDCbd+d/uuupS9b7cZ0
HH1ezTbhUFofMejsHBvxL0uPAKqsxM7F+/8RUWJ6gPwGpAWSQMmHQGwDNP+xtUXO2c7Ep0HYO4wA
QoFDGQ4p7Zx6mWdLwMDsXjtN3IBhQbjpFIwIZGu2AcDYP9lLrTVGisVPQN1uKPS+fa8B26cO7hKf
XRRZEYTqfV6mDRhfgs36O/yZcBwyPP0mpfMv68ar8hKpuK0C7XSFvt36Ve8XTDMYGdZTxBuAs9tQ
2x5c7lC7JhWhXCzxv0TO6sDLL6+Ex0CxUsNczzujew3aJUEErVHMqjxtceVMNIdDZdrpjkPMAA0i
I1kt1EYFZvkr+kYT+O+ZCH2qRYtirMDAXNtguguXdB+INF7jsKE74JQ9kugUX4GbW9mXESu6uYi1
NArzDv9ltClgedTnosI7c4cDHl9EwgPescnZf3C7tj3pAm+AW34X9vjT2WcdlJixV5qitrwKAWcn
OR1tBe4XcjU+zMuMEMwWftF5qpH15V0nqTPyMdntcmv8c9QutbOX1Ix3plduCU48fnp8NkMFZ5nx
Ogx+3V8eeeoSZtGpnRzaQCQdL17LH8DKAGUzvLrQ4TKI+tBpIZjeiyFcjzdw2pRgAbI/CO+6UkHP
MZu7RZyFLHtEWBP8vKirqu8vrx/35M9FN88NJgm0LhHLSquxWRpm4/79f4xMWxTi3Ksfs2TWhmTc
8/boNWebxbaaaDyv5NLda21D4zvKxdB22oIA2kK81NB+H9aOGuZwAwj0n3sg/16NzReyzq88YCVb
SGuiamz21Oyn7L8XQYZGyDNPNxDu8HjIv71xuc2uY4cmxXJCIQOBxStsNbnglXnhibu9ffMRirxo
0h8xMBTOyrR+zcgGwu5xMh+9zxn+jAUjHrnjz32J3ibHsgmbXXA26VL42rNLiCMPszGV9wBgnkjK
/bXxcCEDAA6Vc6iOPtDv0Y9BvJ+FeRrBSkjIMEr9u0bXnL7cDO4+979un9rauNp2gfsUE9McMuyJ
vXY5DIh9ZGtti/yVloRIoIbNxsrUlpZO3742/K8EYC7SbHXZaDXVJNP+LpAQxySTNMAEu7kgpLfd
1Q6zgvVSqTHSZEBRCscsiWh0gNYyPNWujR8ykfypbDTmARvLnqBIFEGrSe/H8mSgPtl+5wNM271V
lxmdmmgNsBNSXoU3Mw6OsFoY097feYiYWKr6zGGDP2V4PxR5oV/ABk3n4EakGV0zKQjoKBfvni5v
XiqYY3cjYAC653rTWmfgTQ5dzu6DQUR2H0ChA/bCllEp5qawYxEWeAA6mHDwBKAqz0n4RCl8BkhX
ajlP5mM6GIyYk+kvjorl/PHQrmzgzlVoT+X53aJqSyb6+1VC5PbpoOR72tm/AOwU6kEjbP3VBfQN
PvijZshvJFbKoEKgK2B+G3OVHzmBe8o51PLrpPtd0CwSX3YFOWtT04tXWusG1gaoHOoYUTR6nUJj
pmAu6sdd/Z0euOJfzJO+BYoX101rLPCkPsWFfa5L9SGIXwHJ6SmyPQaUtJRXQG6kqcEEomnYOYwe
xWRMIfich0xxoGFq/hoJFMDDrv7KR9pxrZGmGM/ZnKDkn36uKD3veXpGjF2xsoVp0HWJL194MjfJ
TrfxwbiAJm1c4mG9Nrv18V8h9kQtFV/pfZCJE1NgrehF+lTqer3y4Gu+qvV+46EmGAr1MuuGUhPg
RsXOFKjVJ6GCo426CS0PjRJH3ty+sDU8zt5VG7vE9J+2D3WXq1PdQMYb1VeOqNEPbrEzg0jAqigV
6zYHyRmINBeTH1t6asl0nkwGBVPMQimTh9qKHxGC0ep5JRqa0FyrgzSG3ZUL+UlSAIet093ty7q+
Wlk0F8BY6f5vRYJFEN637qRGgntr60XqLnPBQyT46CGUD01f+AlQokSLPsvFdQE9KE/ZYhfCKSH9
L3zoBkW512Xh7XOjlE1SNK3wYdo62PFZ+/Rn5Zg+4HjYycWGoo0wnu45s70ytkIaSNMgFPqvb8cw
BeV4J52C1hsgPB2GgqvvX+dj0ZnfVH1FrfC/hYtTDp7JSuaD8b4R3o5+Vplk8ZNzZDgCsnJA8bR1
prvyJfIhSpfcg+EOvJNu9ClsvqjhPDSqKW+AIAlS8lX5TvDxC0s6DZ3p1UwNR6pt2WNWW3zrf5iY
2N6ATalSsl6tgRdIkTD5nUTlGyMYz3b0HozbK4g5VusuQX7LNzomcyRwk8vYy3GGj8ni4qdj/+bF
SbGBmTw7u19zBmOhc8i/FNTK2HUsAhNzvWtYf+okXKR6Ww/duWksfY6RPzfezeaPd6tUMcAi3tmB
uiwXjXOHEDBVnGoUrg0ZnSSgF8SfwE2qVkgq+YGpg9aoP3RxQehXNm8vCR3XJlA885RoIu8SgZ2k
kccXbqWYMgNEMy3/ZqDbM1mKqbOKH5UnkBYod7B4jQ2+PyL0wXFPy22Mqg/z0u79pnABfe3o367M
vZWhuAGMGvVYJOslCagynsmTOP4UD8NlcfK1mLxVhoSwxRoJ3v7vTVhclXNPKddR2KwYHms8lukr
TuE/u8CmkXwtkeF2gF8bQus+7zdUWU+79iCjaVlUye0ju1slFaU4gtmdGPO1aYK97jm/h2UOkyiJ
9EBFglLX3624U7fF6RzjbOxfJnILiYtOeElxs3kr9Mtfz3NaxWhOpIoTqX1An8IzaHuB7o5OZE97
ud0CBS0z0V7EB2AHnIRnmX9uT8yeSCwMMzH0jlDMpzTrh6nT0ShNyMc/lEruH1Vt7zHFRVigg8dF
4u7K11zO63av8oxPfjJY8KeaCiNfKsa3UHzj6YhoTCmnk6ecALWom2t0faUtD2p8xPm1jomjeg1g
5/acHaMqsQz7GA7SzxnX4zWLRiIj/vHZSnpRGWfDNZevKiMHihzLNLw9LmCadBqOkOe7x+a7a4oh
Zdg8NUxkKvEnwpNMzVOy5nPHpg3BP3j4Cq9XH8nR6OcnLbfbvVoW93cTIQK0n8LodbWkJQTzagRN
bLM5grGnvHakfmiDyzesUtTU/MCxjLteQ+4sVrAD2N9xLv9OWH0/bYHTYBGSpjHyPkADLcALtzk6
SBvSrykyACNfWXQx6KQMVOAcZjNAWlamyvesO1UPbWHbjM3Q6WxdrQpw2SLRYWvnSvQglwQdWhNC
PND6cA84y4CtOrncihYL+WDPGk6xNIsv7OvmWwgvkQar6F/n/Wqc6eA0mltX3ErYcRFjz3FToLsd
P3VoNuIURPuRMAVo/p3r2TdyCPII95/Gbnagz2EyYzqn+gJaIfTp1kNM4ohmimgmro7Q91ewcjjc
TlTa0aC5NDpUMIfSjQSlj03c7QZcQISvnqy1/kSy9ysWWpakxN86YvfMExNptyTrhyynlfOgc9+e
D7MDVlsdOkFuB2ixjWfsqKI+A5lk2Pics2g/QtQLYbIWkur/FNfC0ad2/QrGnUQmuzr7rn9gBp9z
FfjiSbn8iKzELYZQN9VGaxEhxlSnSGqR+vn7sUcAbIFZWNLClKhvOequGTl7XEn0h9JH1teT0QOD
frq2K8/8n9WZt48wFsEDdEp6BWJ/8dKZcEQBl7dt9wDce6zVYIwEXFBMKxdQmVXbuA/HzvCVOZ/9
EFrsiE045dOm2BDz8xyYZ4/WLQFKt1WEj92AWlye4xOYLtQm5AAkvxkFIMEKz+ElUct7tzFwVHhP
SWcabX9x9eg6pWspG7pJtIxl53YjxhA8r/06tw+gwd4v1OvpDD21za7haYDYuxIgNiXxL/R+hCEa
zOsquIOypRFxtmvdZdcCTlbl0c4If4TSSdlIoy2oFmjI+f2QhxfCzAgvzzooen7ptGMxdZRCr9nj
uiIwNIJx9rvyseiuNHi5DqCaQxjefSpXx1BIrgnGXHyKOnnDnvVPYcPmQETpGrX64u8X3j29aNjZ
Yb8b2hrvQlMra893jXOKdiSJWWrNqbKC+/WcqN7XEFewYQJ6NRqAAmZtVicP07eckKRGSHkP3y2X
10nv1oonHsH2T9/BULkZkLrdnRGFullByEwSY+TO3GUqM1b4ft8OduQ6QcQHM9k0h9A+/L03sYo9
1xUSk64pdNpPEZ3DwiEXtowRV3FN67Cza2iLzO4WXD2QDa6u9kabXuTKz0OeDIuWM2HFtq0QeEnR
kyqQ6bF1bKSuODXp9s6Qe1kC2upVAcAHE4FEoXVeib1OfqAKYfoDQ6wvQPihFc/ZMlOGx/2f+NHB
go+e2vYHJYPRCqT19PK6UqMW61+zx2dr63VmJ3yQTXcu3kLhCtGyAMSZJzJojM9+pPLxf374Fy49
S050fzLdaTrjBeyygYft5V0mhkhNtNZCSzVTZ83wXtkbkxcQ0vg+8F09r0KTsH/J/TakjBVy39HF
8B8HZgmXGCK0FUPi5aildSaDe5hhbWp2vbnu8FiufE2/WWO/f6jAuIAEhALKO6CpnD5h0YT8M8m1
Ivo0bg98Wa51aMSV+iXouvxKXjQMlpAVj1DaRPCdhA2fh8yCyTxiBFsdr0cvZ8souicbucKCOvqw
oqKHEygvuzWbzxZK5lRYQDLaJXvAo9b1BeN4cI84twh2fFlTcj/ZHhOBCAMPG+iuycYDMLXRYVWK
nDKAETD7oSJ+Z3IFFKFLu6SHk5uSrR1QbswyyXJj44wjEbElvBsXIbxMrDzdemTPpE4d1PFuPLbi
mRARmNsAC8+YCJpzk6i+U4P2p58ve5tYBHxcc+c1QI3wuI9GdOrPwNPXa5U73Mxervpp/KUdBPny
un9CbWIlXqhnL0LDgF9yRP7IqgDhIGPtz0l6Fg3NT5lX74niSt56wIMxIrjpxthKCCvi34dHWz6L
foFM5p2EptIWm22BiteSDg69pB1ckIo/BItD2KaNjDxxhy5Waa3gVb4+TLL0yIrGXy7lavhk3KeJ
JmhY1yL19WlCWK98M8f9hAT5dpzoDrkn5ADU/09hQNlfzoQ6FLllZxkU4loAw7HmYT3ENLWqrrxq
d4fDCJZpeWlm9tRUZvE6req7EtC1DfI+hK24tRLgJxa0RCTQDk6Gb2zSOdomUXaEVOyFefKB3txx
Vte3q2PaRwcFg6a8opVQ8eGm5VlUxD27LJnXRTk44Paa65cfRDDLJ5cwiqKZpihhjSal+idcLQJf
iqbbdKlx77SyL32KzGG0uKaFmdNdnKsHBvWbpeM5oBLFYCMLaxUdANg4/muFqHUxABgU3/2z//K2
BzED1tjmIIBiiBAarF3oj1ynNx2VuDITrNbtOmzwJC+Q6fC7OzDiYRiCegw1gYHDM3PxkgwRHEOv
OnKEmtqNIZEzPr9wspnNqwRn+PvGK3ZrIEe4GfVHcjCKWotPwvoosKDBuJyGHLJdW2IOwgIe1u4j
kFeRxrtj410ZRIXPCdcIzaRILoOX7DT1aHVrPgdbDHOps9t+NEiiBCrrhzuY7sghuJvkuwAweiyP
yytTZfnT0q0BuWr2TRmCpp840BgeGz+miumJFI8IHp/p1k7Ost7+y709ADDjioVl6fxKUQAsFQ0A
Qt3SJkXrcbyshvm1UNKDaT9QIWulChLfWHs4Q0Sjpayhc9H362gJCHuhGbVEjlsvQIjaLjz4Z2XN
Td7BlpGXYqMTZB6ga1nCOfxzaauS5dg2MeknO9bvJ99EwDfbkRP+leD78o4OugkFVOri6r0yWlqE
r4rk1xo1BCq8DwzQ5bspJBQPrD2geLTWWUvbZ7lCBFEnNIc4DXnAQFfmeVrOkI454zzkXFw1Tt5l
7M4LLpDHi2L2R2aZqAbhVntxuJU47D0lT9pzpwRVSUnacmi8bYHud++4wcVZks+GCKWhMJQwJmcI
wGxh9zb90Tm6+TQTE8S9ZA0xvkKLkqqKown3sZzu6rxZMwNjnlcTYj1/b0RTpWHw3Rq6HgY5sVKk
pqX/GsFjHnAVSUmCpAFEzCOZj/X0hIqcZgYspnrP1hx361b6F3zrW61wWqilf75iAhEuiTXcJ9/R
fzcO6lnT+TIQ7LzIhu6JkmParvJsdTWBO2BqK6jkIxgJ4ocXe+aqpthp/XDUrzdiJLh8tu9FTMNb
aV+ms5+VbktBv3P5xkoR11NYfcic3EGfizaDBCQ2GgLItp66eOtGeSz64dJGxHR4S6EZdmmDs+Ew
E9te5z4bXDSiXfFvVg9psJztp9mjAtnqHxQ/mnARwnQfDurcAv2tHnnhlLob1o0mHNL7Ya/UoOAO
7k1G6kd+Y4juIm0SJ/YYG2vq6Mh+ybGh4zID102CqHFapv80ouwe3kGvwpw/M7pYf1wGs2e6vdjo
C0ZicwjohnTkkCP4cbpXo4erjJmkDf0CLH1jSoqOORCx5+GfxdHuuHZ4UVQ7SLJne8kW4ZRgw2lr
V2pE3ChWjY0nxQJ8ock2kcDCMA+GwhmPfSJsbG0AsL7ymG42zZ050QgYfKsr0z5+4FLkWlZK4e70
inEW4ydOjhM8EBKIMVOH5Gr5kL2BNRc0SlLx0t2uky3F8bZBAh08if3wPSFixBK9pDjtWEiXl2UJ
fchP9QteKGQsruXaWHxV3pY8ZntXnTnR1L+TyuI8S52ToKSJuC4l/NtOgWxQ3mRXIlxq0xrPumll
nQQN/UsMxQxR3uf/6bhRwchjdcZgA5btx70gWaNitjP8Jb6BbRYiC0vWhFxio2J3tyK2IO9OU17a
ROML77fGOKRwAzrwWCSsMAd25n4St3t8JLEbZqWJw88JAh1biL7tRAsYehoodKpV00F7oUECFdN4
FumEj+tKhzsgAib+0ff5eCzAiMfqJ7AF0cMg7td/Dac+Vh9ic/LLDaOKCJYfTyr/I8IVbChWV48c
hrF7YM2BCDP8HZEHBUWfX3CVkIMoTNHoT87KavQYycoZE0JIL07QLpl8IbHlSagINssDGwThAFJu
/SYeeWt71nB6MJxZgdDcPuKjeoWMPSGy8/R+yN+eYBc2JUMJv3zP6dFj5jAaGHk4bHVEmqY1ssYh
PxYiaPUnZYVEeffk3OcJo6IRGDHwXZjvq1s3jTGt8JdSbEnGWvnmq0e0UT1Y6k4S9BfS6XD/AaCb
UDKAZhQyRYl6bnbJktiduBTnmRlvFB8YJ3ZMB/tzWON+WbpSpivhz3t7zn7ouLjqt1bXri3XRoT9
bMBaAppaBbBxVqAc98cAr+7iReq+kYiKwjY7J7DxZY0WpOMfmAYFR72NybPUE0v4TmCfcYS66Be2
ov7GzM24jAIcCCT04oMgW9mVicC2GzWjtzFsYrXHWRFVN4i/rPsmo24hUJPhyvt0ktk5ZEHlXQPO
eWQrRn5NMrrYo0qu1pcEJFvvEgQzF4e47NwlEpQgVH8W4NB6Tc0IITIZtS0OlH8BNugp9WDjgUHs
OSnkBd3m6+yzgnqILjdWep38wGEUvZDzrCYNp/IOHGiUSSRC53oUT6eLxpbX7mQmwb3lxuF6Dp/a
BFEpnIczXYsEBLWksMZtSp2w5T5jgSJ2FXA7gqQuCa/3ko5/958p+yfkGtkXY/2VyMPvxFlzpKYj
K3USI+LoHmRU+/p+E+FwALGyCc1NC1H46gOu4LZ7UvPA0KiKpysnhrKksuZy18aX0184Xlzb2rnl
dUvrSZLYBmcN41gpTg1iatwPHulmm/zFCB0pMs8W8uJmJ7w93KxLICpB1BQ5ECrCbnfFdAQbeMdK
nnCJBjyKrJoCNC+dV7eSTJhDpKO8elG0q9hgiEdLnJJQdJ7EMSu0RcIbbpqwIOKuSyZH4Mno0pAy
lBMMnqlbNImQ6nOW5UwdpdCV3Jert+rpGnjbvFXmuZk3N1tzxX5DV/VR0UQCERwk4HVZpN7D6RtK
3mxIylPHUZQTnm8vLO7ht71lLYXneKzHvMsJaAfWMJDZZIdfl0d1AnRIC/+g9+F4DKKiPcUEayME
lPUI3msXUx8eRSY69+kKG594vr/3VTP6HLvfgk7hwnQX9ImPm/EXY5+os66zBZMcieYuLGOLOofq
0ec+pbwA6pHriIJoFyXFdOg2HzIge6mncYk/Yex/sT4uz+A9lXNnrcplNH3iovxJTuSsA9rxmVxy
pog1QHnhCpxFFtw8gNNPArOB7KPUBFzS47GkBmZWoIIQR8DyBPDtsqU0y5g3WXKYZtLFnm54PuME
pNeMVCs1VCPeTzslHy+9rAOsSbG2fkwBH+0Qs1/biTW+idGk24Fh3nxBDkJ1N2mtsHeXtcDByOwe
l7lyoorm6lauTl1xdSOHwbMlxxFe/4lgLQhCMNO5syR7AtXMsi8lI+KmGyXj5QnsjVZ/w+nH9nLR
ivVw0TWg6OQaXfB0aXp1C0/bAgxJ4+ZOvqP3Ft5k10kYBNHZaOaNbYNzgH505Om3g0P1aAxpmrzw
z8ubveJs8mhk+3KZs6dmTOcax/UsOv00pizJyJkosfozAh5xcPXxN4qcrRLbPFX9Us0VHFPRNuV2
nTPZx9Q6mt+3crBvKwtV89THfu5PkfqdGUum4HxmXCQxG9/wyt/9Z9QP+8Fd3Au8J7XBkFCTatnZ
u1RFhLMnv7QPTmnokRxMvv43ucGn8zocVSxWp7SB/ScccGAnm1HBCHRRAS8/KseTh9iACx/Umf7/
DUQ5bVrLFBfknmXm6adRKI9WiY73F0/PckyPWKkQarGjwXgbsRJXwRquC3Vxs1N1pJFs+i7k6JDd
suXeA71xUMpw66PVAZWPr2V/j/3XE0GI2rl/TRSCEstRSe6A/hbay/xWmAdsJ5QpI0qalQUicqAE
rxxB1RVf+6xxvVRj9kZpL1Z0L+EKy8m0FezaxhK3M6bioi86Y8uCVhB7GeNxuKFe9YObeChnHeC5
pXmMePx5cfc6NPDHrMSh01WK2DzUPz/cK1IQHfaWBwctO2kcubQVfziA4WewvprDhUJ/LB6F/5hd
bgE8uaV3InXbBwDlNhThs7vbLDV/TTN5x8Rnu3qtC59QXL0qWHB8U/a5XISwZQ/6uhyqM28grkzx
m7VejCYzp3BHf+4+I5d571Twt8yrZ36oMsyrlIDNvRArTctLgsEXOCY5NSPcMKaZ62I6HxmjNHtI
snEKwwgwnQjSzc+VCMP92xWHDBI4EPBvNJGNSBdVJxewFLv+APB4Mpx4p4TJKBc++YIilvX1KBGP
rmRoZymIDkmEa7/Sp9H7/InmlKNmKzpyhftUyVpcOlw+OpT6dWoulZHDlXdi2cHdpYvP0igJbJAz
YUWVUnxhdnv4JqOGmJo5W9N4luHkf7AIiep9lGUsGDWzPjXwhB/R/eCX63QUTLUjfqLyCLST9+Wx
ym3bgumMoe+ud94cdyPLzCgrZXbN3XbVkOzn5xc4e06nYG/XnJjYC6vaEhNr6SA6yj1Ic9lnO2+D
KFLW8T1mpIAVojvvXMlaFl9zvaRLWlSTzCwLb96GsS/KAB8h1rSctLWe+Uy7SG0ROKL0qHlKdoN/
cVRUdI9UZTcZbZDha75rwHTePAV9GITBDlYzZ/kRzA4GAni9FFI75jk4igPuNQH33Qn3btW7FVYw
gUMcNxofyMq+vOPm1wMopj/tdW9G7CS034ZiIGY5sOhI2e+TUd0+zeQp0v7faxTEJ6WPdHOkqUMz
VeGl7qY2UAglrr3uREyRpkmDC8B6oW/y5N//AyPey4NglAGYF6RwO/smhnl3eKglKIPTP6gquxqG
siTc8Nw6H99VDqjYanFKlaScPRKrlPICcpEXEXcD09FA6zm1i7KBqPs+hCvkG7XUW8Q+KEq4FdUb
wOU6WGk8FLC1vtbsQzXXTfnNoVa+p8ArP798P5f44hKtsLa/6hxG+xJzi9CcC7AaIRqiFbyF5CAK
5iEBtx0GUDBq2JXXT3WDzqmpAmpaf/uV8ZBuVPPRewmM5/5qG1fJIFMkgSgO7eEwAVRPy0Ayz/r1
LhVZ7P6yv4m2tARZV/Qorwqs9NGbX9AFQic9usbtwZ6ZrV1THIOuHcRUrtnYNZnoZ6d3fX7B0KAo
gkgs2pwxEIgbMSh/6yiTk4DEx4aXo4ncJNCjzozD3nrvBzT78H6p/HgjpAoPV2dYyeqK4qodmHaT
meLhP8wvpYCGc3xLia0vbDoqlnGGbr7Mw1DQN+2uptW+bTjetzDm8/8fYcppOPFFPlBDJA56oxov
f4R14XE73FKvqHT2MQ621kHjZpl5ZJ6+KDDIgbHmc14P8bixuRtDsPqPpT9keXP7SF7zoJzKN2zN
v1UtTcAamUWpYGvEcyqi6SE8SKFxv0XUx5OB77DKVl+AUBXjNvM3BzeUOH+uxVp7ABcGj8jitEws
vtVuQMncsfjq3CEK6jaPNKFmkz9j7ODxtQ5757vJlTbPfoguDV8Tsgh12BtwzBFTBiw8KtNiOBp5
kYGY4emYi0PR/wkARpFsYMKZQKyCnoC+vlxgrfLJciQsnOGIUwaoLHSrcAgQrD0HreVugosxKMWY
AnEdryfjpQb5U5AWDNiqOp7BAlgu7CPmL1c9mEU1s2MrwN4FiZoljL586dQMBnsRdd7/hG5ZGQj2
6YHHm+LkbXGE6XDQpcbCE7AHPzNSUGoG5zZzoDaIZsXHICQWVN69oyxCDiVaUNkqlywqRplzLSDU
lwcBo62EyAazvzf8+Pt3B8gsplB/U1QPbzGYccUjdIrzU8DsrAY0b0LPQkRlgM4UkbpooqcYfLn3
OwQtCPYF30PsVUrJSz21rhFtcW115HIcD8uYMnHgOYHbPdTab6dzQMsTplheMF1mHtMSwFBHRiBx
CF2RLda/lkgUTOtPFJgDAxxHPcTsY0lRIDXtxZF49PsYVRIEnIGjJnd2OzCMVeHPbaO32wXkf3t+
KDmYoKzKoeoorEraEw8HT77x8yNy2uFLQsQ5YOXgD0LYIjO8oJWYTjAYkrHbbUf3ipXeLgftvPTw
Ixi41O5EGhEyNcMsb+8cahdRgD8zl9zGVHSJCp+VO0Ho1w2W5yD7O01btEMAKCEjiD9jBYRzia94
q/xzPVQ7BMf8vkH4/QEEXCXDQ2Z0m2DK+S0M4Kkl3L+9ehXO7mhsCDcoRMqQTKYQqEzvyS5DhGk1
yTopUiYeLLC4SBv8QjLEN5PLypbn5ktH38ZQJ1rEXQ9iqZ2a0GBevk17M40BUGQF3+f3qp5St99d
Ly1qNKSepJIMHIETDXLfh7dHr+bJoZcIsRWUKnzL1/IkGtLisbUjPv40r7nDIQdpe2ls6v9QF4O1
TrOKF+OcZkFJDWLM1WvmHSpzV/sK+l6laTrvwWa+Kg86GLsH6Puxfox7dK8JManDtJCY1EVBtx6a
ofGM6EzkAYJmmSBgQq94xMifqE3IxyHEaf/iG0Ot6wafps22ihSu7QKdIDaTF28G/rzY0yKap0Nl
4eYV9f1kAFcEPHs58Tkf0+VIpiLBUQwz7y4Z4kGuyKiAXpQQb9JaKGJL2e4PJPobywIQ9DrIYMxW
fiHaWoYaI+Fbm5p76oHrUZPC8xBpKlQC350856VP27N9MCbZ8Z1XFY3UrCnbVq4ADvmIoga1i1lb
0QqqZdcFxaZKxdf2/hxiLGmdIk8qEgRbA5jpMpwMl0HPaECHEIf8FHiqFjLG78z1K0mA/6aYfn0S
Q80SSgiudSPEJL5tnO6VVrX1tlnA/uUDWnQGVpbYVg6pDh67g7FYuL8HW8sLFxPHSp4eeGnyzdOF
fJuQx4YsXEAchGum+d5+hdwQCurITHZw8j37aRX1cnoe4VNr155GX/nuYBUzepd4KXUa32H4SXif
EWAO5ipSOsw+r7EEKZOZdjOqU3B6x0TcxpOG8pAVrEKX9FPHIYSOqecoQJX/JZrEC/J4rV139vWh
TRpwk56ElbB9ZSBd5YGxZdoS2pe0ag9TcKM82tXJwmu/2PkY8ZoVnQBRMgMYbd+ZkKiWUhNGqrgZ
yZlQfJdOBUwGufURsZehRttrArk6qEyN4GlhTmrz2JNcDUf/kMFKvH5VPBusw93ykcQ23IzoSg4+
hPwr/uFPzezF2yZqnOMPKLaixOzAwjlpk4S4pScjfEHxTgVB0sqQLCYgAzsEHFS9vhrpOEJINVVj
6jq1aNd3tgbPlmgQBIoSAS8NPgS/agMHY9IS89rocD0ggbyfORIDRhIvf3yuzv1Is+f/vnBawWfb
Hvd8XXyIj4+ZfAKeu+GvFkJVy5iSInqw5Bu1kg6VsnsLPnBz/WB/toInSOob8PBchlBrJhNCETUT
sZ9dSyngmG26GMuS7zyrnxK5Boj20pXQ6OIRthon8OgfsltoIN/wuw9E9yne5rX3jJpviU77FpVa
Mo1hu4refSbbDihKh0sObhWu4ZcCN0kK2eC77pSK0pH6EjJ7b/M9+6AK729luV3OvishPS9cZzzk
mlpXNFSJIIcqT8IpdSUI4+awdtWtGzpFw35cgu//KsS7szRTFZoF6y56mbBKecmmhPpvGw8rOZ1d
IJQZVl+paYerZgPNSRhMSf5IWS7CaGBDhjQUWDak0Bjx1pD7Lz/4CS8O4bfZtR1UzTgBdxOJqswG
fTtgbdnzcZYY8X85ih+c0Wf2h5RQoJrFWo5wkvuNq2au9zdnMvQxzLh5TO47uVAmfZxUBMF7hDGa
/59entC+e03pz149AoF6kzy3bmpoO5EDsv8TDVZjqdAijGKcLN1CVlBEhoGLpbWuI7IFsRJEJd5d
ivZHegQlyhNppFaNxsK/b+RGAUk7Q4dIpU1UneG+vXi38btRa7WS41snMf0CPBA2ZagSltmlmOUo
lZEevGC7cr1DqFHUUfdBO3Tc84eWyOBItbFIe2ZD4rlVD9PGZvhL9rn4kD3X62mGOTReDxklIMwo
FJ22BHXMHDj+177M9PGU+gzjqsTWmIGac04NLc5cGsroiHZHsHAJmxGngMhS4j+urLaQlgot0TqA
8V7ia75XqRVxj72OFMZQ2oazLcQG2w2OeUnCavRc0tq+D7gD39xgF0RrwiPn/CVyhXYj2wFGVDST
Ovv6AUvVRHUBZIOVPkolUTGrmZQ02oktgA0jiwLt5RQI/jHENNtRoOOaNWKtQKeCGeIFd1h/6Frp
clql1UBtzfivRBPB6kxMIcmT3u5G7OTyoFVea0+qz/EUTDZeOW7aZ41VtWJToJGsVEgOSYS6bRKc
glajmo1oSst/k6mDYj/4v/NroHn8EGhVXuDmcIKfROW43sgJQZDNjPEjI5a780yxTR2cHOMRocfR
JVNIRieYYlZvzGMy36XasHfpcaU5qIS0eiieAWdDW0x0bPRzH5pmbMM/Yv4vJSUpOXVVj1aSvDhG
Nux2FUyc5j8eM3i4r6pjuFihThwo2v+XH2J/2sbxBQntACxHVTRxe0K0tlJVt7d4BTbKkJz68m9t
YFPPhI6EFan1lwQv0YUlBiRKUYmGCRhK6xeIjtfUKa9G4Gt/yQyTE8387fVWopA9csSETc/t2zZK
CLF3m1Wglt1+OoGk9JhScdPQh5kumNQ8j9NfuAUGo7bd7wTt2GOyUKrLnvPpMn3RkqNHGBmFkOFy
Uw6TtZUhjVdoqHMO3vNQtQjJWVgGz+eIyvC58GRHeqnBXV3hfLt7lDgiWhDj3d8FZlwMTJMAKtQW
OKX1yVVBzqnf/QrxTEbyhGMKMmko5pYlaoCZHcOTAf2Sc3ZnVH71ZE+ujErNfLu3cNe28LWN5QZM
LKuRq/Q0KDcbUK4dOnP1hM6nB8TEBegXQB2VShMiwjyIBVH7qlt1IfZX4eIu3KzMCC7+TY6kbSt6
20SI2O38sm1srGHfOaDrYqEwcrFauxLiNXDN5055cxOyk8Tlud6lhHe1KjljqXVJm2Z9/2b5hujl
lcNybd/8DkW331zsdLfP0z6fBSJREXYwiP4hwAaGQ9ERMWRUZUoKzwwbl9tbChL+gdQ9AuaOk2xQ
qoVhHOeb77oWle1yG2lamuf9b8Zriu+jez5AG+ESz/r1gL13965aSSMPR3CRWF6Jt8XuG6l3G3fx
Pxvt08CAk2iMpCpvfMjNCgk/E6TezoGdNTfsKng+rhRDKSmFvJPhHft4FLxDATRM91OUGCPa6ogD
hz9cOeQW7rqrcdtpIHnoWfbHDmHIHmqy/AUJYeh0c8nT/lMFarMBpzhJ4Py797qdEEHQeX7Bnp28
sEWf0ezVQEBFGcY9WqTVtT1lVK7mZcVak54MGcGhch5TcnwZlNUIMOrXoZqwfOttCuTTRG3gezS3
h9/L3uZ62+Epll3Jtzm0IQihL/XGFgsTQYVR2SokdWsdYuoa8sQICOBJxMMgt/9iEZ37/C39evEZ
Kmqz4UBpWP2YoK9HGmrxsijFrjPquoNbpkXkCWwiGqjugNKA5fFEf/mtqYCmhBja7xTIN0nmkhHe
8PyF6SiiWkldyRHw0Z/q+5xyCdr7HdGLhhzqDaAc13w6gXzwbK4433+OBwQ+z4hYYJLpe0/obzBe
+YLa72//8AtUhT17TFcMMfTmKKGxP4Y2RmuxJkbbP62HpA9i809ziWbtk00OivntC8I6MHLZwUX1
0Xelxe9TOXS1OkCrhRmwacPE9ldre6QDtsGwBAB3f+Pmvc4qv53LiGzWZ8265ZJ68wztjThHcVyJ
Go2UBfqnq7QYaihfqUfIDOR4P/FymFgay6MliKtOhOidiRW2RZmwGdB6MqFdZUqoTs4SqrIp9zUi
me3bt9qoCuMNJ2WbMALb8GwCg8xYfhi/LVE/m0wo4FvcHQTqmhpb6T7r8vUsa/GXQFUumn2WJ3P6
0T0Hc4P6AhUoTHGjZkaUCuJ0Q9wds3m5qHwjUGaPnrevlSXcz8hXE+GxpdSD/+S/lGShddo0cHNn
330q2x4EVEcQmUjt/IN7eD832d/u7diLOt62nD3QxSDZPdb6q++pFSOOCOL4nfGwcMPZEa4A5hVN
09y00Al27d1jaRy7hqL7IMt14vsn09YTnJEBQ03sPeMMY8JOTzvOhzqw7yofLRQvUNvogutKGxBV
riCKcVX+BMKjEBOMQEQeeJTsJwK9mD92SxSzjHYLyRUWgnmizrzKbecdSFR6oVDeX386zkFu4vc+
f5nZT0xjv1tW9BEVy2IRFBES0tDjeWhxTgwf6NRSULH34bST0Vxf9vaPLk0e7O6edaPVtY3y4sWL
a2WwOW2rz8reB2TyVrQc+qsmVs15MulFrCY8f8IzqoKK4Py8wMPLsgKJi1jexHWdYX7TcE3yODDe
EDZgxdU0zb/XzO34Yf7p1UUaFiCwvpbrb5NWy2+Q6bbuqTyqmhY+mp2G7/5NcKBMlRE87GmZ+2ez
ABSOJVPjqaIROs98NP+Gezj/w5Zo851iTC+n/rE5PwhmtnYc931Zoc2ql949SsyGSxHh8BImmXQ1
tJyN7yEOEAZGu+iqXeYRb+/1fMltKYfyplsPPLkEQkV1UAXT+Jo5+zLs0fRHJQ1OFJ3VpKJaPCG0
4t37IjtWIMJJOy0QIi71luBvhtmr6i2ZI2BewpW7pYiDu7V4kehWwrx92UjhVkcjburdSyBBy2re
P81ufMOV9mqxYKctIMrWaOHuI/h4GFLY8+giTnUU7QDmmgEWgNKdOJloVWrNAn1u1O7IuARhFsnG
VAFDgVxkgpCN8+6coAuMeXlh01eRFS5hQusT1JKilq3HQQuYe30GXesTebYweDfKHSMs0lZ0NDH0
OO7feQKNWjH0fJP5GmcRJqRO1pJ1ixo2/G6l00n5R7ilewnpulll4vphz8h/7ZhHzkhFH1OURnkt
EYSSNhEzW076PoBFnCXhY4AU3e2U7zPd2fJ6szTwKhrgDLRGJ7EQApoY7tyAOFRnp8dpR5MH5VK0
ZNjZVmbj8+X0SE+p12hj8KN84dMMeGZ4BCrYyvgzTFZEO/0078P37N7C/nAe9vIuX4CEVoWOJ7Ib
TvtG+t1dXiEAn8HimOc3RZ+44b4jViC6ScGQ90MsYOxcsta2VoHo4vttkr5ouR6dqoofolfqYvO3
4HTONGEQiAI/cOJp9KvRDnTqqsBBt+DLV+zWRy42uMpvw57HT41PHmCOiiL/Js9Ib474d32K7IsG
xlV/xKMozsZwRh2hEtESDywveyDWM6fCT1S30DKyBnGFM8GAlxWEsOR4LJfc8wRqnLTmoIGWl8Gx
3qXHeeVvDHGLLzfSyrYBqbZoVsU0Yf3POZ9WQfo2S8FYSyMh90UIi4Wywkc/1SRNm3Mal7FQJiDQ
PygSut8SEYpW8SyOWXo2TWkEhccLan/A9Y9uiHE1cMvjzGWOH5yoN+zhDDuWrhJ4La5isDt9FQj9
aT8ARjtUXxSrv45zvOy1a7FjzUfieAcfGyQt4l5D/lWEIsrW642YlvHpp1yHV/iTC4mc+ICoPeH5
kqldZFZHnDSdMTHRFFdJG0eu3ZXL5y9mYMhtp8L8g/fo6d8Q1qiBRVHozT0NrrLf8151hzcHacBr
KuRsVGk1uK8FB/KY6Bif4UDqM/XgzxN8lAMQmTnKdLYf6ddAbnX73Nhwrjl5OWdCQoiMWeYJsu2B
mT1Fqgwse6kpkC6zNODlYKrmO3WNVvbYWPzAuw2XHGZHSde2eqHqoIFE5DmZUXgKQfdyojVNlfBp
h+pVYEJly+QkuEgU5amO0y7crm7GnAmTddC1Ok/kySI+6XGhnEFO8WAtt7oxIPP+wmPSRi01VyxE
UgeKgh2P3VmPTYOadYagNpKOfzWjNbbnFux2oxNiz4/RlOs+UkAe9i2sbNsyx9rwL1RJm9MCnP/c
+18+xHzPQzMVRyaPFytISmGZB9ex5wQ/o+pVgASoZNCYKxYYVpbxruWjX4ZW/vqFVDkQGPPvw17R
CiWn+7bI+hw81vzW1U+3NQ1rgVV8P1gmefyUojXnHxLT2kilvwJv1zvCDTa6j/+6sP+7Rv3DwtGu
GJD25gf6VNCzZ00jNC8HxNhe7U69ta+4V/qDZkqMK1aTsOcqM9G/EFcDb5BBQbPOByWhWoXHzHOw
TRXVa3hkEr8Qf2OCBA14Hmn32prPY86VRd4IZBg6xm0qzODzE93mGFXBqnBRhpCc7/lBkLENgthl
nU39lWQDwVgtBSbZm4w8pGI54SGQmVj2uOkP9UwVl7tQ0YUatfX3JXrqFw49OH4Jj32MH4q1jo1S
VDkiEhJirTVrlQRdQUYdZHDCEN9SAtPJMQiVXUv4U5nlTA6qLDDXU9l5nG/0iDztcW+XWaDJaE3v
jMePtSg2cmXJoef2ezZRQHr9F1HDX+IEq2NrEwgiiNblCISxxRpaseYxjQN4yLzO0NoMvvSPHHLQ
tdnbatsOy5zDTKg5/s2XbMqzYXJF/BXJO6fuW7MLaG2p8TazXCgnZJ27RE3/7tvKg2cKMo7if/AP
azhDoAB85WqbawxYgWL0XRvGW9pJskGSXR4dyFYf7k2YWyp7ik5NN6VI3UVXSfIGwHsSu8f5vhaj
LgJ3rFn2JzXc3Ll9OvvMJW74N+nvjTK5BhRv7yTQ/FqTbGArc3JHnYxA2IrYe4nkiHtmjhCMaLvS
WSy3qFOa6iFPj5QvR7nkKS4yBObBZ4h7G6+NfP6bTbo2HhOQzfOwz3Es3BOmmQoIJFqOni3f9RwB
at1TNW3lgnlxnxWpqVU78kGnyPi5kD7Rojua/2FwQZ83wetihNr7qxvYlUH0Ax7XaqsSEndBmRUo
BSkKIyhjXV1lUgBfZW0gYjeG7ntTqG0OhDXkjHF5MZ7gr7AtZF33f1fVmsTbh+/yIeS5sXewLPKA
MJBuA4eKnOQe8Olv/nK2Cpn3/WHs66Wu1uHTJevbWs2Ofi8zgazOpRGhhtUBYpsSNWRpeOBk+Z0Q
HCZTiyz2ywy5bSQCzZraN3TdVN5ROfAuEPZGkRtPf8FfslMmtafsTEfUh3bXbJ9zkj8u4soE4vb5
8ybtigtW6fkELqHiEzndF98+6Yx4mTMorTy2Sf+K2lOFaqN7DFSP4AokfSdRuKlYiP0YDAgen/4G
gj4FfOhO7fvMqKv0fLn7Hzkm1fFIl2vGjvAGLtgWYcz80L/HO8k6S0RbOS0n/pkuGsuhYSYPYhMm
VRPf98oVm+CGwOCTyLtO/LEpcudRypqVK3NjGFhAq6GDP79VqLiv+WwOpodsP3JFT65b61+Od0vt
bzJczVQQoHbje816KhFkDU1NGWhVkFav2EtGvWe/Wa0CNlMflZJKgF4Qb7O8lhz5D+foWIEm4QJ7
PG475tqz3uHt3SZwo1HWtGACdM+iA3iu6jY389yFbMxz+ZH0k61jAlMg36f5bkH2v7lDIBHNdMG2
ZQDEVGkm3NcC4UcIWOT7nZKMIePvGbPjBWqxyfxu/HJSQmcdYmHqcifbI/ohhxSNxMNQF6rjt82I
ksXICm1nHm3FiFY/8NDobZOU4Iep9VaQxgpcQUgD4RydgiUsgNLii3EWQWRZjrAKH5hi0zrZU4tM
2HDoGQ7SQTrbF+F66A6+7iG3PZyqBKXWfyiQkausttzJYXX/WA0+fM0/Kr23oOhGlF9LRxYwvfHd
JrdlKBo6491bEDP6OCgLQZ0IEU44/4qwjFh7o+LuRKExt0pKOoc21S2Wl/86+4RWMPkYWDNjfQO+
kCxusLC+sAkOEDh/i/g17ZOcAK3yaAtbUxW1waQcVLtBWa7oIDjVWW5AAq7dE2rXaslEmMr9vTWA
N1LRSCiULjObnV7RIy0MngIuOMAx8SHzt0ckGaH7fVprAPOEk3FYt6Wd/fIJEyFuKCR5OpMNQ35Z
33VO4f3u0mLu38rUBwiiWz1O7R+huiKqMNE45/92gb+mcYtJmjuNWkXDpdo35RrnfDmt5QtDmu1i
KySOB7Kq1ch+PGfDeUxoJb1dilOGLsiSPv8SwLyu86qEYRjBWvruNAE86Ub7aa6/4ZasfKbLtwIn
30pRx6p+XJD5bkOFKN1Kbi08Xlj6qAQICnNeqFxwN/eruJUoHkv8SGnrO9pLsddtn/tPMfQ5VS69
HSv/VqBInP7bXwW8AHXSOoQeLYgvlHEcmvtMjlV0gvcWH6LbiJg7CQve9p05fQYCX/e2iXFevdnR
MvaE14wQ7NQuOP3urHCF8x3Vpvfnnguvpjf8Rsp0jMC94E4TOpIAT2KElw9N+wb+ULMDmdF2q5ky
QEPHip4ikj306/GGHT6WJEG2CqMd+bCGZSyZEr0lMWQwzSWHFedOfToKEwRFBZPkFzZvJ1rsL0CY
yi6BgQk74To+Wy9tiXNSd4hhnnioX+L74gApH2kr0b1JVx5VNCaUICMns/y8LWVqki9vTq6usaeF
+NYeDwYrzPVA2SWCEti+6gpNNSaYyiZ3zVj1u6JR01c0tWfEJwUg+A08w7+RtdBpsSJV/nso03Lr
NZ56/C79zVEnk6M0PWbVu4hv4Ww/bZ+XraapZh45xbHFPj40d5SvKqwN1jYdXwWRbfWLJPgHwihS
dtKArUpzveQE3YYiVqtTML5Ho7xcH2jyGIr8d4wkviULlu5WlOQLiqwJrVbC8szLnADlTfCNB/E0
qpeRh1QwEptDHOGHtLEq/GyLw9X9BnFqGu6R0UX3wPuyURCQoqdp9UP9nmhq+u/D2KLYDmVRjpzj
1INKVIandDYxKhl/27+D2mZnGEBtoVDwWZVl5dEON4vX/59L5wfXm2AnxlwF2JqTU/CNwA/4Xwor
L8dQVY9xktMJZoQdZcdx44ufRcVWSWqwYVz0y0aw4Gpe4jPolO7+PXXgOk0LovUPMNAEVk3H1t8t
8o6OzpxOX2PbGXLxr87azJlRIQvSTY/Qaf6nGakqYnXwJQnUK+NHSMTipMscZV/7n36M3iLR8l24
/qsyVIjLjYQ8oL5pP7uh3/BmpBHV/2hPJ/Ni1m1WzfyUAyw9gYh0/3UNcBgZ5lW7NHkXEMjvOCzG
7eKU4ZbfFaC7G8jqBN3q2rezvcjnUPIiqFBNd99S63DFUEXWx1MhO5gu73qjSLEqebQDsqgY2YSg
Rau0B+ShBh8AJaExpXLmzbwHyd51nMX+6y8xNzdlEzGJEwut9zUAWejkG5xGDhaa0/eh7ssOYcZ8
8Du+UnWBNYsd1O9LtE7/7fjmNtga63o1s+rVQBoDrp3kWy1d3d5AWvMikLTYBYjPNcuKLoVN1fsK
K3iLwGztuOj3YLVNjmWVvQAE42bZwPzqKZFkJbNwtzeZ/S2uM3dz+fnyxwIU8ewiES+czJdK/+zG
gwwFHj3fqj4XN0Txop6D95ftBI2tGj1/9r2qQ5huDTQ4mVk0CuISmf3WmZwHwqEzXJr3xsQyHsXn
umuukhTc8jnQeJ1uRWMSg0Wkv4jpsvv/c30ZfHB1LZQW8Ahen2VAc04Ha75pOhGSTLNbCD0t7kAW
B8D8p+BKeUHXAvdSmOnQdfDg1Vf3RP3uj1nAI4oafgWR9HETIpJofssiQxjhrImRFwcqfhopJc4P
Y0NH0g0E4/rR2P2h35tbpJxiEMXP7xUtQqZLRswE2pjT8rs41W98cKtpyF7Z1lukQ6Qqm9FuWMcd
i6GK3olaBoT6aeVUObBCsNuAp8MSXdOP3AWAyFpSUH2N90fi/eRJHQb5umBLl4x+t1UH+p2oh1JN
DUWDi43QOOtgnPXkVQf3ymXSMKWxbWSkq4tlvrgcWxfkn3XBaxEmPbySzLet7w9RF9tctvKNjtcl
brwKbpZc0MyFKNd2An4N/EWaoy7ocugPcCv2NtaKT+BV+w8AxXnSbRe+yJT7z/ViMoQUKMSpsbe1
oxX3knofibD3BLozMqkIRqGit+f1fMjDVTwxPnnFE0+gdveOB+tAEKyK3ZTYQZATDCJyFB2CV9tD
dG2orttpeaYHOCEZEWZCF0gXd72nFbWmiYvnmuyDEudkI2IVnLilFVe1VU9tNRsy7uSJyYnw8vnN
BCV7RCDnZWLbhMpky6/70Y9pQW1OTl6GG65aGrBCPlt61ugW9RqC1RjF+liF9+Z2siCpoaMkhuON
vU1brC1bLk3ghXvyAytkywvCb8GpskyWRrlejJe0OLqGFvITxviES8F+m3tWltCQkDkpbxJDCHh/
k7yfxGgG+wLcEsBOj4ccl5bmC2nVQH1gY7vxYq4xXV/6fE7lrP5HNoj5pcFB5pMTT7+LOeL8oVsG
rYJH1ANj91gzUKfT/tTYoStcj9cvX987sAerVaSs3gBi7XuFW9yFdbg0gEae2g16IZHoxqiGcwqc
s6znubh9KWny4HLf4WgXqNmrteSRF/+jk0xbSPWuIPSMAPOWh0+CjD02PND/SQaI+NL4SL/cKG+z
YkUSNVW8zwu0D3TJnmLjl1dRCnd7AtSVay5J3UaOhJtVJcDjqAxBDx8xp59HyDBxYN6GDqWBFCxl
UtYILWSJG/OAjn3IG3alY09yxhyh3K9uLq8Y+U+rBUDTYgmZdRsNHWjvR5yZW8uLAZNJIcsnfrbu
qIUNQk9EgNcwOPKn1NseyaALCmnAyZe2OZfuCqvTY7CFkBtMlPA3rVsILbu5V7Txd3YuBE15UaJs
BHL3bCdRum5/QRUw07D5UBMjgsit6tHb5e0Ex+Ig6rgt1BqIZTXZXeMXHPtSHX5v+7Xg6XwLATb5
ALLOVE861bVRtukJV3fZanWSqTyA8NIznR4tHJl2i3fiXcudWBdI5Tf3YBe7/RMIY7wMWNrweUbK
67uH5UMLyoFxdRIXdz6KtwO/rFSOSl+7IgaGqcRQ7HDrz2XpjShtgsGLMkhMqZ2Z0aJq+K6iIN0s
9VyQgjvw4RReSV/+jwqdNCRgTMP9ScKRoTOG6HID1Cz7iSC6pgyhzsa7wmMCcgkK7GjE+5Lpl4xt
WJJ++i/+NnBeLsF0Vk1Fw95TII8iz1hqMmDSbFQYewjdbmU/OjTfXrCTVCTHNHmmqYnj9wrZC+ed
ezWrIsbr4yKpxJmcez/CRR2L3l+yq2JHj71ggCwzuCJ2FSmaBhfWNvHEFh5smUx7p+Tkf6AKYAFe
ApOG9I/0SFgNjA7YyiF4dGrxOcjkgVoGfj08tW0IqDWGfY1IgTN2NmstGmC/TJ7se5ly33PZKS3u
QY5pxFzlqPt7TikMJAsgKPmHX82XwBfpbn7dRSLKMddOgU1c5rmGVg4mRIMX/t7xEjZGJ2wJMPdR
RtRafQM8BR/VgSfgLwi6I3xrr47ZBCW7StvcgJvNf5YP7u9voSUx6wKF+wM5DIxpTSHYKf9mIHxp
GPfrvXrmtLJVL1OetpLVhc1ty4h54EsT0T0ePEMkS1jtTcSBPMMp6815jyqRdyhwL09TnxXWWL13
QJKNDSXEtvQs+130Trup6Qyp99G0RKh6Oqa02H/3iodCBfGEr2xDrDLR5in3riQjQpUoBZzaaEG1
BRVhecyp0dSQEP5jIpmemPbSRdew4NBrivk/TtNzul3lHrcBcQk4JYIzEjiCILM9e6t7mISvtC0u
fFYhKfu+i/qMrHZSNtkapbrZZvkSG9Mm3UnNNI5OT4ICQhfEzfH2jntjKha20vk+ioc3r9I0kEKR
eK9B5kx7tkE57eyeek8J6mkjMBk6cD+gtRw54hVHMC3bbDMX/H9qZQdvEYhwg2sHyycrH0px1D8Z
rK9aZyfQm34J0+LJs5GSGbo8q0eau3+YJkITTTEy9DWmIOCie8xc9MZzc6/xj4VdLdl5XAJIoPO8
fWa8BHo2bVLqXCJP9Zik1oncsmgKSj+bl9XFLZtr8dP3DL2VFT5VWXASfEmrYndWRhtEhmju1aYC
fsBpFqXsLuzku9mxL07Uu39Xxdk31rZ1I6zLOLhpZ/CmusOaVW63UfRjrl45Y/cOuXYJd6t79ER7
XqRMJUhwxEvUAmVwHQPNhaD7F/HEEsg2PvkhzLKheXdOkVCaNHBbGx0VyTUfPvZval+yqy05Bbes
GeKVvsCNDJLaZ2ZzcYYBcU3xapUXevEoN0uk29YWjt7jdThrqtzhbQQBJBEe4N+3ryTjLf0cI41t
NRHD4i0h9Dv/hhygnwC/rN2el1KuT7NVNz9qiADk79OCH+JPjPQ6oI5xOCS9Xr7SxBPCgfFfEUzh
F1XMbiYPZmRgMgOhr5yVHqJK8F4Z318dT0OXzjKa6lLw/Hj6yH/yq3l+T1NnlmeOiGlXQ3ZA6p3u
Cm4uNecYh44lzt+L/Kke37c8dMw3QlJPHihQ5hw3bty5VWbcAa94dxEnmFidePxO24oeX8L1I+Ba
fHOXscs97KrFOLHzw0JF37C+CIomNQ80ByLsjzpN6OSOSwyVjD9FV4WTm+0e48l+IpGtlfob5Qlc
Sqo8EWWs4rS2Pph8UQmxyD1fP/L4+3EbXw72Ww2CLisv80M/5CdlQgDjbBrbMAR2odMtjF7O1dSO
wOHuqrRLju3KtiOAcGWH2zvjW2d/0F6qBvnQDca4ARvHu8BwtO5tTkofxkBJ0VXnCacLmsTd8DTA
CT7XHLMF3AL4iN6SPw5OTnXsw4HwH3LDYGGMDTJGby1N8PqkkbAjJ8DTl8E27htdMSnRHVJtiWWR
tPIyHXcrmtu+H2C1LngSZy6Krngu7b79lee0Z5OQkjvgHsh8W6i7EjF5Pu8+GWw7QjXm2YyYtT2C
O3QSsl7gcacShIpFqDDiVpyqxW+IIQJNRPC6X3NUW/Hguc37XBV62vTUBdley51yeMDQluiyfU+E
AAW/NCrmWqnvOHqcITGF043SEwdFITe9QgLOiwr8mlm3VCHIGY4gqUMxv8JSrA6V32SKfCpH4CGG
HGeSvrVW7IvNsOjY1dlF8oS1aUzh/naEqvc0s5bnUgkOgNsZnhHPgmTCgMuI5YH+jQCUVHAIuqQ/
Jt4uxqZvyr1N6LxE0+SGMf+6ytQMIyubUNvk7N+91D+l3/lRwYE5YnP5EhOxmMCXlORCR6s93cEW
AXIh5F0XEHKP475zIVaxpxez7HMWilLEBuzswA+oyoy5zPY6lUc3PH+hVbA5rQF00EsDeIJfPbSv
JhsnUMejem67cRfMRWTKOh5TjuPbQDHg3OoUfF8GXpBck7WoZRZXUDb0uxFvEBS7XOfVwzBmaBJF
jj2xez6/QgLtO2yCsnOGqOLQPHDcLcuYwbvhdsNr+5AwYmRuXSpHCYgxkCeBxSogreFSFR3r6Z3a
tBCEcl4+wEUmgMDwyuim4yf2iWjT62wT52xLZ7mCNxJ51AhYWkyz25eigaBn6xe+fOmKRwhELMpG
8tZtDQUPybOFFG2nJAK/yO22mz3on0Ghb4VrX0QVtFMa+3iYw7SK5aQXU8GWd0Jr95Rx8oClC7EJ
7JjKK46oo/U9gesvZ6vkexydQ8GP/OTXKQG00hMqmu4NPR5NJrEGMcwAab9y41iX0HObdE2tYLU5
7o7P60Yd3vovm7uVtI02xEJGsPyfzqjSZqYKH2y3XqfNeH+sIqQ2mfJ9nxh800oc25GmiUB8QE8b
rNVrhzc8kgw2gSOC7pdJknLroFvYW/GH9R7OMakJv017VoLDX43jpXeNsN4fRAJ1ZuirNSMCh31I
0D/uPVRQBxfBeDcKeW5fQNOKd3BPF0+5ZaGBlG+E1SqI3oyEO8Lhtjwsj4Jr9X2xzTTh1vgNX5S4
7dQ8ncnLztGhNeUAVppuvd9YzBvGtWs7AgiFeFtH+Ph7DhB17tPGZ84Sby53RlA5HReCsiTGZBjs
sDQ5XAJrr75ODmMz2KLsL5paKqMTLRhVifqbX/iz8op5VkOXzfLcC34PZTSO3ln/CXj9bh9DCfCd
rS/ddXX12wx24cR8f2BUnulcSUemvDhEuDve8T1BYkXSHE1QdexkOFgW+++yPvk1W2nQ3IwEYU0A
ZyuMMICCfnSkGsI+WaoP15Ni9kj66mutAPjNkQUTcdbCjbjX7uRXwg5MY1UtMMYrNMTX3IZ5NTaL
yyJ4yhQI132bgnm1SEcmeOfwHyG2BMTjt6UJcT9iX/88xyNKwHdwe13PyLHwfOkNgFDSIpGKsUD8
kPC74LOYLs1F5ZestWZMA2tpaopohOVTHsIFrQcrKMhOgtr+qrFABEU+Z+5WjJ5Xrj+CC3vzgWdb
woNvF2JDywctqBj9eZwsrn+wSwq/+g/wY5AAKTiWCEZOp6IFtpvoaRMv9e7ANYAuKxxssc9b/Mgv
f65d8ZPHGqHbiMwmb5NORyqFBSTfpClbTZseFGPlfl3Xi/LjwrgIqBBiC9DmfAi91kl34Pd9VqfR
ouzONoIGLEAm42ohLIlDbUiPG93Yic+OX8+d0WbG2q/tkUVU2v77waHLFY9H/x+pzIpnTEM6T7Sb
Gh0q2T1Ndm+sgay2qBks6MUu9xJvdRYVTa7STsC9WbXU8kAAYGqaCKC24NRllSa3q8F4pzWQK3a+
l74S+5xqBiACYGfTuSQm7btsqIwE9tnOLgNtUSO8SriIDB+7N8SFQkLRb5FcpViTf14BDi0CyEpb
cJPk47nmMySUz0SOKgSKEwd4wXOWqXuHteeRPgSLL5OpubB7fSFVvUweX5BFGIRLo7cbaCVDFgK/
SGhvIsHXRf1GzyvB68FLLT+zneEF+kA7pjzoaph4CH1HSYemDuAE9e1Rv282yXbaO5Wf/eXWO+1E
7NMhsEc0XxZoXwNDmeMLRTFW/3C2ndArwfNFZE2uD1EZOgdSEh0/Ma31fq2edjf8QOfVNUWCnL1C
cortwJokz2UOzdFnu6Ana7mw/GWsek1BEFjsnEDiRaDUBLKGCg//DDTFd4JdsFqWWvZ5sgw6pU4R
dTw+5flCqwzO2wzf3yvCjN1u2+nxySJw9w9V+nL9+P06g/MblbBRqV3uGLezpCJkqpLrAObBCuam
If5j2JK05rjGXgcggvu68d0qQyDggEDN8dHMDASgA4qpM+7wVb3sLEo8npTtSFkm2IURBAxR88Hv
rqNujW+wG5G6YSOfzC/o/kujO9UZLP8zgCeOVOyfzqLwAhoKX99s8f5Vjopp+eVXUHyvBOfkBCM+
2xeRQpSEsttPj4TGXbV2xCNLPMKDKljImmqluXd/NPAu8fIxB/xXl/bCYzxPtg+LD6gkhuvt2bmF
kQPQQm1fm9aYLY+ot3v7vc0pv2Bp+JK3Hy0IDakQ8ME0rQCpIBjVCCDBIIco9p3RfExfTDUQ1Nmn
dRt/zy9UeN+rU/X356lN6rMsWBHYEs3Fqshvw2c7M/qLsLKme0R5SheBWLRmc/V8+Z0Ke5P9TLEP
KAztFWPq5AxYC2qT9CVn5KkoQNZyN2PfcP2lfqfea6lKf7pAJKtTeIKYncnchk2+rFcNpjKD00Ou
YjA+gcRNcMUxGxsMRN9xPMaDNRjwPmOVkDHfhuXwTqPSec8lIYtEnWjwU7evpHsDjQ94Ad2ZXpXY
D6aheZToiAOhRZp5BgjPNGY/LOjKgT4TaYKm77B6A/6jg3vbIC5WC368wKDnNgf+YkBb40c0ti1F
eaN2bzkyBr5mM+9J2Hfyc4dhtm+KANm1wfibP6QfBkxf0Cg7ah7Qbii7Wo42yFMS1ktjtbAFWczM
Z5FH1wNRBwNmbiqwwxsu1I60A7oglEKpdfPilTdn0C+kc+giYh87cWHRlMfFHipZdWQItFS3VgCS
Ecuj3UWMMhCNaQJ/t/OHkvPNzRSBrSqE5qwwCGICwM2ky/OORMMjIjNKUd+rbKlJqKU5AF5ZtVHy
smStynUGVCn/9LvsdhrjmcL2ctfNQEOLS5SAze84U85RtZS0XVmnLcWp0V6pBPyoDbjwNPS3wfpj
tYuK0cvlJYeZ08v0LTwLAdEhIpRyp+tD7m/7A+IZthoS3NJtli91iHUcdYmBuzbGyPJail5VObAa
DsHo9vNI6zYTHngO+OF0xLZCarD251jZAau/+oA/wBUb2L8LNItQYkWzllhhuIIbnnz25J3g9gPt
W7iK1EZIKHy6+kiwcs1yhobn6dRAnnmXXFIW0OZAyd3Yp3zwVYpc/Gk9NPdrWPU9eIlIrEH8Z4i2
58IWGHOdlCZtIBG4odxBybID/wSIIRQWbxSrY9BWUJSmRrGbOZwHgmubyaHB9Bs1VOorz8+QVGG1
jgW+318PBA/Ij5oyX9CRgkisx47ZId0i70R+5EAte6EI5G8dz+XqUvGBJ5Mh5xGud0jxriRidgKb
bqqP5h4O338aKiDALSflyI2eDbsCd5ogy6uyIZZ3Cs3ubg0RtDMwF6sN7F+XiyfPBZrFsTe5WKYp
dTlh+KziaNSFK/ciquZ3U2hMNFFwVH4jtMV+deYPiBoRqlT90CX2eA7gV1PDjPYJA5rEvp2jcOo4
b2qaQZr9bGglMVTfS7ck2pXDvq3nWTAopt9hxjpZlC7CZV29E/fxm9DJH0mqArpCKlMhRvKiKMe4
nhoILYDFacZMfNss1Ydn3Mtj1bjRrsiA6XDpnmOMz4oGR0KLmPkMaU0KSazZjFzv1ZhTvQuoNAUe
wmqytsB0YULxQP7hHFj60g2UPPrTmsw+1o4DKnBZHIJi1AsmUy4PwCDeyTPMULQkCrIWZzCTtcXJ
ONUOAm7Xkgycd1mYgpTTTw7sM6BO5+au3AjgtuXWFNnKOnlbPGKmvvoDkaWKY67EGQDlz8kscSI9
5ma8tAHENLyUdsoilbtt1Cz2GgpVzmbv7Cja82XUOQNX5Qrvy4qXTYxArbaPWN74opQ2JRBDxDtz
wV9Z1pWd/tNEPFBtOz3KD093DuuNRBuOPgLucBsxFl6w91OrvhvB08jvRaWeyCuDm+KE929EYlZ5
MkjjsMBOlkv64KaZ4DvTqiQwUKDkiZpfowhtlsQfZwk07o0dL0MK3lKLWhNxOvQUY30OaRIkjWNY
lL2HgmitjHkJEAxmEfyUcORLdzPoCwYxYs159Cye44LKOHq7gCoXiggu777FQrff92GhH0bXixAd
JEfS3506XjJIQ1Qn8hYP9rGXlSJe1pg0jJNwsKUX4YKNto/Xs9coGMymY4TjWj2+w4lbgngesAfh
dkGLAa4HrAX0OVTaR5ns3XWEOaL61CLCS7BWYOuZsxhRzpigxL8Q50PaeqgOaDxPxXqauFTdcgV8
IiEjK+4bkb/4M0kAOC9QNYpd4yNuTaJ/SSVlF3+yXbxk+Qb2ITcsYzQzelgV+gfQf93zLpkjiz0n
nzGTA3v6QIwfLaFUPsgWLBWxGirxDj6cNb+d838SZTc0kYXQZQ+LZoah9Kio4k/U9OdCNlnmN0mA
kOjP1+EtXNY6GY5R8cl28aK2NztZuufa/NdI6yxtzLoSnaYzj0UyG5L9lQSlSGMgpj4iB0UhiZ8x
elpz2VwkzQ3LUqm9nhay6hRi183kuvZ6L1H91xBMN5K6ntaSkxXInPk8A02Rg7/zbxDHqH80fiID
Za5m8lWkz4z7mmyhIwKjAW/sxWhG0kudxFAu5+OzZz2NGLimxgTPBMJHiGapCjKso5zE2OaZEXWz
r+hjWkgVYCMJvhaheiBEMKxg/7ni+7Wbmf3+lsq6fabSNgyxUhb707nX0G21rkr3B2aOI7rpmi4S
s6ibdYmXRAxgAT2sogMDr3bt6Hpgs28Xd7H/imS0LC9ED9ZRcEDNNVpQYgWTuzQYgTsjRC0nQHR/
dROBSf7RIrO3lBqwj1fa5dOdoOPCUwvuNzWQREz9nRuA/+C3xmryACV2QgTfj/jePx1bIYCft0fL
MApi9YjwPzTBnHiJiK1iLZFrJsC13LQUIUitNuVnXbaA3CBfjhCXXZENuRoo8j3rZt2GL9wo3oj5
TyHtoWtLeY8V76y1RfLIZihJ8e2yQdhoG7oxoFujt0MPptSy/mNOpuiy1QXX/dG/8rVM9isA6Ppx
qgkyzVmmMk2X7IqJdKgnY/cS6aq/EqtKoXAWXsPsOL2m22VajDLAlS7tUmie/4PwnzrujlKHiPYe
ZiAQwALnh0qGNQMuAhAy72aZuNgJW5HdWZiY2IRBiSxjs837QieuKWE6tLdobYxmhDBQ/RoFZInE
6wpfbv3sBj/TQVtZ4gFojI5PJDeIXe1f+1+I1r/RBAGH4fz/CCILNvYgX6FNENng4nNoh5zec0O8
3DJ9AHpPW2A4ldc2l/GrHxblPdP3nMP4Nna5jTNHeoNpRtO9+U2vg0qGEOCCocAuoKbx/3Q0Aq30
f62R1qYYRBU4P7cBV8DD00P43ia8wgOhIGhX3c4w9ZlZng2kSaHHZ0QEis8upt1vg/HP+18AO+1V
/2qqeNyV6R9J9mH+4OGjvXzE9f+4DWQoo9dhxrlfwpcbRGC/p7OQaRP3ISQuKMZYVvSTPeQv0XRI
F4ak2+dgLUu6/bQE14v9Op4Vcr6qQu0KV02Crvc8gw6F11Au7Faw/jfZr8xRTSXagz3rYRApyRYG
FivBBrDOzHdq6C3J6IFid4iNZcM9nTkGSYCoPTNprG2GJnClOc9O071+dpIGLmnbuKrbFiU9YIpV
Qt8/cx1fA871OsKjwuSPM2a804MLjG6UwlYWvDG1vGExKgMCTXy5IfbWaCc2ixWhybd0wVA01YSA
Hd4SJDDxSEX+zB7Rdu3Vot9R5+bEdFfx+rm9yotFejLpxywz3wOblKrols4Lp/eMxBEfwWG31uLP
EJFAZeDu8dSLjLoIZEe9+SJjrSRGkw9B0PD5uh6qy4SwhOoa3AnxWqe6BgxLXHIJiixhKRhGI5fw
B1xoM2hK2Ed2uhZaCdFi6tUGGyJ0b4yZ3VVscUKeiNlhQa24e93uwQVcZ+2Sw5UwKYRLuiOqgl9m
V0hJe9WGtKFz9ee1sDZrWQkq7AQJJji2T+6NOroY3w4PXLRjNFBQpbAlbCPlHD2+CTyLSPCRGt2+
Ub9dnFzeRgDCZW4vADWzzh+LF+rM55ubX9O4Y4WuGIx/piQ/Fs9EtYn5D5xi/rnqfPjUc9EPDrkV
D5ZxBCwPYaZDchtGn53ShDe4As51O4vFGNbcD2VGhGvnE2b7If5A/K0C06sP8sgyO05YXIoYi+9/
8a8Jdx1y1GGjApWDaS3SBqwZ0Fb8z1Tug0MwR61b1P/y2sVvraeu79SQgzVRqxMUeAsDRy5fdVyg
zJ5KDSe06z05TPx/hH9lhQe9RYROBiDGJV7hHM7YfqavJ5I6Yd3XZTHIuxysLrk8yI/M9kMIQbSa
89K86onEUUp+hpVM8uYTyeRAqHrEk5orW4r9vp+pBCoq7+d+QBiHfJSQXgPTQSxUOpeoRVQUfCiz
fufbxI3g8bHjOMDF6o1TC7TF6QXWU0cWrwv9vEuKWmm7QsD0KDY7aRCE5u3wxoUfVTn9LO1mvzJi
Jsar1Pm7QeTBPYbzPiWBpHT17kPFStW8c4tKBn8qGZKE+p/yUVR6c9/smZVtqRqhcUMM7ZuZsffL
njqSAbMkKR435zn58Igroxo4yspIktT00I4Yui+7tBTdDhAodtd/Ntew0A9IpXw/oKUxjAg54Y/3
nG6gZF4zyKA4VbZZbIFudCt6QMQIzZV0s95Wifo+JuyznZGviYzFxU+41nzEeVftZC18gfF5Lvae
8eIJTyFUutqZn5tR8lr6r8npalLZrEdwahk2hwgTexVFlKmkG9SKSrhUIvog9kP3ZlfajIpTNVXI
RzXmVol7Eo0YCmL+kOWzvtWpk4KGMvpac7K84RzV0uUYPB1qDn2b+TAm/YMLjNLGSBRQ6nMxtPVU
e6jksMCiuX40f/8KYJLfA3nMrYijYqYjjPM1YFdnQMWjyzZ+ePxHddfViIj+9lwKAtsF+pA5cJOy
rooip3ILoR7Ek1dLP7WJHKfH5+QpUwHy9GgBLNx7xZDCCyp0CVHauAD0uDl8UukMvJD9DLbIfG7o
EYV+uW2PmWC1ifk6GfEbPkqnOBIOQd5TeNCIs+w0VYtdiYs+BnQ05Rdi65m5Hd2OzeMgSHKg1EtZ
ea9OG63svOXcgYFZt9RD1SjabA6jS1LGrgrue9hLOBdvneaI2sktitJyJW8U+ugoFeX6W9nYT/v4
Y4ozjWbSKjvErHWhNQ2Rilhn/SHRJlVH5ChSiARMtT52Sw50JEoTKycsyweIENMZsnGhiGI+kp+P
z1JOEd4H422dwKzoZrQoGX5rRLFlspbBRCIWlpOXXYPBS6X0IhmyR0H50uXE1Amxyt4IWCjGYRAS
m/jy+VGRohGvJXCZVyibho8Pr8YCWJ28zVtx4weAMHKwibTiRd6fXdYWoCV4OgDbhWpG0ag0DY45
GT4yci2SeGlc5MCHLIVLBSIREGOpsJVFjjVgroJs+O1uQn9cYIqqcD6gnxbUVhgK39ZSLh94SIXs
j1x/3/j4u9TF14OjJrUvd52x1+xkaAQNIhbVEVJUGKtSfxuAIhpVxhdcf88y2U7nZ/D0WZ3pGeRU
eKhUKAg0qjQU5tfi2lM3vyFuuXztG6W1u+071PpjtYAOaSZTlchtz8zbB/ZexOCEm6hIqmBvS1VU
w6BrutPqaKACtkTHGQy7uNC5KvmaoqrxXhT+FXY3a4hCicO9pP+BWPGZX0fcxldwr4q6ov1+Htij
wuo0yx1B1nnzOXD/kqOrpsRMQusyq7i4N0G1QpgVlVgcSSGdiBLuL6NNvMlo7IxtjuKGWII8GryV
vIMAtySgcJe5c4Gg+wxxN3NL8aaySCpwY268BwPV5ljWmLvTDVARKaozF3CllI/fDWYvgNy6extt
5TpGVaE31VnpN4YUxidpAtCrq+GmH/ItbULk8T/xcYQ8f8IsZWZbe6udweOvPyYZjW+Rmf/iCivu
Pqw1w8f5HkOUSkyAAnO6eRGiq1A0TBAYfkdxGyBkcd4IadGuj8+fVI70ohaoxHCaB50aNm0C9lCa
VTk2uQiVQRv5eqIn3c5qY5KcVh0Og/kb863oy0/uC5IAAIApUxp9nW4NPGIqtBNOYXpNkNGPeGAg
z/EllxWHIdQBhQuzI7tqwIBfQ4lXALa0HaBDGGOfax0xBAzLzQWDYAb/80S9H2AjZiomJ0C7r9be
Y5HruH3xZnXankEUgzjljhY14DH8saChXHneP2OPK2giXTT/5IPBVUvJUT7jTA97jVxBmgaBR/mE
OJbQmteWfToa19WtawEsskp+bywkgnNFCq27mFI6knyNcJPOYEmbwBhNOHwJkAV17po2Mudmc4kg
DlkFM3Lxo7n0U9C1dqBFV6qOXk2jD0vgKiPdNVoO83OftRR/rv56IzOj+FpR43A35AlWLOb/sHTj
pdw9CkjtqYCYE4JFObowl3XH9b7zueJnoiPy38E+40sPbfgRsk/B/p71G9AVZNJb2IhX9FvhUvYq
Z/QsC8ImwMglFNjRH/ptqbs8iNiOo3OhCfEsG+rtR4IvzMTJl6GJyWK1fCY13oQdEAdwlmu70VuI
ZUbcKbLxGri17Oi8bcyuLX/NhHlNCwfWE0lnduEc1pxoz0T4uphytfb9VZTepVssurRV1ixiWGZ6
JOkqpah0AKtlOqcgyOAWYj07RgT6mHa1Eidq1Rf+fNgybsmo+wElqcr04NM7oSLe4EeGHHrpYo8P
9eh3X9/dEw4ODjo4Km9HqFrscMzsd5iRCdx1ThLgasy4csK11FWRNEBwDSlBa6T02o4SMPwwRoL2
WTimLxQNZI7JWxpwCakVQI12EdT4fINXMG7jIOqeH8+d3b7jRFE2Pp4cQS/csZVB8WKn7++zp/zt
MlnCncycPAecLF3/O3mNX0kcR4/Awp86thJzl2cjCamVvNkIvyQGws+0iRgyOMBeR2dN+QOjtLZY
G9Wd7WqM5u0QW0QoF6WC58F+2YUkgeCA+GAlEpJxNciw55nn/Rwa1V/7rBg9ZMdit1w9n8zFjiMC
mQkEp1CJuExXNYKojWwPqJ7KnNhZgwNXthyE8brZnEkeyMTHnp2aZLKD3RXbiNFvN3iQcxSrEYuD
riPpeF1KnivOfPjRTCc3CdXWmI8on7Ur/ogoXDp4DakdalZfT9XFoGdeIlfe7W7sNTAA0ETMI2C5
6OQXPPFgRmbS3+ZEPIJdgPnGkADvUo3nWCZ14ws0MqZMbSfCGhL7DBtNnV88ayK9Bv81MyShHn9f
FHjlNYa6BnqKuOPyIV4DX6KhVef6yVMTqK4lrqH1dPGOe24DE+ouAeTcxvbYJrHYI1uy7LYXhVLL
PYYQAw9IUH2W3bzUQVqV00Cz6AmF2gh6NlgNZQKFffMlkTyBaFGsZSKZIOtXJMw8VRWWAkBnLcOR
jyw6etBN/OHv7lSxbrfcM06LOZ1ShOPRQEAUMjwin86HggnkuDleID7Cs5AMfUuUa9uafG5rvQfZ
TpOFs59pA6wF98HlQOAyJR7Myz90OhLfyFdUu9T2aIb8BNSXdZPlomP2qbM35LHFj3rdi+cNvz5p
9tg4VZAyVnsvxvzECgNTC76VhSzfNsLHM359q++/OprOQMzkr1W70IaDGnq9zpLxzN9V/Vog7V3P
5zaFM2b/IqXCyRPCMu0tMgvZuKfkKm3U4LwK4EaBJ+X8pRVbBqCRNx0crHYsADYVwa/4ba7KlKhn
TKjxAg5oFD74KZobRKSBKFL8WlixyfjQFrs3xgXei0FV6pJiHIgzHai79oCNy4hAmNC+lm1OnEEb
uYKKyKL03zl+55BHvMfRYxLZqOvXuUe4724U+rydxzA/pWPnVy07vyeLqa1A7SMytC0hnoTNuuVZ
vmjmwrbk1rJm75r0w6XKc0Y6jb5hWfSS5JaFA9O2cWKNMwRvefxlr5R6pCmaz48e1sHva0mmBNby
LZERkYIUB1lX5fG4BGnBXN5ITqROl7uChX1BYp5eab0snhGgJUj+NrZJfog2dzpTElwonMPOJX0M
Kc442MA6UI4RgUguxKg844RvMkJganUYL9yIySurf6SPpL+7dLqnsiDTBitqDYI3lgxxufw+sBv3
PmTE7COTIThhlaabYCl7mLnduwPf1VlamLqo65JcJ0owHcygmA5kwfXGEsM1/19EcIJQK6YrQmq3
iQMDlMTRJQBnUD78auvq3FL5iAv+d1Iva3f2s8/UPVx346KNb3J1HS/FWap6DSK5/Vimu4oT7l+F
VHqfvYJGXIzcuuyFm8JAkhcHHcR2lXqXaHmaDbFQKiYtse/Cxt6gYNa+2SL+CyCeikLrSLxiLx2R
jpY4k1b4lH5TE0L4R7yG8vhuBXvckZETnisVYELLctFNoDT+JgI53YaaBBtxLVhG2nu7NYF5Citt
weUjlr2Blgf0TCWg3W+CK+eDxW+02lxTrGvNIW2p4ludnzFVkMEx1MmihZSMDK+noVkD3bzQQJiq
iDDJ6m3Oyr6Bs0fIAP+/QTm22yYm/Pu6stCeVtXtnocBNyf8NvbLdsFS2kZyV9I5hFoNO7nSQqH+
HR7eJt1JPHsitqkb0lUnwmksxDFs5cxF2YyCX2enlgrbDCmQEuVhaVWN9iGhCEwXtWhNqSB/upsj
Xq9BpCrB/qRU2YT33b67awUSSZACeo+NXUDcw6ivTEg4CX+nlshNqJc2hnmyfxlfVe4crijym8aL
czv7dCtukObAPErM3PVtox/yshFZx/OEenqA9LM2nPVWhJHhNb2k49nkdeNjJ3RX6gJk9aOoZHw3
Gy8l4tu/POBt+hLZvpFvInZREa2JLNO+P5yxOXd8ySItlRO1bMeombsb9sgtIMIRuxvXzJSTQGOA
XYcdydRz80LSs0UxcLVPuBB9ijVyXCftW3BvZLyrcoe5MDUSe2Kf6nM9PTywjLfgECvEcIv5wYyA
a1eqsUYgpUjy9oV5InS8eRf5m1UjJHvSCvhG5n6iInUv3K8wJ/6axxP6/p14u6Yj4cYAdshdf4st
f/xPtDNMytBBJOihNATdOwz8H3ukbzNk5ziiX2l6+v3EHOpOPDIVKHXrdgwFhE7VwUapE8CFBpkI
+0zm49V+MtLoijXuNRxmAiyfWmMQo/Uib4kxS543fQFiPgtKXq7jmF6KhK8/CiPPAOKzzuAO2q0x
ouBuOI+CV4QIkI/Q2ZrOX/KqoxAJnhOEPEg4KojLlgye/Pm+Ou/C6QzW/5zRuYsFOJFXKU31WxHJ
6wDoWFbbGGGRtpBhKcnMh9AbMhjewnD7xkaYtt9ntYTGYt4Z8xjsUUfJctTLcJOikM/nHBBYI6jY
cMHh1MKG6SvV/bnIzJjpFH5dZ/Oem3Qxwyr+r/KbzL/VLyPIH/RW548PwEG+94vThF+WUvkQeBqF
blZC9nJ9kcJsN2fTDcsTfDwNSyqRwwNR89NtcR4BiaWJnDvYOlJEkpC3aYXVISwwl3bpMbxaCA63
rgpgrPjsNIAVlvxk0zueYLKrZP+RjfVRc+sPHjqqa4LKEQY+tmJb9yuV2MHypAqrsCOwbPczHKaX
kKwZPs7bV7XBg+4KFtTNAGH2P1fmTG4ixK3KVherUfzAk11/nuZ1P5nsqK3LFmZUZbj51L+dt5Wc
3cqmk+DeVoWMIOZeKgXJLFr78swnu79FEPJiNM79yrPf3s9UTVam16kV/pJ3SqUMbf0SWDccZA2b
zviS3EErRG5So3rOFoyx08sOzeFPn/eLhA3LyC4OfBk/iHtjQkiHn9sOTquQcARdoGgi8Pc7iXRp
f7DFcwYIRnt3BlamN6VAsOMgZzzAm0hcOCGUB4830hHiuH1oJFkbIP5y3zoe6cK1wrVigENtX72V
kHHxTo9KeiGlEbuzdunEPb7k2bTsFuTz1tgkpqoo+TRqZtiIRrf7rJcvgf+ZUgK9857mOi59jDcj
RweQYgOCaUEBMM0e3QaVqvcuh8tqAtoBvFGyRZoeEE/z8dAtx//Cqfsv2qvMP7sOq3dkySgIJK4+
maE9vnERtsgn0ujXkup/qQ1MS78r0O84ZBDjSVtREcLN7uWCms7pFujum0PFA41+K5usCIQ3CCDo
rbYRJRwuwLnPHakqnC96jV1Yh6l067SFGpIw/a8ppsZbi/YEB9z1qcQCFax4mxswOMm3K3tTsuhm
YPsbWC6B+Bq4iDYc6xiGWuvWAhT28ajJPhqoF7/wqDULqxGE+kgECQp2U47+RgUwKXuCdc850oVf
Lf7/viG8ueQ4AwFQVAmftZHNtgaYzKhc6ZTe4yeKyaqXgLN0+pA+NIFDHFZjeH2+BfIlnji8dfGF
dsDUMUnlVTTskeNi1UHqPhrsBLaALhKdSVg6CklNh+rwVEdLGDQb8av0zxTMn3oEvZix7PpWlzS/
p0S2CG7FFHtPu7gGT7UrqDoapT4vjNJI1uD03icXQ8TdXcoRXF8Q6UCbWgxaNCXXSuO2JotP28T6
/VXp2+MdtTQESVdv0gqiE0DCgPcevoHKS7QxTBeFgx3M8YVZYq3cvVVEudWMFqApQl8N+6b6Gt2O
YmBqqR5B+gmFQLmik6rvTN4Ga2+6kdZ99T9jGvTr4ppxlZJChQpDjKcfodFctqOikcYmZaHExb8F
HC2hMekilH82DDQp3vvHxqhEpJ4qnZAMvcdAXaYQHOrk8X8wfNlb+DDGPoySO6/i7+/Ti9SUW5c3
YzmA/XdTO96KYV6FlspjSXg1VhAeDP3bFOxdZ+vTadfmgHi16p9+nqob+5ah9Ixdyx5d6hIL1jZR
aO13Ww8AnoG6781FC/HcvODwya5k5sdohrMcRPjJnBtZAUKm3pKmkvk+zIgDe6qsvqhNILYxwKS/
d+2eSDA1DFU2sguiJ9usfBjC3ZwrwU3LjDY574Xy2+H9dIh4b478KZnf1N3DVqBURHrlQSwhQ/go
2uoUfY4scZvwIv+bssFBBJhHbEdS8OpdVwt5hI/EWxCPd5T0g6BvxbrLmdhyrc/0/O4IlVI0CbfI
A4tN7KYpH4CGltTepyagUGuDT9l6t4FIzuVIW9knLNjHXDoqoO8RROkzDpBLVoQENoCKQHgJ0H0n
oG9cHSnBN8giJw+TWk970wZOF3Ckh9ur6DPsray06HAiAuIpl1W5wZvA04uH01iCa2J2PO2od4gp
oBlzJHjXBobkMPuBlI7P4VfECJUrF8jrD2KnaehAhyznZvJSgOdAUrETIDXCIMb+7WK7VfbPBEtY
0pQwZV5W76otOK1KMWN9D4Rg3wEYeNuvKMD/5pajsI0A3mlHrUthDo8VMK6frAdHc4+jLBkQnhqf
oHS1uMnDP2LUOAsdjCaFe0qb2AR7RYkP+ouw+up7iiGdaw0BnOvw6Gtim06FS8NWp7pYvErA8oni
DFyFbeZxL6IWEwmZADU6A7mCW7QZwIRgjl9AL12bJXpEhg0CfLvb75/5C4xsKCzMlyBY7E4MpmTB
UMDYR1wNB6Bi76gqf0a9ArrwLyaKVhjqEh1ePSqgS6jjU/DS+i2BLKBH733LGLWKRmT4Rs+KcJmK
w0sYFthX9wnZ5Go/JIkDo2zE7LlN8NDb1FvCee0bFwdNz8X8FvPqo5Z4vxNowLd0j5aLDx3UxCkM
tOiKf7IsHCoNWJBEHdWtl8yYsmHAUAtgIEio9zHvyOSg3601ybC7Rayw3tJynelHnIUgz+wFxuCK
8pBNsHBZ7kN9IcVJIvLimi/gRND469fGVDUNsUNWTgjnNYrP+jerjMEexe8vhSEcoG7dup7GR6zn
xbq2+7J2+x5ehl1d/xnzOIDtc1FZndx3vBHOiaGFyc2tJAtP5CC1vI8Q4yD0vvoEJ37+qTtCUYoo
o1gSbxR1gJoRdzHxW+qMrjDJScXmQdKSR9S9Gx7sPkkI4cvtEAcJJRaE/Te1D+lMVx1j6DBltc+5
urg2FzJuD28Qv9oSWd9eB+VeLz2ixfBwq2NcOFvc3m9qi1ZTnrsfXlsgySBi8WhZvVtfMavocZ8B
M/EeA6zx6mtECkLlZd1zR5bw83eMzQBr0uK95Yk+4qFFxn+nOb2tJCs93Q3/wh68itPsIWkLCa3Z
hTORz6EEeCflutUD4k99cwI5KIdnGQj+7c+02JcxNHmp0DXoPnx47S2bdLp8kR7fur9p4SXqdAMD
RiUq0lJLnVxQYMvzFJcLqtezjYspezU34yyniJg23iOjxjUEsZIYUNVuazsio8uA731e4fZ3OAG3
BQnbeAKT7+W7+UKv68Htq/IadxoiCgNmLEbZo5MzmRT+xAlZwOA5+BNNfXS4l2aDv5re1VwerHM8
+rLkbcKXwxN6h2Nig67IblLIpFP0K248L0OrjTLIyMiqZHSJHd2FUa9XNW1oKzTTN+nqG1lsnUKz
kz37uwguT8FgYd8c8n6Fdh7aFzyp4+hhF5yskSL3lKdKdpTi/DWtj74lNUDtVlRZrmXM7EvO8IrU
ptXYMMX3ohZKdiwXbboM9PYiASCMDMsLnIGhnv0qa+eT4fMdlah9WCj8VS8N4YlLh2ZhLxIqHYwo
YqFanJWoLsiy598xGzURMzt5OHULfKOB4bQwLsn6ed+1ccJ1Ay1FzeFVt85FJ2wVDG5fIWvX0iR6
YV5qatmH7z5F1sjrVwrvfS2ZNj+aZWp4l2pUCG7vO/LVox0NDazsFypbdT2vFwhrCiKyr0mcwVim
eeUBclvQhOfzLTttkLRnl1doi5joEteVHtCjOJIeQUeQAgcZUIixqOIEnHVi4v4CtWIbX8hCItFp
3IxGlyxvQe/FvT67XOhWWKMMwhnA9ibzSJrBIx97fAVE3GknPPxSE9HqiEW5XJOjNOc2Eum8u/GO
zWDZarhjEW8UVMRxoxWTm+aGs2pwhWbCFrtv6Qsq3CsUR4GAr9CO6J3XMNfmWtnMZqgAHbqFvYY1
uoheoprBH0sY/tZn+YL+ZxNqIRu3nqZgky67mgrH9awcofX1fqQnHw08uHIr78k+9Vrk6XfYjCOx
C2HxsCKKyufXlZBOVNZjuFct7QILm13WrEr1s6is4Go9sKk9sixnF2vBfOdohutw9FAu4mkmxtWD
1/ElF+u1oA7I16WaeSal9Hnymx3irPtgQTiFUpKW6bXFvqIoTEsmjtOlktVrCbQtTYTyPpAmjkdw
6PPK7yIM1z6ta55AXEKal3hAyBNUknXe+a8qsNcIqvT3ZmRjrJqr3u1GpZMCvtTMMbzpng/sh7w4
uApO6Nb6qca5OJpB36tbV+E4iZBIfxrR4NZAmKIcaTmXGlxrvWkrl/93Uq4C7T4rZLJOxoGbffAW
vRQwnMxGh5mGgcxptbHbsH5cZR14JM04dN6hyxXLOt6auw0PhdiXmIkaGN6K5TYYI+hYLHdK+IR6
bRuHiEsBZJVBfJ/AAoJkcCfA0SWHKIHccRGPFoDt/q969jlq0JZJa+JrXhwrZAj5xio0Ut09B4QL
w1mKB+IT9DWDHjt5eiYeBtXSCXbqfthCAC5jddt3B2Ey0zEG108rWDdoWOO43FkbzHeBQIq/tl1D
lCZ8W0xfvC+9fUUmK2z5kWWkcjastpQIBIi+61EIDxiBC6KXTOxfKBa3Kwyjyp9HQ60qbT3Swwbs
2+5YxHkmTe+Ceg9Yx0wytOUQfLtKguxtVapRW6HrkSk69mkSDwcrTelAeQ+LsNoBDaEmUgRYAovl
nKEmhjaMDp3Q8I0aHI8EZnjg9CabYqi/A6KlWv1RMhPMxmb1Dx0w5P8HmipHK1om9adG/DXbM+Np
oxhGTiOKN1IMylc/t+mCS1yz4VZnQFDz7tnH1KCO26wRrCrjiifgEzT/VLZkU7oIw3slVdczdbcl
ACYVMN8yRNO6lWODcDPMT80wl8Qn+WmQ88OJEAVxXbPhQkDhnDO4ejJdSy1DYet+RNJepz46qJNt
TOrsGSsFH/mpSaFcW+mFKED9atRXgbfw+7ArPiZQHwzm6iU0+Lc8+mp8LAzJE4AEtoThmYDXkXXl
SSN2s1ggjBp9Jny+zd5zZST53lA20bpMm7aAhuppy7/IV0JFFxcX7l4EtgNhEP5Jcz5ApxP2am1D
wQCl4KhqWSHgm882t4RaZSLl1XVe4ISVYybYRyGVZ5hOu+ZI2knP+zX/zcUlPlsWGdiTPRJMFuSC
z+KPNLFQN4DoGiqduIQvq93fjso5MFLl2WsmNaSRuHxWWIrZS5sZUvv8fnDqu4Lr5whX6bkBe/0C
EFZiQ3ne1J2SdMsIUQbMIzWvIokTCYwVV5/Paqir/F8OVM+g0bxFd1RiC1aRDuanar6RRS2xqN3D
mN5wu/4fvUbNcNgW4227nYqjMDfLDeyeNUz7S65/c0PJ9d72sHGjxvmYGGGsBQhxRRci0aJQ3dus
zJm4oTibsfNvmXlEMf5A2vr2z5hCQPjz6v/EGoVNuzUsk/CGtsdYYv6fxbKN96ubolEXAc/5NzK5
suSQRJhfFCR4K8bduvAAyu1sVOBnlZCrt0IRlFbE1B4lFQ5DdxTKikwh97WOfAHOILpTrGmp/fv/
MqlRjeEUVSVwDGuczkJg9+YsbdQq5U3JU+U4X9Ee3FJdh8Hjd9Oe+La/b1w2xP/2EPRt3ridlVUR
ctNDQKcGrwCCo9TxWjvhcu+usuGe8ihP9Ty+ANN0gLsXvsHsGzNlXWv6N5k/5Dh08vzDED5m1iNt
rV1nb8AatmIf4cbbVDLUNlwGw5Fmz6+m0bhTO26MhoV+UWXQvKF0LmeI8a/LHly/e/v4bGFdZKcr
aHsXl2bVF+MBH+uZGJb0toZA6cYt5raAixtbg+LfmMO8GFvXzofHnS3bhw6wHioMGR5QvtGNzVOO
ct6T/Y4yV9i1t5ldEttZTTVZyh+Jf1y1WMV0wGoN90yWwVAf2M4NyLqsV/+hZkAj4geIPimOGX2j
7muuJDR8LqmTPoqTsWMw1xfkhMPK+ewxmoK23tcztuuJ39a7coCNKDJKzhO7zEgoQc0tFl+Se1b0
giD51TsttMzWIY4l5lzyAdGTN7Ee3AX7RHPeIr5jKkWImlLCslbKxQYy/V0pPu6/sIxp6uKQ0Zmf
nkgVToWKOOUx8fEbI+KcGdJ9ou1I6PZIwW0k4mQBnLOyKaDVFl8W90wgaAQz3sV2PjJXkP2aLMzx
Zws2AoLWzLRQs8uqr9uHYHWmjJFN0RQjenQJjn4l0/zpQZSl912cLLs+O7WrtjAqwbt7eSGsuRX2
WD+aQUG92ZhwYjk9VencAHRHHXqPMLb+3evpITWwyA/dGBpCd41W1MX/aKI4f9f5haMDGU6WFyN9
XDLAGB6P7aLpulmBan6t3Ct5xlwGWAvDfgZkINlIqJN8paullhJNVZMvp1x7oIZNRPyJGIF6cdp/
R02Q23C5eN9iqqDHBDw93E+VY9ihhht5U/c3w5GJKNMrA6iBg+6zd877x4AbaLh/narP7wo7TxtP
oBpm7HvdddArds2ZvffUxgv5FDWTRKytTSoxoSw9fPinHVteZIof+lWF42IcaInm+EZV9BJsv3yd
1MrFCUiWLvlyoVrOhwWDjvQ5C9Qqs16b2wscDj3Iv2l3eaunvMknYvhPtElvH9zu6EbogMYZYXKn
Ypewevl462mX/Z6Ww0Q8xvLRfuRUou0AcQF7f+fbu3uUZy/6oK7MzMhbQbrTwAZZQUwCnRYKIhtV
4DIe4DiDk1wz7EZXmT6tirGEH55EZkIfNoMxtq5khpWOZbVh4vIRfEFTPJ2pLe3Fg67Bt96Ed/JX
hmuvLoWUV1vx1eyd+XkY/RMoG29yX5Apbjj4EpHj54l4ILCFodUoFUW9Sx4RpLfgt8ZY+Inem5iL
6Zi/kiVMoL2Pnyj+gn0g+XgGCxPs8SYyg5giA7WvccU65MxGco5Qshqso1hkRCrEbCApTuXAU5LN
wO1cw5UzUViqcp5/MV8wIs+By2gvEoZ68eLORpytI8FG03wpml67rlqE30SnFElrKq/srzqVYErl
yZbucTAhBYPD03wy4umx1ME26ng2+Hq2x80nn00BvCIG2ajiHkzPT4u7IvINifMxyGo2st9/cXaI
QnldFj855woBs6RolN0xyoz0FmYefx5tLouqwiHCTVEOVJk4jxiuCzLukBQw2/UIVT8gvCg7554G
Jtog60IS/GfKOqS8nKtWmmD2zrY1cf7qw7pz5njgVhOctYMcFmbT8CJUxblb3cHBh6XtJLUbI37p
pNvEpj6yDV/Jg24t8GwcoJmZ4MB7/ailRfMV5ln+awJD6oVxatMPXuI+abkMSstAUnymNEOaEsAJ
s5cAjcLa5M/NyWfwJXh8OKGbYHu5jLNp3P77aH/a0+eOdMYdUiI1+VIW1F1PZGhIHhAv09a/WUHQ
aSOgiPGI19AOqLyqhV6VB1wXk6ar9hlWNJ+NY6zngcAoWO7thi4p4k3HVq1pV5jWOjomLclXmRb7
7xOTBCX+djIBbBgXbvRBVdnV0rfVXjzbWLWgvSqNEalrSGUt7Hl6QtOTHAIoezZgPIXPLWKUrLha
yQyYc57EoZBY/Ryz4KyIKhPaeO08pFIG7Zb84ILMnaXDyjJ6Pje68KScGuE3BdkzsSGHtAeVUHnL
tBcT4Tpt2GtzoTBK9BVQt5q6X5+bf8EIEYa52jIoeHKup/Bb6t6V5CWELGsI7sH4O16P5pVEuMY/
qj/AcJG7TMWk0iNxzNRJLfjLN0G4FEH8q3dh4aKAcSaFYRtCH1janm6vyZ//dMtwam8fmN94kWrS
/N3MstE93nHwz8QxXoLr7IBL0C+YlNlDVufJsKY1dHe/lQLNAmSsSliQ0q5OnE1JGzLdo9OUQkHl
sI3R5Rpv0/B5hA4p5ApQumhxbZsMcKuw+ifNAD15FaITGI7Dps5DN2xivtZS8lh3u0L689t6U5Mo
X5rplDC12FAmIlLq06kI3WW3KXwj0vLWgk0NqvDr/Ny6gqfpSiOVpOWmAUpCxYMKvusQJ3fC/qSh
cU2swvRjHngseKBYagt/fIt/tgWkuWIXncCu0VuwZ85DMrotef0b2tZ56IvYgmpXgZbZaCk94XCN
gKlSQtz9IKA0uczkqqbemlj9JRolYbMxhMvB+OgUOfrlaXqj6zyx3dt97ToiWRGhL9JKeo6y0MqV
5HPeOQNacelsfAUwEJVx3sJKXT72BgLVP7/T4WznXnPQ8WWu5s1pGah4+Bri464+ynWZ8GxKT9Jn
laPGa1ku/QW2A9iIbv6kvRPd1vjoSrPQuw2Yf7akQzdtvHWof3GoEeG0H0SriOISlrXv8BFg89TS
Iv1f2KzxpNq/iUy1d0ggSfeaEb0c2CDxpxyinBTJcasql+WtdYLB2CfPZ28GS2b4lKb7TeaJKP2X
zn9JRfhzzCx33lj+QtNMIS6KtdA4yUGmIXI0xexajOqFt5dtOmsLty+NsU2IkyWAVDBF5gZykAC3
jeqkkq7ldbI343jCzEx4nuduBYwA1cpEeUldtQC6pDz0/uKZg2qbxFT10ndR4on5U4p/k90jSMPw
gyE6Q4Bfb51xDhjdVm8k2AEBkNH+mJHsh8MMjfZUy2ei0p2MfFHwEukWLKXWdixKuEc4o5hoooK9
m8sgN3eYUtVewMJIQ6Z/Cud0STSAGPCrbNUGTbzJtWnfPXLGs8bGFbI6IPWs4ul+hYSye3Wc7HyK
Cg4VVKu5o+fHRRZHWGwwsgUgssaDeadfk9DhJvVsBLfP1ibyTYODdCgkk3vq91FpH+CAMtEi++bV
mePViwl5Iukoh4XzxE1t5gTEdGHrV0qj+n5p+dpGyMSsZh9MWD+Ir94QUBJV38d7+7fHnzV/AQrw
jqXP7Xple0IHCnqclPcG0DuHG9lm8Yf9KiqxVkl0s1z9/CHCdh38JlMj2nBkp2CV7wWfkN+9Hqxx
yPSaVldLZpMkvUqHM6kSf5lyEQwHtu3HRu8mc4vYE486LSP8jxxdXHa/mVwP5LKURPv7Ft67KI9T
CyyKoK4myBJEpZFcfJWvKxDnSIxrCckYyZQG7kQjw2iAk8ySlVALRP93aLHrHuL1vaxkohZR7eMV
avFNHFm3lAa8hp5kywHP1+X4jk+mFHFz9fBd9XdQQIID3+eMRQ3FMW7T5C3e1zWt9eKDFEI2oMKN
VTiZY5ZJVcWwmqnO9wQOnUBcPu++zgqzfR4XShrxiDKgG2ZXcGLkX6G1bEy2PzIIRhsV2c/zcLt8
//ZiVB6TlAE5v2Mq85X8hrNCI1076aEdK35Agp+s4fLn+Bk0vDNV4L1h82AXQQT3u66yFd4hbGxk
UA19k7wFI3TnB+zsWSyKSZre9Mn6nVOKvh7qwYmvNnA2Q7pLchoDMCRIPLl7Yg8U4S6zIxMwzcif
xvmc/FBGnafPoI1SIDwgQONawD1Dzw+FpAFLRw3o7/I5YmO0RgL4iLNBbgCaE709ZPvzQiNypxq1
I8w0NuAbc5c6CbaoEVPF0LYmQt8d1W8CwgSH4CDjQwXfXxdkr2OiinypJBnHfeB4inaswOxdyv9T
GcBgBFa5DjkEmJCPagCTHTGYxiZd6PgSLNRUCI00X2E4SEHGhYlUV7o81VMfd0JcHCNVKNc7aq5w
6d54iK4AaEeTp0ZXeoE4Pn9wSeBmISdmXqaFKL91HT0pJjNwmJDd6z3SRR5aDQvERFFlnQMYUCqy
SQE7CnLnWBjMlxoX9DCneEs9S/pxFaHnUwrsDAUYliHuw1tfD3ycVb6+GBYkkxB6BiQWpGsEmWyD
mvt7umrPUB8qCeM/Kp7Dt+7zhpVaC2ZSIdhKxhXBLGtEnV+/Fj2sx/0UqDs5xVKHrgZCqiNENl1m
VdF1yujSBNFcXLseXmSlYiGwxeLp0ZSI1frMKbrBKYr0bPpECIjS4ZVdFlP2jB8738rCXqUzro1U
9eE8v18uDeuoA/LRdyO3AspF9vmg/qh9NdIIBzGgMTVVX8ZwFdRXbofl1bUpcuicLGgBuxIsD6BI
cv+luDnvbj6J7kb/LxCGc7ll/8GwE/LiM9HT8CYp02eodl7I9hQpo0zbZCjp1A/EZ4lrdSjaOp9Z
R5QNz9KtPgSGZXDrChzH//Ef2oloX/qmH9/qOqFfY6q6yaqLU+vSWVrJzsr6alaelsEdP9QgMRfx
bjB9JiIhoq5U9aLveb6zso+Bib6TjOCBxP7YrfG5FMHHx1qV5OFZIxHV6uakm8LF2X73Gkp6sCEf
Z6V/1tsaW0iubowjjqi2M4I9ZKx1VcN5ZzmB6zm9Stlzdn0ttQi/zu9it/4kj4ljGfsnPEP0IY69
JQ+kjX6tQqcjpJc4MpaJvA9nrE+GaGCuqClvP+qn9mjZf6eZ7lcBJi1/8KZuJqdqnOH5k9BExb1q
CZ39rOmZTD8MF8I/Ktv5t2mp3vt7ixB7QD3nYDMumRzeluLCEeede9E1xHtG3VhCHYoH2LCBqCQg
haFyLn4+hRm1j73IG+i2gFiIqG2wZqA6YThtLVSZJ327aV0qRr1FCX2KsVPfmfGFFJrFFZc9tgZ/
BGdF9UGKzNrQkHnP7sTj0JiR9VqH5pPUT2kZNlE8NHCtJxgH5rxEBg90bPPfsy4i3fdd1DirwZ76
X8j70sixVaUzewgtWke7o758hegLPc//PZnV3oR3kmPM3Q2jsjcARY8y+sQuGKuZgkXkFYq3qFCY
zwnDTtPdXuVGMnQbnRWT6137wRGbfBZv0xIIyaXC1DH1wicK5q5SpXGzN0uvC4cjMbT3L6Y593Wu
esQuQtu7kID1Oh0UdHxPLt2ru0vWspDbVMxw24ZowLYQaLl5cuJ4FDbvYrm2GNSOmRLB+Zsdk1zR
OQONg4zudkPCp4RehwNpdVoKE3H6fo+EY/TIyDPAJ/yiVk+6J1AHfIBiSviygMKGwinNpB0Ll7XK
JtnlEaqSWR84UAcJo6pKeUlXIjgdBqJSbk08kMtmiT3WacujlyFDHea1fTsS8KsD6G3t7HYivi9y
U5SoaJp3ZODLpb0CGUYhpn3XIUf+5yz8Df4OIb+eOep6gEi+WQeQcdUKY7vHv36Y8IvGVkzCDaXo
yVyvCvqdFvjpFl+q0YDtSXQPChhOXJzOcMrUIH/H0bs6A7ui0d8Y9ljDLxLKk8TVaelXWNC0HR4G
5cAJdOEvGUjZKnBJL4Elo/ukyOSVJWQGfrTJpoO1C91iYK1YceObETjwlhqiBlnymzISTpe7Bx3C
lNZhIgk27UX1myl7SgRGZoLZA492dyhj8/d1AXLFZoI7jcRSY7f/c+eBt9dreDn8MXF3rJ3dAtYc
WVFXOBG+JVoVgqyFYSljVcNQpJdutY2lIu6S9u2/7emj6u+HpdLv4y3b5kJd+Ru3RbQGpR9QXA2p
x1wKP3sIJ2ZSbO3J0SarFf9TldkehGHsiK3QVxqGeUXARLS/OoBjcrKaDDBHKuo6yRecsaZBgE/F
3+fITS5iruXB+dwJxnR0rts2FLyo5riveorN5EUWG0vHBL8/RuaDz43gI41E9l6SJ/OP3/n2UOCR
D02tOjJtP/4L1u0stqCYQs29v8etd2m5ajtxhrqqklypJzAE54t3es/tBZWE6Xq6X8flRMkqFSXv
yXgq7DERj4wHCKhKLE+jVoh8tUfcbH8XW7cWgAQmqSuBQz8wuf5gvDKlhSCcQrhj8aOjfebQQGMR
03QUwYFokPDwYEUb7c8Ai+toTzgXc97CGZn2oIaRArCnh5dImf5/Tl8R8QeVfuCHGJmCqlfnzUCh
OfCwZ/sKq0OrqrEBvALcy/KYd2ghKsdXVY6HNJbfLYPiTFWvdsmMYlsvwBkCo/CuEbawJ9WmUmbx
InHOPnOpI0yZQz3Fu4F/3jGBAogq+26xSGxj/4DoBqjifAO7zC+UwjAuOAH0q5MWRKS1teYWXobo
mdgyso8NrQnAzmDZ28jDuI1It+We6WGbs+TLZwzyxdPDhet2wTX8ZBxU3ZlS1b+Xk6fdtF0mMtby
NKKYjFmh/kx8gDGdfY7J/MTFfZdzTtqvnhqJedtU0ma58REqT0oKRZZs2i/+FGFsQqA8WPQ/CEH6
3hmYmSWfZZYIm91Z7jhvlX54rBWdgnrsHgi+WTY2kDNRIcSY1kO42s0AffY8pvTi4tlBKInJfCsE
f57+JqUHNvacbYEa7PGuRggMdUZ4i7WVFXFqg93QC9toU0+1KKi3PiFUN0wMu4ojbWse6vPp5k/A
5Gs3Q1tnoOnj0FF9ODoZbBi3dM9LuxBzZC9ZogHcRcTg2ghAzxXdbSeIMnxLe0qsgYTpF9OzAgVq
vhhcqQm8V6kE4/Ck8fjgJKxC7ereVScT/U2X1h1Axp0kbiPiYnxkJvVceJA0VoVoMfY3ps8QBSaR
Q7g+P4QPtARhiFPk5vejc68Xvr97ymxSTE4DveHD7mNop67fwSxjHd0qSupevRzqaeC9WqOtbhMM
iKlTV+cNq/9oA2TYCZzwwdmlVzEtX+hEMt/AfTzVNdzDczWRrfLsJ0UwU5yMg2UXWaGHiHrgL8ML
j1SEkM6j0PeNY1RvM44/Xk/ybwng9PuwOW403mhSTOTLVcd5U7mvs+zqHhpmBaJGrDf42pnkkxHL
s38dV8a0OUXQ/rWedSIT2HsIbsr9a0Q83BztNGPoaO/28WaB9KgBrOhZGVEEMA0astE0pYyFV9U1
zFiVwSdOeSk2TqZ9Px4xxkbSfq7Y/de+IeSSQCNatRxXGHYZ6H4j2oB1ip+2w9J1JwlOmJ0hxelv
NpURp8brK7OuPjKJU/CAGEQwGVwC6Poxt0crzJGyGnta9Rp0dost5OdE8e3rO86fN50A+/IXBT8g
V7psWs3BgVIGOjx+T+LKAhuzr5r5/pG0wGCQ65m2TW4tLRY2Zv0yEJDZYOrRKd+4/i890BvIhoDl
hbsah03UxGzIsspxxV/nuGdPnv+KCZj7FkW7HgBxax1Rf63BFoVgv8kUYvPq8kBY33gM7lQysbKI
OHZgxHFfwiO7nCMxZ2lUeD8RtuM0Rw+0SSkjGQqlgBGnsbAVZ8lh7X3kSkT03N1wMBUcs665BArn
N/wgIME0VmIwfkOiVwdKJPF3GgJrm7MjA8aYnXGDJ98NvTyLn+ZYa9LrMMC6nbbx+rv6TPGiWBoG
qrmkrCcu5s8dSg/VKMgme170vQq/ND5idRI/NQ9+Q8DLt5oBdhF1CwyX/iC4k226DSRvKJdiQW0g
PRQSSt4SHcP/MXnzY4jhVjbjZh3T5N9XAks9cKq0u+Xg0Nay+HuClxFnYQWz1yAPeu8ypgdANQke
8Ot8wK8huCuA94yvqkho63GXcg73OGfXVOyu93BF0m2YrTeaIPWB5pmcJjcZPICdU+PHi2MDDqBM
khX/sxTA5+9+49Z1DYzKFZ9NzZzFVb+5X3YhkAj0Nbp9CG3dGS91oEPx5zpwKLvHVf8MFq7H41KR
luLYZeM2DlI+s3DaUS74khKsAWJ8SXC5tVkFc3mHGyPcLXvEaY5yYmwkjFTtsTVshxc+GAvNUJmQ
Mx381vm+65gUVMMK+X2AIhEzH8SAU2ZQ6JRD+vatOW5oQPvenq6Qg+6XgIz+gGibGQOhRVZRKUHU
x2bzTltsRM1Vtgge03qSLDkTV8wC+3g8zUxMVqNPfdZ9bPu0lDlqheM035+x88aN+iSCGhufqeNX
DfNy9CJce1IFTk0gEAmGrimjvSVHplMbCPp9c5pzf3amk6tpzlnhk0kGQtck6bR3cKizkCPESQ2R
aJgGbr/usB4zgSfoKFSmrqEA25jcNWVrK8+fF7dQXxBLD2XAsQYH2YmDLm+qkpFHO0axXAaHh6yi
YcksMrPmKvGrl/2ICz8GEimEFrUeeM+tnVXUJsQ0BT4yAkX/UYgIj9Pef8ET9158DmnRey+55LP0
2Qc1PluwjNLEbxq+1P1/w3XJCSuema5Ca5UOlh8J3Hrl9LZ5AdBL6hprXf7PK0eH0AtBMnQXJ+sn
CS8qo0+GuMi83sHRZgEGcz/VTarkICHKNlJD3rd5Ani6hEeYI/3qiny/bp3vgUUacekXjS41qy4y
YQTB+cjDAu5+TlcCTM3uVFknBalN6wFHDOi16SWzxGSjsGMFgmsE4ZkcLf6tNvVAKa8CmsuPm/xc
Urc7CySWiP7QYfKQiDOPDHWx+yxWvsiaFNdN9C9yAyVV1AYAq859/ibMfebenshNW2eT8kZlSBih
EqDDKiE6k1iXVl1HF3vVn/AQX+9ONPlkwpHmUVYujzxculp8muGPji2Id0ufwPBKcoehFn59Bh71
HYuNYZVerYdbkY0ivo/2bPLj065Y0bKGYFLl9nEtrtWQxdyAcXBKHOCi77RLegGcbWKIqpQubXxJ
uvNFMBgJ+jEY9dF/WV+hGj81ai0BSuWCevleMcGL5toJsGM/I1SfcJERSVXIAHPaIdZ05bCV8A41
7ieJLPZ1597MfT26bX2gxeBno0VdihgR4rXo/jZKmgNbyBp5KUXm90A6PGFzTAqRJZPVvDVpbWYA
SJgR6ki15/jz0qBNjdieL2/SSKTSnCARmyaNPeQYqE5K4lh+tFpT/9m+VlZ55wLEhhyAfzsv4Ni/
PPNHytg8qSG5K5On33chTH1SmTSvwICFxiZc9CjF+sFZDNIv+qGCcLRsWv0Y/9NvOks0cnGlh8Q+
q9xs8386D0GmVsY4wuMpGUDDq1sv7n/gt+IVcZHj1ae7e/Vohksb9BRrfkavkGUaePGWDTC35f2S
M1WEoQUHMUqFJwrUUZbPTK7+yZWEjqVKijbGtchDF4UccIUvjEnF2w77jLEqVR7+C3R/HV/kTiFu
0H8mh1Pni5dNW1tN072RBKw/E7z6Ei/O6jGNi+edKpJqR5AhhJXwqnrZaWrO/TGFYe1QZrCgM4vJ
YucYN01VTeVL3fdx9SS+fJ5vjR6vzXLO7Ras4ifgMOl9d3xx0kJid4Ym98xKI9T+p3jvnT5NpMVJ
6Qgv718mGy9xC5AGGAYpVdCME3l0ADQmoAlph15pv2hWQCEWYhgAERC5jIKTtou3wRyyYFFr6Ewz
byEPJXCfrkCpqqa72CeXDbKLzuchyPCPiaoYWRaeTM7yV9PQfWHauYQ4g5D6rj9l1I9STYDXnFiP
3Tq0IWgjC0OYDdIgGZv7CgWChnzRP3wJ72x65HUjUZ821ipCoNUvorFc+hNsMROCL3bsWhwzNvj+
NcPnFPw7z7Ccm5Z7CdQjZCaZDuwV4lYVAXGrTNVlwoQv3CQFfTfL9nVtwGcZL7QSz+d2tng/ivyo
CaOEHVrejWsTaWM4H3ou7q+ybiCU109djuzhXs3z/QQJTpo+P4/qiiI2V7XtmV06yplw/hkPgGNk
ox04LTGTAAR/uyO5UlfLs0VrtHx60v5t1+rY0Yrc7fQZw1Y8W/7WYnInvNMxuXJ0s05Ty0MHnrNE
b/WC6qGWf5N3jD16ej/9CFygApf8v2WXuB16f19cnGLgf/rQ3NO+mU44RQug8b0ZapCGnMmGs78/
o5nyxWb5Ci7+zHSYB7NM5rQPProjxxNVCteTmwwJPjD96hxqt6ugKZWH60Iv7BnHb3k8RO3Nx6Jm
eN9n6nLicC6gAek19nHrZXhAz8AGKAiP5/IIYVWEd0gFq0FeLH5+gIKqSO5MBKi8vjJUF4FuKCoo
BgEb9cyA64JT74Y6qT9EwkXbOE1YGwyVy+5/os7DkCnisBqGthq3CYX1qL1Obqbpm8brN+P3B/F2
9xqdQkWwfzo6oeIf1QqoB3jlLpZGYBWf4VU2sW7TlmoHmv4n0v0W8Sy/fuP6IaOAoR1q3AGwJIx2
r5WyTYYU9FeEj0kaNontqUUOEXH5THDVs3OYRmkN78v36Qtb72z8PSR9UvJSN6YVTfiOzPg+uQO3
wHJxD3nb7nNQIcJq3siXh/3oHdJdvQO8fhwe+Oc8L7nDbq9F9fHsOv20LmsVzswVLuRc4JDyzCX3
YFXf/3qglizE3k8mnn+Dziz3Mj9bkspOvyWKT/IrsiAsmJZxfCsrl37Qb0qFUBsmiQ/mmWwy7rSx
K47w3MjtSH/ycvibRQpnbfEZR5eq7h9dWhuOpOjxVttoVZ4YuEO4HTyPK51RhXlW7BQ730XuWQvC
wKhyeSjjlRYxAm/D3Jk29/6wXySYZuoq5iuRxtCYtJKhAUAlP6WsTPpUH4/XUe995Cg6iWUADTJU
cdl+yW2mNMf/h94tO65p2bGhCsSdXKyjJhW8/K+UnlUiDbUC7rnwfVTNCOulCpd8r1mGhtZAzSsg
1eG/pe8WfjLuz9L5XLuKy/7kTLDQtUd4QSJUSlsIu9kqjlvyynYEOqr6+SIgETXUw25T+8ZLFFKG
fFGLrRU7vwLKytrFTyISunKuv/Juvd60Nd9CBZdvN/hhrSamjAjW6OF5cMdbo7w2Zstx3+wFcstm
2UdD0QwvSsklLqO45BWd2lV4zlbuVlfzejiGtYj03hgw5Ipd0syvtTtDd0VzaZlF5I6VCWsdPFbw
5Mv6Mh3WtoW+tggGUaJoLPj5H1RVRXQfPPfNfsRhffdkctlogUg3t9uRuhCw6t4npvf/tOGDC0J3
rq6ryELLyjRUERRMcfiwCbjZIAbIJW2qdqLh1ugQQNq1t1ErLJRrth+pPksc+YtDKTiPKgSxCGBs
BP+4W7MciRCif/a6IAH5F6ibubJA2ydb0R/Zvc3DIzyojytkuR45K3I2oa+pNnqjxf3nTVmdpm3F
Xg2AQ5P/GwWCwUw3YKbZ4Idl95kavEEUlEAGmvcwo5QCg1X7mm56Fr4gy6S+SSmfEp/wwMwWdwYQ
22v1k8e+pKEreWPtbK4o+wTW+hKvddabK9Io0aURGt2293buCOsKyo/33QS/qbun1BNmwcvMtn9m
42gxyM9kfnd58OMJH8/psZHseoHtCce4FgU3vUpa+WVGtD5/CZ0vFNWZbw5C55CFSA3Y1Ycv7FQ6
yReYghRrjGaCv/oj/qC8nrkgsF3i6KMdbj8Carr/uBeBKmai8dTlCL3BwGwsE5CSkvfdPCfPbqDo
eBSYX0lZvLmZGD6bZdUviFly4ltnXYit9RbLds3wMZKehAz0Iim4VSI4I3H++Jc8KKpi2IMYxqn9
pvxVxxR8fS+Ayz0/26ZjLxtNuutDRQghV7HQyajiaUFvHTcqR4pqKQV4nbCsJkZfC737VimmADiD
yxtMrFaX9hE0iPgioT9IFxkpKUL/WAcqd60w35PenFj/6X8R557LjYWsagTzoUgm2cb3WiPgHsyZ
V9wblANr3q2uA/4enieNxT2cKFmRIfvgVMJj8UtDKpx+UvLWD0olm/JRKYYfAdWdbSF73YQgPNvr
Je3gGEqhaviwHU0L2VMIosDBFp9tDyb2prlrhQgwdPCU8wyb4lU8dgVD9EqjiFEM7ftAP1w3qt+j
XO0bOGJHGMxB/krQyrVB1caPqSH0eJQG4bFuQm2yTB+iEYV/5RsPPjmxY2OCDbHrTEl8+ifJ5RLI
l02KVI9Dx+hVad6qtAHO8mz+3oYyclp2BVsYqJq9p+fIr80LKAzqu5zX7htvaBsuk2ae/aD/gz+V
R95HuIDWQsGLA62IFTIhxLPuVN7YCms673H0d+CqgyQsAWgvbLFWn8wUTEsD5p9l3HTyh7rHifBi
YGdlgEdBmSm8gX8CnpbZThCsMI9wIS5F2bk6W4lvjg3D2l8Nu+dY5NdSXtnxm1+Hph0Szje7vEzV
ct6u4AYi6rIvvzMu9xkfUaFz8b2UOSnnWmY41NabcmG4scwGzHpMkX5q2Zc0JLu9Gz51DuLbqzef
S0TbddPauzVLMoJnYtDVJbzB1bDpS1aBJNx/IgMU5fBNUcVIpd+0MBYgTw8s3NvM41wU4jhQ78J+
m4yBPwjm1p4lEzX2grT7mRUG3eTP6PBKnJZwt+ENS5nxFGzgNlgMo/KhrL0rjv81j0VPs1qjjjYC
+142TQJHII/w/KRfcFxmOJgixeE7fsyy+U2fVygS1W6YoRhcwNftCkDUdfWF5mdElaHLWNfnb+Qy
nzt/nm1inO5IEwK7ZODAEc00ML9vJopAiSmhWeqdTJ3HtM+kE+6a2qJlzaOpUNgMJOQVGUE1QCn5
STKrpGfWlDcRv6n7J5/okKUlUbn0/Jz8YeSE/5MyMHxhhvWYn9JRniMzkmCgKw8FEwTQfJFNkR3K
DjVyS/jl0eeIc8yMbSy1fSma3RZ+rdrjVOcfSCFXswtn14e0ipM8RPVyhmsDlvR1fOdGhyJf5Ogc
sa9WMjbJ8KW1/CZXmFGbwZhyt6dSSC6ompHqmrB7pMnZxqhju0I/X1Cg24tnE7NRXhuHh8FQfs5e
9Qk1/p3KdOxCcspL0Od58k516ZuIAoPqcEY3pKZkpgEhg8vfimSRjQXg7b54nJdIdToyhgiDTZat
6GjhpwDuQ998talVt2NK4GVLJ8P9N6MsuXOki3lzdhPWyzmB1NGAr0jHVs94kjmfpWgQUwEtOblb
g1l5fTFZ055NlMLPQG/ByMJ0bxJrTp7+WZ9osC27gEMhxH2IfYm/DUc/h9AknLJhyX3ViyxQrZtZ
wP39/zwyF3A1IBSg3otKipKODZH/wxRW5mJS9LJsQRTI5Zrc4+/zDdQ5RML2nTGn/9N2TV0NKLq0
yAUhGtcw9wkTr0heMEX/qAtwpAn9ojQcWNKUNNpWW5Zs/TlcORI36ClNaPelp9MQZw3uqrSMbVO+
98tkkW8+DTaiWUuXSnDd4iPR0rxkQaMjM91v924F39MOzTdrOH9JGBVo2yYK69Zli1wrw4c7Tw8H
h7Iq2imFZLfIUUZOkuMwQv4xs8cZUD6JrAEOjqwXwrOXPgkKYBRTKVDkCqEtmtb3/2VgUHY7VRL8
w/m6wmV+8A4/X6G0eevXBphLEjA0QbRaFv2MVwuIh+m1f5nIwNAatw8oYUqmDLE0EqbvV1E34nu2
OD4SgvNRLTLPpFOWEdwQYQSuuA4lIPWMTI+Rm3zPP+A3x3F7+payqWjb03uAxKmzYmZOOdLRf37N
glMElszIlxnGwyV4IAemqRZepGkP70qSAHV/yWsf+9jyVMt1jo/cDGn724bRks6JqVAcc79pUWax
+quz2L+q9cPQIJjCkI/fOtjnNwSgItEu+20xFhdnCZvoZGPMq2n909fxJHwHEnvOZ4iMXsI0bv4P
MzBr6CZlukrfo8ExVILh4K58mf2JPOcXG63cIRj+YJv8y7dP/3gNMbRtemakNUXdQ4vq6pyesLFA
aTyXnfbtFPwQnAztSJ1UYp7jQK4qgxF/ULH3jA+a/QpirIlrhUYfvs4GAMcAyjzZr8mL4T7QLMOz
ufuFUbZtwWdn1DgzibNIVdaFPWOue9YcTzGAJAyZiNkeJGi/FX6bksgvBjuW3iI4mW7n4Ftz7KaJ
b7aaf0KG8lGY1BduBHSreFROpMRlfzPMNoT2LkTK6Yt3oxwBq+o0JauvOwFVNltT70kTGWuwu3Jy
PM/9/2sBX7Lu9Q4A9l/v9ZhEYauV0syGGxBSM5S7h5YCoMAEVfxJX8H6k0i+TPjfwU027oCJiJm1
uL96zRkLpgfni1GrhkgEa89czQTtDaJNeg7CECiPINWz26jZAJ/KnULxI/vY4XtoZv5OIG37jf2N
6asl6r03haNufOpm7jIBw/uQr7UuMNyexVsklsPSO5ja8WkB9OaPArGVEpQMFxQ5YqUd2uYBpp7x
stlBWBYuWkexueje18wRvZ/8RhCjCElJ5MMCEom+4QwghW4oJ15kypFKipooYzoAB2yjI5xpkcZo
jE4zEtaK8Q0ZksZUQyrnWz/sPHbHP7i8pK6K4OCCKAB+ywMw/AtsYO7/KV3QWb1UiBl9Ycb31QgV
nEQ3a7SbVbQYeSyecPWQ6kfknHszi+f1WR34JeuZf8nCiesysUziIxlYjuST0SvzaBeDFjOX0emu
WMUKZo1b84Y5v5UNNw4kjtV5igfcqrvp5kGHwQfBRyL4FAaVweKWe2uy0VPlve+dbG1bZppfG/TX
uxXr6eCVc3NfUcarIJ6G4cMNJvSgaC+p7oJdgbggb3YWUVXfjAlbrSOlcdm+Jy8AXKj/neR1sojc
k6TFCEQ6ElMmogQzkGhHmROds52DZ9nMn+I0LkJ+OXzbCu02Q9LzmzG+26Fp2Dczy1P5G9d5JNQB
96Wr8/hV/dzkcAvAjXy5HWLmN3C39L5U5vZQcMclO7ViCka3zbs/LqKzmYlj6RO3YiVaaujavyJ+
lg6uFu+O/+Or48sydRHNT/6oENi28xrF0rYaHyrEBfLSq+4Bh6Yt8t5mttWIAYo+ybecvofKbroL
CDB20zfF2dEkAEQCJe06rynX7jPmTGu7qmm+NqtovHuEBXrFSMSupBbOBRsQMaAlft1iKQt3ebyi
0keUau3bv7JDp+pJDkTEhWiIBWmWHhEI1GpMAh0QPwIcEVbZ9fI9Fao6ol6FqP6f0WlHxZs6WXT6
EPtv5kYbCeeMzcyxtn01HvhMa55P7UD3UGi5r6sEAKkDZKb1PFFj4XViA9Y953f5bqV396BL1MzW
9p7FT+PEVnIwkDDSmp6aVJTJ04LCmvRyORr3zZ119R1wizCdUmrra2ORuVcqnLUfGEqow2g5Rk8q
4W+9uXQWM61wOfQeUqVquWrHlihUpKdukyMybclcm0GMcsT2vN9B7EgiWdD31oDDfT6/llW6BLax
UGcbHImXKch8YNf0/V59YtdlV+7S16Ealo19cIVm9gNSQrj3cBO7zDPpOIp9gK7ZmPvOeIu+IVR1
M96UvYQb75gTT8Xm+3oGYwb7ryCoXzyKObGgq489Q6WuvwzSeN6K8RucumDk+LzGuHoronMC+OfU
xIBFPglW4/2aIdqpopZY5D985qEEtwDW9HrYyWgJqn867UlXIhCe+a7s2OcomZCNP4g8a5KjnKkU
83ugGG8NDKNwbjTHR4PS0vYAvVMVxWzjQ9DdsIpKDxbcJG2FmqiZdJt8wZVnvUD31TnJDRfLej4f
hldOuuu0ArnbV7ZBn9hpVzk2yVizzjCZDyAoX/Qqpv4HzUBkLcErwZdw5e+3a6z/12niGlOHX63q
X57WPcs2mhstV9RiOPOx49Gt/e/fjYX9ZkIM3CKv4tB2Bd1PDdjogMX5xwaiTZzVq0qYjPTwyIBr
DbhrBc4uK0atlEpyIs8gpAgYpt4F0mEe/5waDLPD5La0ZKkJTcRWkcCsfAVZeXqWtNiX1Xamd23l
p6yG/cwTzs+V7CZ42dA+PUHwaqNHeQiQT/VEvSNglYYyrFvjpG9CuK+0xw6g1LTIc5Df2NB0UosI
JZeDIm+jzLsZK0/g5I/C5/IPTcsWqfkPNoX/ImNMcj+QCPRYErv8uwAc35/ZGn1ZSdAqFi1KQRPN
2cbrsbRN2z6YMhB1uZUxbm9IIbERTqx6t2T6hvelb35LdZgoTnrbfyegrAqh2Tonp+iRStrDh7a9
h+X51wFPlbCzuHZCJqA2Rj6kZqajZ8eUvTK/UCuRnx+lxMgBotam1oiq7zk2NDhaNPy3kVDRXkbQ
eOswrlWtNYNklrWXiDu02ZdxzFElA0liQQiJXcl5RvM8+1bFsuDT+u+QaNt+ILeh24Y5lpVkcAXZ
pJooXBjpjZsQdCh84iykiE3QMJ1M7h7SOGsBXXQEBRf1j5bG3G+Aa0ux9cvNVIQlLfPqs6vjMCTy
jfeNxE2RjHVsMgicUZstHj2NT+ZG+tH05CGM4CBYzQGHXHALRX0NAwzzFafVcRxdXs2f91Vs8kKW
viveWfPjfjqU0oH2YV54VP3yW6Sy+ZI1h4w2H4K24l7fuUzVAjVrxnUj5eccBZMKthgRhNC2NqEx
+5hpwBifHqmzC67HcnPA6JHQXA4E6ut7u+gkB4FuMd8Hrn1Pjktz+VH+EOkSUxvcPSVDtU9uhH2n
HJsUjJxDGEUriGLmiqwLcTP9V91o3vFjjtQ2LzGNjOOOQpAsBozXW7VLZo5jhsBCldnyYtSaoI9u
4dybEE1ABGQ8JJVhIrWLIHvDC8uOOS/BEb49/0RCNQd7mTWPmqiSqt7HwvPZKg7uwGt0q8Cihpzq
CoikewEtZCP+ro6robgrplmz4h47u6ngra13dPo0KceIE6z62ia1QwuGnlJgVvPvamQ5MCzi7ac3
tSngyhv27iAvJLBkKo8hsLeLGZM+9LbAhYzN2oxnBybWb5kftOsFjOb5xzomUhSS2DQarC1uID7i
hqbkbhP8TEV/aScTSa0QkQfNSYbJidvKK6eVXfFbLkpcrwwxpb/WV2hqn8d2AvdEKxUjjzds9z1P
a6ELDt/nBmm5Uibz7y6uVWpO2RVXOCVznOk4TOfK9C6XL+dRA44ELCSW6JCq/HTnUqwZ4RV1FxTs
Uo8i3PJQJA+72bcFcfsqRUmgJFjojubQrN8xG1S4K4wUYX53/4WPhSTzbzDzHSloxl5r0XdAzyA/
vWXL3QRT26173ep5umHeIJ35BEusxcTw97fNLHDRgwudrnmGCT5qg6HIEDDTQceeCglx85PqeASh
rVzxpUo+ny80F4dF8su0S6epVHgaR2ANAUq+H30qAhlSNp5rp8AVJYtoyY7kWZ9DJ5r7052CNjN6
Ox2QFbji9IBWcz47fg4Y6YSPQiV9AUHxZhJ0vWwxAC6XHDIIJI15yRkRM2e2jLsaI9ZQEc/ZZZyw
MUbEG1Mw2+Cap9CwQOYajR0ehC3SRrB2ZpEOO020J1QR3Tu4k20WhVZkkS/buTeO323kvXGMKRJB
MiuIRIh57Q7LQr0XGTZuRnV9c9hTG/EGKYm5CG5fl+3HKZM4b1t3sTCehiHcIaFCgd67jvqLG6Zw
LQYKgldpJiaZpwjPSsBEztNCyBwWbtEDpO/KX8xO8tM4aaMD7m9IWzGpDd6BDBbh/eYbFRgNH3vb
kHpNMROE0KqigQ8v1AbEI4qxMgpNSCbldD+wpAH4uis2FNC4DLYs8IkQDoch9VeOFacxdmHb4uTk
bh/zgmYemqYibteTVG7dwI3BzsWS1Zl7osDuA1L0vsaNMMUXXXeVgPtyRV/L3/9dlbYXDzv1RykA
mls/mdkVrQh9wczT+sqNCrxaxDNuLr/HlLlgS2D8FePalOX75qZOV5v8nGvXKvDip1IwD8URX+gM
5hL0Ahaq7wxx2RvN7oy/XoisSVtqCPDnOwuBUeCJybNq3o/vpu+DZDggZXqkJ44Zx0QlGc4ubR/x
8/UhM8abe24x5c7W2qYzSQQFzTyORjMC8Sm0nVhRi62nndFVE6IPKNGkmPD5g4NmJm/Oy2FQ28vQ
ji7HaHf9/9kT6k7oreZlnLndVofBL+egR7HYFdEDAC4DNoefsSnvvsVe/GPEA3AUjm/oVw9COfts
O7BHhLTQbDGLJjUb9Sh8p/EYqgWxGN0WxsPFVpgWq7HPxRrqcv9fqrwKO4XBTSRszDJxvnyg5XWr
Pfo0Nod7PZuhRPMfEOysWo2zwqyup+biLPKiubI/M8PFRkyYevQ7xZHab/lUcXzSJcXhMHPb9P4W
3y8SXTwspYJikh/w3is4Z0T1nXo15hDW84/LR3hboIADaqKkKLp/j55qen/OQl6kWHMKRYsPhYOJ
FtF5pcs5y2EwDM/HNbFUzDkazSUjK/mZLKgX/Y5V7UCx9TmfGqI14o920UHszfXkq3qtJwucxOuU
xV5M8H+SCGflnzzlP1Xg9AdBAWRZ0M5jCBckoLuxXCn0xOkLvsOsGHY6QBYWoEfP0XdTSiRACD93
lPQ7t8vpK/aAXIYok0GiKIRy9wzKDTYUY82RtDchwNwg1NiSoaRWftFR2qvY6fFW6Dx1X7YoBIzy
Zo8eCUOf7WVPr/mvOuq8lxS6fmKrB+0FS6lx3rYqsYI+p5oSbWuCm0qwnlG3EpwtAxIxsY1kpHU5
sl4A8lJcUk0OVAT8CWPHB93+kDt8EikoLQdK0KVVFi8SFn8ZLxVxtjhGbXO2SmNoy8S8qS06x+Ig
L8/tiL3rDvfAlME1WchjhQRZysJabPUDve8+B7cpNGJb/r7Cf3yL/By/wxeAi2WPVwYXt8Izpmwx
CiB1H+qeBlMAqx053aUTM1+Y6bMWR7ZzHlrD4aDheyxW8mFelrA4oUAC8fK9PX8mcCfHV4NQsu4t
pgIYV4C8FddOtDtNtu4eFacMPaXeMubAjOsnS+GyjW7fkb6TSjkJ+798Badd3vyxe+bQcn11OoSM
MJYRSIMdhcHYTQ/oApqGoONeOKWNdYbOPFXE8ln1L/2/TunnI4srh7QJitvFDUBQHfaYUvsGBb7Z
PYUCTwNgWgJC8j5LesWRsftBRAe+OE0QuYfFzYDhYKp1I5wbd2EvZWq0ze1qrE3qS8OpuVrsZ42B
iE7CUUC3zQOY5zFD52thIwPZBn3y1t1he0YiNxgwB1Zx6lPLBhCVZeHOqO5Oq/cPtL2LxFLa0IgB
YNFNGQYQo/Rm/T58xzW/fjnEyspPo3Lzt7FJaQVDZEnN4GEcqGAgIMGsuStYh06ZWyKhCSG/34jp
sLHJWpLVP1A43EVK8YtsL1U5nqJZ0d8pgr5mITalSF0QTRrIB4dN4YPqBrH8wMnQWK3GJ29DFd+n
wS8W0vXhl3pJQn2yzUObxcbRAvB07aM1MHVBAVL6BQy8rNxnS4Kj2JQ5avjOYjsAvUhg2pCOZ0iz
7rSBXXcJOyC64iTXesmMiyEB4rdvQKXPjGMSVRvNwoEII+9DCEZPtUqxZtq+k1GtjiB7+7KzzVnv
kCyXPmuWzsqhOB6jCuc7PtkZuMBhUPHRaeWmxEU5LTkm6SkhCSFSTD/h5TXT8sm0x6Uu02mtZXMk
+uBfcH+Pg8wNEeMLSptkVRS3Ar1Ql8b9pbhYT0DPzXAdrrMMd/EudkVEIXi8JX1bJjiGUD0N/3jP
scriEgiu7phWs72ZhZc02rENBtB+YskM+ZR79ElYWNGapO8qE3BfmvNetGORlfn7gikBaksRU6TI
i91XzQbmA2xoGE0lNCzbtR4qZwMnvTh3SlW2bWpnUA17//G2/xCw4u9VFloJa2D6oljFy6SKxHqI
VEofvKJ/RIaqJJ36eIfHUDaC4XbFmFiwKMGykPv68DjpdCvVSoWa2XQd83hPYNkPK1nwDbyDLmxo
hmc8TYaVfr0qTmcn2ayjjv9axxBAEnXfifsh1AMnB8Nkv2K6pi1qppZS2JDHJ2OOf2ZsQjvcX05U
Uenr4CuGspoKRxDMpU6uCj1yw75fkOj1iid6llLrYCB8WzBc/4R6ssvG0C/NGZ2YNaaGeJQ2+x4P
KhJ7CAbRrOsySY3dQlT5KYcg4I4qqLoArZlg0Y7YDlhk6NPzVk9Ks/eitsKG7Sr+vj7dWWRhxMHI
WtgUkH1NXTf7y+cOyxpL+L1i98WO+E8H1cuJPrvvAtO1774GqqRsC2hNGDHRU9mfti1VRdmcmjF3
GENG3ecE9NWSr4W2Iejoy0Le4dvUBy9RayaR0qLvLBq2gMQ7EZxGMk4mPUqQdQulIidKWoihG6z8
tg9gyorA4duKHWGYPofNDqp/xVNdz1fIBVvY/Mk7Nnhn96gjtTHnTaRDjwqniRFJxL70kjoFhPQR
402xpQq8cM3vSAVuE/BEW5of8qs1FNHgfY7NroUdEYs1l4yJobdooUugXKHrCg1k5abELIA4+BZc
SyguEcCHeVSrNeH9s9ho6SQ1qLpdaljk2SwmMHmtD6CYvNtuIi7KfNoFfviUQJ6cBAYNBtk2K+oK
YbqrU1izfYE7qeYuC/Fili9wQPMu8CAaXGuTJqMxOtVGDZSgEjCvhKgvBpgHJqK5J9hozvLVKvl+
HkW5PpQQgUxmkrVQJdmbjyDspu9slE3/+zRe1/hDdFGbDalRf0kIwRmuUZIhD6kBlfDIL90YihMP
kqKxFD1+4vrSnNTKM3ywr+sCEFSo1j3M3+AHHsOu6Lk7cJBTZS1cr3zGqLquGDsL/8kZZn9V6znY
w7lyrE7THxlhEsMF7CSOPZSmxZo5sZcgnSp3xWtW4X/YFPudzGtUv75UADYHgo4rTyzTNBhFu/w3
fIliHBfyQNoktdvkZvkaJJBCWYvHaCBkRFjfMwhLzKAmW9FVv7lv5Z7rY3fK7Hl3x5Vfk7bzk31Q
+LlliLc1TJ9jLxSo6S3lrzJ6UsKE1qWBjlW08nFUnzQtqTfMy/RT+Y5iUaLjcZONwZkRJ2/6jvU/
2xUwRxE3Y8FjWbBMPUbQKSO17S6e+hEs0zGftkX0i/u0CPQUw5GjfP6kVDBUZFJZlgBZ6WTXFeJG
fKs0yVlV3C+CchScjbNenM70voHGHinOhilggq66kG8pykdRtAEq1U/SU1ULL3HtxVkNBCa+R+A3
n29ag8mfLwmwl2al2r1zfs5bx1DoUT9HRPaPPQTXnmOCkRRmYfrX72lGR8iBE5OoIO8gQRcWLBm+
f2/p6IHe4UbQzaJ50bRH4VgLdt+spRcjQtnXGiHNvNN8ot54wZkeWVbwrDYV2NwT0lta5KiGX22I
2Rr9WpP7sdaR2e3Y3Y+mzTJv+a7DEKYJ/DV/kykst3xD1+7m/lrAUfUmX+czbI2z7puPhRaQYkYy
TBv2NuZh1Sd2ykQLXCRT/Hr/XGQrjEibVdKca8Bx4zKMEuAiHEkL5Nb5TGIuLXdPskrjyrijj/8I
OHTW1aHWrnG9jo+Zai5S3Ar1uCOxynyKhMLr6xfuGP5ZDQXh0bRuMuRZKA8ncUm/TJoGnojvOc0r
L6GbeJ4OQacYZYMDJRU0vQPQ84mgKoVPEIzc5n12IZNtLped9v1aZrbr4/QIrRDy8e/G0qWOPQyf
Er3ng8q0rDzXiNznYS88feeo/P1uImfLs3oEh+qCLdZ6pxc/rNryx+SQtnpU06CBP5JAWNqp33OX
J1PCH8ZE/gaffWzkgU1lBV1h9cYa2n8xgae8jLLa3sWKEto79kSyEmPKIGREWK3wVlvZWz5ykB3Q
ycYG2DOLDAyTcGkzWB7y0qcn6LLaVLFZLDngckvRtwz6LsuJTT//7qGM7YrAzVYNAe2sVRJf8pV/
qjy/L3DaJTd2rdytO8VyAb+EI8ySjHNfLsDQolaVZxtjB5qI25SPnerHHZ+S/8B1eIMDiKcMO9dQ
IXLNSpdZXwJGi5EkEkxcxd4ZJjN9VeKZP/LXk1y5MhKZHJoWBUwevh8xwh0R8zRpEN4GmTCYRqTP
5rXAz3aTQFgsTxKq8TwWPNZMLzkqSr+FeLlos0/YIhPzTf/XrkcNVC4OiCqoEuRdISRNACBHecuP
HOPFRyDQOdNP7EHoixv2E5MyFWBen4l0eGxJUxAfQV5ukjAPwwMAKvbmAjmv2JouODfS1kp2hIe7
Svu3WIcoLcyVFIDSY5Iw8WPlXJsfB+hSakFVkym29H7EDhZ6d+EIvK0PYB03a7bUnDeZ2CVsbRdT
lbgdXrPaT6N0Ro3HMzs7cDri61NkFiBL/yiZriNzBGZFuqFlBpafVtj5icKknYoZKzqNbNciFnD9
rdEgsdf77IqJhC4+Z76Y0i9+D8MqlTBsxodxbz46qVO9yXw5gfL68mUcQeNw/hWaATFaZVQ5F+zu
NTPjZr41qC1AQt5S7/8SU9guyEFkaIa4JleUVztwxI2/H/8TKhu1wOprlbZJ4R1DaZUpTFu4463F
17xtMASWxYQq5zaZlnqqlNLwzxzRYHUEQrLH/DjkyOSn8CnOarvW6AYMCfkSXVvglml8OysAUTMP
uSHa4bCM2lkxZgJG/pAPoOobrlxBN9nIxOd68g/ik6NMHmbUKkiSM+oqu0etuRd7IrIR5PMNOoPm
NwN5yrbd5kKYzzSqzTp/4Bv2xMwgmGSMi7PHw3zn8/QVwvNWxp0JyVh7SLtTPDJGhuw4u89D4Tle
Q4c0AG024BHKrmigORwjHtHO1Jm46n2q2SFROHdAe7vwfTaBIgv0Qfbp8VxaE+X6DUAm4Ea1LUge
taRzj2Af+TYNvnrA9ieteKdkxhsncPbUSLoOMlUoKxWrZmEOojd7OeAmdps7KdGGhZ5VXidhGrju
Z3YejRtEyRU4dz96vtQG/PKZ6yuOMEkVlWZSaVkVo4JjNHupJwNfHOmBszAbTL9Di0ZqkPFb9sGg
IV9JEWkw1zAcrtvb6mO38ABoAD9mvVDPTbCSXz7/vy2QFdoKIM9bneMLul1gdcuOUK9k+QTQnLWh
8JTBNunXXCjnHL9Lm5O2Arm58CRefBL8QTqze2HUYYkaUeEjTTwkXXZVXPngJB4vq5Ladl7dYLjN
PE189/HQYSUU0cd7KsFY4JI4yBjJYH/Om3TNG95AFhwdXq4LMV05EwhNeiDtmdU72dDLtxLu7/Xi
Q7cnUxCkkkcisU14YvmgTAHWa9a3lZKCYTMrjVQ3Jsc6fGoFtGakRuz9vJnVecCxSaoTWMWNp8dq
oUEph9xoe7Szd642qEecDPoLYhyTQBhPILJLqpSWQR8LJ4uqyjxaXXFAlF2TNzuJXfoZx38+/lcd
Fo2ugR6LvGlg9UomdOj53XykCOtfQSmr3AxxuDu6mPFprtdmQrV60Ft/aLUg1IhPcMCVApOkS7kF
q7ehdtoGVDg6+EnQoODbgG4bUfen8a/s2csSMTHlqvz0+DUQaSWOUD+iUYWOts1jlbJ+KbDsnbJ3
Q8rHp8++2Uk8eAcyHukq4YeE90MMz7w8tHYiZJ3AluQN0nxMec4rBIKYegHiEOqobGJEt4yaVu3g
Vujtbj2TQdSWDmh5dsx8OVFe5NIaUjSQNEhs7+eVXqXuhaOwOLA49mTriWqpP57rbuRatX6MhwZE
fT243cWirRmDKQEyMmzY5oVRQv+s6OUlOgVE7zOvEtYxzWHVqzlMxwGkvNr22xJw4o2r4yRsst9f
nP3ysg50/k8qBNRuL08BRPz5uYdIsntRAKjKHrCQeJPluVzhmoAT8kzc/WDBeO+p4BfdzN/vXrOD
Az6le6NrcVcTRA76E6OZZpy+NInpBg5YkRYU0zvmqvBAuGfZRb8zBP/juvHMNWKK2lwTOUmqexX5
POcqLg9pjuStYAQkGj8vJ9mF02HZVG/9YvVMXHh5k+zl49RdioiSuy+jlUSKOsUJ13OPaIWxBR80
tLPSOWVB+9vlDGO36tGDiv7W/YPPV3qgRJAOpB05D0WwzoS0rinPqbO32XnReXFeSt/0Zk4OnxuQ
SjP2LaFzVUBOdRJZ4xMnwDlgux0sMJzIsRAKgnf2o7BasBRIigiACgOPQN7qc8IcjTILtVZC6D60
1rIV6WK011rk3Ve/qAWPHu668PyE8xtR2QEBR2xAAE3RVQMd4av8LAXGC1jhtJ8CjwFiaEJuIMyY
Dg34HcAn7/ihFgWa+XcbhiE1gGi6vba9Z6AU/8JCqmoiJXIt066Ezsl8BvlgNicONHrbAbLque57
n3yI/Z4RRsBSjt+cc72NejpHghjtEU7jOqjPU3LrSOqR3mWKrbs24fyqRTt2jeiSbXpGS0+iMkU8
tDhfRgQcxyXJ4z7DxuscqN6RTL1w7ZTXfCkZXxFxQHrb51i2eWdhSIxL7NiVZDzgxhOenHRz8jks
4xiNHymy1ZIDbkrzDQmXDHukkwgTayBTQ/cRuAbpBT+RCI5vQj3xARYiZSdZwt5dIruvJmroll0A
ikid9hDptPxHFaTpIecwIc4hOUMVVdoSCd2MapBCPmtUgp6f/2HKLCq8V9UFoPUkTCJVtz1tR7Yq
aeQslUcwT8ChYdkSWGFwcQ/IYmRhZn0JKOq547PfiGpXDi4VvOtDiYxg9coMdx1sSgaEJD5Qaljk
9dsy/OMNpJAx8cEICoxu4OIYJ3xUdMd6tAfEwKiqlc+scW8Cini6AcV2eX7K31jdwYAPOz9Mv3Sd
ux9lW6bTiJAdjgXfJzHSxcoG0qorrkSAKgYo9188LVizl2afBB2qsOSqTnHNUccIr3VYVhkXfM/R
t0uFzgSbmJ/SExSn3S0n6RyJ1zOck6JpVPeiLah/UvW+2vzLMLu2QnnaHe6c4v9r1eFbZ30dkOxD
XtV7k2RfBvY2xkoYxN3j9Fzj1RHmSEkq00/s34853LFLj+Kmfn406Zchwc54pTh3vdXkH5ELdhOo
J/Wh6nJaqQAP1q2hZwJYAgUX6LiOzeSo5QPjo13a7dxbibE04qoqyQtrS20Kc60EDMk1Tsy0Mt8i
YOuHJSg+2OsmeFU5jUTq84pVkyjiEHhrO/prNEGEIilfPeFVG6uFogCCx99TYuaRdge5iwyhYjB8
BilbepJAgtkVGlw7+qUjjtjbmBQhaHjSQf+i/c8kIXaX7KqmCq9KN6GTlswaLo61AJtsDBLJ+Q1s
DEKUOvUz6+VbDytR+C3HNqNJpjdNNkJ56rk1wcNY2fh9TugWoRhKmm2Fk90p74dQ7XKdiaVhKqay
sLY9Jq+LgWeOQzyIqUco1kPG730C0j0Ut+8nzYifvRGZexLzjWAul5MiJH7X63HV9GlfH6FiFgx0
P32z4WeEMhOiFpYnY41Al+NMeQadGOF92FzLC1qBrnelrR3JgKydJXKbj5VvK7GosLLp66+vGOut
w8h1mrdCl0CBGR3aD7TF4iKytPvY7oxU3rZJoZYCv+23SnlhxTXiOVfuGajfCJehGm7Q5bDKqTwI
IyVD5THJOFO8VwGGtXsOfnWNMKfyAfCIVA29eSXC8Q03aI5GJ+zrcEU5nz7YcWRrYEjfnaWmHSv3
dryO4JWWa8VM7BMPVuot5ixyNsV+y4vGaR0oXmWVj0N+VBx07YxEdKXeAGOzkGlks3sA7gorlymi
075Cdk7bRXGttLlFLOwS3GwL3WHO4l8sp/kjawdYa0FrusQW/qqZwTmCRDB8WOigQ/9WWRqbXTty
j1YYDCgKZww55Fm/F0uePOxi/RcTaXexXtXsuedS2iUrL25e8j86yq67LNGHdKYno0kh409Zo/9e
VsAhoG4t35b21JutOpeueRO23VRID/wUpjv8HrAnjmB7EOOqa9taGpXVdC9evUIcCn1JkvD/ilki
aLgVwfeWyR1XKEKcJsK1WmxWkyFYGk/RVL2Y/U7InaK8/f7IUQFyq+OPP6Lv4UkNPCmp0DqsHYGa
i71UG8geju1pcOi8tUjqqYpxcFFBO19QpymTi3L1H2cuyUkOmZcruvktkpgqWWCED+bOdqY+czWx
qbKlzsbCu9ULh/fyRbkZG7YT6PyFQ/7upDyXwoFxsj2FSMKUqU9NNFXo2ZBZnI0h+X57sNVq2UWB
6zUBGKQ6EYObMigYqDetoAsEMf4CGJ8l/Lw+trWpbzotBEol1WICvDmJjrAaliDKk4KySTDGqhsP
RCeRXloJmOOtgH/Ti9Om7yNK4OvtiHpy8Qmhd87U4GIxSuNM6AduBfYNzXdo9et5xndFh5AkaxHB
qeP5ULWISHMSl7ekkHp5WeHlBu+hhl2oLS5mBrhk/Mo6pCGasGVw3UPqNBWKYGPm/kgn9NTuQNWK
uupsOLEFwBvPvd/qDrnhxHHdrkHqqAxtz3ZPWUjjqpXhOrfHveL0ArlD4mGRmOs+YeXUcvL+tMBk
R6moNDE5BX8ofKCo+XzLjZH9Uk/MEIQ9/KiVyjeXH8IBS+QeoN7qn2fyb/6tofi2VHdC43SHzn64
GnAccPZhpMb2OPAlUrckGuWPUPZMPfX0/0nEZCXvRtaPgCa6kg2DIuKyYE7kLUckGhLbqsY5LCsH
qb0ihDVsViMIE0lxvnU1wuh6y9txSrqTjPYNHpCz62aRmyOuTuKiiNOesUy7WgftwTtXe78m3L58
rxGp67acBk6jtaDKZ9BZc4RJisnf6AJ+64fkhy4VVe/Z7kJB8nbp7S0FC2dv5JSf2MkzIp4KLxhW
twl+zENKB2N/yurGjhX20d4Jzg5n4AL+17OyEXccCRwe3wSOX76f6kZ+ZEoqDbvDZHTlrFEJx/NP
9hk5IP78EVe0zUkVphEJyinhUQwoWsftd8Hsm0bqoO95Un5JPI63UjFJXGaqG9j4U2Te/0JWl5KY
4wv7Ob5aKfhWlsDHEge2d05hy5HaKn1JlSmhBPSpvTlwRq2CMluKpIErMScKW+VBnD3aBbPI0hJ5
5ioSYJKs/U6Fdej4b3aeOJvLMRj3TU+6O8OeMOsddcGmXjFYyvQf5B437/LKOnvZze+uEYRjDRqn
Vmo48jyLuEQJQAf2nPzqKsn/FB0JyX1hzB5klUhroAqE7iS7o2qJo6OFFxJQSEIeOj2UssODKjK4
BFhxWOKSnAhvLHITSfgh3ujaBZrqSziRmeqU9hKWdkU2S0A5EMdINJY0ehOtL0nJTOKC8djkGrkC
XMxue0XGTOeS37/7Z2tNfOrc6zXUeVZAVatNIYip1skWLYD+55Q91YgobpC32XLIwxOSIEM31Hlq
VLj/bQF2xz9cm/hbJOqLj9C65Uit0TeqTeE9/UrImzwKZeID/k5zQz9WbXwAE5cdn+yaLMERG8z2
1lc4Pkwq0f4YHKfwu8S9DMCAGG1Rw1dv5dxinvwaPSCs7MlXJ7bEakyrr+blLQGaS4ha9NcRvXS/
kj0A4EkGCQqMtG5eR1MfqqR0ue/zC0KPn9oCcOeaRiHHkl0khWOPNQXN/+aKGxvpSOloe4+p+lg8
9MGeVj6aRwDGXQng+AtFEfzzcx/FDSjLis1fE724pXtQz8TSP0/RT8U7Pr/9mhMLHLL34n/1EbXT
QEMA583YTxAT/xc0NEa7l8nmh4p9pdFtucUsoCO7c6W/EiLxQPFfTAi3qRuHHrfMkGhI5hRgZdUq
DVXg5uIEdEQN8dzJLhn9lsBXR7S0Z+GriQxlriaHWx+j/SUQjARrPmo3CHbo/vsCSMTyCzmHPtgV
dEmC0rN2eVi2Y4FovOs+XhKm3LMMEOJCB649oP408+fVI+7qwhydzXsdf6kRGhB6CypZGKKerHVK
b47mI9I6weTJ62yuV59JaYRkySrEUlkHptfSQga//ZFIMftoX27lhOcaqkq8ibWpJEQD2cWK8cX2
XC/wqTeOeHF7osSus9sbovxM1pnPmJQfxEbom9j+JMrPOhkRINLKmk9GTuHesu2bIZLo2aHXc4Fe
GL+BnonYy5zI3C286Y0PPDqBPzpfodz9XwQ2y3to0Ztq+c2T0Pjg+z3cEEFBR0In9A/fHTpOwxco
x6A2iOoWdC3c/sdPe7S+oB9soBdf5fW5HMxjZX27EhwKxobzrUJu2szu2gJujSZwXTQGkPyrhlAL
d59lqFn44GRb8Cn5UabfxNHh7M9vhwWl/1Y8fzgrtD/f4kUrsP5o/8rmQUZvQ3mIjOK5Yr8ZkOfL
TRKUUY9wR5a32YJBPTp0ANXKQV2kkqbRlm+ZMEkJbRGbrg3EhDUuQk6h2I8lIDQpy7LhRUGLsyNz
T6wvQ24Nb9yguN6wIXlkNXIxmkgTqUUpLIcl3n3hdypwWKpQZwOrN/FzmYeHEhyhc9XOpKOOit/0
YbxJh3oca+7bIfADDfCSTvNcCUM84o4g8qvxlZn5/5WNA2QB3KoXSzvkfzKXv4wIlNmLLiby3/6N
v0fOLx4sonbu63IjYmW3JwGFuQePgJemX+U2mi3Akop2fNWUHIgj88UseJBuVZo3miz5g0HKPKYB
9W0Sp4KH8cgnrnQrGT8aj4r5BM6eG4gdSPp0NmTpd/J7CETVJY82JTGp5ibhN7wvOd5bhhj8Q6Mn
SQNw6Q7Qt5OLQzEqd7rF2xf2xA906pttww0CAmCuCwLdyWGM4EAkneUGP5aw0Z8UvirTjgmK6pXI
WHaqj4ugZ9YOXOJG9CsnUoxVE7z5Y3ltPfY/GS/ItXcKILmjisrD4s9/WlGX4BNjpJYygoFbV9Nu
Ols0mxbJKcXVj/2m7BqEiC8rartN3oeGprFveBfPxDUTxdHOqTDtcY2VPbo8mS2MZnqcWxspRIVa
c+/chFXDsD1+gEM7SOdtTjsQFJAWQOlHOND2QKHzBaH5P93nwh5f/pKohnNn6aOlFZJaO1d1qfze
iyrIgfrmCZgCC9qmuufwRdZfuxXrcj5KnGJmOBs5fEzNcYhAPWHZEWh7eG5iVUN4H1DUtugAuVcr
FrTboCxx175P6vwsjL+ElNZeQo1B4Fl9fgjy2KxadelwD9ugoC+zm4T/8dz2Of+VoYUKtYclPEzR
3LGqzIipVtXkV8118NpR8iiwKa3MPmIEQl3fLT0Umpq2cl16UI0F5ndBxbkmz3jPvfIKDjOhEx/g
r29gxyMdqh6cVPgfosXAl3nlQfG9iJDTkErfqElPq+36ZcntXuRUouObRA4uqYxuMll06P31UbWp
ucSAEmUhGFX9KNud+Um4qr7NXbYuuqb4XoaBnLGqwRVU9dcGluWkk3jzB6CpYyZ8588XHei05qmz
9OBYYvLrkQfLoJoqcdhs7rqwFFwmRkPJHVyAsz2R18WWxJ1aOGqkiwUcrQ7gKQaeu1doaWirCAJM
Qhnhxy16cTYB0FxEmJiL9gLX5c7PauCDSXj2XhpYHe/+NQqEd94E73cY3Fqs+K6Gg8Siy7ntQzX6
Qvdz6DbLypk5dKMZy66W4jveUgi/XfPLjgDu249vB8HdVTkmOBQ3qCqSEcxd4s8R+uJbvrNhiqex
DOnxZWL2odm7zxBA3uYzjchWtTHdb6N5TN5Xn4XWm4NflOcAkYBGSyc8PDHzQhUD2iYBKPkpKmPJ
0LemFeflqNtCtR4mQjgaoVCFPeSzyTO1j856+tY7Fwb7wqmGQU3g/PV7si9Nck9ijbjU6XevCdVD
Z3vht7gqPEkuw9yU2dCBTQKldGJXdZ/HTRaKD8QZiwkpDcyPSSDI/GH13Z9OdbewLsRkpYHMAAqO
L9lQMjsg9uf6abtFm46E5k3wRCahLzcCGvQkHSAlWTQV4JMnHtz5/ZjTCQFtND7L/c3wLUPVTygI
3GKOpZerIC+2tjspZvrgXtayJ/3ri1QN/tqGl8ZWftZTbXNipl4icTvugWW94yn7x3k0kCuzmMUf
l2FROh6swm5az44u43pF7sEBJwQGCpLFJdQGM0j8V3WUaHbTN4zDM7e5g5M0u8+vp5ZDLFt3vVRB
gn0ye4XKB+9dDfxHNPibj9587vJuZGgE4xV+GaB1cxpvd9OZSH4n1a0aA4xM/hRgqrxVVKrLnqOV
Cy1CsjEX4ljAEVfRJqBUVLL5EhkDA704LiUwknsOQLCfsQIpPVR8Og/R64xjoW9jeIIWzjX+NNkv
WWQbg62d7o0RmH1AMVVmNTZHRRMR/JBQxqMBL4bRZx+dw35BwFHXo7lp1MCcDgRYQFwsfBGGKgPj
V1eEj6Adtp6vdwrjoGYFBfFL9fNzl/vIyamlD21l4GwLYDpQHGdShFyAYjLspjgNzJrLNei5YeV7
vwSb17apkZuycfUj2NiZTliciFveyys2C4B3JR/U8JPRoXEZQBBY909Rr+hx4idf/BrJ4cYFmukk
lq4H8aq8EQJF5uFpU/vToxQ36RsFiRYsBG6begBxn70l9O0Qwfhwre5Nu3FlO/oX60GglDWGiFi9
wRTSC+pLbxdnMYxdU91Q4kxpEVlycOk/jgi5EtSeEgMht1ETh3vfudAamxcs96fGfunw8/95zI7z
XspQTjCpPXMS+aqry79v93uezmzBjri3v4U+TfnPIhfJMRUmx4xeyUipK0HMEgPyLC/2jyJmO1Vx
lGDf7+6tqPKBCzC8lup6u9QQdBmin6YSG5I33IZng9tkp2XPfHyl7KbM+vqcOC2++oHilcS76zjw
08hQX7i5jjTFdvKF4vpo+tJTUvv50VnpQFV0YZTbsfHMeFKh2I/LoPv7IaHQXWnkjvfFpdMKVD+/
8pVZIUcFuVrw2igiMWBR7dIfkwVjwSc9vZddIPNlRlR1WyFpG10b9anwQ97DRbW90D5MMMNA2QZq
wbAPMem8+HBCM8ZyjzzNiS+WIWAE46jby3LbKMQjN/s9lnIz+5fcty4e9JH/ZdarRw6cJAwSH18S
QalPg4k73sGIajF8uxePYXEEvDJQkui8hGAms2hS+pcPUVA9sjCcQxjn9HJ7FQpUgJ3W4CpI7zVp
8402t4lK2M7F8aXI5XKqDda8oDv7wltzifXEIEVSC/S6RQI0rP0EOvo2Hjls6H9dAJcQ2rlalW7c
G6lGyTIyPjtvc0lHdtu0TjwPVBjuU7hCa8GVYHJFbNbB7NN2ITBThMcvDbkpuxPqrmJwv+l4Kc92
7Jpg9Sv4laCN/iZXZsf9Jt+VoIRuB7FHayEX3BLUEfNlxgdG5JM32H3NWLyKywqYhTd/LAdMXYOT
RiNf5B42AY28ZLMYPDZbrzVr5Wjt3AGb1xIvHPtR+kh6cT0yoXXsukwtL9EUKhXOmNK1QjginTSH
DG7anu2rM/TtY++BGloCFBcbAQzBwvNOFFOYYXsjnLA6+nB3Rx/WNq9c7skRuzO/zMljCrWRyAKP
jx2NhPxJ7Z+B0F1PdrywwQSyvcHTQto7xsjfAf85KOgxGep2IaffkFttRvD2Ro8Hy6GCwvcPjeuv
xMuy7l04gIL2HyKFU9ph44SJeqnZ6lYIG1gU50d6vVvEmwOSVbqgd8fdAETRdBWb1Wmg6QyKarf4
4xwRjkIc/yjhRdhPjIEbFcUM7ATkbIFN1HhKo352HAzapzNGB4RlzCGMa0/C4DTb02VLGNmivKP/
sjvHuVilYTAIZ5rE9bZRdieB5DF2C7sK6mSPX0tk14yMyQlFHiWcq321+06zShvODjE5fgxyf6jP
wIA+lnRPoqiQqrFI0DR2bduG5TjuMWqqWWQmxjyeKiiM5tUgwf8TdghHl1MZS26rJGntqWJpSH8x
sbAGVa26Sf5X4OLQCZoHIep//a9G/gobdu5p2OeW9bpq4eBMbfuDLt0GVScfKYf8iJ+8Skh0lA8A
v7VBAy+ooOBLojAbz67hpqi8nT3N0vG3ZkuuBrhQjEiU459k0h+wnGbau3h2CW+lYr5k8KR16JF3
xnnQbWGLE/POn3yNLkZo8OZJ2L599i8BQj0btRkfTm5p1bAGsuvV2OOWrCfvRbuPPFCsub91Nb1p
DtRUlzh8xol+PkWVukARchUicniA6+wN6PT1vbjoJtl/eTJSXjXdMe4ZUAccn+0uKTuAKefoDM3J
VBcUoqQ48rtuyaIkjnCmhfcDgPfBBYYQO0l6sMf2JGwtPkY2lbdXSZEiLPtfkmqfT23+Pmxe9t0T
GY6dgYMxl4Jxzm1Kid0tg4uTwcREvcqbID+OBrz+W8+hNxc1Cgc2JkvaQpG4xHXtFRsJiT/gAX3+
e8xaGp5iyeAyTm4Ugz6+fvq47rO/japVFYtm2xAmIFvSJFRUHcJWVEurAE1YQiK/uj+4h5cP4zrV
TUCYJsTxSAof0azD7E2vwUhuZnNd87JrNo9XZxjhrMAssL5N/wPWRrQ5lKymHqh0jCdjwp94q0wE
YYkoinlNj7OZOW4hW9yQBZslLhZCV2fLWuvjH7X4o00Q6q6e3CwrORVWbBZD2/cQC34aZaMZjevx
sS2veAb/t1+Is32Wg2zscQpcezSOVm0KpztM5JTZpHkNQzWb8NucTuoBN2ysB12KF1cc/6/G33fR
VVe8zhVfSa9T5tqGJ+sBwuOGxaLnoBkuajZYqx2BCBlwv0OZ/Jg9UC9AayOy+a4lYwKVvCUxYCO1
o7JwX94ibyrZAtesEk3vaGKe3s5BV42p+Uzo2o7u7P2z7gvgZXQqor7Yr0Yi5WP0bgMhVMS+mO4Y
+G14yVuK1Bf5hoaWGOG5NVn+pgG9BnGbdVhEu4xY4JBaK+U6bXUo/f6UfbfZa7EAOe3H+OpPmoR8
E0VWaD3wnB2b8gRwEc7kN4Y4Va9ZUkoBPlpnmFGdt3QHt4kn/MHxQGRTOD/KgSWsfjp5LQ5ibLgN
hFS1lUs7vfpYUMIPLR9r29Gm5SknvB8WuhB1br8spLzFWoOGS3zFnffe3huPuzOqDF0h6l0jyk1w
Gw7k5fTelpZXwnsEjR97RFwk0pDBVcsfQZc5WBNsKSXlX6B3uCzghiA63ddcp8ZbsvxiTn2se8hZ
UUo7bTOXGaJh8Np/vcg+sJB92SRLRV01zzOW/HqPDvIwiiit/IhxeMSwD2mhBgp1FhAJmZLmXoRf
CmyXZID/Nycgnn38pUDnnTsTwfjz6ujU8Yv/RHye6Fa9rF/Tth0kCt0WYjaOIrDC5671l1Hsc+4s
697TMhRnC/cPAUG7Sx5blOExwHUpaCfZORwXIjnOES7H05qG2SANpj6rQfLc0t3fABYB7v4r/Mo2
H5ZiDq8de0jtOTrYVqXMm0M875mwtwcn+e3LH18H670h8HAQ9EddPeP0M6e0aMIUxiZgx/o6h5FF
yDCWwHSvBYzuPEevdr3nN5Zo3ajDglrm2mDwpWV9Bphn2J2YqkkuM9STswZMy7Q53rHkZP9wetFy
mZIyGg4ZXDOk6TgRZOt6nTPOlqPXxbKuWqyoKLLzWtCF/yyBNuFZW62ALgWHCNkvoZc9QxpD1bBV
MqkV/32CJtfd4ztAqt+5L0GYlvY9Yke8cv+HU3PsIH6SFDJ3hNv/L0k40GZ+5UEexVFxtsVEsQoN
LtMjVI7nT28fKIAluElEahPpjfKEubOUBcpnfp8Orh6SnKLtcJDcXTCZkRhRx3ESmN98Nd9hu1uW
1GKIoRbPoUSsxruOdsXwAMgCuaQCw82z0d68zvkDn9fVyMgXAVSxczYY0/jGvd4R+n82JWNxG22p
wRwMHTYEG0slonhOJa4BzOFJTrZJ17wlVHP7jXO68AhUcfJEVAD/wK36uPfZ9j/z99BdXjdkPmCh
14Jv2wuV2QrVxLKLjHM3jR+hcMaPvyCGRBogevfhnKtI1fZ4vXFTkYjdHH31mYgUospXhbIfZCwZ
bliALtMHVzgxlS6kXGX3VK/rkt0oSbVMYa0aSbp2SIgDPx9kFYWiPq0d3Yr/w0u2YWzWxsmTCpRl
oIpJ/CLvUNUusczdRbneXroB64Ym1XqMpFOJCUYVxTJUVVnMs20RLNwoYtKbMOeDabJ5NRzc7Ahj
ZiARsHwOxUohpu/JjeNnCgu/kj4uMWl9DB7RfNliCdoPJtIi9MODHySbpOcu3a7QsB1hE84AVTQS
rUzhjYlN75zLtiQzwRhB7dMq7Y6SNxto+yhB+hw1oSVfGjTKebDKTN48VzR3kqeF22hmA9yiLB6h
UYtX9r/hxYijstUikgcinjF7mxRYMFpCt+OEGgQjHkteQKozFlD6S/sWw6UtC8fwOTOIZuFl4CZc
qhniAaDcTZ4nEz3xsImbbB9mst57yseUXyNIuONpXraLgLBrCZ08Uxl35keymahNVVErmKVRu06b
Vl/6ewFmc/CN2gEi1FVruVa71E1Zt7gXgBImqDu+oIcRFMBYaUu2m3hxe3sJz3qi8awQBpGe9SZZ
1wH1LdRSdMiyiWYd0GZHj4E7EbRNp3GI6xZvgf1OVT7fSygystj2c/IUCukHYEn8mdVYhmevGE8T
cEFhfJv2lLcun5n3NXUdRHwWq92earwshspbjaPzTTgX3fA3sDWUuqLfJWrYvl7CKA4QuGNeiwLp
SVHwsNWv3vjwBX0UldIf/SVguSod2mFUboxaPJU7MxW5n//YdTITDuQj2tAQ5x/wyccJAWsSPVxn
6A5NzxZt/9bCpQjsBwb80bpoCgcKc55yCPtBoVtgY+iT7MMFv4MKVnqo30FDlvpXwa1tZR29fzjI
O4VspVboBKLh+l7G60Y11Y8ggYGgI+ELnBHU1st1p32ME0bsqAt4XpV7i6cml9gWilGHYSSBiFqX
gIoV5s3lTspXWb+VRmLzP1OAcf4fK/Tlm6KAZrJLaVYgqkTp34727OwCbF1pynwTEmxH6BuRlipf
gvIfGTJgDsXMHwwCjb7cfaz9lG1R5dHzMiLyl6pEiClAuXpoxVkv3j1O4eYbPa+jvKLHuQzPvEFd
uCFwV1F3MhFmk8TIKduuR5hGJ3OKrTVZ+Z5Lv/EqmB5NG5g01z99gEC8eW8buCGpJ8tVTG1qb4dp
4i5VofbU7QoGicHwccMsRVSoHXkSw/C7l1GI8oyfuza5Fbez0QWD+FXWtb+AG1nQYPWLMOUoLHaX
m+jNZVDAM1phn5Z2a4reiUMmpy0PzyLrkpeq0dJoVbdB4DLnzC7V5VoVSq0o3Tnf5gPe/4w8Skzx
UJTAYgKIuxT85AkRQWjWdfeQT+c0z5AIAfIzP4e5uJyPtb4pL3PYL2Iq5R0Rqis0og4QDRGlf/Ua
2Jbpk7txXWQ3FgieRn5vBo7tJ0s7SEOX8jgsLwBcQyb+lXugnAwy908IlzOA9luB/FUQLnWxsKKu
CpIPNYhWZMhE0PsYDjfCJmue4UFjFbQ/RRiPDMAZFOefkocOKekELkKykF9AtDqMOJrTUUvEf73P
srvEnG6ZW36Fh/g0MnUR9lS/rVGuVOJdlMJo5Yi+TxqlAPEdTv5sfoFZwaEstJnaW31GQP6vQ4mG
D03XVCuElXdQNtYYLomsNmyofXhOWOQzs64Od0COlIIsuQm1TQGyP4ulBkoL1Y/Cwczgz3UM7QKn
pFDMUWDTV3G+iVZqoDkm/sVbF6z3nSsFirYGp89pDPOwG6L0dBOSlLNcECvvq/CqCvPpT2OJKB+t
IZrJ+ZDXAxlPGkCiV9jFoGbW3NVsOV/LRT7nAQ+0AY7f69VDllhey9A/TtqFdgd7kzBZT25CDkF+
sNIruawiEqLhlWbNMw6jBG7y3ynGQAUyiQphmB8E+vSjmFFENT62i9DUZ42CRQmGjn2Iiw7NrwZg
ALljQ3mziAVImj9KeultgF5E2cEdF3OKlZDp0TNpsnX0Xt5XS5Qe06++xZ6wl9yXC2lY215EYuKP
cHlqS3jtAAeXst+ZT9kQnmDhWB3VKxPMu1sZWfvleiygDBwcqa7rU+IIB1DAADvib3/7BguPIzEr
X0mBggYDmfLF80y9jw1XbjP8Folv7nbbeCNfFaXoP8E4MJuAqASqjQGpQhxjVqIbmcNo9q4GXprW
qqVgmaJ3f4TaiF/QIa/FUxf/36M8z5IzbyxPBRt8KZNLj8XlOR/5PCv734N6LeEf/PQpqrlGf827
VMy9s+xJ9svInOUGIX2a2ovI0UjIja/QCNYpBIG4AXYlOOqNs2+jQj7e8XjJsNrtdi7KJMxLPP3P
AckRo2Sq5A8puU2CWXXMPGsK2m3wg5R3gzDbmRSR/BHQU4Yh4eFs3XBh0LcJ38oTS6OqDowYd2Gj
2CfH985pW+9zLMQIwikIZDqOwty8CIKELw/1iPNw8cVvLTMHt8pgD9aj3bzLADMrZuzhkPTrOjpZ
zKKo/pF8qMnNbVbV/r4f5IedsQ38DQYjitkRoaZfg10r9PVapT4A5lrLwHGmAAP+ayLQt2ZhiWco
7dttgh09U7Nmf+ozD7bmaJcQOGPh6CsLhTFM0LRPtvmVwxVR1w9s1//6NREDDJow2MvI/8RhK+8Y
3dB1qyULMv/ebayL0AGbHePbcqEnYevP5d+k7H54wLFRcCHalxMdQnfsaE9pzEraxHeQYtS5CTIR
MQ0WP4C2DCJ07ycxxKE2FNSYizjsLTVR6E8jMiS8jj+eAaju90RCiGVT9j68UEHIdTVJaJJ8azLr
xJoEhAQaBfCBo+4MKsLJtLpNyDnfISmvxHQQQ3h9DSPtDMyXqymRG11U5ugFBAxGEGI7+tzIMIxp
AlRL98CGZogHw2u4CCA7EbHBP1YuYWWZVGeAPlBKhieyRd74tU9m4ATOC7oXiwQMJCrKOWHR1efn
p3GqDI3crmifttcIydeIhN2X2vCrGL3mo+Ir6bWj+1lNTKEqSSlfwJ/qmdfgaeCrUVWLTQzOwPg+
IWSKUy+5L0ZuhtDUS4B74ZRBjxeY5PyiyN1xbZudhJ7Gnljpuw7IOMT62U+cr26N4pB13HYCgQC6
ICks7DtoXS/HSYALXMGgnMXGn2JPCtAmkfBRdMQlpJMwhKbrwmhInXyh22xKOAbOcESm+5oBfaSh
itU9qGZCdk9XjB2ed6SwswwBQUKPJEr0r4MjgnXjNfkngX1BqKwlxxDOS8pooK1YCjehSkSWPu6b
6+MXzXNEgMFZmYPDuXiYYqi8J3B8lpWczEHJ81RiF/SsiXSUIQxvZH2XMDusPKqUqFAscRCDkNsX
xMkCQ0BC6g3EnwYGXVmGFJD7vLAU/E70f69v4pYh0NQ+FaR5GaMkSDwfJep6zvL1S71lycHGFY+v
feDMLC2Ql/W6K+8YHhSqy7bPFSZICOTpOjdkV9vORqTqSsLhQ9qZBiM2ZBpQLuFy6oUUdOgmDjTx
zh1KFcml3KFhTjWQkRLOLK4vp6SAsjDVtBzrkjA3ONbcdOR7BDBHKLfZXfpHlhCridlII4/9c28i
zeiwL0gj7SHg3nKqLaiG5JlhkpIn+yMa/kV8aQLd6uT/aA1SiJnhVTsjYnvx0li0W2nGAksa+adL
oauYIEDV8HvrhWp8h9IPxEgGUKnq0zX+9cL1u/+BHAzD9NKyaolPm3NEL+4cRHLYNUeMctG+pHB7
TaT0HTIILT6kPxchFvntX8r/25PTXqTfJoT9NSy3GR+3xfvXYT3qKdGkoDR53Iax1T3y2fkvlR/v
TNEg3i7WgWIkGqeCTL1jibnmE5BZLaRXar9P9nY7l1jKFnqf/IJjiDQCW6O+1g2UytdnTdAfhSCk
kFaBucRNKKev86N8C+1siNGANPMh1LPvMdJE5D2mrSQLETseBGRNYHCkiZEI9IVy638ISCirwepU
mLy5SbV88WDe+FfsfcmLrRl5dk6Sl4VYep3YNR9iNywQBp06N34FRLb1RUGnKkIreXAioE7Q+uwK
w7Kc8QEUrP5vNPYfPe3BSOmWP31g6MnHC4lLsb76Q0nG/VA6jfkU1Ahk7Kw4EyXdxn4OIudpACC4
8XK6YELybLG0C7MgtpI+0nDKKYAHiNThXUXjslqdAK5HoBi5qT4ik7z4w5CDCma7a84/UQimhspG
U5vtMS79vKrsiDudh8U/MPfLy+RRq7SDCOZ3nj4aSZeVZpXFFAFKMpidrPgUHIEgDGyYqP17HNpj
jmZtGFXAIWvA7SwT2JzuwxOxIUghQ/OffypUciZVSV2iOBdXslV+0Fw87M6o86sIB2S+aQ4xTCpO
ytReRWDLhHj1r/ZPBtSEaiW1Ui45uE2o9fyaGDZmQJf8Suk0F2NgkQT1iDdWTJM1cXLwasXN4Kao
9ra1hE+bztjM4N2uLPgmU3BP3q91/4W2WidLNls7j2wtD2hThjbiy0x49gqKuHS2XxP63+Mo6NkD
2X7AbhisWyX2L+HXHnZ8OMvgeECUZ7TNbHq+1jhpaaR7YbNcRla/c/jS3eo/QD1rPbaBvFkfS+JT
v0OqjogMCRw4HI59HJn4j82qB7XAHLH6Bef1O6higy+sktYHzinek8Gr0yJ0MZG5TScO63ZOFf2M
r5be2sa7OIZOWumHlN+1o+O9rxKo9wE3OFQRAS3EfGDuLSgECmDwdd610Ob/Clkb2bbvdd/Uw3Sa
kPmRGwrcNxbil+m9636pPfhSf2hOcXflxwnj3P+I/WUEEOKfDYHvUV9JR6F50RzyRRqGSMDU2cvJ
dwNJtG+bpsj+OUSIpRARTOTlKg3Plt37B2umv9j9ATpqnQx7RfIJ4N3cCkf9m/JFTteKFHUunTJ1
zMLctffClTW+hcYHWzo5CC+B6r5OJzSxLu5aqWgtGaOFQRZMrsw+twfkubK9gOzC6g1A7ThxcsWU
mhj/To5YQyiqPQX+c0uLXY//GR6kQvAToe1B87RR8iD9gFhwwIWeFcf3RX8pLRWGKOnnd9c4vSr5
m5xMnAsr3pxJIZqzyPp0JhEvVhZuCDSYKgSW0XJ/gKHIfVQ8dolR8Nf4PkmLEx53ABcgBjLmJPLa
GrgyV+EcuD4zc+5hQW8C1ywfostpFXKqHmbtUChiKN0kacjTOCOWjGFcJyPUIfTM+NLnEbyc5DiL
seE2+uw8zbiLiWaYvvUI+oAOwrVrMndtu0OWqwyXlnHANbUz3ZpIEbiV+1CdkmSLaRQlJwdPxu7x
/0MZp4xZpCMFI5G2j/+vbHVFzBR0AGvPNUiSDpnWQnehluqDZeFc5cOfBzaZp6ctXJgagdtLyDLG
sPJ2AEcLh+nzeqLD8jx4dwV53MpBV+96CAOK8io8AkWfLW5oUWMs0YUCJDEz6nSK9pfCxzrVN6zy
BiTVk14pZCuRrH5QEVnm3AR5IvG4xlIUAquMmGzep9SDPUfNufjqzm8PuhqJ46mDwwhoGbuPGMFN
bpYwgx7qTmNvCAAY45wAN30n4gTQq8OTnLOXzi36fZtalfs0N/TNhkiWHQp3DWB/xH7Wz9cRFgVU
SSCy6oTFq2V+uafO76Wh9ZCb8wSmLJitMtTw/Dqh5WZ7VFC6cKXLVaGBXBL/nS0zVDQCvs885Swd
zqtz27bsFTy3R+XpyT7xdcY9nhzI61TCcLzSambvoa9Cumkdrh20XivZk4JqqMC2MCKVbx5qIn2G
vvV+F1ikOvWaOUHu02UDMMX2aw9Z+Jak90sgaiwDCL/JnLCf+g5bCoxaG97XMs914UEY915RI1ke
4nZxq8VYM/p8b7CTpe04kAoVRyynTqTSRPq7vnlTqVAZISHd2xeOMTQTo6yC9/z2p7VSm5icdP7y
vkli33Ha0cwErxUNcqU3b9Ryz4lVobaVaPs1RVDedDQGkPkCFpXRA05Fs3gVxb1EWYqOq5FkOkjK
02O/PeC5jlggf1u6GGgLjClXfiia3ohX9JKm8TyKWqTf7js6Z+CqlXQCmDNoJ23DJx1DcLZZk61U
HGAOkEGhsBOEiJm9LLxiVExwPlZnPzSurHHzImu7fLS8fHl048XkwAW7i+g1dgtOh0XWGaFCV/HY
rDmxBz1OAoT+UjIaXQsbwP6fO53ndAJ/r/hT9fhkq96CNDmhdGwZY6KWpOzppxe7T0S6QSDKo3zh
KihrtG/jgP+QdPNZuKCsyQTWcEjhNF+fiMdHDtEmJG77J0ZJ10yBi3+MYjJd7fg/0LS2Y3agdPME
keU24olkWu4AbTm4mnZncvYwkQbENxnuykYWUv8M6Y4d9/tVPSJS6YP+ZiMBu9Yv5p9IUQydSUia
SkKqhzR5q2/2H9ykZuUJrcmxPyKXLiOs0N2JQ3YRDzdOnZNtdsRNHL7aHtZWbHdkTi/ROkkEys3C
IK72pKAMKY16G2hw17HghzPm3QQ/bFH+ZLJscDNuGfCnZejpFIjtbUt4mLImlCi1m9ACdZO7drkP
R3atvcdhDCPUWt/UBuLC6ToKegNBZb1VeD+BJfXplvopFoKvlf1nps9Rs/HawG01n1sSuCCpLHmf
NrPbTs2n/Uea38nLgtSfsRHcjPw5NcLhN+ZOTGr/UfBQD1TrUtGMLNBg77gNl6bbdIRtCm5LhVMa
zSpIIz1+eSbYBT+hWrYqHfnOUAHxkknYgoKNmfkzSPsz4/pS1p40GrP9XXpl+7EmYRUUn4n5hSqd
8ZpLwEYwq/pz1Cgpod8hPdbzzVwiU25mYGvLMnYcH+N3S37mC9y2KRVmAR3JxkMQ3W2laXyOsfbB
4Y1O+Be4nxAZs/Er4S0PtbMtnVnmiX5P5UC0crLgEsO3mFVDDxfZ/yHPm0XKa2MnsSDW2Prghb1G
UQ92c33DQuwkBEJQXQnITjrGCZzOh/6LNZVMkRu0Vvgeb2xImCF+J9ZBQvgJOyTPO6j0Xk/G49sQ
b9y78L9+U7yWKpM+nheHTNpXI9ZJv5BDEE7fyr528aYe+0FcXT+dTPAohBdNPF3TDiL1OE6K7ABI
XlXmHxfDz9tDifqrzhhk5hVQ0ok04IItUPmfk+aSMlWQHcxpHQDKMjNJGErcrva8y6NBeR6Vi3Cy
/vrb2Vlv+l5s0HQqyTeabZbROgrnvdEF5XFY+TXgMXfTAX/tCPJfpanksxmMPqwnQoVmWx51J1z4
qhaYIVUcX8GoFJqqcHg1POaQXPXWQugZmieHtEAeZSeZ9u06/Nay0kUmm5qb2UIN5T3Wu3J1ZzQG
1C/2O2KVAYFEIb+8tgLBzUzuNiAyFIBpSrV3q9USpCnsVQSrYv0ukoSGHvnqrOeg/LtWhPdthYoW
vlbo32O4b+aYp+kWC1iicZmArD+VzxHRmeZPRK0y5x6gsds9Q8GTacmLSwr0SkxJrXKV0psns+Fk
mtXpBA5du/CxpGJwS4kHCBUwNmOvYxZdS1sm0nQUarnTQEbp2mb5XkCyH2SvRdaCl0QstUx9KOEc
V0cT1RAKVPJR9kTLCQ0b4zXbX+dOvvxd/KbAIXQv2+zmlD16qu1U1TEks2KYaUrOKHYsrpwJx0Ir
c5+u7ruQQ596q6Wei1ZiMqXbmt3i/n8iCzmOk/Fswi11VcVMG+cGPGdOO7K/ABE2dk024CWEvEkx
RUqrpNDaBZQsPSHA3JCmZPY/XblRAnxrW+R63NNis9LY3S20au37D5s3dpE9IFnFYo8CIfhAY9UZ
wUK9r3KUVIAGDBjkUtPwJDsRUckm2tlD+jio7U7B2165rNlSlKjmF4d/Z67gCfJ4iLRRSJialaS9
wAJ78DDpl0J4OCFXMFun2eeoXKSa5tMMFszZ3z5hYWD2MGvEQ4ANT4U8KN6bixFv3BuTcZrjERsd
47h0aGQJ3X4ANfyV4xHiw8eGjWx3gtHw1Le5XQzorYeLo3cUc1dr6qHAxOOo+JsyRz7Q/8nRog6J
uk7aSCUw+Njxd2uhGXsWD0VWqekbPfosvZ0+HXkvsPQamx23UkF1dpjhxC/ZAAONeJ5nAfR2OQog
bGYpU3gzv2lCnClPiyKZWqvKsKGzG5r7Wp9GOO1E7W9TcIIpGxrBPSVf3Xj3iTrKuAMi4VrDZ0QA
GrKrJtlza60YoxPdLihs4fSFtCIVfpXhv6owzE5V3cC3Mmv+oPj4E/VP5UsBLzhm9RTn1x2zYftq
WNX+Hs6jLlCuNCuCLYL/SA17G4MvxDg3Qk1P1WzngKrh25T1ODwEjYBjdJVccHlk0pqBwiUnqNij
K4VcQj/W3Yu3PhCNEEyeKJNfbr6Dn/GBEM34GzHwaYJqjrWI2Hal41XD9pNf/ZSlvaVGjUP8cyOl
Y3tSq9sPaOfZ+IUsblyaBADoEVe7mgQKs+WUld5I6YbMtDirYQbl8h/tZZpx3XYdqaw1LTM20fzf
5XRqihjFJQjHVdTglOs6V2s16eCVpgTuUbHRiBK8t16Tr/tKQWsXmaZQTV6xYMLeNSVAhoXsW5fZ
XUbJTN9JkDu5pCoTcmyW0RBdpHXpEVz3Wg/nhvr40IZSgetF7Q9UuG1ZrAcR8HAewk6GNi9B6U1M
Jyqt+0qC8JIO18izdKtgIqoxTPWGCoiJIdcJwRuOyVPlpRnbRzNS0SxjwPDS99HaNRlffH1STTGy
M1JED3EC4eegkz8xxMVLaBZAwaHpgGT0ErKqjftLwrNZvkPhrL8GQL6Lahht7Kwt9jUbaYHduTYa
bosAeos2/eFukaSBSX/Vy04YTIArhVK7h2aysINi9cP9nEzOUTVRVf30KaWLV8XWHzDfaJvoI7Ke
s3MzJ3i10r3DOHWJzIPVjYB4hO3CzWgDkjPhJVHvUWTs//JjHCtz95HBLdMjIeGAcN9yXR/8Lwdz
giRuVe7jqi9MEZs2zw/ToAWysNqzF3Xl1yw9YEyqhEhN8B+PpKSliaUEBbtVWMoNyu0tkjVXW1Zz
X8VCDIaATVR79qv6N7MBykHMMtE+zaVNvyJXQGTHLLw6yQdt4j98NEpOCp7Y/FbeGfSVEPLWVznU
M4Yv4oq8DtEcsyHpx5FbnUKbJE3QyW0fXisZOQlbndN9OoiQvMvIZgxp+Sd+s2cCcqL9JEDrmbh5
JL42pgbl3kNfzQjwcEQ/k4W5zF5QCGbelXrlsyLSX8/xTeoXToow1G5J4BWjPOsa4QYtyOpgPjVA
VWsNk5Zrr8up8Xdk+KOw96DV3ZVuP4Rg22Ksa/Vbc6/9XZ8uWLiPVvUfjyVcKIpVTTrACP3sb7Hs
oc3SgsTdCvK40C7H0R7R/9oKCmj9JPohAPiZ+vjGMfustWXJiXrg8tNXGW65U/gNFKPpIge/6wkP
nWWDWbSrZidchAs59JGMWheJ6lTMbYdC/aqb1CwBVchOPcvz3chj+XPvcjYgOfdBOUEizk8Ja95w
/TW4OldfmmbjdBuKllP+PiSPqQZoUYnQwQjzfjomS8lv27QQF/nkKw5Gx7RVC6WLMDQeXyEDlOei
jtWo49k6qB+i3HIAli+ZjdGP9c9a2skft36G8/AORQqRyJBv4zCtAEXkwZ6Jt+m77OBSoXaPX3Hw
+quxq7MBpk9jbcrYkZN9fwtyxG9hmTUAQdzPs4jyKc1nkIPns9gV5CcmoUyL/7kQNUd8MFM3gUui
S4DRAuVKLvB8e3VUJhBmkyAZVRYkp/MuO4wvpQF8msvdgpKq128RA5TjZ9frcDFww5/3yWH5rDjf
vxbJa4Dojvj4f49s/Y02TN1tqEWJzpP1rQks9zk6A3aGEN13OqSCXlUAJeG0Qd+bx7dUaQefa/v/
1PTLKgpPPMroUNXqZjBRxx68yP+jmYJIgRu2CgVxnULkUj9vV0k+0sCQ21YUT/CWLJpviDJT/tMY
UFyutq0qfaXxy15s+5cNPLCepOkMDvmDL30m8FUbwRycNbFxq9G8d3CwC1nPRVsGn+2fpOHkPhD2
LmCRcWaY7u17W4Bb0cr428nQzsGjN14cAh8AoTNTIIPD0m6p9LAseWn5as6y0AcrTE2PY3zjI025
gnMhUd5eJZ2/h/wiR05jNiaqSsGTNDHq+sFujw/Z7Yq9B2B7a9Iiumx18ie/DOHgjLYa16y7IdTq
ppDdn4QniH5dsFTixhnL75zCRF9AC69A8EkvvXDN9jMJmljw0NKiJ08cTaPDS5sOFEkHai506Wpq
qWOjK/HdckbyJiIzEf760STzwJMNJeGucI1bD0mmKJ5SKX/PvIyGk0le81EstdxuzVUlbINNm930
+OXQ/zR3HeXQ7Snw46IbLAbBcoG8Zwrwnq6AxBflOYAaGUGYPQgcxVKTU4Y4hnK8VeLwkO6vWBfs
m81cyG0Pkj6qFVBFBOU/MJBD0G+0GyyEdiQe7R07DeHSC66WYhA84XmEb2+jTvHJhlZYfJZtrjwb
oY0DM9OPsbB9+RIayOoVdja0LvPazsQogA5E8m2eI0984Ue827jov7rWjCrxiOpkwHuiTmkvW89v
z+wkEj1HeTap1lpnyFsX/8UwjN3b65VjsMMCqH/CpQBc4wjx/JqWu+dDIU/CS5uVuFZ859iUu8VR
KsuOeyVxRHyAv1/l0Ju9zgQgH8jtm/rpsYyeyIirA5oDy5ci61Ekh7Gq3yyyaxGvIpUWT46lQ05S
KPNM7Rx0YyMnT71XVcv0V0FTuk4315ZZy6A2cAot39RxDre6sty3Z1WoVyYsbbF3kKY/1bUfljDN
/JmsEk/PwzwU8czmVePFugwSzkUQMAlBiBAApxzM+vKFK3dq2Suut3tBbqwD8ANavWsiI1uXIE7H
mZ3nuX56bi7P8fFk8btMTer32Co6ZkGoHQgi5VbxZssTeX390sqvdrYhiZbuSRQBFZr4/vGVaLnD
4+65g+wiM4JuDFweyO+gj2IRYWDx6GTf9BTXN5ZXioJmgvh8eLc2iS+LdwtK3/9yEklaw+BzUAT1
AIrTE8fpp3V6BmfRmM80P7osZeRnnpeml3jzodhQSDnvvXY/EXEto9ZuNmwauEh1B+rNR055zJxA
kEzqw+U5v0DNdJ7YeihQMdO3YZSs0+MbHkXoy31KXHoJ93I6rydYaltF8Z/k60gVivgPnSTBPURT
S0oDkRsDdq7bOfgqbj5A/n9x/WSyuUp6NaXNPyWC0fDOQR9AjtDK3gZ2bh0DeIwIlIjFYRPXiZKZ
YxjAaxTpqqNQGitDWXWi9Hw4XtieHmED8IZxw+NMYFPePA8ynWe248CLf9i1BI5Zgfq9Qav06YWM
StUQjYj/zr8QltN6oVqxrZ+22a6wo7t9QtHHHrA8ugL3Z0yZFdfvgzk9zu74h5lu4vrJIavXFGzn
kQ/P8m7hx6I19vejxzQwh0rs/cukLuUk6B8ozDtUfndrcNU4kamVUX4ZPJ6tbyYd2ksx6+9JqBY2
YDzkXN8qSzAX8VplIPE0LRVIo0+JCZN7o9LoC4xgBUw2hd0UWgqsojB/FFowm90Ry5n3CPOqefhB
TJeDkklArMoqfBSRjkcojYn0m+G10Ar4Kpsy4TPMmMgYTrr/UD3hboin8Dv85es9i4gChzuRUOcZ
zhmVUhuCJne2QAKw/rNrSjT0sxgN1x3DMRoOsXqpdn+HeXpKAOOQR51zkBiQ0kM8mvaxmFpIQGx+
mMlpL+8i5xprrSVojDBFL/VHBWXQ7xdTpw82sTbEaaAgBAydbzj/dQeyTELJWglxWdOwaJftOYdb
hM7yGm6FEzRD8xif+2aotlBawkWS3219T9TZDxAXG9ePPrk+ooVTiR1woJLcvFP+VSxcBR7rfIPc
YOO/ipW/qOJO6dn8z5krXHOdij/C+Tpce6C9YILB77zftYPa0RSCHYU2+PonJzeXdPFkf41gSO9I
JMWz3CwDeerdoK+knQBnVYQewQ8YX5DkOp5Iwfzt3X/APr1K4eLHlXm6gkKM2mDiUK1ol6zF9DyI
TRJ6TuDoPmVDPESpmGyfr+DbBu/MPs0TOZEf1mavLNTyvnaDcbLxKXz0vw18hV4h6V7QJN0dIlV/
Ha5L+EP8gHIs291FSXKEQTzsD553SsEtSYc3mCUtRNpP96RhBLocZyk6YPP/YSmxhkz0X2tBoszp
d44H5pMCIeRlIhosleYbMSp4PLgtlc4R3hWRowxfoD4IXJVNZApEpmV4retIt+A8xTY0hNJN7CCu
GNUnZqIht4L2c5YIBHPMCNFiA6pB2x5pHXdIXBdT6fDBuOUOBSDD+ak6/hohdtWOocTswhzQGLv+
umBRnzErGFA6lJansfxZkGTJGfp9NA0AQbp1srTLtawLiINuaAKEUaB/CQVOhuTO60l6xCPf6pYf
fQYvENZOEcbzH9wgdqtn3ILB+H1oaw1GBNUio5o1N9QAin4RDF3DkkDTSdMqgxcl5NbbYMRzaZqm
8fxodae2jd8pAIn4uL2kqaP3iwPvfODxWEE27vKd5dR3PXRq+14LAZughFLfzgdGjY+KEMdkPhuu
pxb07oKJI44ctZTIoVFMh/vO1LqCDkVEPMcCTFPGs0Wx43G92/6wn6QWxfklZdMPv0zG3Hqt2t33
kyUfaRtgEP/o5mzUL4JEuiqgj09/oYpzuPE1atKTOPFp9NqLFkr2AZnBzKnFbwCQmrR41vY1FKnW
3/BdseiAEavh5uFJs8LPmPmCekwLpL0Wv2vdz2TWNZysqDM0fdzOoW3C4OWigXXkPtNQefUwsCZY
AVbYOMpAB+g/2RC7pZvhEiwWIATEGU2RQcv8p6R24M50ThsfS9WaB2WbNq94B4FXmgE844syeqT9
DrKbOE0QH0bh/BajG0e3fPJooQ8S4+Nopp7NcatpcB7MsUOiOxsgWIuvMYuTtEbrGGONdfktVugl
fabRpNmA6Rzw6ZqzT28e2MErQB+JXR/2PkAfyB+tM/SCZIGMbdxtEnuYcE95BL5x+TK5pjNMPxRz
sEAn7Q7cw0B4TsHZJ/O2U43FIlItorp3tcQph1rcMi36/5KyZ/1W0X1PjHHoPiVm4UdwUGxkyOUj
rg4hkYcBDWbN7612a8iGudMI61J0ao934fEMUTXj8ji6IOKPcxMmZEmStXeR3yMy+zd7ifIS8UVu
L63bRazqySGN7dFN7L/IrsfKqHXmNW9vXG9BV5U4wiHLmaO0zzs2eArWySNAx77YHrb/erdWEt8v
N5loAZD7On4o/Wztfk4qjTZtkdS3S6q2h2qCzxiFl918HySXnEeMwTaA6yyKr1Xhg8/SMeyht5Lm
UddF9VZRm02O3pJ66tddmvQJkk4lpFxx/cQ5XWrpMirfs2DpXpqEH+gT4yegDHq/0T1/KRwKIsMq
kUrPq/pcERBshvUbJc6TnmidQ7sVuHqDCJSnhYsOVNUib2eERHJHNs7RQIhxCdUtazGu1Zg6qPQL
k0W33K64j6IxcuKkkEx13XpWEN2W0kzt7gF/wOuCX4mjrw6U2rsUrmyJmMkHk4BTj6UFBRcgd2cP
bu4wlwTWuiKoTLwpOPqhcvCX8NBhjBhZ/RnMOGKmMRmZNqT7y4cqGLrOGV81mLnszARJ8tVbKKKZ
11DqsqJ/6GyBsYz7SppLlNSZLxGll9FOLYrzLOmLd17urK767DpU4U4Uou6vdG4AhsDv4Cn2a1km
ZT9hemMHncVcrkn9CBAEZtXYfrMzijLvlOD/g8EIS8CobUVaVORlzNKTptT6U+3Qod5QFIN9UrmP
Mxgq+hf1MQ6j2SYgpApz3fOASuHet77yOclwJIxQLXsn3cwfZ4IsBniaJ6lX29RDN1diwEV/YS2c
s38/kgb8pOCqa3K/cZtwzmq9VEPfFE1AVs0hq5Lt0uYSiKS1J/ROCelPWImMijQuOJfXYZdAjwAo
WUkN3Oz0Js5GajGcSJJMPUv1+TBSviFMbP+vsOK3Gbc7ioBce+Uo31sunWywpqSg95d/pjyR6U9z
7gFly1mzPkC+SQiHvs54hS+1eY3zTTGH6NxRtu4l1mtuNW9EghwOxiA+JNOBLFGkk8BU63PhPORM
CPKQXcUAPT2EQIP4vhTiBd8nCM9JzabQwuhe6zEHz/n3cT/enckf0RUytajopSUM1A0fui4pQycn
r8VffLck803dvEvewamlNQMHNiuj2cGEL+VILsnC1qZ88zaaOLBihVoeiY0SFzdWo7tn3MQSJyMW
3rwvIQnf+fm/F5+Re/L2+KMxXOiyNpyXf2AkXfQAFsXeDKqnWPQi79FwLbYCOTE0xA6+GoyYtWIX
1NV0g19ISUBWGbUKMk/6PFw+W+ksO65blZBWO8Al13RSVu3u4HS4bfw74iT+I5kag8+rW6H+DX+z
ySV0deJLfP5iR8fIlv/f9R1ZjqolPrkWPaEgt2pftuUDHsZ2vjZodf7F49SuutJrDXlJqeMcuEAM
VNG4rSBxaAX2CALhgMKEBZcAOV/blgRLZZ9BJCPo0ib9/gkRYTJ0oexG1teVdFlTrFK8MZF+eWH0
/mGB14ugyNKFuCePW2ZB2LW0np538LVYN0TAO8x8y6RjF49drPnk2rOzgd32HkpUCrEeizzoaBLM
jTCdIKS9rsl2z+yfFHuG022sEND72Ch5KxAz3s+Q5Eib5jcU1ZiNMM9MPKmUQaL8SS/6ZT9yM20O
tovsrQz7oJZt1LOtbKnFIgxJdR45xdxKA5pxn8RrPEXjXgHxpw5RUcojzHz0Vop6ml6rbjYTngRn
9cnYXy3MWBaOWs2i0Xu1EN2WDgR+MK97Gbl22xuFKc7uYIAYZqn3pD1xVlvU2hiYXsPlBw+Xbh1t
X7vs+Awwhc+Z+pZ3nAdLurxegelZnKNPVlnLKJQLZt8Xj4RYg9xdw3JKYSZIoQl8gvQ21CefIbrR
XSSqBcl2Dc8LYivsSuq0hx9oKSUYuuzdJYTgAP+Xq6WkH068UjRzk7G+vlW1jBaPJE5G8XEN/92T
Ljw0X2x2KIFqx7BYSzwR4v6slrFHyoyWAFxr9/Lrsw56UGhMl3NOKCcVqaldHbHh0sScptjAOMbe
ZHJ7P3TvkDPg0etHejjKXfP6vFELPqNnGrMmJDVNEGXeAt6iIfEUfTGOeSRPaMX3I1t0p6Q/5H/E
JWRYOwLUo+tOyyWBSrql/rBvSezUhac9ZKarUV3hYIbmap+3CTPRF5zXm4pELilAoaWLSRWry1qH
gHs/fB/nQGbqqmxpZzynpiIExRrYW69n+0I4p0c/wLeHrxTISKpgUyoBBp/NL7Qz3vjBAewg7sxC
h6uZqQ+b0rLWFA+h7Y/SBJ05BcZsbm/94rlPYCjPAQfrVhFU/REmyfj3OMWVJRKQ4nua+6w2Dzr8
C+akjXVIkFJ8DuZtX/f9cYMwi72jydqbmamv50vNpbKJCgfTt9pPKW29/WGbd+Cy/unFcjUn2UXr
oj0pkZDFDp7HLQnJ+7XdCcXueGoDiUryYHfDN5UvqWRvvTdJASiuUCBVxt4FoacwomZ2DB4F92lc
nNhpfb4xCqpXY9ddwd8QeOFAmvUvSyMYAAgJtVUte+OpPD1O3HJZ+MA4YXV2frX7DkIvdDUw7eUL
8Qgm5RcEMs20LZDDSXDbsd6zP60zRD5azunCbdjn0fj9lGFdh145OdZiGJeae08dkqON1W52oRIT
Gkdogso2F4NSG/DzlefIV/yqMqou5rUN2N3ihx4SOlXW1IMUygHXlo+XYB7PDzR8IhOycWsLlVNL
ohqnhJWZkFth2tFmQw9V6rCJkRbizzsvH0zthYR7Or9tRJKtQIy3FUnuCB8eRaqYKaFb76YZRwQV
cKKxOWrzlSqJa9ioujmjbpeKgPp//hZkIesm78gU/YHbYgkhr0GHr15d9/L9jGinhx1p+FgZpyyM
hUCI74NokhveYX4ZaylPv4oVrrFwcRm/XptI4gd3VkWAcV84/IiXwN56SA8dZP8x5ApVxOg0a3RV
kKGEcJ6lYssEYrc1nn1cRROHX66CVHZ6HtpLLuWfw9wpitYFewplPl0MaL63ETikkyjul6rDzOg8
HDibkqJDQkM658JZtIPZf1Dj/uof+DksqD3LY3EnUwKpwuv3jNhOH9SQXi52/NizzNwi06qc8mn9
oAIj2JUyp6X81dw5WmO880FujDH2c9A9VkhpuICWfvLNcOJdv9yiRrO2az0fpNfaUtLB8jyHknGF
SUw1P7e7uR6o4slwgQNsoLoe2Rdfm6IZxJNfaALwkX45m4f2ChCtT90acuYuXPi9jlmQaBrZ+bFT
YJlAYadVNNan6qOFXSpkJ+RXMmqWgtGkML2asLTdt8ex2xEA4XMN2N3Qa1v0emnlN8M8cm6FHDCX
HDLWJNV6LX1FhObnmjjiXo2t76F/Nms/qFbO7KHCSLwPf1rYwUCYfhTCrHmM3IpkkpsUm25rsI+F
qLx540PRaIRMWeGZ8RCGUp4m36aa+0Kg49BP9n555S/kT4KbNWGjEQEtmFeRWM8IrUlhX094QTID
wNc2GbAiG/vIhkQJcj8RsOLG4jmnSwEvicVruxk3aqTtI7HGQqvb0EzNykHDtcMhR0EsX/2zornH
lFJkVl229mvU3ox6xg5TYcliD873qCrsfOGYrwbhy7qRKYneHyd37qoL3ZaZGlcSoas0+xpBBCMO
gsK5oTavHALjZ3UfB4B1bSoza5vRBxPcceS4KAzmmCy1xDbb32QYm3TVVSSOsWRBxv6P/3/GU/+T
6tIOAAvqMgEEBfwFrzcw93ZEQrti7IjODkG3NKMWOmnkTygNw3JQGHSqMBUUByPBdSaZkKodoFtq
81xMdDU5nsyjySh9FY98gOfc+qu3yeRkJUBIFsrp4lToUKe1TS5LSTzoOKdo8ze7FtKgLR1eYij9
6josgaDa7LKTtGkFqVV0GJSKqa1f/yPw1xn/hpVgzHh4zwnxwFZmxfazZIdCMUYTCXaWoaFShB07
uRgXRlcIjElC4BS7ptIrxs4eiHjDVw9Gt00iVIEbfw9uFtoAuw3qavioE9DRLxZs50wn3i9uHvGg
bcc9tDbDgur0A4B3e4GSVxFYKF30f38BXAkb2rNFsPYHsf0eeMnyACmKf8jSVbvzvkBMfA8N7hro
R36/J8jJSjEjR1SIBAupVoocEY39xpChGC8sc9vhrArFopH33WCIr13FsfNb42gnTbN9XGen5kHn
VeA3uDA4/ppHHmp05G2LQFYdqHzfbYPuEHMrTcLrP49VLmLQLlTIkZhN5mSw4e992qBO/x5bJtpa
kgI5l+NjTLL21+tbONOG/FKDzlDpNuQ/XPblC40LbVJmO/oxTD6Ztxb96WpsH+Cg97Kd0I4WSWca
c3LRziUor/m20fl8uM0bHPwzco1Vk9fmwEFjbvLNphWNvT3hHHDE+LR4N53hmqDJi+0O9wNAgnrB
lOiQLONqyjIghHH4aa9UUa7P/SXoD0jCMHOxStpGYU+jMRXC8i6zBqpAFpxVxpgCmMue4ks7ulPI
CHoCbKY3W3855tTOIhGd/N43CPX8OXjJc4XnyoDZW0sELofyrOM8VaUFqAX1BnDYxq50ayHbrmDy
aEans2pXL4Zoul5NBjiwvG1Fw5KGsdoBPshFpQWfswJrydICnUAv+wRuEjtmgd6aOJdl0h/vfE+m
DiOR07zcb05l1wBbr11XyRMEMAF3cFvfpwG7Fl67vJUXHKxf8YT30manx30Xh3VAIXHyJocSTwoD
8Nu/Ar4qotWqYK0Oy6m21BtnCRFoH+Od44c3Ly/52oRHFwe6KulV6kz1bXuLvIJI3v4q3q46i5r4
N8vSzAo//k8Km51ibXlak0OQGporIhXz03S22fdXK7m4tsHGj+OpSqITtEWjkEYSEl3zniHLFnL4
CQvg6PjIxuw4NtKvOEie3SF+hdLK9jn0l6ZlirD9byliSIZfnhL9yH0y/BIHavXN4xb/ZWZzkV4a
2hkTadOEAU9uVSMYWi4q0nU0EuBExMKPxE/V9YLhom0UZ52Qwkrh0dsRgWIky21hZ4eROjlAMUfA
LSp9hIuktdUp9IaNUZ5UhZDaijJzXK10xOjdU5d/oTMXCRTlzJgHUn/nyTdnqJlfz0MT2CmteXRe
2v5+KsbMLJMwVICaGBNTsGAUbJQijUbFH3fizSF8rhqzvo3xJ18Sbb8U+odSLzgDtL7m+V5CHjim
WVm1XDDn8YPZtH6DquIt0jaQ7tXnpzVCg5mBZLQzPS4MxcDISJZxqiDIq3oaJ3gVMb6HFk4ZIc+M
gNziAKlk1L1MaGN+7k8X1OB9TFvgHTFo+aTuKlPZuP5jfiPT5HnyprEccW3hk7EwEVPrLj8P7WWX
AlC+Wuq3ncjKJgAUVPGuVWAioFf9dwJWORlnfR5vUhTDu8XvyjmnT7cs2jyqonA380Dvg2iBqLiE
3Yzj05KY1yi7IyAkBqlkpabzAGzEK8MWr3B6pzfjdoO4dkeSotsOo3VUTqPtsOFUuNonJxkT4evE
oEx3e5AnbEgS2Fm1aAk1ql8uV6B6x9vUGHFPUDajZurpr9RwVKccF6bJ1hGLQYg8DNNKEYE4Y8y4
rOLvFhz6KLD+xC+6KEPbtmsItZDYO+OfyswWt6pSgz0YWZLUaq75LunZS8LZfOwCkqn1PANW77hj
obwpHiT4Y/l7lac5z7Vyl4ChuYm+vYeC4/Ci0wdLv8bMa+B+CTGGNHUZ+W+lBK4wCdH7JPqLTPd3
8+Qs0rKagqZ7R6lbNG4ECxUAqn7nbsO+4BxfsDw4EaEGFxO/HXQaAIJhaUUMfKuqAXDrmIHAJXhW
+FsoSqnsU7Dp4Iw1mnolARTqvvu/wAqnL5eQlfU+BXPJtGcyih0j3xXBg3/3omzvZwFmOI/zpG9x
U8BJHgbj/QsmVdUdA3MCFqCHbzdX2SLxCDMBUUz77NudBr5aN6x+Bmwahs/VVR65knTqf6gQVfDO
a1OP0BmaqrdoWZc2O0Ar8n0qvYAdfvu7hxHw/m0S95qmWuPol6RgMJ0efRyfJCXcl5mldBSeK+gb
HoJalzFd2B/IONejQqy73RD8jOBWXX4TTRkZy7butWNQERzjh5W2ODStOikwCunWbNjPywvIPpKh
ZZAkvLW6rkBgECMjlDDJDJZEaiHCK62LZlPRqsZHnJSrEl7bL8mliaeQgyq1izbaN1+aIQ1Y69Sg
IIdQWZfwb/qgDBajQtuu92QTKTE5+0N1ZtckctPSxFdG6bJFTMAE/G1C+ylTMufzXvBsyvJsY6N/
+kWjSEblzP3z9w19z8k4+sXfP3a8AskRSw6S01J0gIWKkd2mCpIwHyR3kowHtldKarK92afT+dIF
zHOzJ8VYQp49CoFJ19O5sIROoIp4t0c6MlGDNdEgZYpJhh7I7v88QA6olE6BnpokGW87k7l11/Xb
qyx0hsWwhPf3TJbkKHinHaN3m+i1XomzX5pZ6dgonuxhS2BZWILSQlW0YxqOpV6OQ79iqbMk1YCP
ZiBZsHcDafvJr34wqnTYAwGWymODnL7Paxh7bP1qMM7z6SBujOGhqslO/6QVOYTYsN/C0eRqlyn6
DYHc0P7SuDiYLhaPwrZgoo5vQ/BDJJEoWF8NGjGXIiIlV0L5VFAAPNntQyHkkCLouXC17FIRHYJ5
r4PJ2mV/XY64HUQaTiWQezuaGCH3mwTCaIghecXErYYBRPpf4fiTSlruhyWez1apU41R/SxCR4CK
qOSMnJ4fzih3po+DAi0ZwgfQYYAtrwKR0rKTFkCf7XepT/+8+dQGcBEOaEXTkjTacN0v9j0CGwD0
n3rS7BF71z63L7JIKRXE3c2HfQt8WYeMn0P1IOe/wH5a6VoZBcwktUbGpJQjOwqvUIi7W521E+9j
pKhroEslDVOF/mJ29gjuCaNrUnfz7JD7AaB0AdBoXcI+GI7zbeJD2D3fUnjzvgTJMPfHdz1cBZvZ
PVO/6HfQLPxHR7vKgXEGuKoiEBElUvsjLNVEmZmi34OCVhu+PoCh9XYkw6KzBNc5aD48mxnN3KtB
gY81QiHaavINs/wFcfBJoYwvbQTo/zD/6GcYZZKM+gU3My61VulzBuu6PTZKzmTTritkqc2aPAFQ
htfirIwHVYb0LVWfqQzrFo9BYciPG5RMGWNh/2kiDeiDiXiWc0joIaIXYpn6oH51u+k7PC0lIlXy
pjUCCkvWehDm8sMBcVmOaYWdhhPeztkQ6j7AAbYfcnL+fzGeX5hEgIInPdEaeaoWF6GDlYL1LHKE
qgdXbV39Sx7jFcb09o4dKU6kGmaJeictsHr1qlw/oZMZ6tYqAl9tglfu2Pxwu1W+ys7UqW60xQwg
zeo+ReW0Py2ixxTz9Z1b2wX9r/M7JXvobLwlyq7QjfQNWjmHaffwflLWcyYn2qdTi+OI53bV1McT
P59cA3K593l+qBVOZsI1l836qhIBS0Ongc1gmQgC6HsqeTu3IijOSPhG04JSyw/Tvi2lxybuyZ4+
M23AsNMpZRl5FqDdy1D1q2KD4mVRyUT3qf+H06p0y/YEevB6oUKVoAfhFO6/sZnDy0DJSc85Q+B/
sA+2jjP2pLi8R1wL5zUn8Im01ZV7oM7/jZafMqLViq1oHc0jJcAOxVMDWmvYDvn8S7apsZBlG8HG
hNGcGCUTh7BuYhkDy3SKtjbPKUy9aYRTEJH4d9j090PyVBmVcez30WbPXunMTjVDiGJUZLfugXK/
bkz4bCqdu2tYuh8YBa7vZKa6p1sqLhMTCFUhDAm2t5HWuVT10v+h3Esbq3MpnkRYbVmAXiC9rqJM
wlAxr5Xy/oo14Q3iSvFjcMfgJ362Gs8oKDj/v8trvWP3VpeZfm3x7w/PKmLAxSBomrcTNt/ga7OQ
lG38Uzx1EwwOxLEbjc00qF6L7/zZyvlCZUWBpbDrr54KplvRgTEz5CbH+0DlfRtn0BRa6K4AStf4
TIGGNWBHvG61NBdJzIwG1QoYBjQsu5kvfOV7/YxcZYMFGpqOa9zulFAOADYKDeP2jY2M+uSPiQer
6ryXGZsM/v9ZZUjii/XMuXSRkNZv4m5E4tcUnmePOR8hJcsev0CnarI6lGgRx2pnHOheJb9+6d8K
rU1X84hvxRjrPkV7dn9t54Kx8qyV08vTZ6LiKdKp99vvqBU8UfkPUSAHCacr5A7JqeLRXAFr6pKz
u+6XL+7raSjNoqPmaTnsLdevUhLLhiXyhgHCv1CghXnhPzoPTllwTrhyj5h5aX27W05WpWQZDH64
Yx6ttD660c3xD6YmR7pzRlhS5oSclvnL0BvwpreZq1luqn8F1E685IVEBUvgknwLzOXcW7fG/aRP
oVufV7NKrY369oajeX7CKzXG9UN0vDtRsr3upyegd9g5sxqQ+PfBosYZlnpv29DzsplxtGCeXgV9
JtvOk6dHEDpplMIwVQMfEXxJOimLKhwSRzx8agbr/Lbt0b+7PKBFMPKqrtxqXjhlY/5i149IUqTv
3sXTMsMwqBT2BXMEc3esIYdp8JC2tEvATCPAdU15IW1SeEXBMm3bFPBwHFY0SfQSf4dYGUUCjfwF
WrwnVsvgXlTcvQ6cYjWXzi4aecCN3fFNeWiU5D6gu7NPBshFZcXeIB13FqAV6js/mxVYXCso+g8n
Q4Rx2J0jH74uk+yc/VcZ9XtUgYOubMQQR3mzV2SYtiZ1SNOmMzbVNY6pSmE1H/lLhrRr1RxQJ4Sx
Lc9Pn/3hINm9QDABUa8j0PJlAR8Vt4zzexl4UY3Aqlcgxdv7jYE3F6MRyR7U/g4CKb8xF7N+YQeY
QQHgOzI2k/3psS4ysdSaIZ01dlXNmBC8SgOWHb5oqUahVdsrWfEqSzLQeErrjlLlNF8RfN9FGFKN
BxD+6/p8by4zvD6J0+iFTZ6nH2Z0zJ9np663+tAr8bYz5FrKqskydthvmO5d4VqUOByrzwmkGV3M
y4wGllr10Ieim3FDkiw+v5hmI8LN6AZVWgixZwf433pGzWWN7/tDN7F89yFYm3WOfx3b6WxptS/q
AGwqLw9qic8EgBZkmfJFIAKoSN3KG/D3QO0FHc14YiVk7lppQtXxgQk/wthwqgRG33fNjYpF8Zsr
dit4Ywj9UQPlJ1e7Y7o2a92sxDpZHose60+GVEgZuxnh6hT/XsIx5ekvnsDx2GazKf7RrzH8/JCR
WLihB0wgUnHFX14ng9CuSbJK8aKvGRyarv5QbMzR8xAKEQCrUijmUIUV1oOS9bKkS5pHGP3XnGTo
1dwLaZt4N1l5m4BrGj85Vf8ngLeznWQV8+7Q4ToF3ry7G8Dj/C+QR+KK7PjPXh3b4PxcHTjXDfSc
G9OoSnk/p84+FLu1wlyNYl9va7OqE0JP/cC5EgRCg2ieBIjzrgqwQ7bT7THYM/wOXcUfX4OmFlmZ
pqeYfwxaS4NaRXY3C9+LlyEYnVynBnIhaMb62oRlInfPtLMVy7EPQNu71227p+Q+bfWRg+TTcY/h
4cwXIftR8PtqWwecVdfpZywooM0zs+6OLtWko0ZtSQys6SH7FUhSrGL7srF7GwJi9TPp2w/GBgAZ
C0r9S1cydNLHbd4YytzPMu3AWpNzdbCELPmBfEQ2zCh1g90A9oq5PXHOmh1kQSqSu5e9a3K7+yHR
2rT/MP7C9onSnWeETy6SKYd+5MEO5+gGIs0yQkolFE0AbOuUyguQ7sLb1fjvfEBvqqTk6KICb8Aa
4sunCVJaaTTx6V2vuiXS/4TMY9KRBvs1NumDGMopnI3or18IJTwDI97bpAe0GvyLo9dtp53zrEsA
TiElSUFh6PvWUiRVjc7dLJ1Uiori4UnQIp/1xZf0as/i33fHC2A+g2t9km3RYBVMpedvv55402He
cNsc+/KrWF3njpAiwmhLDuwPKB5KD1ytfGGzfsQA4e4Zfps/AgKF0Di/hMekaasxrztI47Svye0v
Kng918fKnNntw4PmwFAdYqM3dU0NaiNFcT6q7r4UXjE7IRrGED08QHOmPPP0rnkKs9ZyadHIehA3
UV+kjJSQZotdi81/H2449ceVBqyVVjLQ4HPZDfFtlCAxsjLywJtDes+v6uKyGIu7Yo90SVoRmZkY
QXr/m36EwIyHasqVr/1qWEvwxelqUBblDjxjX076rhWogW3SJZkToq1ImnYJBYcF5A3oHMo7DjdK
FubhdeDV34FdM8pS/nWzvSDEBUS5jREJb5kZXs+pZpOvc82z7/f2xDFRF6H7R/4Z85d38ML8c5MJ
S5Iz78ACGwE8gZnlCbpfZUXTRMRIyv3OOmdk0pl9/jyKrlOBCHMu+xyN3krq/eFm2yxYJm3/RvBT
puUsqj9smE7f9U23AMuLlkZZIwFHbUajwNTrODNiimB7giJTi8kVQL30if9p6X3xCcUZD2/6GBRl
JPB0Auofael1HnqDj0fQ+bGBWzf3JFkdrgUsr3eGlrKYFGxypXu2jgmmP9Rh69/2Psow9l8JWNC4
r91Nwn5czHwtSkMrImOXKGKv1UgVpGRicuew+1gWudcy8FOgkOKkYJ00Ec5nsjd7fKdl5A7u2617
3XG3LdJOV0RTgOFVYkKTxP8xd/RqALmXHRLV18ir2NFM3w8Ah4aRcQox6pABPxsV6n9CHlj7Y37A
QEx9N3sKLGfPwaJvOZpgxbL64PyxPUAe5QcpLrWvDIusVEkToQwx14P3AdA6/FaPJrqWnNvkYDkm
sS+HZzdLc+UEpZ/Akno14W/a9K3LRP9xiBybOYfyhv7pHdpMvPcpocq1O9KaZYYjOWu02KRihTxY
W6pf1DgJQTQkiTkvG5/ANRshbR/DqyBOabd5yB6sPNTu4bUkjplnlQEJV9SZGeunAqb6FbEhtSk9
m5UdEGUOkRsd3RqizuFifrJfEg9aRYmBNBCCBiAg6IRROIRGvmlHWyoPP64rgAOwf4UkdoZhbqDM
RqV1XpgsAfu+TC+dbEcsEn7953Oho8D7jF8Pp7LtoFDTfQ4bjCUmXYFFV7hF8qGsapbKuTH8e5Az
NYgYj+wltYXv402w0PagOFpuf2MJNjV7yQ708L0XBs7Ozz5+AjApE5Ppd8F+9RC9ykUcqQT6grwY
ELios97bhcCeWRZJin5JZj29WxWynYuwr66icPtfVZo3UDwNr9Y5RPbo/ZzvkFayEeO50KbOpNpL
4af6aDkyJCL/9p9D6vpWxUrtjdUbdEUvG1+8eQlKGIf8toa9Vf8gy2Q1t6GhHSJqfER/vMzQMyMw
un40Z7zI5f6eW6k5vXvvI3ileWKfNIGulrLVxaRo4CJcREbBGgaDbD51P11aaJZgru5HK3eW/4Iq
frLdo31qxbyKu7hZsiTNB2Bgxhh37Rdh8t0Oc9qpU+w5SW9SURqMfqSzHzAWwDXm6XbzlZs9y8HD
ws3uaReSuiWtGgUTunlNTvoVAMvlTTUPwxgyTAkWUdsQmnJHuEo2Ms71FMkDYgXx1UXOEGo6wxKx
xldIIWi4a2xVwCiOr0bTK31R/UKDCjtLbuPkBFlnuriGz50K1U0IlEOVR84xFQqRL7G2Gxfd2sN2
hDnLs32Mw2iiS4warJj2SD0UkpCAkBIIa8et1IHR5sOaFTEBBDHyuMluyQJUbFgKzMaVeVjq72Od
BzhKZls1EkrHTUEl26OjOEZl/sKwg6ehttCf0zfWfOJzZNNjm4+Iv8rxEnJK9sPrfCTeqTzVz/u5
yPIFY0yU97KeWUS97LClmx/pmKo9LJDRj6ZTPdmOKV5k4PxZyaO0Rw26aZEGNZoU5POglphIV/1q
qE40vuv5AhHyDjhRxcxLMdwRXCWYLJCRaSjoZizNNpxVdTCZMa2O/PSEJEgaJOBS3Q4XRC0hts3I
JLhsx/BrPXDXfoPoVcTsPmKK0EU9Zcb7vQB0CK+dggV2cUqCqDB2lIEmOGhedhVJCYWwQFdyuqkO
KtVSyXqbhJVisSCKkG7c1nv6tj/vfmCBmthUADvk1cZmnIYG5sasDi9FkJdt4jJevnvLYJMIo6ub
W2KgSv0UEm6d/FKpkxCwSoHPvnvPmpKfqQ04Mj5koyaYUTY3z8N5GlU6WyqOSRZ6oo7CJ6LtNWBc
5nL7YbUW0pSHRw6Z+5ifK5qQjnM7RGgZrhwG3rnaLKh6LdixJQgCFFryWPIL8RogxIGTK9cTWEXE
Jwr6sqObWWVqD9oKN4p9tFxaw9mw12DdntPEwgD2IitXg/ZD9gco5Nm8Yyk3LVrmsRnMQKVUgfmk
4tRXIgZ/Rj5Dqo7ajYLQh3RQ/5c3qBiUP1X0NQkM8qXv1aNj0gnGIP/Ln8Ou5oTxRdkGiRzmc2Mm
dlnZ0r4rKx6iWQlbaGLZSj/LXpKfCEjejxLf3izFV828DchVZm8P1YOrbkjrudGv1fY2yI75Tw0V
Pxwb6IkgAnBPw+uOzbbKoHvPjJDdHl/Ir/PCy/kf7fDZNdxDZ/LJ7G8k+aSYI8n8ur0vXuvASGY/
z1cPGxU+nC1Wk8BWmiwGB1wj6+R122rg3w3LU3T7Z4mHNGljhtClbSdgP/WnAm9RSgPJ+Yy4f8L4
cNKSXhKrAEEYtPKuLbSsyaI/sVObO5JGuXJg2CSjV9N7pr0WzGSpVH58u5DvrrhWvEr0mxvc0/gX
qxxCAtAUk4kbEJi8QF6nXSc72zmV5QS1EBcQTKN6ovIZwcGsCGID+jehIB2wgxebV8wmfAT/uItT
CpXSfT8WI1B1dxR30qB/n2PJaiCtQ5hXOURqPCO4XWVism/Hp4XxoFcpBBW/y+2+/4tzXe2ImktZ
iXndedIu/EeyxvSPaO9Ut44npMm1LAvB5nFlhAOooVA66FKUhrw3WjxXzNToKA82KEbrVJtYdpCD
gA1rCTTqQZyIRp8PRwLiVe5sK89kFisUGt6ALLgzlPD+SHLWOrOQCY0AXgv8d2IEXfpif5PoOj1o
ht7PwesKVL49blwsUC+PkcrQRv/QZ+7DLtP1bw1exmx4zlqC9z+sUmfpRpUDHMXARtpteaFBvdil
RCB9AGJF0EfEhgDgK725cJ2X/iAEiHbXcuxqEVFjMDRqiPIDfrx2kMCbcQF5WKKVSo2Zd71fV60G
xL1b5sXghCrjGVUcHjVSfnDwiTInm86TjTeL5lZK+OP72MLThDaIjvLD1DXtkVcSNYh8uHV3zpbd
nKwAQBIyTwfU5/9ItNnin9TP+oqb/p25yr3J29LBZ0pZUNbjjj6wHje7fcWPLt5mdthJnNqkvBXL
c+FQADXvoCsMfCeJTEqlh5OG0VNbPZj9izk3Lx3P16+s3flhrn5PyFV1b5eZngdqvWUxc0wCAQ09
HMJahdsPLndhGg3iBTrkW8xC/Xj5ZzaWDA51woZbEhQkHBXk/HLNDmU36giYTmO347V2UKg9D5Ri
u1+nUR6QZYZmHo57B2AREuernP32rJ//SYkTi7XIMlLmktj3GYu+wftEtYxLsXtzewhDT1brn4Dl
+9QedGKU+yKup0E7mScltNBJ/H19g69v9A9hBLhGNRe7w7zwZh2j0szuMG51oHDOpaCZM4un2sgJ
Jt+LcVpEqSQEf8wdAxbzfFqgnz01HbkUEygpHpW26JPsYlV3x1kyIBj7kwEk8rrb0dQEj5sG4wsC
mbapYrvjXW6g+Do6uRSEo0lf8dAef+wR8hj4a/4jxREOU2XXG0GXOUwDsHNeclq0+8cHj+z0P5jJ
+8iSNHvSh9cGE2mF5TW09PyOwXUvmhb9clLm0l+YKByakMVhiCkkQeLisx1rtTM0Tt9Wq6YvGfiZ
nCjpnzP9gwBEUpCfDnS7kHaAiQB0HCTCa6BsTR/ZPrHxOlclJ34RE9IqzoJFM/3UbjREn4jzO2vo
JlFmBH8+7QuPhOgb4kNV7YjhzMEknuM1I9p64fCQyseH2LA9l8YWuZy7v6ynoBH+GZMKNlGd6kHS
NLXWHFMCwbYQm3YopeQnO4VDLZcCEXVy2KWvhK01avCgsIcwxxGFfiGwfcjMzW3l7vBpc87cTB6+
W+he5WEJKlE+bRLxgE63D80T/6mv9Sn4kY+Q1BmzgkeUpd7OkW/73A+i0LVXKVK+DdXhO6YtK3wt
30KK+RzunUIGZu9gFPA1zfq/lX2t+wUrHW/DJ9oPvmXsKbh+WkyZJe+3gnx4oWm+8ITEGejkjINE
3myYzp45L2eKmd6rneF/r0IiF1mb6bAW9cpdUsoo/AM5TH/YDMvnzLeBFiPP6agYux0Pxp+YtA/q
57kFGUzr/XiVaafwfXrnPsGt5qz7pRq68FETaMPS4sjEe9v/zs266jZfWv6lIpUIxu1zshYzayHi
sc6SraKnF/s8kLXRdTtFIVik0Ez82dPirBgA9iyXxHVvfQumw31HFuJ128c02AzHbXUwTgWsW9XR
usaVR/5M0Qj+7VMyzm5vk95fbMBfXDVVmDcDvhYh7e0IEz9pUN7Za8BjoAVdFbs+gHsHIqmfQWOK
uMCau4rtd1LSF+l2oXVhMffCemNJo4ijTDkIpTD+RA4Ek0hRryGc1wELwvcSZP33tw4y8fee25Q4
A+5u8e6D0nFOkI4Q3CPe9iLPwJNN5vbE44EPTUV7znoQ1jaEXDU+2RTUuz/RXmiwgN+m7SokjxwJ
6vuOE93AFnr26Xngm8oEwG+W4sbkWwDBQui9YFpf4XlGrU8D7NmEBE848Mj0q4Q1TrYAnxwmKK6f
9h3oRrUy22hdmNOcRGxXgedjNa/C5cjGEPFMeT8qK6OFXAqV+BXt4qbhK0Wj0dTWoodozo/4ikaj
5uFSc8ivjgzLfgAY/UA4hpTJoiQfCkcfZ93o5DV1Ww3edydj5HyXMK/hl68X+QNI93Zz2xVSncrE
S7PsA/VrnwZR114gDIuN4mYJvivjmLeQdLAh86tZCQ0abmT2fNG9VzBHFaPj6s84Co2KP7evCoSv
igLrxgxVhBc+2lqJFUXPqGzyqnBnVhf8u0p7iWN3OuG9ktaTVaeET5XWpB4atgZEdx6H3EcWKo3z
4UDMgOM5d15EkcVUNwxxWCrFTb+fg0YZbx5nSMUcyk+rMX2rrykHfMQj874KhJzshZ7yLsh7+t5p
tj7crcOL1mmbLsJlVQfWwAuMwsPxMU/Q8NP+Ck6mE6o6+VEuKbvRWwLbZ2eJPRmzXQ/NXyXMo+HX
FtsmaGgOssF3VE07T+JC193hQagzUrMllyM6DYQ00yV5X7SHmRvN7WXQPzRgWC4SwRbI59S3pVMF
elUkKbbqP8JBsG2NLKh2C0qlmS3iLtMgQQQnmkLE+UNaDFTZKYj3yLneZDDTJ3lfJlJ+pkViJ3fW
2TZwMd8bvTLttsENOvjPD++XcwSuc5rZbBrKs9LWCXmoMqp6pI69bUmb0EQr06FILfqyzd8fDV2x
4U9fUByToSDUKNyEHmV9SYgIPDZy6zaV0Z+jeIBSw1hXyu2bQXpa4u1Ai39Aig0tDVDm9q8fHxYA
HB1EdXUqzUlQEcKJr7hVlhe72lbGYCv/bxBGRy7ExDK5X8zxxc4Cc6hLHin3nTmTyMJJyTcDUb/W
dNPggjEXErn2/CGbVXnw6VIxYsalm906SSIhMLzilvSfbqX4Ll9dqbWxgf/dlYy6SOjTpVupvQw0
8W1AKEovKOyqLuMPsG1l7LrgtX92Zd1xN+J8acQRHRxWj3VQEt5SWi1HmqPCjgR763r8GWnFlCMS
6cxDM4775sQxrjMC/L7t8uzjQ9melHl0qkWY+mZzRWNZk+bP7Ytw5yr1uQdE1x1oQlzTU3D3BfNw
gprwXgiC4blKRTV7CIxfdTKa03Y7sFll0zGfWeTg2A8YzyDEa0kZmVeo98Uc5yLCmsXubI61t0Aa
yIxQZg2HL7cZXbJwr5w9N6ChrUfDzOhOVeIMbJn6bLBS+ZoW259X0Dr33rHu0+aM3mTWg6FoQDFn
nmjtZOu8Wd94g/FVhhiruNYWp4OS+EwI7SZxoWpvjvhi5dEQVd1TmQZTivfGmztb4B37qIuPiq7f
JMQoD3lts+JaDpB6j3jWKmhi9JqH6OH004wPL36vN/2w5xH45d14etQinthHDpvqJiAsdgb9OqDO
bHOaT+ZHoE5W2kY08wqIMX8Vssixxn2fnLqIup6LkGo4JlhglGQKm2mOQOstkNj55lTnbgxufokh
niYzi0V6AqleR6r+Te8b7sIqgQTahvPwBDnmoLMOoQxfKeVxOMr6CaJgCdVrhi2Y3Bo0ukzSeoBa
jG0nqRh7jP8/LPLeP2pIiOhRny6x9z3RM1JNiQON/7JfVAXsiqRCEb/PPLNmBGGg+pIsu5pAFXKn
dA3Os1vLP3tAKO1GejTuGr+34pPFbD1mEGxvdh2bSTrXwfMY9+rXzm96SCYKc4laC+kAJCXfPSYQ
PApT0RXMJI0JYZsg4eC+dyjl6GdgyYZIfuKwC+X4Xcsz2eW8X+erCYwaSt4dLUyJslqfNGbXnzVx
d73sUWm8hvfYF/ocVpVr/k1lzbeLapEnr1phBYYr293SSRqd4W99ic4COWRrz3EBrbkHx++HCnoZ
UOTw377sZh8xiEDMJefJpZFZFPVkt2ZsEkIKBbqU904jpxHr0LRDPVSADSE1aTuV2WrYHPveZlLk
1xmIkkF7HsZ1pIVJZwhGxYZud97Q5bCglABMQtjM0xLpq2kFEhKarkaiucbbJU0c6ztMtDLUB+iJ
GBpII1JRdaNw5ptwo+EcczPFWPS7MhsgtmjJR6JPpmP4nQMMOFHV6cqHKy15rVLGI+gkHHklLJy7
hkVWNqcnDrGErXjfxNPyYJwvpG/SwUsrZrI/oJSWZfitFrxNzZcD7ElxxFjtCU7tjjwLUYHs6hrX
tJXD5TQI0fxNwgbEPqzPrAIx3lxF/4Y/+IdBR2MyK2bEMlbv9EFjj2kuJrgxZCp86C8IDFjUOdMs
MKoddGJHfxJpCli/y6us9fZi6nPD7g63aqdMxbLMwyXvMZwnWcdnPh++mPSRsSb7O0jeZOm3YOWC
09Uug1IYba+4R6GNyGdtjniKVJBANT88PYhWSlXgCRnhheOHGXHaUM0ZH5L94rm4MjZnX4YDp+Tp
coK2irm6GrplGpz7xkRG4RuVMSYHpCscUlQejmiIwqaRZrkU6iBDRPKtE4tLy1m6GJhzH6vx1Fuf
gPppI7Ib7RBAlICU8U8Nfuq2DAT3NWTZ1QvNMG0Nr+BQBhXPLGOdmBnee3iBxp7N6oq/jmDtOlD6
BF+fk65mM7Ufpm+halnoVhCGUTCovLr6y3qB6j4QFBZ3kD9mfFlE0Wp69dMfgSAPs1EJENwi2riQ
sBskvqTaB1fu7aQjB51PUd2wNOH4dWaw4GjiM7FwB9L1Ma8tKpztQfSYU+GNh3l3QVI3EI2LFi8f
ovBJNHKi0Rk+M/uv5dzD6U5WtVMKAplDZy1WcIjXbwfQdSA9mtp0Bt6IFqFX9O7nHPYOO5ffJ6Lx
7JEJH05sxzJxg6tnmom0+xxwBfyblWxAEGOQzK9BnsXvSFmiTkpmO+RdoKSME+rJbPv+jjOGq5Ni
vaTUa/D1j6Vpo/9QhUVKY98mZScK8hZ51RznR+eWcroU3VHqFvw/X+GD1Ap/7bBT3sY3HZryLnIm
/SB1bDuF3DdnYDRmqTZ7Q92zj1VYUoXy1cu4yNX4GxcveB4n6awuROWxy/hZnGMxUwf/iRUUEUqR
8zpSJU+g+jFOR9jLkHqjk9WU1bdGTO+BleLiuR0+LJVtyY4Y8HvZDUaIwAp1XH3iZ9DI7oA4nxCa
l7ujVtCkc/ba6SP/rIv2Fz2UyyyR0niv0y3tYPC+iWK/31abghRjXTD5gt4Uzw88UExmS8KuOLcI
ZjtmmY6YdXxIhTekd6uSCLuDQNNllIUwT0PLXj3iDGQx4HaLU+fu1BnN9FUqx2Wg9suItbmf82Xj
UHaYNVFzYQQXigPXcfRjEAQyrpH0FaCKM4m0irTVR4WuPun1M7QZfKiqK7kIt6dQtzMfOnMgmYJa
zqi5iw8EgOTnIx2tx+VxSIBjXmDVLfdK69mmx4Nm0qpvvoKSjd3nRPj6ofvybvwkDaIvuqX0GuI7
2sJQqfPGfMWWoonQve6IOmDV6jkQcJJnx2NPC4J1aeXZBqa8I8/LDaOt2QFpKSmMU8/FM9Dzrz/B
wFPBDvaiyeH7rHQmgKw5wWryuo4IFfwA773BkddAVaRvEzXNGyI2c0CyxaJIb1EjnY1XkNutedIj
qDUuVrObNAHcmbWBbdhWVb2TWlf/K+u7yeTG/1Qs0tfHm8baLXNvr3v/Nvbo/Hyb11+KyOw29p73
gNaQT/1hT8rpTJEJ42u2PCpMd0go/kaNVKl3+1PWu/6B3z82SaRYyZQEBP/jnRfadvEHn3F4bxlB
WllLCRrSPu9K4UzjBlweZj43xOsyWShcP0GYwj3dKtIR4LQD2eBlVZHaeuFyWJL36AkRkHA5xVJX
MyTza2RE2vMD4NHca/vZ0j+xbc2nQRrCc+7btrKQbiOhlk6RyPkX/tkLZ9PYgcXfsbOF97VMdoix
xWX8F56W8nD1DTjJ6o8GMOB6KJLniufkE6MZ7ozwQweCUVLRgme9Fklzd9bKCqn+qnvJy+N2o0HT
5uf4+h00dEwFJexBLeN6DPjirXWJxy74BvAxNspNUwTnW5NyCYCpxbfL3Pgw8lEWqtf702jgBOJK
dU4StvA8xDsSchOh1xhh6/arAt+Q4JU1cIEywFKyQrcLgBvDNWVJAP56SNyXFaqEg+RZHPa2+twR
peC3PQrHE+m7vq9FwnBUmVbx8QHJGCM+s8L9VMo4jzt5GVS6X6TIPX4quvnps0di1LY3Q0QRaM1u
WWSE7ay13efOlrdzTIfvj0D+J140xZa77qiupQ2WbGyskpkXQUlgowSxNKcE4qLnYAfnCbeZPhTC
asQ1jZ0H3o5pJGnvD7niHx3eIXAYylcuiXbN/uSerfbGKH7MqiMq0Xm/YkovP/FlXMAFsYpF/7f0
zes1RIwAnJv5Aiwf4dNPYWP5taK0O5ERjcOaAgUhbtVyHPPXyPzIkhth/SzV6qRJVLB0Ev7pxiUX
0+6nQZPfqYI/tLdUfvQX+48KdtEjIH3K4k2Z9YrkesUZDFejTc18wnsaisKfKaTEVJlB4uVfOZ36
qhi+RAEGaPiFc1wWYAzz9YZzEyCbVgaU8bnEoWPyFwtA9bVBXQ7oka19vj+P4eh3Mx0gRLqN69cV
HXuGhbMxQ3zdAjO7bqbqsp2Hm5avFEiGLqGI0S6+yuFeM2B8OEffC9MPTxCt/lr9uEEXNJ46nB7w
FQDaVb45iljh+6U/EZ7uTH3AvV0H/kPXUFWjokzTjcZsYnQSlbP4Xba1iLOLDsidq1Kp4IacHgla
4jg6/EhIVyWlDuL+idHAva4YvzmZ/V0clWhw9IpS1Zl4BEl/a+Sb0PJrEurEz8bUfJhqVZHrb4zw
ECdOnjkRq1UBGFsSmPQwDvlBOgyH4+PPtULtrGjY/9qS74Pe0Z2X02wrN+r/TyNq5h8Sp8bBL36D
0C/fObCEvcusO+Msb9W3cS8jAT/ZnDCr27Ftd/6Elu1+sGH1nAlSbr+EFyjDGZNcytM7cGxEX9y6
Z2HUrOQc3tiMn8T+XnIyZQCipPVGZvWcBFrx1WPJH4zlUwex2JCHQ6FfFYMbbwk/3b038QIMoz0Q
p9fgbRItjZ+9rzajEYHZ8Ldw4QwSwjNgOlzIy3C4nmOnF+nM2RLH4x+ZIy4zzrmo8StAyAcBzBYs
yHdpmos4VFTuqx/FU+4+Ap5L4+RF5yg3lSSVhQhiaPdqKhiT/wH4hb9BENfXMKmwkdsmso5Q4jOF
vniU1IC3udlbTpYaTp3piCgL02qypSAXyPZXvDKEf17Q1dtfVNNzLLxR3rg0wjZPHriHd/2E2pl3
v6jXD0cU3alcD1QCvcvSgeQ1SiZpNQgXwbs44LlHBpfDbQUVoIGXpjmNuLGJZU1RXtY+D2Ok8xqu
Ar1leADzuJlh5CetiXzucunu1A6a7b62sf61bKUyoGZEwdcmyMw7HA85UtvSCSNKglQyMulEexx0
MpPw6rRqlfqzmbCOKpUXuV0lInn8zGgXVOukcExTBzIRCy2aiw/Oym4LvXqaUoMHl2cirNI3YME5
zchGAmnBDiShraZJ7YBtlITPuNH4NLHRdA/UkD540tZnCKxQQnFy3g+0LYYAf78WYLr+63Qzgfr3
7jbay1hI1gpvZdQh/jvFwUV8egGTd7xtp+bH+78QIq4T1EZwYrh05x/5jIQLfIJDgSpr8RbG8zm6
8hON+mUiUyiXyXH7hCxLOOp/jqIAe0j3n9005DKsT5N7ncKbT4Mf49XsWZ1LHEwwoZZXVBwrfmoh
5OiCO5Ys2xmYrjLJCNED4zjW2EwEPdhiTpQyGCqmiskrKzxqkBYFAtjtTKiIoXDln9KN50stVC3U
RwJI8Ds+UyTZRXidgUbArH57mdCO7r4367SOvDpz1SfK6NuWgDcrzd14hs1qUhlGCqe17VcOXuhj
oTDvy+1+w3H4qPL3dZdY33T/whdSO9igsVLo1g0tZBHF2Alg0+OFnCBmOj9bYHdn+PGvv9K5u2Xw
8oKt/ZvB96ODdhYyOn5xAVbc5xJte17e7uWRDa3Nffuej+AYQ7q5Wh3YtsqNBdFW0G/w7nUB/Iwv
k/iALZWTiYgeAMK+nlG+tmVNuJtfKeoxUtQjGSrHkaY+FpkaET34jkEJ1BecuKMeEjcRXDtKQNwL
ySmwjEJLwNTyWCbaJXOzRIpgFCsaUX8ackKlHyZGv48QZ+9Dbwe6le/9IM6f+M2fO/R2GvbkHhuS
ffYdwyf9qacVPDRs0ppDag7KbjYSDAJy+/Hqa1YznhYiqVBv+ai/EKrNW16Dj2ZdtGquPTOV8b1Y
BfvhaPdbJ+bA1dnRh7gKG99xDfxuKc/hiB9vBdeLNUKkqVPByyHFW94M4Og30vyapp+jYseedviy
WMHLXLif5+pZ30B+sNWPGcpcVqIta3rWm9JXVqJVW9oEPX1Ss8B0LwNpYV2XIIOc3J6gRoYt1nKC
CUHXuzFqKyhVpF2fJZS+7dS2ANIqzLb1oKFeBCQjlchfLZYUmtqIEBnTRI0OjbrLdM9AeMxvyJUP
dNaaDnGagM68UIZ1Uv4VafUrYLpyHSSCVYLAdVj/IznomMm0p4Am0uTz+mbblia5G4Cr1fMyCPbY
Wd7NXH37dS/zBC3DYAS5xVItx/1tQf7yyqdkZhtMvcDJQcJCxXk+aKmJicXQJF/OqUEWUTgUBZ3o
D2kpSvhvXw6CnAg2qxvxab0cIrNXHnZDRxiuUhKHePfh6R/qoP7CAZkW1qEBN0fZEmuIoDRm4pNT
xDXK/VvfuSp9aTmru73Fhc6gz4yJVD40QUuC4yM40j6N7Jw1VrxGarCdM9dVG4kNQ3f7tT3+VenN
KkgymECn5FnhqGY71z9l4b/dchRUJfjXqKsCokX7T6/St4dROpcW/1EaQKiVzpM/R6pg9u9WpIhg
3iSZ9OyoGzw6bNR2SUYtIP8C2e6W/fZ6xa23BNL0ajxmlOhEoPIjUu44vJuGDP1NRG0HTWH3MASP
ER4+5X/sn7BpTrUKVSCzcG3Kp/C0nvDEEFkI7jzbj1CTn1HXFwb5BYh3cnTiEc0PdU5k7f2rx70n
/CGH4BFbVgKQs9WJvr7wsoWQDwFikbVEoqNc8VCBo8VrU3FVlKrcJzM7ohNuAiXItYocc93F7/BO
ueszi/ZmRA+UXo/vO4PuKYzte8Qx5QVMGrzJxzAF1Opb7ndZLuwpQcDtHTW1M7UX1EN2lb1Z7LS9
jxRfdWA3bdNGd4gM7UunISIVh1iXAuKVYcFIaGE8oAn0g554OK/tSQsIApE80FwdVwyE8EhgSgk2
ofXwvOx5IDA4QhjxFU8iklnMTTfQE0A7WxyGQa+QCOzW5/VdEQQjM4aECmCz3peIbdU98aoYDoMw
FpaGpusWYw/tZg/I21mJP24eZr1F0qwgSTTq6LIbwunPtutVH2C3Oam4dYMF8LORCEJ2XdJeozDD
rip+01/ygnPDWyFhbn8j8tLebLpenW7uRj6DX7MiQuDkBEb7dF7Bv76FUZw0XBXj8C4BEWgtNrrp
fBC/LVVeSgT03RgFzayJbhPmh00mEun8ENmdWYFGfwXtGubpaKPvNnvMcnAchrug0FH4IkMJznro
lIb+4fmuzsEWcxEjgsjfRtLngz/F+EV3YNMzGvsi1hjOrGVABZPSeNOs/dOKPzxheOt3iRVdwzym
LY4K3eMQupvH5fGV3nYP0Q5d9pgbVwnnRAk32apnVNm+93NFXgr37fT3HXcTgWd9USY3pKPxW+uF
LG3zDh1oU01JU9wNPRubp6SrUc3n+eLe7JaUXd/KRjtyayl4vU+7Zy//OcsCf3cju78xg7T9Ic4T
uLWBXALtDegD/tMLwblcU3SfbCWQTQBgCaEZtHGIXW/sOQMb8ACB8xK2GahfShm7nrXYBeopDuYK
g3dvAOJ5ey0kvKBjTGVepb1HPTxqPpl93T9bUrQpx5VqS7tN0AZv36FjdIDvY+MnBMExJhHnum2q
Ll2OBWP1NMR2h6O1vVWxdjPtcyIVcciyofyPXfSX56IWKpl6mPKdYFj2xCwKd7VSG0T0oxUCSinH
nUP1Gwv1L87Myyb61IryZt/56Z/Z/mxN/mLa0tqRNeuSd5oN3tWA+5/kpgr1vuwpgd37vboNDID7
n5qBKwi6bYlZyp7hLYA4qn7kjDN+28Ke81IA5iSv7UuXuLr/ThNv9PUFG+/YNlv5w4N69ZDMjybX
KfvHS55LsyMnkXsNHzU+PSJOOH8E7zHD0NJPtpS4Zl2JlOtDN8av7VfmYDuneU3MPoMRzp3w6v92
8ZVKVD1/vxXBaQfqyc1Dy65nuhOzHC59DsW4MFr1CVE/uL331bmqd2UTBKVXRqBDZHCb50YYHAcn
7I6luOsLSpJC6twYgweQH4v63MTCahvBu26sfnTbP3/Aa6dSaC5gto/7LwEGhXMjz/X2dIdHanp1
5w9KDDw25h92+21ScD/SDhomGFlfFzXo49Nso6wTaJIa+TxYcjLryGhFx8GdUK2vyoveCF5tV9lu
owtjO5z/S2vEMGINjEK26gGLSYk/jbaxmujgpS8DjCqYYC77q0hmdZcPDt9Q4Z6NGEM/9MKl/lua
tjEPoBTBPJtt4i/AFZo6ekBfpI/31rMrni4dkII1nes8Z3+MfgBRLCe73fVgTjFe2bLjNZP4BtQ1
C0CbkgZsCiKGAr0z5wpbuhgMohZ6Wpt8SVHRcKUHrE/uruR7C73CeUJ54XOLoj6PeHbZJdMthm/U
B056I2oASgzN0xfZoSnJz95+ID6AXHZWA+ld1PCiAAwhx00pKvGaBsYebJqhllKxibv9Hhh9YgZe
26koFdf4R6k2OsNY5bfGdxG+9vaOj++ZIUaU+5aB3OupCTKofzZkf9/2e1p/QP0PPs570qh1VjFV
Rkhh0HQ1y9/Tpzp4T0H6MvWMDrGJOZqKT4FT/W5bJ8pzfSRA/TTX4/FJAvGFl1Cn9XvnXMRDnU2W
ZPcyY7Qi5rxi7EPw/HYUN+/fOtIm132zfBiGNQUfmrxu/Cu11z0xUzolfQ5bAKjSsTsRamH7rEfM
Kqq3xGInA70OE2irpq1jiEtoNS+RJvDcq6WSJxVlXqSDhTHCzO/7Ndw4RVEioIGUw8T+Zmx7Re+O
NmdvKTaNov/uxW/xghn2BBXoQkBpp21vLa+W0jGzVDZgopO67g9XzliV9vWm8Y63bICn8zR+zl2E
N7qMmxzx1xUA5r0UKkavbKPG7ZRX9tlG9yLlDD6qV57ficE18z3Kz27kEEbhvJ5BLfgaJ2as4vr2
JbL59aWsWMf4oZRfsgstWlQg8Viyfwi0nUcdlQkVsOdYa5pTzJ/0ILW103Ko3aAjuHzSCtouk5oo
p7OPXgGPLGOKQSMlUKET285wZ7uzzJYk/8LBMlTgb4IVZalcFikta0S0MDrzaNPPC6uOUn6Bud0A
GzO8Ir1/XlbiJF1fxEzEii1W50gLgO1Ae1FyNUInXrr8oEro1y0HuN+hYQ/xa0vGRqZxUZAlVDIS
d5xHGfDQ3UffyVwHDskpX9KDZSfnQCiysd+1S6zDciOfAzs9YVAQnE0unQDxGJkyG8/ABEj9Lazm
+7Xx7E+z69/NuH2fJYXP09IvhjCYKxby05KYZmfxI/Y3FW03WbfRoo6t4eeDwjIBIM3wnqdTtlBr
l3JERmcHr7VDFDe+GiXAOju5DBxYgfBr5g7qRb61IfGpZT7N7+wKpEqiHoqknlB6XG85FfsE/PkY
XMLIJ0KoBFJzPmdEoF5/mC+GQYbuFvL9TzrUFb1LbZ8lCE8/ucVBTQ/zuHfhJuXEVNc8OGHGuc3l
JotofAnkGlyur4fFMqqbljWKaz3tYdydEfMIVPf5FwP7uRJL2uDDQy+rRCbyGD4jESK+GzlgYpOv
wopr6lS/FPKO/tSv4zYRCytIiFe5xr8BcOWUJZvG248QhNm97SNLfc2DfxKDTnhg2NPm6hSgACfM
OZ3H3VhpTqlW6V+z00+JE8BcLQdRN6ZsScjY5fR4nmfaeCAShJJ5uz6mGz0RcA7UqYjYvNkiiDFw
T6a6JKaD2yQ47cO8RkCLRT/B/w76QlNsA8HUv13IXx8wcX15FDQOein3tyR0XScvcel4inzUolXY
YA3zzW80NljwI56USM1V6KDXFXfty7nHoQY2C1NNE/M5Xlf3TeOiTTTQBNxBtHvE9ll2R62Eocg4
BcZt79/OXCDLp+uVpXPa/HQts8rU49Dth1QZGLztJ55cxFJopWSfIl7lPxCVpz/jGpzliwC//9EH
uIA7jMim0hbU0rqMjKWIg7sSyOjRckA2awGcTeqDfwyuhqZzKxZyCKe6DcXNVR2nO14XD5rn9oBb
8iIgxH2Kp/R8W3h5vozMVTsKpcLx/427HX55ytPP9Vb3A8JXnzORe7oZ526Nx1ngfPsmblOQPn8v
PnLmuDdSnuaLtiZ2GbPahPAuCEAhmhDERHgarMJpYVsotSvGEMPyyt6wxZn2KzFmDvMFd2wE354o
YvB4Zn4oLdwrY2ZSv3zyn4Ho6pXBqCJDrpqE+czo4Me2NLXBwRlJGsgt9giLgkoDJqc6atNNjxuE
QJm3V4+Wlf6Afzcfr7TrTtNPEChU6y1xgScE0/y2JLgBdHE6g6XC+CciExe2UPHY9IaIfnorbTAh
GSQufr8CB002r4W2isDdv/4XT2O119yxXZYWnp6AdDnR+o92oahUsiMhAuc6odoNvKrnE6x79vh3
vHckviaYUy87qzUR0FKsialq/HR4Wcr1b922LVzaYrMcDMA6WxVlE/croIHKZ09b3RNmV2eeV40T
ZvSV46CQHNj/L6C+D/a1XrBgYDC5A54VF0CQ13l01Xq7i+24IeZbUl3hbowzDPK9gy/e0C5lsbDs
VtHZFwuUmWNeyaamOUFn2ZTy5+SBYh/r5sQDHiqK8HMkZEvB+MuA1o163fVhH1Z91dfyAQhyaIm5
CgbP2RYg8YpTwNgyGUgrATEoKva+ryQ4aVXev6HAn2wimZsxzRJp4RteDVqnoORldLdiVol7OE/F
Tv3c7ZMhlo7QLdGpvqwXGk/pHVtMkYdzKiA/M8Z00fxr42nf2EToH9lDM94qIx82Alkqq0f+EJ/F
sh7jXDbq1Dd+y+SQO6pLoqOZr9QUNOzlSDlhJIQFfRscL6MrzgazOO04vhKbBJzUrVCyVcS956i8
AwXFSrRlqzlu1QkaYAu0WKcu5rL/iHH8QviIb3JE4pG9ymck5h5U6xDy/qgieNyVxVIcOAlBh6XB
7aULhhG7nugLapCT7j5u3j6JFFQZvmu3xep8KHibS9sreggcQgCFk2N3c5OfKOe1YJPNvSavIIGu
E5LID+lIpKWG5tdud6Wrquf7PkHAn9t4VMeTyHsB4N7TZG7RJXFCPBXrh1VuGHlhKhs6kPk5XeTj
Mlix/XPQNYTVi8QVOr5J9l5wlVGJ/3uB7fPEGGrFRRwzNoCvnAFezm4JXTSzXiTyPJw/lFsCAEI3
H1Xw5FavGGobcxszVpnJsp6EnfthaUtEIzuAvMtXVcT0wukzJYl7/E213IhvKtQSU0AyesTbL1eW
3cog8k0tGM1P+LkNESFG+/sBp651j3NKTaJYfp4ke1j8HTUwnNLysoJnfqh/iMBJgt89vlwl4rJQ
E736gHEWKCXWpEPlUAGho/KXztkvwLmNlfr7YdLJghHBa63O+k2fXOl8Ngn5gr9Gd7VF35DgAmXz
1/BZv5/U6e3CT/cpXA9jNbJ+pj1tm7hRBYEAmqIT0uSRCGZHvhD6ZdnZOg+gkcouoZsO1jk2WQnI
okjwftQ1BlHKBudFMIIvnznqZsEiL5ibBmpWaJCywpdOTFhmuQfq0PEPm6XbL9KsKa1qk02qxGam
PLhl8tdIFHetRrHYp8XmQwTaIQlL792CtpOS0d7iW/ZujGdENlaiOuJmVYIAH7+0aLXdgluxxio0
Igv1L92HuG1cKrjM3E6VdPBj/hIuv7B8UZ5I+h9wqCTY26qjTQZFjl2QICZdnbGsjfWRLF0Ge7RN
2i1DZXgjC/fneCTuuliUTyqHw8YArijOgmrRey6KWGLjRmb3DjxjPIHjj4M1VPvzOkUih9qC4h3g
PGIrTeFraExc5yJveR0bVrYQ4z+RWwa7GeAf5WwG3AGWNbNVCphCDIA47H2r6/uNonnEg5zklkJ0
w9asRQCxchthh1kQHP4HcJaKbiIxzgkuFgyjEHlacF8o2fE5F+3jwOWVTL/fY5Mh4CE1X0MsBKmt
clXtwfpq/QVP86+VLgq6XNjRk20qF9D2V4wYdnDKpG8aFBC6Sjc9thUeMwM+71fcvdnhaLv2c6wK
TqHBjy69I8V/GA3OXawwM8f1i+g9peFRIKTMzjnPWczJt2+ZGPAWzuUVqZLpd8zR3T1U65eCp8GI
p1mEHMHvinaYmX+HN0dxz3H2qUiX3IuRa3/bjztss4XKp1qoNddmDJLM9Or29RQ3zQi64XdWdQ5i
nvEtXXCZbH9Nzj593ORm/zVX/3nxUMXkvXnWVvfvHtuRq7XgQZUOXHW6s7znG1yYtaN+7lW3s41P
74kZm/pOEplb6o2Kk3a0Jb0BSEga13Uc8LwAusedPqD5bIMYggT9+2rUZLUxW72GAqF6Diy2ypj2
YIPmp0UymZSB9vpw4t7ORCczLZIF7soplhGKnkpGabkDxRvEqEaUuAasHPAO9A2IDaF4O7HULyrP
j7svr/ZZg50eoCoFE13WTKJHGznRlbUQvg31un7+ikoy3D6hF6oArK8WNdfFeuxCA1aLl+WWKbJi
ebKkWu0eGEcdbwrptZtxoiGZYBlZHS8CKRtqlY8EF9fbJh5kh8HEI6km16WpfROUFB/2TAVDN/WX
V/bmYCUhfpbNzXAwBkqFn7RFWQ8GCpCdxxrI9MZN3ubTO4Z0Tklbck9DQ5VofeA/k/kuFt5gPEX8
ZWwyYusD/sdbg5FhineUV0sC3sKbCxe9tq+ZP+PCRZR8OZsEZOCUCyB7qhWHpWdY1TjutGhZDdKw
R2CSOUxTAPB1PMYEX5Mtp9UbyASeC8U2wtfrrha9kHQb6Fpd8jrUmju7IbRUKphu277eUPou9J4/
wnQxE5zwFPGSahtVFD97Yc38hr52SPYz5ayNmbptPvFfNhQ0tvoyYfC9h7lz6YLE18w8QjeAcBXN
W0NstJ2b1T6kuY2z2EhWZZYQ9mqbWuXJ8aSsICgBKyzNNo0NT2ZxeQ2uzxzAZUU1NouY+tYPc68z
Km+S4ihx0wpi4WgV/4xa8vq7G889YPHtKvI5D0LvUxZN9YD97iLz0S3utCUmG0Cu5rHLb2l/TSr4
VrW0BfTGkGFudJOD+IGXpOLG/F6K1cuOIc6XMd/OfgqTyJVd3yeJQQ03SSjZgcNNbYcMm1fG2/Ep
ai1r61jQHsTrdBCodAsUvqg1lt1Xntw3xPrcmFPI1hsnz8JeXRlQ1zJuUA7IYk4EtE5gV/DtDiiy
AXRbJ6q7+AJz/CbqoKlo7fNqqDuytqZ7TigLfvXQumIhspTvD4YduQSyXmImsSePIH8afcqW3m5j
9ZBnUa6YRnemzObqQJC4SHzxDL1NsuWvqGVeBNy6daD1gePou+TAi8jAnirYfKB/pfideKIeKmdB
yPw4mGqfsBIyXikbM6slvPBi+tWuUrql6h8kYPc/V/B3DQ4h6FjpP32Xq7Ta5CLaCTXROq3foz2L
zZNjwdFfbKhaneLtDTnOK9u5KZhCznjwFgXEMNXF3WyqDU4bcJeOORXe/zPi0Q3EtaDqsVApWQbi
W0U7o2W1drJSE45s2YgA0croCgvMGoKyrZypJguAMVi615R+rdalOnz6XtCOkSTOTUfrZa5Pg23X
WQoKvbZfljqCbbyxHw0NTNrfw/kMEdo2N5ti4cR70XmCJmuMHG6jIcwRA2OzE0ZRJzvBzooyrexJ
Tl3AP8WgCcukMHKgEx9nq/wHRYXdznfkX8lYjlJnv62Ov5FvNFpgN6OnuztxW2fO7tMmaqu9Di1j
TLs0LxIM/Vm19xacxnYjTgZPNzNvMLjHZ7WjxuV/JwOGexgeBUre0tWZEMdI1FR7Fp0J6iQwRSnw
96aHSUUXK+xh5qIgMHjlrQ8I7DIvhLTUYsT2j7/2/iJu+nNHxAOdm84Zae0DcnXYQxV709x84ark
jHRqroWGLPhV2pCnMCAx4/tt/Ciz33+iwB4uMsVTQDiBsBDGiLtSJox1MSrewkkEvXtqcE7T6Aa3
qSt50OfJAOJPPyalk5dvQ5Y5+7X1w81VsMmes1fexacOq+Jl2fICBZ1Kmlu+nABdGcY2ywIo6kSi
JzV7CIxa1nteRiVSgRVFegICdM8ARxNqRRt939FwdUVoaIA0Lknv9nxQ0QndFvk/16Rc5bJo6jXM
mjQuWnnAIpudJlyzU3AR3RtSKASMqDHFP77seVSwEXdfTlWalVjFDheudkh57QDrAjqM4WyndO/b
U3reyA4bSW1hz7pluCKnGXNdL1eO122bPprDaPFGze4vTLIig7VfQMOUM40trc+EBZwHE2ZS7h2s
4+2DW52f5GrXCZggMbGEkhN1+0vZ5QuNeLQ2/do5ycFcDrs7QcSQnAjLwRj4WrQfRtjl+TUiZ0/T
NC8tjKQbf74XStRzaaejrobxAO6mOKubhnd8EWmSuVTcO1uMHWGCymXlfKZrSeE9eLlg+vi/dWrq
uRoW4Xn6mYMUnClusTq4z2egelXImJ6oeel3o7S60p9IWS5vElyQwiQlABADnq9vAxy4MsDRi+P6
pYxCxvZ5+vSS+q/OyNOeUwaxNxj890NQeYdpWZvlyl3tRCZzLma99GY5KfMG0Y0n6zyDu1wAT8nR
9CdOsLtrLciK33qTp5CX5xFtkHCtLvY7gCS5RlkdoVdQPDVAWl4jc/IRVYjvoWQn2qnyrs7spZpq
C9klt02K+W2G9NkRRx97OD0RAdL5Sd0E+FM1H+WU7H5GI0lzy2/cAPgB8i6kgbXuka/H8xiz1X0/
/IGgflE/i1/+NEMcoLXnqC0bKRIjRWF0iTk3loS+CrA44tbkNPTQ+7ouyr6A+FpYzKQfID/IHNTz
o+W42A929EjGwFdC72YTNlyh3DRMXefm8x6lpAtrgveEfOmi3vfB79/GtjTd7qqyr24trJDfD/UN
80Po6BD3T25o1JVKbvSARaey3RL9YaPNnIASUlbVXF5ppOeIVUChDuYP586CH+vZ6Y1wsDkn4Ioj
aNBX/yoqDztRfg02WFhw0L3INocqvCJ2iD5LFYxWhZKpLRsbTSXGXGhRc9mnSMq90nqW6zzIv2Ga
SnD/rANbjABJL9dnFnw7rYdw5bPEFz5fIlBfI7fzZQ9sFBc9tsVHt6vq0G3cm8fA8joQuQ5RDbnp
wH3nLNmwEq0WOP6bfvn7Ewe4/gW9tLEf3Hp/34ynKdtpkOjplr/xpfz6H4Q2Q0Uzd42BjTzpdw/6
OMiK/laGXkdkc1p8cxljMxpXbAnCcrPLYb31HiOrCQRIhadQ/9tmhJyIK3Mby5Sl4BCPpuJe854R
xr12ooipcc5g7hecF/jHh1wEuDfJ4QDN9bWTKT1juz29/bOFG7qI03bb6pHEX+n6qlQ2khwW8U/K
Z2dvOm4Ux9LP9vcO4xuVHFCODdptanGkIl7NFGHo8Z5Zw7E0aCdampKpAnRdqZSYfvzjeNTOIxKC
Lx0BGh0nvNqy+l4AxEWNdvt2RbGZkT++k0q4YPME/NtCEXLk15u7u1tZN8FpBECjJUZn9y2nLuwR
7zQklHW3PqADt0pbjC6bvzsKlx7dsg7zDFWpca5dLCH5Qx+wMuxR4ZoCy9fczuEz33QM1rxgvVPD
5yipd5UspB3NLJA8O7weMI/2rHWK0kQH6v+3oXolZaWR9OTpHxBxPL1kAnN+YSd6IN1Wtemld0eI
gnY0yrd9H0m0X5ScTDeNSMcz9/TvQtCZXy3A2jXsWM6R/uh5G+K2dzZz0Ux7XNhdnS5NBh8uMngc
gJgXsl9LdYDI7W0EgTbli9KmVFay0vgZaIKGWLbh2a8zxR3tmtGY3w33FAmdlB43E64w5tCI3wqf
Lf5+my8oeRWQjg11rPEIL6KMsgvE9B+/pZOeu5hdYCTtuubabWUdcTxw+lRjuLSSqesq4NRWQ3YA
CRSjM1AcI4zlwG2Kx+891Pn+TWt8dG0TZqLr49cCMfyGHy3LUJmS7EX/4bL9TzklmewoKZ0/vcbE
/AGKAaKLn/pyO6UbwLiQ4G/pXNJ57DhhUW3rkZzM6/V6rtutwCeWk57x+HtpezemxrCxnS1YK9T4
0DKJh6tT6e6ycLoDF5OtW2BDJQhTyRVs4ycqqEkMbHAKCarBNostO1/J31Q6VSaFha72O74vtGF4
Fb7C0BoC7WMoXFobsgjfhrsJna+XuufXuX5/gK4YBg4CorvE1EGU+Iw7U9dCVJ1gfmXJMdT78yKE
w3c2i9LjpRH9jKCTVod0KgHMnRPbMaPHhtEiegJhx51uzGS0mE7rPfZxBvDVIMTKTCsBYqnxSL2J
4o1c6Vdm2/NmLVB7odf+z3cag4D4V2X5Kv4hl5fgC8HsSrcEFsGj+GQHQf3iFTlK4ITWsNs3qogO
rCEbikYN230ZLoZkZA94DDKLk4IgYqgyYRiU73ZFR3236Fl8rE2O6xHNrdt8isd6Y6mDXbVA4ahT
mJav/XxEgFljt8Wjaky/jhVJl4k/4IAb5wlE2QhMrlY20qVtllNm6cuxUzUhUzFlV5FxZnGr6OM3
jXyp0YSa1MaUx7VHekJBOXbXv/14aXA51qY/jKOqxOc4BbxzFC3GGXu3tK1KF1E6d8jLSj66V/tv
wPkMMwb6gZIHocBWyOR4gCKk4zKarLYS9EGZehV2aFdkmh4CxRAiptzbOMsdXYC2GQideG9HU1yP
9guBF3Fsx2VPEVwXLqLO/rDvQwlNYb5dX2AnrS5tByhK5+OM10vQxa096vc3zTKZ4ayNeEqCZHti
n+MgaKrw36X9cPvQei+T4PQ9dKYgrT7xB0GyqNOKJeHvNvHYucEIS/9YsA0oHrPq9g9o5Egp5IDT
voyoQ4/y5RkizspcEVd0uXPp4yApVG23H6zTB9bDWEKaga7LkOanfK4HNajo2R4TXaQfbhUKNTdT
vZJjJ8t1I3QiL2/jeEDLJMqMqPnOw0BAIFA32j01Mb2xpn0LGvl7kjJfLYwvVOxPQOp0QttsNf38
uB2w2h1jHgDFApaFnn7yknQReO5IQ6ycsRFDElPE3ozax0Iodss6kO6KRpdrK68veKmRqblFIIRj
ZXTN3YnmwQPOSySRc/TnFJIw6sfZG6IShSmiOx1cFDa60dSl2307cz2lqgo8WLqGoLcAsR9iOoop
xHXu6qUiSXnGMWFtwmzs2MPiswLXkGqmJs8UpftPXcadkaKon/EXY+8D6LNQb6D18tOrWgJjHDwq
daBNcCjtylGydB30l/cBbjosyqAr/OYnWY/5ajbnNOGvwR41Hu9TrriaUyNlHCj2Ee5TJSDhJz59
xDSruCmNR9Ii6PRNK7WvxlQG68McQGStoZNCbvYx28WV7cdt9qdEfLEXqxlsyPYn0vWODbPOmoB0
JRk3s5YqCdB8wQhy1l111vH76eWvKTMQXb3vDG8CmLnoICp7EEroU7KUMt6zhNhsl9uIHkWcE8eM
WudnOz5XGq3wv2DstMPm6z4BBoMvEfXoXBeF32ghBvBpIi81DKvNYapB4oqD2WzPoXUltwlkHkNv
ToW8GIKSYxigHBL1C/1iTAOa/URPYKYLYgne2sNIs+5YYWbam1Y5RjowLja/x3i6upjRN3ThZQE7
G6h1RTiCz/Jy6p3EkawVcOAds9krWSa3cpQFvsIC9s1QlWJHOKZJS3zUKHmBdlGgzUxRy3Y6Nqpe
smQg3K1kS6SB6SkH2/ifH9vLtB7Din+LiT8AZ1ugiy8OT+Ncmla4H1NUpNC5vUar1eJBYKoP/dyu
93p0MVEOJyKT0a0Yy5iNg2AwsUaW+ZfFIIilZQ75VSjz+9ZBUjkfxrqLUZ9wrOwncaC3xpy2Zw56
b5U1MkERjYee+ulF1MuGmGtQOgZoNQMEe6SJAgYxSmhJirR0xAihdrVkfBwL5vG1/nbwvtjI91AS
LMtut1xo8m1FjsM1ZkukkF6QwG+F5aRwGL/QmcH9ciYrQ+ZZ9WaWad7/6CuTlZlj832o4hOE0iMh
FhyF5pVVjDo/Hq2pgMEUgADFkcWFSa5N7Vu43Su3zIHz7j8Ot1kP2XSGIOz5ygApNDee/JMUPrGL
T3vfugvnrm3iT5kN8m8NVaWja39QieWFl5g7G7C2sRpa+wXnRBHWeqU/rXnq6c0w3eWg5/uZvrdd
XEtYsvZgFAaw9rIkSjGcjgaaZj88/Y6VwKjRooH6XatOZujYOTsu/EyHdfYIg2JQqQAXpnvTQlYG
yt63V/rGwnl+11xb7WR0LFvnkELEN8ZQetV4b8QBIYJ0qwp76mb72oF+w4BHkefpEzmd2hrPE/IX
1Xh+8fg19/PSI9VnWuMdMjk9RqOvofKZ2AN4OenimpebGP85FzIHe69k0XoQOY3VJBdNS1RRcT1Q
bbDiujNHy35OEhXXRavr4MC0jTA+VGU8W2ztKZqCRPUkFeRvK7ZhXC2Rrv4DLzU5Oifa8IqEI1dP
sCaT9jRrBdFTn1SI1VtlnFB9cNv2Z95JiwTWgiS+FFfufubqN2Jzo+IS12BD3gpHvxiy1D1gVoBp
tg5Za5lFcJgztJFSvarNuUCZv8QSVldD7GMU2JMktp5epca6avRCAArQqWJWn/eRJfYzhPfhUGoE
el37+0oelU1eSyvDL15QNRQmbHYuhPcVUA35fn89Lg4esCuAgRZ5bV8+jJ4VwThlJIxhaarOHP9P
8nLcvMrg+pgajPJLeedigxETGXbKhPP/uIjEW0xFMyZ9iIqj03yZOpVS7JBKkql1gezjJbqZTZMm
rF0ZwbNfOxPhYIXBMGVEWVq0tp38i98qF2gj6J3w+7g4EBlWHVeD1nDKxw6yHrUG/59WjDF02eLm
uhnpoJxY2p6+DpIUBcxD4xm9k1bvQtcL1dcwRFGn6c/3UrciAhlVqT/Afl4JCHL9HKYwuI6+oPig
2/7d+O3UEYgvqafMv7VO733rdExUXNTFFFsd/CaF4m4l/m5F1aJJAwO8Rouql1OT/DlRKFMcu3So
mu9tYZy9/do0pkbI4lA+UHI76MQ7K0PbeJBCvVbVUT1KdSzt1i5IHdexEiaZyGZr0DdLoxPpMgf/
G1/ImI2hpwcXO60QA36nd4ordojRj4/r1zGzb8r/VD4R0W8qlwA/pdvIW6othfrArCjaUR/L1+07
b2iJFA5bgHU1Z38jEbf3YMbdnpV72PfIPp7aq3eGm+LXOXR1OHcdwsaKnt4uegPZos9XAYRvqUgP
mVr3C/1yd0Va3eBbpr3p4f1+5nIdYPwcWfb7DR8cInmDqWbZ0WHu5q7/1C6gdWPyvvGyWHNK/CCr
ivZkOToOW2GhmYiktexRjLxI2ZUMPl0HdmOwRpbKXdv1eT2Xrbrtca948sbZlacgTlxCyQpH96Hw
Csn0RUTQkUuKQkRwUBdpi1pDscgx65dh1qWhx1c2KLEIIcE5UX4Z6s09E+B3kKYD46S5b+Pd/4Vh
NeIAswvwX7JbBXvOYUm3Yn2IOm3ogtGHg2bxwSGOaHCMjBxuqz3U9rNgC9pElKFbTMAd0Plt/QIk
j4ev+1orXG34IIJuR7VI7VgEmrezCsLhyl6TqNCLMOEdviME4pm5dLc+L4j1CdKuZtcHsgetIVhw
iYWWd09mIDMwNwfIeoWlxviiFVE2M0PSsq06G+CE8UaBqZiSKbWwTzJHzhmU9Plzum9/+EBTFsKl
6Us3KguWBQjp6X+LCDP7NmdtOKIBi228uoubWK7EQfeJFajcuDzcBepRjaCEONcky07+ePg/qb/B
94yZIM/6Bpjb4F40BQp6FsVsbLjunZHa+CISlpNZ76GLUgckcOB5mNefud7lRiK99SCtv4VyNZ1e
ecGEYAXospEP9sIpLyMz3eSSZhuk1scRfVd7vSJgUpMBz0cuOCdMZxRTshQeUFiVPbTFS5ERngvw
5yQcyuEgsCU+RBNu+kRF52HbQ0+gw37ZZ+fGse4uvky/sKIsSmPONkiUDiMReoHeW6p/FIMUdXRQ
pGslQuMBeXjpitR/dEf0Zy4vP5IS7xlhZEy2GziMzjEHXLTMyxIdlAramdLY3C19bzE+gNwIds6l
bSlsm5JF42pthc8oELvUlRajv7yY69mMaXpv8StXM2rEuw7ptp0RPiA2Sa5JlRIrsTAQTN7E8OYa
rmL1LVLD2jNCw+3re2WlvbH/bqAURwqmaCC/jgdFGKsPNWGBfA6ZWYUmfG0hMou52tQdUcUz3nBi
LQQyjRjZI8dEpMoi/OFnbT/AW7x+f/05fsIjALGLC2gM9d1KUDaznFnLFiTgcoxvhAhq85peO1ab
VZbtYoRWLIw5ItqCDlRF7GCt6G35T5ItDInWqavThIGANSzhdnfExzaOSIqlBLlYp+CTU3/OaQuA
+8YwBHLkwjvUCbemclpeO5v7tcdVxMUNbrmuJBmWUcr/hZy7EjcjYTy5xKXrrVDj/Bqt1nUh6y35
c4OjGiIGE7wj+2Q8G2zElpijMNA/M6ONo+XnGsiZyqrnF9YpjpV1heDB1a2No7hrmSV5hg7SI+Gu
oESzWeWZCde7W7+jw3bdCdadfBAsWgHvKTVItlpd5VAIpfghXJiRW9XvRkFXuAzAVM5QQlfYSB/p
sPzJ8K/1DN7nK2zrsiyaZU8MDUG7k2urglqhMG2XyOsoB+Nl5aKkes6Ra4LkE0g9tcZ1B31tKSc3
aN+Rjnz/A75vSzoGg2TNwBvN7YV1OkNLGtmnaHbKw2JIOhkCT8XCns1swLHwiwueLG2BoXGn1Q8H
i2pQHFZCbh5VFb2WfauNTKp1sxEHUetbId084HSafzmTafcFuNnK0bJ5Fxo/EQy1JOl11wx+odoS
xxYWdLtgrEqwuUa2P9fEQ3HT3lIrOvIYHG3FaCTXzGeGsEMS0tRSDgTBsAEzmbqwaTGIhI0WBFSr
twub86p5bzH63BTkMoA4/5xK0L4lh12GSgeqgTpN1YSZ6UwzNMwlAQxycPRM1Nf1L2W2Xyi2cDWQ
YsG+v4ChBAkEVnAhiMsyHcIoBcViJKTWkNB2Tt/HBWVR7WLhdv+l5UX2G4EH2ntEhHWvfIt1g7x0
7oUQfGMp0Q00KV2n8a0xa0m8iPRsbP8dKLfJFmEC/gEVYXxiGmNpbFF77jhqYLnCdSlnNMhBzBnP
wur+QtPgLyzM0JeseHBPfFxZyFWLqxkqClOwY1OVPT8vD2ADqS+NQ1Xjw7Bqmnqf+QshvY2RpwWD
pE5yQhNxqu0g/yrM1pKFOHe+1vYMUC+HMYtVsXGz7QcpuPU+7l3ZsW6UiVt1mYCRwUN6Q+prRagY
k20CudRKzH6wHFUL35KYEnFeCjzODt8Gp28hCDyUJnoCm+GOwXk60U2vJrHFDyhNUXTsaWp2ITX9
UT/Uczl9O1+hALEx3qsHjPYAj74CjsZsD7xgTj68ugc0cvfHogg1U+MgdR+s9KSqbpRo8YtNdfiG
+bqhYF3COFFTpvdiu/21JNuFcq2sOsZ0pnn145icJuntLUnuHFX0eDj+Rc9rwtMyaaBXmClIdw6/
/a/Ho0AS5HSR0uPOHsviieIbRi+bs907f2CJytfWv5avuSTp5FvQNxif30FhwgJI1DIeHr0nvrXm
eGsCcjmP51kfZH5gvo5rHTlKoBj2L7y4wbS+wjAsgFtQ9IsJocxSeTh9c/X7ISfcceYEF1Ddyxso
uNM1hca9ArdPnXMoJSg3kppMzn+Q8R6cnKTCrmYygwi7cC13jurO9duk1y6nxWZGphJfWywdU40g
4yDB83ZnrAi9jam1LD4Btle3uTXJ+70eYee34EAZ5ze1MxldjsdGOC+rxb7ZVYeqXXpu9TLzmZI4
HyziNyizdUQozOCZSUFHVE5kWwa7fsHBBL0RyqYPInunMgmh27lmzwP8IKH0uKz+kFkMg94ZNawN
ytw7Kha4H55onzR/3fi1OdyoxbBYDLtRW7tPKv1fTLPTiOrKIeW4jhsdtT49HWuB3xY+QgCYJXQq
dRx5cfZZ1/HV10QGRNcgRklR4jTVh2a3QePOeE717XJTjI9ZWtL7/IA8lMgIzNX0qPi6ZDmLX1sL
/WC/0DjQRTgH6i+f/pnTKe+DtiooK0Uycl6DajK5G/6xIxy+AmUkaXsZVIRwicniBo0aNZ/hib5+
DfrM37S3kHOiNzQ2pQlWeaiOhpkRDqKsq2cSsAUqMzD9XHQCp4UC73T3H/YcWt84sgyU7vUttq3G
hGqWKA1KnvTrBQTYAiyyKPFlvrp+Ex57yCDwnmMXW7S20PnRtVjoQ02omkmvRmtdoNub2vpV7AcA
aqPNeuqnZFoyqjV/ZNQumYYXUah2XlKYahH8zyyEa9L5VZ849C6xzx9K4D3EdD9WPnAV52RbRiV4
BLnxo6op55Be6bEzmxDM8vJoUCM6jHFoxEW+BV/bEHt3Z2y2CNrBITRhl1zchDYPvqfYWn6Omh/H
3VVLGSpjT2q25gdo5qrxPIKPR75It5/cIy64dYL2vsbr+IZQwVqH3agdYXNWHTYaAS0yRFumGRkO
uSQM0Z+WoB5dM+kChQfU/6HJghVfyp96e+fD1DAGvl4s1FQTEd+tDozFqjlIXd4B+UCP5yMsO//e
SOZpldTq71mdOX06m2hzhBiK5SgmARQ4l02oh79CXi/8z+V95swK0NwOcHX0/+faq9polOsKHEch
pxPV/0nDTq+YFO9mL7tPw9F67l97Nx4T78yDwDvxOAtBrvIyR6PpxQyIk8YhykQ3a+3uOU/IVWZ5
HUrJc2lQojh+E6VYBQMT+c4bhXyrqwvMaxjOwZC1dQk7gEmSNpQ87V/TWAN/T5AF6HSn7QpQstIk
1jiIlCIIf5EdPB9spNriwNKcAyfy5QeXwToEEVNIej1HyLp8R8PJjuCrLA+TCDcTvuqC/l7Tvi9R
vBGVb8ArKfxXKSfAFyXJf3sLCJDckbuZ8W0O06czZgy/2Y7FGzpmLPOQjtfVZsRrwu+8JZjEf7sq
+3ijnp0kvSEDGe1/rESFr1VN9iL6Ujl93TEaKtmcNMVbz8oSny94qYZvyhQSPSnQmKichubXD431
n9PqFTZ6CwVtEIlXEwhJhR6qN7jCPOaArUS4tDzRLAXKG8fPMQftdujdBCTlLg6+HOjgUg8LTzFJ
kfAGGZ4813JMyPzB+TA5UZ2CgKJijmbef3qDqNlJIj5+eKbHxJa2QkBpz8N3Ef4iykfYdeiqxaEc
QcCmuVYUzfhFddJzvEw0iWmBsoktrwPq1KfV2UZX7Lc0b7Wgm9NwTYaQd3fv4ZMxj5UpSU4il6uM
xklEF8rwl0Yn1OjTQyr24ftNM4GA8o7H+pQflQW/pkKMX8ik6HVNmvooo/LR27R8kmgoSS9nhLtr
5LQpfjw0oreBlrm+72li3nESnKjXQpwgChqkacUzGuI+2exn1z2QOGa86aqzoSj4I0ahox95YUJH
FdCO9yAhMRUwLF5h/XIDNTlH6jjwiDiDWmRX9D7g5t9aDvJZuj9AqTUFB3P/LrZebBQzjRlz2jVb
UgnRkMwfSZXxFXSeMM62Mh036LmXrb8v+sAvzJAG2S5nZogdwTDeaL6w1pWPvvOZ8DxWBamcSFo9
v5u5jA3eIlLqydlj9oesGNVOf93Qv6VEAu+7sIHnfQkpl8sOyF8FkEmApXHuBwDpvpY9FWcX+GTs
IX00Ms0ZSfMp3UrSK7OP4AG/RZua/RqRfSzF0Ctwi2PG68LWIkzSYa8webAgPJJfLQRtQ9GN/L9l
jH7Zd1o1W/frhCeIa9HsPp0YbqwMcULtKRE85343bBKRGshfzm780qwJ9jXPO1pi/V5OC8Q4nyTQ
ih8Tpje9ngbN2wTK3r53LuxS3cqGPx3GBxMVC3OZZqee2lehNxnlAMGNXZkNF/Uj65Bu+NMM18rc
3jESQY4BJmqIZriS7FtkwyCzLollITCQwrQfHCPumx+CINbiX1H4dK2ySzrUzO8uRlLulRkIEJFj
1Bovixs9qRF9T69iolPEyTbWclKZWuMnHmztprsS1SErEi0+s1iWbfhhxqD1rjOPmszomOf6PEN3
jkox6ykgVONL7J0p7D0rDN+LT98qKiQDz77T47F965IvlwXq4n8LrpT7fxXRre11upgmqPsYsorw
6Y2Htn8hO/ID6nsD8hcTAjaJd8KRg605cSrMERlegEAROIfe/mjKAAitxXsZrnjB4u5cgqUA5O2D
Hsd3a0Dep0JbPJ1juh4eKtXjSrnBJ6rWt/TNgHf/UiW1VNzB2Z24AqKcch8MAG9o4h1XnV7Sht5A
TQZ9vuXxHW3cWwgQBvj/ErW8hVw382Xe8HL4spnjJbiQojOeI00pei4xz61Zk6ifOkugGsYMuZLY
Dxy6gsrmCAz/SUS+G/j3TgTLgodd3VL78DwyLd+yJxMvt7iOU1rWm6Z5/VIiw+Y/1szKqoObnF80
WVeigAf2lHff5oSQxNgsIIJktkijho/JEH/DUsp/CBVjF3hGH+aOnfW5WCn4IW0m79jDfPUVJqkF
l9S8Ic1s2OP9wGmvpgPXKs0sBeE537djlWNSeec13Z0GHbYnHKUUx2k9eqhhiQplAjqNZVDj1oMa
3qg4Y3hkrcEADboor4zWcRifkgrnwseagLPPkO1g5AW1QX8Ebsb/A9ZIoNSJmCrnjKIQ0SaOFD1u
pBHFP5lu0UB9c9eu9fpqUfPkBxONux/ykQendHodufntUBCvkIa+F9s8RKF9v/H/YnMD6DhUjXo4
HI34eNWqVP6C5PFAUWNnYkLUekYQqckkgUSp11nFSeaB81jBagZXK1crh93ov2FniQx6Ys73oWMc
LvegBQ98KU7zb0VobeOvpn9QUdos1NpQ91rmdKryT/l8D+y4Xzu3PbG4NhhMezgOJVO+daQd/F/Z
yYrfjxFaiiNbynPipWtc+bDdDBm5Wcvu41ncqpaePnbA7ceVqNRW4ivtgoscVmnKaeMO2SnZ+zsY
efT+gCyUzjTWs0UQ5sE0R/2XJn+3H7SRKz4K1bYkIJKf9KUtcPSOp3L3MTHhlOnLp/0jIMRAvmkN
Rzyob0B8XgkRgn5No2AxjlGQBVWdaWhs1IU0TQTk6wv99n2S/akMv3rm0R6JE3nqGzz8QakFdkQh
tr3b8CGM+PG7Q6NpZq8UQFUGMCcTpDl0V3vXs5EC0VEjwN7y5yJUHjIh3sDiCeXJugNw6H+BwVU/
sOj2yKLH/KIh7HEEc9qXJEebu0rAu2vGxjNhhD/wjWXlLR85S/iPC0s8tpoMoVXY58Fapc4Z5jWO
dALKIkQZsqyHsVuZlNkMpsmqNYwYPQCGaQ0yAf0mWBImu3bFjvbt46qlvOySFEV438MdKA3fCJzc
iVq4LOCvXD8ZKntZmOU+QuuvAkzvHetAZlE3oDqJcq8bW5S1K8Uhy9qHwneMqL/0XLf39GhIAEFA
6yLyqpfJEohrwRDWAcm7PvPLlNxx2Ys/HoECjrLmgdvNPTkQ3iONFWHKwDRPO+yrlqjEZUkXKRAB
yb8wtmsk8ls/nIRzcJcPrZgdWfiutBEPOP+5RM+A38JUYcSXDaWvbUSkp8uKVtEVNbVyTZbUHmKk
tRVIwVXbgVVjtHaLhkjNEV8K1Cwz8dDKl3CdhGwCNW8TtWS915J6WyMqk4ppVd7lTpk3/ItQpGNU
7PxUhoD40K9vttFM4cRqmQc+hYKAvHNhTQZJLGGdOrb2jAGIcec9yQKNOcjoq39+mFp0TE315mly
7rFadT8M+2YxJiO/jZEwbF39zVAVWZqEgfJtbZWEjR+tFqe8RBYI0R38X7khJhPCdqbwbLfVaddX
dBwvbqEUxN8b8awocGmxEUrWBOoh+eHMFYUOsXGd3NwDjapBb3M07944Tbykpn5Wbb/kOtTYaOAy
L1hUrND77CmUUH7RdrX4M+NbphrxyEDyetRgXt+VFLdxR6o2gn1Ww60/EGuisgBUXS2pQdnNctbg
Gol7G5p2haqBrC4xEExcW2uUFSGOHdHFkP3JDuBjMZCN35MUWZcuvzSCr0OrqvD9aYVMvUrmo2g2
OP+YqtDwmsMHLzCQxCnRJ/HrYfeN+4/oXMFULv4LxlQ7yuWLUPdmOj5nqvJH8cn4h2W2eiBvJGGw
jfK09JJgwSGcRXm+B+WSODFQPb7Q0w8b5Q68r1l7qdzs+vhh+n9QXSghgJHsRLQIBOXFvuHUWY2l
nP5U5CP+zm015VFhHcAgjeveOvDV8itZBl83Ssp5mEe+jY2YsVD19Hs4pIehXaT39NtzT/A+ckZN
pFUPYl6j+FCVZmtMmyrIPVS8HxlNSZmqcMd5jrUQvFM6ZEaHtogY5wEYkDFByeezA2RkZK15XfCX
lZI0Lr/hl6wNClBQkaO/5KGdPpPNdvcTMh6udXGq+5y74PTRK+JMKc7a9h6/iXNdm0Iz1OQH+bkd
bZU7kVGv/nfcLuY2zmVVslA+8goNfBoObSq0a54nqbVQe34vSEUC2N4c6XbCor2ojquyF+mYlXeu
K74zqzP0urmJaYezTblheh3wIrg4lHvbM/OsyUUkjXOJSPex/MDcEpsk0f8ctgmrDTpptDzsa+3Z
DL1QlSHyVDBbKEqXhDtVvU6wti4YfON3An4SR0iLH2mXaOJO/lEyAn7Fv6CeKd8tnrwoDDf1XosG
JoktWLIlAW64WPAuy//H1VRVg17wRM7HD8AubBbfZmscFNwjbXmvKg27iuA+f+kv5jGxNCLixt+b
jISPVyzXLqkBTGZIjrMbW5p8Aq6rWixuzKUfGUQ+ONhIjeMplpvG3p1OvJgZLkPgNsNglqyHt4w1
JjcLL/163UPswo3Ho0LjVYXD76kUWa+ddLteZXJIFtfTiF1sLh4zSqai56J8tEO8huOB0n3WbIAG
/Mfq0Lb8GiAPEjREMeXv62Fu8sMP/RzsyiUuMfMpsagOqZpZa9udN5H3Ez/WnEocVrV2IXukP02o
A1MPqdEnGoRnBAt5YdepiJ23BgLDP5yeB8hRt9S5uG8acTPXQ/be87oYy6kw1D4HlcrrKZ2/3Bwb
DSpJNoe6arrAmJMdN/AVN+TWPDGkPUkfipeO0hlxCs6VC34b7eEybp/pKTUAnCdP7blCiLPitBIF
121M6+BeSxbQxOl41QIaH3E9/pYSm+832UEQ+SceQrH5TvdkF9wO6IO/qzTXDy04GDLQJiJgmiKo
ExQwURPc37AQVkPHOZQGl7oT6aPAFidNLY6xvCwvnnuMxBu7b0hLX1LfkZZS5SozXJ5FKKPFlg4p
jtNOK+ePV9ZDQSF/9K2azeblSvMZoRPITmL7r6lRXpxUjjLrfW9P07xMCeNKSgTSQEeEvHa4LL4n
nrzGlxUX5hrDdn63fQ8KoNNhUx4l/hUA3Ptpkir+SnFP5r3uOnMQ76p9sny++9KkjAbI2ooAt0Bd
Ewxh7LKCJETDP6AOxXLWvi9YMURI6Ok/0LE0iSBz3Sp3voKGQ2/xNIvrapRt+HWkoGdtOrWSs9Pi
MdaGEq3ZLSar0OL5LcqopCVYdTwR+Shhv0u39R452a5HhhpJkCyuB2X6bONs+YgZ6Khqv2zKXrDk
rYCrf9xa061PpZNYgGCMhwCvVlBFPtws2E8Yd+Q4K+fEN+1nroJzo+DrrbTpMlYaOYZ8I6zB1lcb
TY5F//H5YZQqvbvKOWYkWGfEx3cxiWmBhy3vjivwvv0oXyQXZIMcmfRyjMai8LsLWnoyh18ajx4u
NiwHpCa+Hlth7WX9RDDa/xCR+0Hkbbp2RmgXt8TEZjDtyXSikCaTiDntxhFvdwhk5ZCDj3OhrTJG
BEQatmWvQpBDBAjc+rkqre7jBGW09izGcvteJs6UnS0zelrIPPiaEQUt4fg9HzWYQrxpUh7pv2kO
WXF/0WyJWoc5ujGmQDKUdPE7Q5Tc8ShJQN1Z+Ez8rgvWvdgSIejchdfknQa9wVhlwmbKBb4knzjt
VILo3ftCtttCSmywkyjbmXcoh4N39wZX28o3jz6TPFtgEKyGhrNyMm3DY1C0SKmqYH07rPmda1CG
Rav2Ln/OGHzrPsHDAEsY9lHyoZ8L09h0v9jGM9kCUZ04STcRBs21X150vT/dwW8+n2d0bEr+U5Dv
qWaWWiuZUO0khI/M6kMZWRB6NbXiFQ85fb9w6GaBZA+p3XJtJcppeAz+TCKyL877o9kfxIyWhGzB
g7Bd7oBefEx9oP8C16iJ5QvAL+/KWMJNzHpAinUoYyiIspbRLXtRfWawAZl0X3jbKkCN7cXYACeD
k/GNNBYfCveZlunLtw2bTOpE6g3p7x2q4EDKVIEG+Jk/zcSCpXntxfYJjRbdOx6oZuCXI8aIBrz+
N+pF5owlIB7I/h6bwF/zCfD3tSeHISkjrJxUOcw2n4TIhfWEdpyASUisRY3qqEZz6sig4JoFmEfZ
YJFIOfGODKi2Hky/lZVhHUC9X5YD7YfBhD8j1zXuHjF8069PpyUw1wim4DBt/UJiawz1fils8coO
KKHxeYDNzWjl59QqG4441DXkqrGpX5Uc4dv2zHl6/M3srCQjpTUgBxTewJZYk6mhHBzTTCyTRnPW
xo+/2ewOiEjLjRxAS+ahEUGQy0JullS5Qsovw8u7HwfW8RjafqUfbFq4ORl3KCKv+MlLScG6xYRH
/H7TirM+T8pPQ8JV9dN39/aJ1W440jOWb19Abyvt/Hxc3hpRcEhIem30+ns1tF9szBk8RwKgQGIH
TzL26RstuagzRszeMkVEH9TarWxfpMf9+UcJ5sLsdqCHAEiIloj0KuP17ye7PYVZYaa2UNXv7C1s
snheH+UPVjIml2XxLewxkA0fXRUdBl2Y11AAf87156MAX1f0/bKTvv8m+bdS7YIcDewS8Cc4+BD/
EVc3t797vOAjxGB52XjqJsfpwiBGw8S5o9BLv3qXW5Syih4BTF4KyLY6I+Rf3vQD57mtXZsY+zgL
4nFd7I47ifpoiKwAXCvmCx51F+uCU4HYFqRtuje93NUTwa+OcyJriIevMe0gPC1Oz/cQrwZ7MxTM
QSYR3XBtNVM2ZP0e3hPGbGhcGRDE5JjfRW1CUHvn1w37h2an8CKZ6jNQRwsbjwKihHVrsCPQWsPZ
tRcvz1QgEcAKJnnBQ/tYPiXvJlBhpNLRGbUTZraVR5Q1QEHf/Ju4tOpplYsUmvfeK1P0jxdp/46K
LVi08q20aRE6BUab2HQM5Ohpg4udhEhc6izdinbqLWS/GU02/Rdpf+YsWMNXq7u604hmEbt966VR
wvImorKsGTFjl45YwSjcxbViyM5gNnvu4rjSkH+iKkKFBvRrUaGoAt4Oi/5NNBElMeRG6ui78ZS6
0CmSyJgm6k8wVtD/ll6XQnysU0V5Zttdlvax0dmatqu89hOGVzw/kRyayKuoUol87+Wv4RrzKk2C
t5AMX4akxUZbMdJjZ0jiUJ+jmMR3Hi8l6Op+Mfn4tr5ksYTz8NDYilKFvtP3sXsb2vaLsF1eSf+u
tbAbycpARXxEIc1haok05FvUQqiyzFInS9NB1QL8EKoqdrTJyMRu0ZrSn5rI2c/GK1rcKCtsqUif
npvRaqaEQ4lbELCIv/RVK4qgPrQvrfyOJMwtrml8ql+WFaHTaDFz3hNvUYkjsk1biF6yF8O+YF7N
s8IZSRfKNij+QeYPyewwk+ZBQwoZ7crVlP3cW8B+nLergqRI4dKwkvVyTHIvS3P7GY9rMJwN1TLI
8yieYGcmEMiRFNB+GX+DGuURrkXHnYN1tEHs/0MUq+7OtAtTaxmPt5SHXrwDEkLIcgqsSQWH58JB
34nHg//qCSYRiEQAv3Pa3CKfwtMy8TZgUts42zD/NRS3NpsdAhCqZC/qGTOu7LKlKS+KkaHujJDM
ZDPaJfYy5Jh95oFQNRA5U7pmzGatgBPo0i19xdUuy+RkT6RG3fidDlKdX8W1hE4VM060kgQqb5JM
PKDN3/D3hw2ZSEhSLoo0JjPO02XLVLeG5oKJrDVmzAAVrwlzNUQ9szVqP7EbaRX1VEBKn/npy5KL
sTjok5n5mcSG6I9oCPczeAbxkw7ZxzWY5Nu8d92vnCBLPLdMY/o79V7e6fCByyOQ3rNNzCoTeDG3
6iFV+WjCQ4OcqK4Qp5QKo6PJIMilOIfpmW2b1fQH2tJOIwPbDAgOrPYCfb8IKAKHyw0I1ojVhDrx
RYjGZJx35oAe4FV4WMbtZuMe07qG5XBKvQEVqPYKJoxuxzgKGU2nUlQDpODg9ziHkVxu6KqJLhGc
GFl2cGr+jL+RVKdNALn8K5sc7fYcUdQL2e1Fl3ZMLjq9svRcmsM434ioccOBXhnofqB9ijvu7tvn
q6scKt9eCri60itqgy5OzrOY2E18EjfzIKGwTTxrGiny8cZJ7dxiT6c/ektVfwVTjMvrCzpw+S6O
DTjP6C24P5O+DiqC8UXAc9UMrPEOQsI+w1KyBgm0/lNWTgGSKQbwQeAgBedGm9xna7j+GO5tpGu6
EMhiOxtMX9/4Ty8DnsRlhe1FQXc355wTe+1CgeV52qxvS8oDmnV0BO0U8TB/Iok6RpsUOXGrJ+54
IkQcsofkjaZ3GmM//n8VE6eUvGJB/LIHf0xZJcET6C3PwtoSe6PZ302F0+Cmg7fX2W49XdRFVfVn
uar6rUSUeoxha+3m4HKkeVxV57qCxjOGGcnUS4zchL3y1yqX9jcqEHxsGbZ4pSYOILJBGv6lU32D
oyOMzvWWoDIlCNP6Ih6MVtX5c8Q62NhGdONeurOGU3lRvUouKUw+eLoZGu8iBoZhJWkZ2cZWM7GR
Igz9N9djBFoRILLIk1/8tdh2kzhDMQ1ywdSAtiNmWcTTwyHH1L7ue+8fqFV9c+NcrJGZdqCxt0KK
T6Rd+X2MUDewy4g89w0lo2XsCrAw88zfsOEXr47xVvky9470TrdWEaQO+1tC+AxETUJSZO/b0vQc
Twt7dt+jIQpLYgiLMR38YUI41IECTL0lNA5dDnVwCSoe1Ow4yu305kAqi7FXe9AI7RK8IijQRqcA
mK3bYps+v1rIsAK5I2OEVAy3TUsT5ObCwtulBP1qP5N7gtIGTDFtKFRPrynBPioBPEMU5fwvgDhz
UUIDWBogNGIjEWI3cYyR8FOeZzu32nq4hX+Rq3dFIzUOtKEzU57SZx0ACqexKSR4rPRZ/gBh/saS
7UPw8eCT9WuimxxdhGB2lU0rSMefopDTDBi/cG1ib4fuzfyHUGtSc8Rwvg2yK9ItureiIQc/iBDr
stvPyK6+XCqtvbmANRfiprIpqq6DOx/ubBJmApaswrzqovrOv5TNg7hWD5GQu7NRtN/TKp+0U92C
BBAkhan4XdZfd5D5k+h1+lF23RWTzV+sG0gx2ZJ70B/dH3Z+uLOSDC16P8AVCX1s7f6dF/C33B5j
j0bIWJ/PlU+3Xd+0fGF1OtIkXgzDGFNco+3XAtZrrN8ZSwj8AEvFAKqW6iYuWIVH6MJPM7rzu0UH
z+/j7k9h5cFaPGX5IzWRSOjaM3X5Dhd8b960Zdme0W2eudCpIU9Q8Rr3+N6Zv5vbImvk8cLFn66x
Crl+Bk4MxDiMtu1x4MMP9PqivQ+FaDNcblsPUA/8J2oUZHK/pQm3SdVjbh5Xdupc45Ip2+lQmnlw
sEdmIcWY+sYhRuRRcbGRjJCZ3JC7Ps0zgLQ5Q9NpkKtizPpYOJxPkfei9kRFjb4VCNve5+k4sRhn
ayJ067gpeVrTQbR2P8Wn1h7JMra00vS+8wIGu/rnhl9n1KzqqexZQv9GTDRt1FccoYl6AULvVSTL
3UE3bo8kgumMFwwb3bJ43UYC93Y66xjGW3SgbFFVikRob/rF71JdyqIyS6qV2CXp5FVGlJd4a1SX
tacr3ez0xenJJqny+/ppiRydWKe0FZRzgcTOydVBmk7vNJtYM3pb5K+8craHegm3ItKWeqEQw2Em
/8jGt2nOhCyyBUKUbjRzTmYwpgI89G+Fewt8h3LdT3vxpN/K41qEk9avHsgtKNuVr6RtrJlh6MxN
5QpAWzeln95Dn/oH/wJm3QdZ1GFU/8HwcOtqT0y1GETTP1WbHPbKaodSahJVEVAa/AeoxiMo7JhO
ncINyX6tnpeKc5VtJV5WfSkHyHyfx9YFHeklcqDfcKEJDuR5SizRv4qeGpW3Pbs09Fqf9OYd+udK
N3cfw8LQxO7fh73pZC3Q1Bv7lSsYAgDrllsIANbIFjoJgkz6UhbLnJrT3J6EeeYgh7FHYRrgiYGh
/pKYPaWT8ymR5+cQAl/3N3OlfR92HkUJpcPeZfap6rgKZMgdMwXpQhZXEHuqimlLoI8XH6bY2yeo
PwCKKQrKUxCVQLwPGwDv82qc3jCaoyGWMzxOPEfQRNrmlPt2cbwnGhclhEkyq6omuo9u6v8VUIL8
SoFWuW+833n+7NnRZf86J0cw+quSqhizS3yv3YaEUTTZLSNmtHaxJBFIG3VUCLBaHE2FMJWqLfXj
dB8J1klXApG4Iqb+a3AEqbyjECeN2XlaXmEqmdHT5e5cmt7hRVFGAx07JdrYQwEcrQErOfSuB+iX
dl8FPxNz9ropj/BXM8VQfcwHfaKQ87Dv9MwrpcgvkbHbMHlvE33Iv6oGCnPBggjm5RNIns/hwTPp
x/3Niq+EQ5+Au0W21o1ZQ3xxqkkl/PBG2yTtmqQGO6NGZZLEyu1OV4/EJOkNsrCjD0gyXZxm2APz
GdHjora0Xtc87rLkrTc6nIRL5bOB69sat/zKvSsbPXANRPhcqYUQX14s7rWZAnZ3HcAmbFrd+dbC
8z+87hbEZYTx9R63g7J34b5hEh0MKzRGtXKg7JEVokyppLEzsfE+I3hAj563tzKt6U2JievziiOw
07KEA/418yq1+wUo+18Lar06GEic69DKFJC7P2rFhIeTZfMmCq1yS2QoomE6X4gEA4HszxtCF/9X
eOb1PysifdJu+O2s5WCxcSLxHaeGHWlEeiDS36iSOogHovPlpO28MAKhrn6cLtdChLuLewZo+c3x
Xr2wY4o8otaHSPSld7V6ysfSL3GCH+n9rbtYR854PuBzTNCv+STOhOHPgh3tz/9EncqBnI6A2OWB
QMDKdp/uej0E0HQd9YuIiK4u3xO2oW2VPHa+pUmvzJrQKVU0b7Kf+NFMNmJfewgEfie7MILbd+CT
0IT//uIgwuL4klaGlEBJROauCbaji9kNP8bHr/q5/5jqGhYMe4CMpOvwH/PU4oN7qPJJJQxmDDbL
ANV/ryBP/6ExhBnRc7dmM0yiE5Z59v/vtuL0MOhOQECbHvnH9CXYTvFooy9q6wYV7woCoZZ3RRGW
bYNA/BoOOhs6dcFDwIWCue09DrOFCfezRdPvysesjE75kcdCPGf6qi0tmA8sOJ9UVunAkDEuCxjD
qQ52X35Spce/kgZ9HT84GRW/7qLCpj9OoBn64gsqSm3hK5HrT4fraMO3r6zAR9EFRnQ4n/N9xIdE
Boe2tup6AGbJfXdcCvOibiyL1AzDaIOzUORE9jvyAX2qa9j1rTmL5H2NWNQBFQaxrHsMdNNQ9n2x
LHAbp3aanveKn7zOCpi7Ube8vwGojNdHGF/cWv/k3kC9J2UblZ0y/8E7xoPnf58dqOm3M96KSCH4
RbmesLySkiD9o0BHL+/E7SlMr0PF+uZn/KHkU3k7hwEmWBXrh/dnRU97mXDkALIC4gcKAEvp+N19
Z5ss/copzyotA/O5rBmamR7UXLP5aqjB9GnbwCvmqOPAc9xWjW9RiyiWDoUTrNYjNWXF0lGZ8xJD
OStYbcKPJHstZDSZHMg4P0C83NxohLEYfZPFs5VcXKAieXB9nQUEGBg9iuKfS3o9094nU8tFxZfi
pAC05/5kh8J5A6WGlIzwRSKnSvii8AOfbHSbSB5/fXVuoKd3CVg/Dq+ZDM0nDyxKIsF5QLv6v6gQ
r7jeQPyLix1vpDIboLoJZtIOjnCzOh7sLWMKhonGq1iESEX2znJi90Qtv0tGxt2JP2ye+tOb6bty
8DLO0KcorPtkSAja+w2XBSoszpY44vxuHmXM6/Lebdxay9nLAxbsG1TbkEIvdX329vhiIlC84pBx
72B+aRbOexOWe0slN2dkdHgV4X2Bdm3zHlGTWH1J1ZfN+PSqnCFUbt9Zsvp3CbjCIht4IyUUAawV
WfPLOKl07SyhQPeylipnCQRcOHVmHZWFXhQI+1E9bwYooZCveqandI5hepLTEAL9LEYJYCBgPJsA
Xx5Ah8WBEBgXgOJveGpITMpcgq7lkhLzDYHSGZk6bJzyyDLWsKi0D3TUSX3oan3k4C/X1VjqtyKM
/Ur2XVcPrcJca3bNDLuRHe+3d+gzve+SU7sTInTC4WV8zgtaIPw2DKr9p+OuefHhvxZeSr/2J85R
LaABu1amRuLssBaSBA3OMcgSKX2EiKjVlsiPwTt1IZqvQnPY3tlTVdQfxB+Odfo3ajm4FyrJxSIe
YdzFVx2ytwL5jNzfP5vp8N5b4AjOE2OXC5gz5Yexa/Lw8Vv2h9TCNDpnKN6QOnPxISa7wLLabUqT
P8/b+ZtuQuwWV/y9ohzRmD+EXrddCUeMg0bvCw+3jwVV+9R3nqBmm7GQZEsgY/NtCnDMighmnaut
3fMUgJN+Cm2iWQZcUakgbTHZRrKG4JbnmZxUujo/LHs7c47ioWTX/1kAvjanz9nM2ei/YLzldHro
ngGw1KLHBhDHI0XZpBqOpUDUZ3uEL3OllFT497dnQnQxCDfj7YCsB6B8jy2fwqNHZotOH6Ey/kNp
OXS0hSSQSwP+PYbicyVXegz4SzLVLNIC/SEWVZsRxDX+EbUmdPaFS0tB5KI6qe9hiDfF5mcLNA6A
DmTEUvLJCqqAXO62ZeZdIrIYDhUkW/+ZMzpEOISLNODkFrnWT5lll7xfN2ZBCFjrIQKUqBKTR4Is
H8wRt0/t87zpqzIJMQQSxh3gqtMHOmSABHikopwIxRxvS36jOP5Vwadb69vn4wb+NqRwuJpHnsJC
TBY34JL8hLVcViJgyePeTtDiRptM5dsrvoWK2G41+MoMmykdvM8soCVgSxJhP+ssVg8XGF0f6/nY
2OquS+zJMiVTnSC6f85YKCHVkZUpqys9t+YeuVYPlHwHM10efiMwAo48rcwVhP3TlgFZnG6ac+Nj
NRFlda4h3V9gG1NjhU5L4IG+gJiVSxLQdu9+3KNNM9AwZjqzPyRKYId2oCo8uoqjzO6Xev40xvFK
dfpQUKGkgKIJRaRjW8oruOmzkle0FGB5AGIahc77k9Pak6xjJYpbQNguMcWVYr4Z8o1Aw7wHXmQY
2jAMRfefs/xD2/ZvW4m9t4BwJOqe0kggHysMoLndzwI0uL92VAw0owzv/9QNYpqOGy/6U3BU/Xhp
ldm8wG4D8xFa1T8iJdJmkuuKt9DaLBxDmn80KWrEDjl7HXwkRMWA8qEmnhVMziIzteR6V+SnP2AZ
3UnTndNoGvjtFvnTyKx6DEJBkEt7pfFVJYqwhfIzc5q2RyeFPpLtL0MWw1DnpG8Uu7S3uXduvkou
YccctkTtG58nC9fgfY3zIxZ57V3NfVVbrVWztBY7frqlhr+jw2ziJKq3l9AFQkbbAhmACFBUeY1H
4e6Nmo5sTpygLh1QU42/mNvUlrcdG/h6MZ6ybG8KRBhq4CzbIeiwnrCRkXwQNTxKaczKlyPMU1mb
3htD+PN4hbQhed0rlU5URd0YSQ6SQXeG2NMTOe46+5gDHd73YKHkFpN0LD/6EaoKl/pEFR7AYryg
4RyStwHrGrm7OA59vgX6dPwMvHNS05PWCFatkb0bT/ahnHuHh8Zg2gmtrMXuA7Pn2Lbmf6MwnBIE
0SN5wrcU5j9rChRYjwuseGhB7TK6JvVj0ijSjAWYUlvQcqDMya1i3S+7nDgX8usAwsNA8+KGBv0y
CU5o6r9pT9wcLuBa7nS5q7hnVaqwSCCHZ+j7lAY8sA8XBwwjCYv01PExPW0Hkwu4G7vsp3KraqjD
KVlwRi6T069EhhyDS7uFcuWM6uxpD8KNkUe8CyndeE0yVLOHSu9/QdxL3CAxYmTult+DFbRmgAzF
100XkDssBP6wOM2bWY3KE2n8rzy3/SLWXMZtbFjupsbrDfIfSgtUKsAB8tIVG/L22gHn88fUee/S
7HMDea4/2tQk4uMxKBnRpwrYYV69p5QgXLEmntRdV5ic6ScolUnjbYZYQYXwurmwjyl6TFQcWUvU
0ZthW+3zlr2NyOcPqdDR6EX7ocaczpiabpT46iOYFxEwkSA8Fvr1hcBZgldf/RsM4aXtbWNiCz5q
89BlxBSIpxi2abDWLaO6p08jLS+ZifmDWvADEQxaLOze98O6Lyez0a9r9uxdwpYNpQHLII/HS/8O
Pukl+sI4mlNtb1y2XK7TVy5+OfAKa0ZVl9/QgoWhOURFBrujrj+mg2iCB0rwM8sM7c5cpdcekxwk
WJL0XALPKLY41+s0Sh0SBvDIicNCPjxUGgf30KpPsNGZ11GQGmTBWXsD/kzp1ghoSEcKq04sfPAY
sKWtU2k0AH8+eg/AXMzhyrZcIHUZtxImMkKaxyTmgJkFM2pvz33Or6HxzBhb7j90GOukPU+pJFQ3
j6bX39LUEgO7kK9DWGT7ED4n/NESBAicSVPcKBX+6p9athZoS+K5/pepltoV1Aw124WP9yL8KMSK
q2a66JF03+5OcTlNW+Mbm/h7d6EY41JxA8qR4YeBBYc8yKJVO6w8CkG4MVGOVtORSlcGQ1v3MidU
Rc7JI59rxp0GIufg1cKFa0zSwWP5kIpq8+qtUFDQNpsPgMgdBCaBzoFUTq5uglXhztxVY3eKInoa
6zIq/vVTyJrU24QkVSDUbi1BkGTR9oRocy4nFjVW4NqwpJl4lsvq1QdJW1thENoxhOTWIZ/YOd35
IvLxsWDY6gJ/+ha6hNEKsINovvlVdmzViILnpJ4n2xaZ0aTTmiPlS7yQOF4YJlW8Uo1gRNA1rDqe
1ffkEyXw+fOibarHQfKhvkgPGgRBm3VzC1HfjSUtGpMKHyC1mjHzCgqOBv8/SmzwyQVxOeD6ONKD
y4/W7XcpJEArmNrdcq3O8uZh0QBK/duajg3GECcXL/i8QNgAoWqB221VEN+mD2ppZaUAaAVD73+8
ijMHUEI1BURcRwwdFJrk08oawbztOy7uh0yfUW3HJO9PEGXK7/X3NbHgUzvc2VAhQl+BGrzgq6b7
E2MajCgWO4KE9hQy4gwoZ3/hb6j0U4j9PmA2IWh2jI7J+tFZ6gFsR4ebDK4IBjdHKGVG/2/NggVQ
qddvuwp/mDBrzYbSu6ILJUGn8ROOvwKEdwdGk9OVrex0ovCxDWoa/mEQ1kdbYhK4Xse7LozPDoq1
dX1lp/YTBVnSm8RTs67Qdc9Nf6v8wK7UOvjYOAG0As4EXcVkeXsPD86hl4XVf4xxJx2RfLt+WjOZ
Bs2K5M7v4fiqWDnkjFhZJq3C+/n2H1AzXKlaqPgonnVm7zXA43xVjIFeMQyCTwSnlnkgBg4YrpJ1
14SCRNATE+/vSNiTynAWCx8wF9p4Oagj75Nm+Pc4VRT5R7j3ZKRaLbEmxai1upqFE3xSdz380u8R
cpa+ZzxLm1n0wOHcsTfT57oHJG60ZQOr0aauOi8owChfPjbrDlam2Il6kFteBI160WpkItylvrT/
quoFXcRS3yi9BaL6BiH5SFutvgLEPv4CaWrlR/05vVNV2rIArICF7jQULYx2nquF8G4Hv6aWcrfP
OeIb5Jlma2Zf/WatNfRH4oX5FEkIZtPTJcNvtU3wMZtMtBl85WvwDJpEPkB/3e19R/BQoK5xQkK2
KpjIVh27UGGMEeN8EfLIK5GWZ2o5uBQgNp539nnIHzvA8+nBQWWxvTqm2I8YJWaKn1Bh+R2LLdes
OcTKsW7Cb0LiAwoiTR9Q5IFMZRU70FWAFEViJawNrNApvrIixcwMSf4RmKZyV+ydob8OnsTs49dA
J/coyqSTZVOABAmiF1D3v86Hljk2FH1hDXjdymz7cs5pXsGK9b2Opb2zmWgKqfRsIF7yo4T5c3Ll
h4Ks9nvm6kO6I6UN/9aEuuCBNMZu7Ux40kEamQD5UjTXv5D8nmAguJa6C/0FWsHryDNoUC823JIo
rRW2B9MJcvR22ILnEhHBRdPpXy8hD66+2Rq3cpS5fkUDrvviTsUELjNVuyQQnAJyMWHyqpRrTL35
RDJidn5S35a8uBp96GVyMNrGMOvy9E7SCexDebQIv9MaUTs7mkS8Cv9BwmGF+/5XjeW55OIVz6dW
tK4Rr30VGo7kULbserEcURlU6raKS1EcPll2KlayF+n2wyYQS7inSRFlV1DSmFjLl5KVbE3qK8oB
pJ3p7GgU19hdmyPiV6uHpTAmqWHXBMdh8KwnQ8FNitrfqXulH21n+DyEiHdglSck5CgkDaMexc3M
c8aXTqsx8aOcLoBLddd3Mnh88+mI1A+4nwWeDmMSRRoJ4p6UzgXdX9M6lZEY17mrEvU4JLo0D0k+
Zb3xutDKAsRPcUqf015K7NrBjzPAvno5AekuXL1AWKkDxV1SiI/6xHh+ZU2Yzh4sMuiT/1EJlSXG
SPsEBXchDh4wXM8C4C38NVjxKIjL3ISIrJLYpZ22TumVqSPWZbTnkDdxKkhjFNN5F7GeKMVoi3PG
chihG47Ldj75UL2Kn2LaDAW33hkCMnw6256h1xsQElAyT3dfUvMguYMxEQsDWL/BGthbY5Ak3Jsk
YPPKfj8IL2ySABq64UlNGmiDg1f6/V717JeK8WFgIW4+mTMZ+FfPumFgvWky8n6NNYgiB30MVPwP
FGCLPm1EX3AsnB5OmsuCvbDWGh7yQQiocp087yjKBLtRjm1AEovhbqJRr60uJjZfNwOkBTNCImbV
vtPcbI4FpPcHxLrneqMvyciljpZMRF7n1JcjRMM2Pwiy6FvxR82yHnbgghVxpvnKjDdIZ4vuFinx
/kg6enrP/Bv3gPzdRLILsSw40KKf0ZGYXT0ITaPwF0rTlR+gA3Pa8QpLQqxhpZECMFSQvg3j53YU
mUM8Fe6922Y0y8ZJHZRyV0tArV8gqug/jZpwqpXez0BSv1NS4d+/GDTqaGjotceEvQOEVtqeTkW+
9jj+8d79Zhsugbab4KWFBzr925a+zjA91yzeDiHfgx/sZfOXxklkcoQNsBGMNHqbGOfiq2k09F3Y
MrurQ5ZLff/S5jNxf5bQ/FdFOCrLrU282lbUBgXCzaPanyszDQ6tRms66sNOIEW9sVryYtpqQv7y
wae+WndG6EwKvX2j4ARykY04rPdLuUBlm/TKW0CcnylIQZghb+6gg+vbKRJyylmsIxOQUgdJelBi
yRrU26P7AlRXWJej+YpVn4AtQx2f+jj/Hz9ITbsIR7QneCer0jkkJ4xz9QZtJ+64ntILwBhmGA7q
tdP8A/Rji747YlftAI2S0LJ3mtcGXdWQvPFUQ+FP2FVcQcYTrclSKafAchHX8x34uJAmvP4hvupB
5KgQMMCNQ9rSyh/Oh9glzVArM2Fh5JleZuEW9FSPqbFZc5LSORH7m8lhV6aem1fPLF1DwW39vwWa
iT5hoWho1xdGH8Jtv+YG80sGR6rzCVzuEczS0fZHmyVdUXzFrxm0DRQQhYYIpu/VU4rIDawB04h3
qtEYqbNBHgQOoIahXvjeKNh8mECPIcY7WhktaeQGNYaQlGVNcDDiquA5ayUF4vyf30DvC0LjgcJC
8Kl4H/jjU/Jg80zjEPKotaEEnO1FPQM3ZAJdV6ofTq7RtigFU1GLN/m0qz20CQ6p8UNU+cADA4rp
dQvdKFZZKn2mvoZoyB227FsSmhoURJUw9p8I18GT1GFoH9Tw8oW/4e1mKEIX7o4fI4r5mODAfV7Y
e1veVZIzumVG1W1ZGFOMrhrP+JNVRU0p7myYCzVFI7OsoRwENYy6gIahfbUw65RdUhAIRVN7T/B9
2mr4hyrmIOG3Cqi4pDIcmXo9Vw3W4Ng4WWPKtvEDbW1F7tkmOfBPJvkb/XpF6rgn5F8ukhNMCb8b
c1Udf7JOsEPOkAZ9I2sgswaJEcb2EE+BN1c3o5ExK03bENcX8dPDFs1K3lsdfyWVgFwc2E9yWTrm
73xmzex4OT0nO8kPGWYMwvAFVQBwK53qNhB79nMkDoImYCm9mpu286yHIfO+F6R6Kv5/sgPuSOq/
sBh1HvJPrqoYTR68B+nIqREiHH0tRjTPFbzjxmB3I65PI+QPA4hEzUmsrS8QsT1VAIKgGACtC1XH
4dMAgzoTSVFQ4BtLJXJ5Pqck2WD0IANn+HFVOiAK22ABTJ9O5SN0G680599eZQcxGCEMWm0Ln18X
69nA3AiHrv2LQB4wS16kq5Q2E0awBhCk/WuFppEdzSNPvD7mhghmL8L8IzIlNNjG+f38mFMNAmq6
17r2y8/EQAiHpCxsR0s6p8JwAjyvrYPoAx57ASywmNU7a6O+jeUfX8WHsS0THz71cMp6K6B9GOWW
ixF8yhE2z/qKABZldUp0hcyff7Sweq29lHPQREtOFneRLmHZJSoZjs4DuYzXZmXQ/0j5LX0qFfZH
t+nt+X8gfzinfDkQWiyqgvr/ECi9THAj7NzIIACMMg6NRJ2Qh8V3fZGfiIu+hL0Jt9xZt7mQA6am
CbEAmGZvz9oPVMcgUv70cw9yQ0JLxDaDIJIodatsqZ1is9kMvC32zLbSp/23mJq0kOBVR0fSGRxG
/B1GiRu6gW3SLRR6nhtRceN7uRvnrou7vZbIsLLmGOb4bNhXE0LNFf2shRERy7KdEQ3X4weN00g+
9urhaTVQVhmbO3ICSofOKMe1Kt1WLQt37SEBJ39fO0CiSG6fCjfyx2m/t/CcirUzURBkvIo1xItr
hVPDkwuu0j59+dw8N8nwrZ+2stWMmnoYzcihwCP2N5acinxpIXi59ki0a10/L74opjzeYGT1e6uK
Go2Jf1J/4+Y0tB9udtDe6Wz66TxTwjmvck7xRXxTOKMP/c1xiYcMaEkJ0VS13nYhKZxxc/P/lRX2
heIMyddVvLpypuH6X97yLsSyJXtyQha3X2kbgJbcz6kxQg9pOVNfv0wvr9ROFWWYk5xsNzaUm+7y
rlS8Ust0u5wNJYRZXcPn1IjVTvz4OJcF1F9rO4WkBLt0nqJQNPkD5S85N76Lo62eCUw1Gcw3vPxJ
HaUOmZu8JwTMC8bitLp80B92keq3NzYs+cAiMVctKcyDYIaYja+usJ9IZSwahZ69EAeEYhBU1CpO
ZlrVrnQvQll77sMZHfg6ZC9ZI73+kcc7q7apqB8LRYLWY4q18a0DMjvZGuUl1Avm9XfjBVdRFEXY
fAeiqelMQ2d600/A5lbLFgi+fs80WAa3y3RHBB9UV8ws+D8YV3O4CFf+ROgzrBKb79Ve4pWVp9tT
OoCWUgyUYydYgI13Oic2H2e00/lPpN0Zv2sx3EzNl2LtSRUpPy3mYGzrkTFY/ahygfccyZI6UnVZ
RFbTqsQTkXLfWq5reZgYXu125i5B4GrogYM9NbMPlOchxU/KbLdbS4+RWk52l9EwBfk4YCC71jLw
ZF8HzJ1A8bj9DpuBwmMxTM0u0UXbPfla/EvT+IS9sLuT3/vLVbluiyB3PWK4hRUe8EKRyNtSx69s
5vPensdA06IE/0ZlV7l2GuXQwxCYtpBxi1gtfBukx2V953dswT6kVzgngCl058iDmdi73+M/yPcy
xuLu9tyBKoGkwZci7BLJv6wvGJ5i7SiiZ3R5PA3LLxlhGt7RnKYQ/N/lDX7hO8Vtz3VMxmh0wv1s
cU8NqEPNAoyTQA2NI4gpRCRUlTY36KC9c9gHvuEJ29oW9bLqPCU+HeqhyCNAhB9DHGCSyDsyrH8D
4SR6jl8AcOsEcmnhtE7mKPNxrNrQ0okFLLb9S8v9AI1MmSe83Uq9WwNX0KTzwW3CfrKcbK2AGM8u
ZpCPLJrwrWP6ftSKzX9mr99au9dR92W4qb0qu7bXcCwpYM+o/s4I07Dym/fDprE+C/fTGuUf/GOI
N8UBwvdM7xo/vrH/3dflaKLKo74htlBIvwJsEVibnHuCQ9FCWxPOh89KBYlUh7KN1duShYXAtYFH
+Rt/KavrT3o4IUL1blF3U1ou1V/MR9p6cQfqfMQQQGS6uv6OEgWSsCikgL+2gLizAWRplGvRJrkC
roN3rLnZncltn30lt5paAneXvCMiXeOUGc7k/vUlMk8cjY2uNnRRVZ7fWNz/vfLfb5+doRLU9aTb
+PzdNB+P9cVJfcvd+7D4tPM+CWjJYpqU+3kU6Im3khpKLxNhbV0xGnnvo2vhiq+RiV0kP3fPzCXq
U7sjYSMc5C8j2senylvjquct6ZrYMekgywiurkCaT7Uibua+2h0Bvp+lMRBMiEpOzmDk8cGeHYxa
DWwniKyJI7/prQT93byANydgdrLnTirmMxSE8qcHemOaXa2RYkF+BWsPDKiROtMxkbm34M0XBZkr
JBZwq3C1qVA1Hj/Ty1rq7EFHiQC82qYp5IlrSUr0P2J2izFoLVzpgc24AvPkiZmss1iOWCEJOLJ+
oh5EpWdLW9aF8NjcrSSpZCuXZwjIVq3FTIckCkEdkzwiSwM4CU5jeaqEYYZATS4fp7fxXdYRLKwi
8lmKgW/BSRJpTJQyyW9kjfmuQFOuFS5cwNB9BIlK4nePhWaSt/E/OMp8erFES6HkBLzIG/dFGxnh
5L6/3pQzKwl6IZIxAqDjJ4OaFyH7jwXPFb14Kl9nH41qsOrzpdyf+HktDW9K84RtiqEAg6NijJRG
gvtRB9yUa4u4DZ2mzGCjxIr1LJI9VukCj/hehsiP2g2lNXkypm2LmFhLdjlDTax82f0CcEpKlibZ
MjZ9pgiJrDBiJM7cRh8oa4QTssxurKAUGXtdfVSiqkbjOnFXToClrRwX7dA2wHS1wxcZIhRxXZaA
xRLptBSQP7/opzeA1dX25202hiop0FNKgK7HaYdK6oQgnhxwgXjVvwMKOWyUg1KlowPECE6YsGUW
83azCBymrv8r7Sjbx/OUK0nTp1P8yqQKzYXmqRMUAoY3E30+4R71vxFmG0u1aTqFL2Fh24chnT2p
eRavdkUx/kmkn1gUPdN9Z3TJ1eSFqM459kqnwtODH6uTDaxEv1iQ5fO9wMogfjyziex9ff3KuMcq
ysb/XRKhmwIhxG1nuUGo/q1Zydbkgm7pPpkMAIe9LZre+2JzCgYDaFQv4JotCUbQVMf6ETtuf/Om
Ys1YlIsG+YmFIAAGVFTu9Pdc8mZSbhtsYKNpg9AU+rObo55Hw54lb8uxv+30q4/9iJgd1laIpd53
TVBwnpVRwknaJSdyyiHUL/hwybEiGMklhvDAYT5O88iB5Jcs5Qi4VHAe6p/gy5XhWi7/P6kDwNa7
Vj+v6/wvyy7gHkseW8em0osfYYPhx+Y8r5eMSCZNlF0Y2aI/edGOoCg4ZGePqIHnLdobNddMd5wM
w6CKVTiYVMT1cps7RdS1hIDt9B6EmfuovMknt2kg3vX5i75+grsDr6TzWkHwviOKWb+/ooK5Jte/
Oz0I8KMRSgpVwmBGKD1+4y/2P0ySmXHsIuRJuFKs2/piKP13cL8rb35RaHQMJo44QXBnqt+cYts+
0cfBR1fQSjhNdybpuRrgtMSukMfKEMgzVD4qBdo2sJ+yDNuUa+gfoYUQZ+PfHTa/2rGH2tHE5Kit
ZUccVJYneXG2Aad7e37no50KwHvF3CxTkQ675ncCfeZRiNRSZalOxyw5UV30WnUW8nJlBlCffwi8
cqRnGFzMIo3IHedyRFPyFDdF1hhZhc1GYSyFf/GwFPO8jrFlFaRgCf9lC7cyLg7ybpuuazUaI8z0
LjP7VahQ2+QjhRCAwmn8GOczQaz0xMP8rXu6+uX57txw3LnWyjTl+ibNusqc8ZbR/TFXXNV7rpFr
lwe+PP7MLi0XG923yiXaJa5o/3PnMorS0dH4By/87o4ulc3A9W/TNWczawDBi2jFKcCk3msG2+7i
UB4XHEYZKnE6nh1je6Sx70LbLfUQfAJ3GK0h4q1nmSh6BusxPXI+23zkDPGlLUSGKQAjn3J6RYVI
NejmEOJTdeUl70vEfohRQdYWjobhKvzTAv5Z0nKhGVehuCCQY/am3OA38A24s5/MtBdlM5hhtpH5
iXLvGduuybSfwoFGw48eSYo6wRX1tR4ZFZ95iqz0Ot2XhvEP1w+DeuVs21LAsBagy9XQWTX/BCdm
WBj9VdWV6sCA2PMZ7DoSBoYjgbWhDbHNY67iF49pDM1V0cUyWua3Z9KIToeRM5o+o6bd1BqQCspb
l+IQbU90FPbPJqwxZe13YOlGpfH+dKUbFAt8vribrwshsAJpnpN/eEgV15wBZKpALWcSK9UFwYJw
JAN78eu46/Dp0WchESAaa2J0n4UgxeNRTpO/bi4Vr6ZJbkKHYDElx8ZFikqZUpg8KCo7mtlxmJft
i/I42cFK3fXt5wvSh58BKZmi4M2EKJ2qx2wMX2GRmXAFjNn4hyuL4jtABGk2DoljMQaeCDr9g4p9
BjAN6dQ/eVIwpS9N8eDAJiTTKDioWeJvww48V8KfAlEjVfSq/zIQ7Isl1gW0tftZXhmEZd1C/DVz
VQnfGA0C7sDqNiCBnn6rNM6r0IvFHicYQsOXTC6C+HaGxpTuSLeEcYsm+vZa7wBqt8bYY10qQKAE
fOVxoaCsoVomeWT1B9gI95jaVBKLPqal31fE4F1qQN19UJrvuQ3lmVcbhd2//pSGIgKBh/q+Slc+
489K/Wv+uT7mCZNFGSDujcP6/fue9WHT39490ou0Bo9jqNMa8vRo0am1KCo5mBgEbPWXRsV3ePLC
HnPNU3V4uhbjqGubksuGDne6ANIIQA1X4whUYwKxGNWX/7Wy3142/Pd7dS7bpEwHjxQl0xVet4bQ
r6F5kCYPlbJIKeUBsl6Ostkpfes4Mq1YC1dU9wi1p2pHBBc3gkugq6MqoEBU3cgIk6w8x8McLvzs
b06S4CzLk1J3eXXBmhtCD5mW72LbIv8lgj6qYq7oeUQr4KrRx1ntgEIn6hMwPf/+M8EVimdQ8LQP
AuAIygP4WmlfDrKRX1G3uxUORJr7dRNrzOmmzPN+GFcVM3dYM+jNu2v5fupv+y5iaW5THgokmxyC
soGfvIVwySZ/WaCIN0Mv5PkX11ojOlJh4tfJOXnOhtXFqoVXgNHZG5K2upmH+ZGVxn24y4uWac1k
uoyMdjkbQmSq3+LL8X1kGVOhCMjsrmIsdb3hhMuA/FtaIEc8m0MndX3OfjAmIWKf/BgTL02AQbJq
xx9BBHTbG2zx/Gh2nBojprLqusnBLd7quF2/3hZ2K8ooZxYOr0dRI/gM0ImGRxMsdFf4h1ImHRTW
SQ8kntrdwdiiVypT39P952ej8AVXvtBsHKfAb7fCQP5QXPRanjniwzD1Whjs3KWDzPVQUshd9+KA
pIay40a7gZt6L7CKSvuVVc4VnC2sO1GnkTw28a0GzXSF85JaRc7XoFxSGNnyQnB9U06AoB1tFmxY
AUy7UWbNZGuvMef5bGKqTLNVqrYjVulPtWvh5MrnN83fwczALR/5l94k8tcChJj0W6StzyBQUsut
NJVutfxzbCFSeIZDyAFdiHZoD37kbkvKxBOfXaexUCJiSCDj+VriCBs5Feb988kwzdVz3CT1d5Lf
vUkVfJvjbOvp5VYfD0qFu26rdvYk359W5NKTY/bKhKpBGKvk868/BomwUGSptai/KfD/LXOTB/UB
mJ2jT59f+VKgMM8nrT42xX33G/Wg3VEdB9hJBcz0UTKpiVZYuMBzrgNsxrUG2gcQt3Uislod0AVo
Ft1OTLeaCnV3djpxxRU0XWX+PZ0mPzobXKo2/B8I4uvRIhxcAb9c/c8Oi44Wc2jBETg7HPwxggZ3
z4NbrUHA/GFT+V8QxgDVdNRVaZTaQGBGRGH0JFXvFHS8EUMzuY+cZv0b8A/gLt9Vkf1S51lEYP9y
MRbYVNzYzePZ5QdQIWFKDyqwnNmDg00CgsOXsVoWnMBB3mb5Ccn181KXcV21pCgluARHEuatMqXh
E1OkG1GuxpHB1O5U5X5s4Sx2eev+CrK2mPRG/ED6o24prm1OYOODsqZSVr5oAX5HTJXiXgoSaZPi
/2OwwJL0ANqVQHvL0kv55xVZP9keOcTyv7zPt1A5Ce4J6pPx7ixXKfddlhCJRlbt4FDo09zIotAX
ue5sI6Wx0To2EaOTNKnDkxd1lToeCkIx/X0g1mCCW18ro1cXiycjKZWjQmRmui5tc8PagyyHBpXF
2hX0C2n9Qm20BAXIgiafBHeLCh/SjHiFrbAYPc4osREwND/daKviSToRbR9CarfxzFhMP7KQASmP
oOPG7mq99/j2Jejq9fIc6lG/iCGTPOhQyVEzdYax2zEBokuWUCKVuwHF315NaqUpS59dTY0iu3qZ
caWhr5YrU243+bUxZPFN/3dAdllKuGx3Sm7softSvfLpVgli2JzL3Latz0cX31JHvjx59K+zaq/V
5fPyNxvjdV5EO9u/RAyiDJDJOBmIQv+l10cSqOgG0z8xwgVsOjUYbRd64SaP1VP7cF5MSyI4imo3
nrjHfF3NEgbGpVOmAUJz++NoljJqHN/EpPQGwDPVBMqIHuspJqDXIV7TZt5azO7yPsxZIxhkdwXh
RjmbFU2KokJhVs7WSkY4LlZ9gZ7nPJ27WNaHNzOlsEpz1nNgkcA2w6SVrAVaNeCk0iabM2zvgvBZ
+0Itw4/XRRRV92MGABqzm05RYH5X38HOWiR4TZQy69BmkA8nNHRw1UPRENBB/NgMggbjpbAxmA7g
+jqPCdjLXGMu/BLfu91bTumTTCSBNh60+Rc5iea5qGVSu8+VO6IhR+2VUs0Zf8Bdh3AZSU+69UR9
v/2VOFjyvlicCMRHRCSh0QTknFbxIdBQ/UgKrumMcLQQfAx1stEC13gvEw0halWE9MXPqPDA0UPX
fmMENWS4F0Mugk/dvu3srXo4tUee5P9tuxFb23+EFrvROk+XyjlDAjfvsgBT5i+WZYRpgLl2sODD
ByNN+S7p+8OCjrcY8sps5gtxLxLj/GgyeIqj7yjDFolJejQs+Zao4kqun+0mCGzCaKUfrZnY16Dr
DA4NlxGAiAOHM/hxwIwoGXexMw4rib+cJkW/XKHXjbaucWuwvr7BtV11gtYeGIjAL9+rQy4aZr91
cZq/ft3vLA75L9iBlIus1GMzXVK4klM5Dzd61+lJNzE+SsGOdmg+h6vt9tT1Q7QqtlBq1Y0OrWzT
8OfsaYwHPLK25SO3hbljJ0lDipyvnJPRrspRX0vZdBqmH0+fyvxIB8MjH3SD9ZwV6SbQymsupRlV
6L5Q06Gzww/0A778bK+OhzC75l73fB0udFVtvcREC6bqfAtHtEqXjWlM77/6CDuJDEQsQqu/DTiC
QOeYqVwBvgm+dCftqME8QoY7rEQR0I5h1PFa/jJepWrKdGvCXPBfakB0J76hIYK5qw4aYGUPWAYg
GiL4ofQ5vc7irEdXAXRqabMvooyf2MuTNsqutpGZ/agkbPyTdKJpeThtezRTKVqbgs/CDLw78zcW
2+P/oinYIdet0ko669Oglpw3BdiobyYHD9DsS7e0rcxobBOKEYTRdPZf0JyxLdt35+l4CZ9ibvPm
qEY3z6GvCvbdOJxxP37i8NR+ImtFOskoXEB0GrIQt6DGSCORHhb/NKZ4uxdX+y5dpnPd4uw7PCbC
/0g9WUvlaY73z9VhaM41skC28WW6QBx6hTUW+PDqIQiUO+unIf5ONtl6wpPzn/e78JA6E5a0kJI0
Rx5CV++WahIU18SsLk6nOeBeUdZDCfplflNjwuKLtyeU5tQGc7vgMUkyRDUCFyGQMYWyRIIHRV7v
YIPeFllFiXHUa8kNvLkcgJFE0lBHk3F0kRukG6ygP0n3wfEMmz+yRak9/d0e0yvbUy69OI5je++d
+x60qxlT1Clvxx3LO8TUuaiwLxhjPgFf06JvTRjzLAMKjb2kU02UCMoNMVVq+e9wbxKT1lLEYpXZ
bqruZ50CX68iP51Ox6A4KZ0sBQ3J3Lp6sM3sLwX3/VpSo4SD6132vGQRWGWurbkevOUsKjvRCiwj
Sa0EnSlJ+fsk67G9/GjCkMslAIatwWwt1nadO1MsLDbAO9DW7DA1c+0Qabmb9ZWOAvgmZgiYAp2s
PnjGe50thyaBZq8PDMD14II789V/1Mgn4fnIlE5xDh5nxkwNVmT5v9COsJucJp0IwVUds0raEpek
XKsAGizMTNGhoH+o63gwcyA1fV5+sB42dzzS50Xp3ZaUXq4E/wQ/QfTJ9uNP0s6qPxy16bh0y65I
8zbSF1FYo75Hwb7XHpUZCEcYkQk2nbaXeCs48S2BXkK0bjsYpybz0Y0cZZLSh2DVXvaaQl41Utlu
AeyxBsRJpzntAl2XIAyvmwGwR3yqK/Zd5AMP1m+xUbRdVs2tI+g5mS/qH9i4tmXWO4kTMo7CGdBx
VSCLVJtOWK9cRU7TjGrnW3/CRLVueAQfGBxkDMMHGqPTlBin0DMcG2y9HnNh1rY833YLUq7nOPEQ
9fMJJ/xHvVFER0z19+J24RyvIQz+maJKecRlawcrP46DiJeP/QbD+vEB3C/AfJ288D8D1ZGuW5XT
HAdyC/3pQcp46dXmum9/P/mCmi+VuhL7VP6zozz19sgnSCcpD62uKRqDIK2o0J54yF0UOFBMEJu9
bM5u9bTiqtmfs+7OX+2G0bGL3NG1Uds2OQPXgsViTqs11Rvak+grhzmYkWM97GMmRfp/paERrnVi
6XV0oet4ShsP2H73a6bY7K5lQ1m3WXpD91BjO2D/bvmBOTPr9g6yfjjIIBD0BCdtHKOPYnYQHIS9
OssfOz3muTXtAYNK74ht6Kdyt++tV7HfypPJzt0OPANhziRnS3PnnbUbgM4TzQg8T9WQ0NNvxbhy
Xds+QYKnJvwFVvlJgTLNxm+xFt+uIJr3x7jAJ2f+xLMTUSuogn+gy7d9JuI68En/eQN3kaE6L5Dw
59QAs4Jeuu72LORPfawXQhK8Yha63U34ajOE8DMyIoXW0QrL7CaBJz2lsjifUGps46IvUGYhWZNh
stjdvjz3vfIf4KxARJf/xZM4WaKPlO4Uf+0EHz+bP8N4QK5V5/BffSbUxu2BctnvfA6J8ky/n17d
ihMmct6wVt2hV1NyYekX8gqpj3lVltQ2Mupc4Mfhi2CKCmPIJNesC5cEGGLLLjmweVa6tcVHk0Y6
qdGc/sRHvGrH6doipx0B9ouAnuKbpjLHMs4WQ5dpEXvGwCaMibTslPnqQHC80hOZ4OIDM2RoAHnu
nDA6Gzv9ZCcpzUrVg5Jsi4w57phuU0JbXTQLKP1CLWnCFWkGFUa949bS/gYKf4VttgqHFdwrvGI4
lSnKewHAW2BSCxEt4EeV44SfQV/ia6HBAs9pJrkaV2AfMKCfVQ6XDLSVMjBeJGC0GfPqmnYjem+s
imNgAvux+Rb6mM+OWddM7zpak0oAcyGlItO6/g0JHRWELA3PhJ3Z2w07hrDo+34CdR+/H9uVxSiL
WSSY8ViZzOrPKoVY6nYgpNEKrOhWv5a7RkSaZ7u0xfTO6z25kXTBe8vHiKU25LY/raxG9IrY6olh
VaeUIGexKGgWdksphLkfdSrIhMkKJjx+BFIv+D96/2pf7scFPBSmHVVfqiQyI8wTBz+03buUo5s0
PpZLWG3hEnLIpcwGpPOigWGXsy3JFhm0PlWJ0IJTfKL43Aay3cidR8km6hIfcGEYUyNI0kYXKE5J
WprsUxXITsQSNme54nCPivm+aDSZXyY7wwSeeOh8fznRoOxtc6uSoolwceyvpDFZPR96gnfdG8ra
s18u8LnR1rNA34TB/ZjN4hwT8yrho3qCxSRBbLUyDWET1BUi2A/lUQFU33M6yWd6g2PFnRNQIMUu
rwq6i1G6dchXbfPaCaqLP3AK75fDNLoRoO/Axl5Vw6vu6BEmTAKEaOox/vWPvnJzs+xYPPwOq1o6
Inea80LWpsYwZ3ve4vRgfr/8F+MlaIomCgN5YZnghHevk3MNQoc+GW7nvQQyHVbeLRYAioaLIWDm
hT9n2wpjqvZXaMy66Cazz/q9PoAYfxD0tfCB4Z734XcrhlfFep40hpFJsOwQgj+H/KFoMRUAugX3
0TBftBg9AEbhHdGZXtKwnJGifPuGXPV8ufrVzZ4HJ1Ntzm/hJBBf6dzMhxDnypRXxs26KRXX6YYn
Zq3ioWn6wAYqEPloHtCdhEHj5hq2jCHqqNWu7sPNHjwIBLdLQJrBk7kJ9ks3YTn3/KV0QbWlKzwH
udzq+lzdhgEed9re8MeJ2CGLv5jIFVrR5Jgd4de9GQfWbkVP6LU5C9cruHtB95m9ultoLQtsDdgZ
SiI1s1h6YIarBXWZ6HU8yThysBWGq/0lcbWOT1uMCTvUaMRbw2VvwezZ/wuM2+AI4zp8SclmSHUp
n25GoF/66OvoDf0md8H8yxI/DH4Gy187KMp9JYA7TYSezIIaZeb4Ej1bhVM6wTvPe23xlEUH5Oeb
Kh9VEPZK5WYD4m7aXhEO/REWemV+cNCjkagqxyXhl0ElYTvpHvRQy719w10BNdcxjpN0ZjUQXoHs
22S3V5LtIv8K+wzROuK2eFPRGbzbhn8zJQHp+V5myucrrInW4Jj7yz+Ag759ugucADTUc1wj2Szf
3fJd4hyUjEWt4JTKdIuGwbzxzJHQZBHmErCcsI+lJYCXDBnew8w4o/bCii63nzSKEoRpju5Z0hUZ
Q+R0ZySIHdMTv8S/QA2Co1ZJsJG2mNTCEyT4V9If7OdSijdu2l9PtDC/IeR1j5tr3c4YkB3sba0i
w79JDt02A9/YG9IxeTjUxkc8QfIVFDF77rBHXE5nTvnKtE63VzHUccv7xdOLOrrAGTQzkze22pel
RaOucFjdxUWMaCsfCkRUZfFIQII9eVidSgS7ivRZHLdKmQfk959YGAH4KuBFHGvOkJs7mjwvR4XC
Wtt9QQfXjy/dWF1nXIPxhRDxTednFlk2WUxquike8LHZq13P9SlGcfTHYWcP7NBZ9FkXlyMHwmdL
SVMVbHI+Znzr0JPMM9jMkU1KBVFFpsmvSV+LagFXvx8dtNiAV2GcQ8XfJMGE/b0buQwdLWs7C7gj
JGw7IOLEDlUYYDWHBDPtprE9qPwmtfyC6W0yXBr2bKYGxuFofCFv9jPjEZRc1EZsGZjmadgFH9CF
vecPaxaQybk4XDJvEegKD9UXisNYJwtGklYFZSNF6OXvg4y01E146yB6RDIihYM5rU/eHWaPBrhw
iaqFCi4UgITVD9RFARZQrEPA0lT89dTVLoO1g/hZTiXftDw9nh3HSujTvE+pQO4ciyA8gDcdSdG9
1rjtX7zTN2c9VT3/CsBmPPpmHyNcJTXcUGhgCHfQWkcicZxE3CPvCoDJd9Qlr8noayALbXEizxt+
yuScJOYc2owpy3QPN7CmbWAOIubeJh61BUF/45Chv/m0NVHdGfyk8SlXYsEziXlFTm60rHGihnXC
OZ7SiRyQpcz+pu5TSfpObZk6/UJEBgZ3YDzAUbEIhTPhwC5um0d4Une2zG9loY33/6w28eY8qJqw
h0cDQCBKx/DAdqUu/muDNHM84nRNwmTlOIPmxRTrocoTvtT9eXFfi4n2BkyVthYS8hFb52oHSftZ
4ag8AejxUCTL8PrRIxtORfsKrpXCfazFh/UdwGc8aCM9bPxjh8aY8axCOI+Eg8W9dzrbDWjscMjK
MepwikvxVy/12Vkj9HRcmx22vkahwnnYgTYwU7HQwbvhLznxsfjEnKR2rkOQT3AxLtuiz/bIIJcF
xakSHu10EcZ52uOk02e+4EK7XWgJdC8l1jp9SZH6o/J5IeyL4RohmJBwG5cKakcGSD8BUCXvXv7W
O+lbPf2US1pY5lEo5oYQ2BI2Cdxxuaks2WdmRiMqFI40hLmCZfdBYVImP4ElxT0ocl6YTyCpxsSD
JSMlCnOD+/2SKZkU4fLazyRtG66bE2JWkZ0F/3KF/Kxc2YKARsKdBHGB1kBcF47+mwl8tkkvINlz
TXSiPcQU8DHqCpDsLuICEVUoESWI9O3753npF/Y0bPr00gWkrEWahf5gh82kSh20qWPkyGGisGOg
a3h6GC1UxheZ1s+JguwqogiYrSnS+rwUp/eoo/CUCvfDbl32ETZwu1CbamidyjfQd1Tn4RN/YolF
jbJFPH6khu8aWbZfWTh7QHATUaO5r6rgHMvWdah/fbv61BWRzvH9idaGcKSdqVXXYRul5+fSnv79
Qi1XotsC88ekLzm4UI487Xm4rMDIEdHFAhyP/osNRpjlnlXTXl+1a8R+mQVg43Ui9BLpVTq8cVYj
Y/+yebG1IVPC51oPNXcDZz6KJfHpvdiqglytESnl55k1DoKB7wi5/bVUDWg+Va0EMUlH7WKUrrye
UgRo7xMWH9G0TH+h3EVHLywsgB/vxc8jrlIPe8SVA5VWpPCyg/dzOaCYpa+V/SmIlD8rQBm79gge
LOu0+4/Rsg2W/aE4xxQ6qg16kLMBPfcJsNG5UdSFzFtP9rwHeqsEmFz9pDtnYsQUQFnGpBw6Yb95
UKbkvwOqv0cDZNpyeHImQxdz0naIB2lMihbHQpySBRtsvZnmxNS0ygYDb6KHXED3upU5grtwLU4g
X+ZsgRy9wE1Sy+kqXXKqN7f9U6AHzRlL0SYocWJ38rWvV8cM9S0F67zFWD9JNWF1IipVg+cprD7W
HdM4X/5c8JKkwQNfcRfXCmb98d2ppvc4zEXiG4eyWMrhf4Pk3t3NtATJKVrufxiKEvWHgLdCg9/I
SkQgBB+QDnLn4oZlAFqUtLFvNgsCHbTqPfLRXn49UCYmID1nt6dyubeJoqHsjmzp78XCR6vvYzwU
/uwpCLnLhQBYvzDjuVgfuji+GBR2MypkIhUFU9QJVFXypbtKrh1YvgN0e3iqZy37JkaZxHWeedDs
4OQSGTH4Ty2cC9TS8Pugmz9z1I1pJtFKDzA22O3LxdUJmWT8We1uP9s3iUTv9DQiHBnNhyJ4YGLm
gnDiCFnNBbPWOeIWiTiZs6gEF3372jUsZeRrEgh1BdqYm3oVFASRjioZGnWe9QFUSbUMYYBGlYr6
KeVbHJ+VgCcOzelIZ3Tc0ECg6m8HjM/rvKr2BRjqJ8TAeFO+3gVffRa/4NZ1Rpf/1GSl6YDO9CxY
AYIydsW2U+G0HjAvkfngl2Oh4WU0ef6fQDzXsDCIdBsavu8dCR/C6rMM3zAaCX/jJkGlWNlTLQS7
0qmb5ZtETEbjUyq3n0zTuSZLGqW9ECXokHDNhYnRd0Mvz+yf4AxkFA5r0AeaD1lPT7egwaiRbYe5
xU6KjeRBq7U9KQ9pspzB6JvjG9Sp7c6bqqEweRkZJE2OHEzST7VfkMDxVn43eu71knNmxSNjnZNL
Z3E+CeG+TwHsa86NQeT+xRkP3LtdEDFQvw2BjnUEzo3IrOUPqfF5hba9n+8Pr8RoI795BxRhChSy
uNH/++U3CTtpQtmB0d8jprWgaTuNgWMjjdqWEIkcxr3IWhArykaRPFQeG6J5FckRgKcYdGqdUg2W
jiqmKrkCd4uCn75aPDhFV2mupH3pQCQonlarASksOBzXhjp8B0FFdusQR9llKoallZVB1/9lDVhs
bxNV8xYff3Ylls/9CHj8w00Tu2J7kQ6lfDXQt4QVcyAgXOc1os7+Qg3LMoJiFBThVGqDfzNd9iwq
vH4v9lr3jhLK0GPZVbDkd+brBczDElmrJl+EaOprOML2hQNptOUaickyxVU5nq2vHCdPvnsiie5L
24WgBbWALLfEOzx5xq02+0ZpEmzUZ2dkjyROmip0wK1eWlE2xkKMnynZlEiileSGVaUcSREaKBlB
KD8aQyYEPDM8UQ7YbxgnspSh8mOVe6x9bzxK/Kaf3U6FEJxIDC6rVfwDtiQ/m0nUwWAk3cvzQ8nO
7M5Yg9cgtinBmOM6iUVh4Gc2bpjWW14+KPHX9V+F2V8LaBe2nNoZJkeUD6n1lnJ+wWswnY8A9IH9
kXHWZjLQXXTGcLtvLti9su+2GnP73/2mF7hf4lk4nrVajnnxZaS4gHUdjY1Bi/byGYWx0Sg+Ztme
3cWMNX7PCGBOTtt3BYhe68V+HLHu74gUuvGX3pFyi1zSl2hkaHSNaGOh2ioLglilHjUF7YyopMWB
xYg/KfzGpgHX/TYzjZbp9Y2CcwUIi8eNL/cbo96djPA063usBnjvjmpD42eXyX6BaaCjjO6FRY8/
e0I+kMlnzV/cvTAasBkrtz60FYhkv8jfdBGwTw2awY0s1dqzeuYPZmDEXj4hFS8j6cFyu1TecaDP
5lqo3z1cvAa5uiDcZF9kqAmmCwYpH3fTsB+8liNP5PrTsbD1MmAo0DYsGd6byfkXAgpntjZNhpnE
LO/birELZP2ukic3NiwCDbaQvkqDBRZmPP9Mq5LGjvDt8ncJJvcWWnDQQOXvUPmjeIeDxYazXSu/
h/xUbEbB+obdwM02VHinfSFAfJ/Sn5gWP4sTMPjiTbN1g5xqaUFFuCFpky1qyO6oZHFHkQl9pSPS
OSUmDi48ZGe33IkW9m8MnVydPrx0C8e+eF7cOvsZwiEE1XeX+AbEqS+h/V0w1E3AdkOvLHeB6/rC
mzDLMyPWyZ+FLV7KpAgkxgDgMTUw4eziKDgZ44cQmVOCk+Ee4KiWcWf6d1nsGM0OMa/9/fVjsyi9
3NP3TzDCogDE3eXnEke0jMuoU+Ys2088+bMWospMVE5gUuFgaUXq1G8s/40UP08yZGqh3kf+K272
ET9Uaa6zkTNrhcWOepeuk6u4rvHES5tkPi1TA7+kPkgXwtuF6XGKrLLYHiUDOexUG6HmGlkRyw+X
XV68F26ED6s8HQ43tXexmu40cOvnKvDm+Wu/hLT4DRBRpYMI6e9pDNVJolaxhB6BVR1ukPQWEMuU
Fk79SJGqAaxRjp5ZCw7l3m187uAIWK35Nx8YIwGlZsK+gjmw2uzHt3IOinD/y7TK6AwOven4Aesr
Mgkf/RD4v/r8YJP/Dt3yy3BlvIiQZuBfGCKDiYeSPGiLcZOl7NjKJ4hjVPVNoSLnDtZRra4MCw+P
+3hFOyyjSaSKAP9FHttj7SQuZvv8P46YBcIB1FtqRx1cg/A4jxVLgp9m8NjSr+2v+GNLEuMNzNEu
Q8EK8EiuaU0I58DoDwFNtT/3h3qol7bmDSrXnFdDeiBVfLcEAhSm0IdAaQmxWTCoM5mRbiIdw7xf
wqkYP8GmMymif1J51iWhVX/uKIFFQx1AxBzGM+hh4d/jKa1fnkahY2FMLgBVhZvUv4zK/1nNpNOv
la6Z//vpsDK6PBJ2ZwL3KbCcGQGAYlXwnxC15Y4oUOnUr1/90gJhK6yfRMBg5rhKIvIouVxADQ2p
sI6nPW5VNFOEPbeSEv3bX4ZOSpa5JFhRIX3fPt0DXOOzqBmImUJtv9ft87gojYM5iCCDUXA+r0Zu
yC6McG854jIiUsxgi4jbTgl9qJtfBiTGnZRfB5qUpzVlXOD7vw2a5I3VW527wGih/uvlB7e7doJB
fYV9N3CHSBH0Su9OS0XezFefNKXG4WuusIt45ksDTBRpzpWhxCZKO9hxa32UtaMI4j8VlXU0Y9Hf
qsDYAgQfkuMYDRb7uTLIpdXnJggkg8BTzCSRPfEcUgkBSDrwHkMy+AcCgb8skN3xPZaGyvpFtLnc
Rcabl2tlUCrWj8HgVHTItjQS1luMm0TSfD6+QZ481xAr6d8fBAAuaBVgaop8KcWvEn1aClK9A74l
EOh7Kx0X9bOHlyrtzq/Bab2uK4TaG7qNCB1Q1AuDF3Oo3fT5anbZQowfx/MeDY/t7ESM1jnhE2PM
vv4cgsh0tLI7uS+B6SjGGM6xWkvrSxSO2AHydFmSXUNn1/t5PnWGVo+gIuecv6q7jemiZcWOew+Q
DBUWMsNhTKhHbljQdQefy05OsSe8veDn1L82hzwoUYfBOJJPoDcUjrCPipw9Em7z731vilms1HoG
bzQ/MHG7KaRtE7jmy//z3yrNbdMje7opYhaORk3PLRY+dXZGccMVM2ANH61USRdXnzP0xcZ9GtJe
Cgb0dglpsThrfhQIf5WAyvTUCjpBsXsKX9ZOn0z+l6Yas743iihhZ6J+wUuWk0j4GTD0SDvDBtaD
fy6SF3UH7iuC3QOob4vx8dF0jUw9KSf9PYNBCmsZkluqpvrlSW7BG8DHzakknXrjvJwwx1zcHXgo
lturyGJFaYTFI5vbXnB9n8zfzH/BFBDBk2w2pMBjYxoKCdtX2mRpQ6eP/WRwgNKPnECZjt+mm09z
7HiEsFLeXFFDWARkP23Wi5A1lLyGicFQWPEDZ70nyHqAeTL77AMeLaRIs0UHnlBbYFccDsqYyTCo
L09RqtRSRy7nyHcZNi4LW7T3T+TXI0F+0s5IdBArNGO2wl/Obd5diruouyT5KdOE5WVIXLgic9eS
B9zGWA3IU2ykbYwEUCRwft9P6O0RgBWwvFWIjWaLsV+ZZ685Sxe3idXbUD0llpUrP1Fsd3atJZ6N
YIgL/NqzIf5cqLHfrBXF2oLcIT42QaN+hCWzjmL8APPZa+BbEf+4teBu3iKTvj6IC9WW//T2XXyR
8Fd89YCKgrnUmUeriDJbl15I+A5PdUz41RaMxB9FwGheT3DtjNcdUwyGs4Xf4xYfuqjRIQD/8idg
eUMLdvyszt9O00h99OwgFNdSqKbMCAD3nwLf+X6IxQDsMzzQcT4aRvvFqhToPjX1+4up+uumqqdW
g7+vLMj6Gi2Is1mCmlGBfebFirRgd9PiMk5SZf9R1Kdz538xRFRcWg18YrTtff4XZe0j7dCDDA7B
GavCgMrZGBsGziVxeszNyg52iBhcJAOJnJjEdGjUBark1aaK+4WdSOXyNdoZ39raS5Shr1yY1kyz
QkJosvzdu9AmxnlMGH6BfWl4JmDn8SO2KQSSHBszxSM1hqoENNJZlo+iGPLnZWO9M6+wYena1C42
k0s9Oyh7yyoMXe2eLr2pOTVcen9AVLViyyGvNkhWTNze7CCHp6tD5+fHOa2plWzvB7KKV1fq3KF1
QGGHHnZkmQKTTk2VUbYY2QGAvYDPeBdy3XLVUiFbog/6LccZPSuw4quuUPumq30c7yHFk3SLJ0y0
jR3ZJbRoCz8iQW2i/l4rRt3ANmNKS1G7wmy9HyWHvTofAKDVVy5YDQmqIqI/ngA8sRppwdUFcfuD
ETIABeX9o8nydIn0xO1UntOrhuMWtpjhQTHM/HCfPz4iBNbfdlYL7huSe1E2Yr0nNIu4+hNIraOS
3R5tdhUBaEZG5wmPe9PTgLV7ktaP9XxeTw5DUBBcuASJfCoAv3kq024r4PMYVhhr+kIz7fWdR/dp
mCdBshgzDG90sgHBxaYu6Sj+NAKdfo4cvFGl08+FleHcsvkPUowVYyjtuX8X0lLAscqfSYQG/Ckg
yTCzAqV+T2mBnXxa0pCi0qzItSJFqx1Q5UmCQxrIHrFzO2x4LbK3T1oxSST1IfuJRzdCZRg3WjfW
5TDJ0PfgPe/4wqCFv8OmVJLhwz0l03QmU308C7KSX3kVAXIGaOHZ7c7lgIWmVMK8shft/CgM1dwT
/k8aEiOTPhjDZTOE0zcXvdesvI74g0G+5/qDTrCFuEJj4qMLEyGnRQ7XPIynREzveMhnPS2T2z6D
xD96s2233nK2BJJzsnz2FteMmyC4oLopFiGyhOdiyWQMGy0qzXPvt524WGIQcTKYGkzrt4ouDs96
ezkOnwt5tmqHb2/CzwVsOq1fd9ypId+526L4eeMLOYsWGV6gr/p5Br3iDZdAch0kaT00oHO9eBod
k0LRWCPRya1YZSRygjW3C5U+keUIB70BSTaLfmAOHHzzNjiPHPbBow+ttL6+pxKvP/SWKUx3rBcF
X7lug/oILpEX3z+zmLLo5yd3AAvt//MY1LzixrDJBS2E7Pe9/CIdsGd4XzKqsQI6p4hS0Yf/YUm7
w6xrIqoTrDpNGs7Y7zN0GOTTl23BCbce9EHIUc9l7jVnJ7tT7MC0wkMRZadkpPvxMzWLZSaCiqTE
Fegl4CBGrMNwJA4/iIB7eeqNt2Mk+hbfoWdMdNlHIQlIyMOPlMUdZ/RmstIcqLiRcWhGwpM/nlHf
hF5ca332tgaNkLLOWX+ppUh1rzvdZNlHoaCWh4B9ASsik127g4zaaI0897FkkhPdIWqU1SYQMSfU
uW25kbd7tKQDX+LAy6CtDGP1d5qIGmAFyMFbtQKP/yN8GXNMKe81q5u9BWZjpXNuViAldieYuJuv
iG0llT4wnX9vYndC5xsGalkgIHe0yALoopIatwc4B3LDFz3ZXMMGcJiHNq96iiYI+BYXcG0PhtnR
JA5HQhCYfL2yCF1WedNHxSm0nKe4N1o/SGicTVmwKorocff8DJIstpENQrDzkpcy4JBwf8IXvjGj
LYCirLagciX6jYqvI8zAQAWjYhht9yC8M0sRhEAYrKM1xiUJChY+7uWy6pxJ+/bp6NsUsna3d4nM
H+n6v16volhRmfL9/5bxwufme3fBZAUCS9VRJnXpGctvO5XBeZ6vBkAHmP2WrsMdvU2tkiN91Q3j
7OCiHvnthHAEG3y/pC5yf5aTDwTY4SLt79B7YoOO8r2QFXYvAXbEBrFDcu8fd2pMorD+hwuE6YY2
uaI3BtyNeu09xDlC5UU5qfsDeSj4uRydy8E2EI3Pqxhv/l3SsgALy8kUoPlG6GdhvoyNxaZRAPME
ssMyszgl3fL+JLh3KCJHghPsUWGGcEW1+aZgXyWQT/BnRrHFAjmUMkhBsfiYgLctaZWbGGPWXWjS
VjykJgtQwHzHdgONfKy2pYAaOI6xeCx2mH0Ekz3pnLyGxRf+U05h8uvGuwo97l9Y0ECXx/+SXeO7
3+MGH7wNKMxCyW9XbCq68cLxEg+SYZpnV7T79LFRou5CHPMk04vYE9Ysh9vIjkkI1GjqT8vZFjfJ
R7NA7t+HB12qJJ50Iy8fcyd/CR4zb0ezCD4UX0TQufiaiw0XddHx4zBpcPVqHM6ZybKmasI72K/l
Ks5IkQfjFFFh7Lv4zDBkpIgU5v97DUX3mJQYP6ZHqirsw/GCzcCScFZLJtobLYRQLuYH+9B6a64E
gLhofVU82+UQilea0KgtKzmZewNK7ZA8gjngQ9SI6oX4/Gk9iim1OA2sHkAKA+PFcleiujpOF88n
OBTKqAkUiA1OH38Hk9Q/og251kQZWDuBXPvpTm9+bszQrQStIor5lh2kXx0VYYXwVJCji3IZA+YG
PQ+kh8cR4WAgOLsBXJe6si70eE4hrs8WDRQqZzdZa3AwlSZRn7wULIwI+AXZU2JC081rXFAZhkqv
cXcwQheVDZU48GqgQsvtZibzhzX5EbfAIqsrnomezsdQGbLJrv+n+B7Qtev/kk46Hg9KZPmSXhbA
5bA8c2eoV5ytXJk1kKn7PNVdUIyw2YNlRAzoB1tPK3d3YP9CVKJM96WLSnn/ZWLUtNA90r/VK2gT
348Q5kHmG2qxAqB9fe6AMCPZsh5tdyiZGPaodq+eWBZnkczfOfyNPHZMUycMSnEKlhKBBPMQCEhd
Ta52+S1Qyx37oQAz9nTCzNZWJ2UFltLNkX3RGZg/eCqtJiHOxCra5adxicbJZ/Qd/es2+ciMpeJ4
OK4gbhwgr4jV/HOfi6IzWS7vuVZGqpbqD32coEc2HmM6fTTgZPmhVfdd5+E40x43mDDNNtbwbP4l
4cOhXvkvc6xCFU0GRUziC1dlqxI0BK3dRzfjsD9k8cM5aWkHdrNtVaQ2aBzZ2zoho3mZilu7b6ym
sosm64Z8AkF0uZt8lEVg6G4nL032HuwvF5twenvcjlsbEYaGjuZkZ9p/OGEmPmWU7fW6B4Pwvfjx
H9Q4zNQs1UrFl5Xj8LK24BtGi3UFJ9+L/6xaIh3yZskS2q35UzxGllAqVTfDfdPsHSgI7/WrBuWl
wbz33H9I5fDX767hQsu+c8Zt/UjVIAPqTqkr6Aj6K6b5YGCUiWyEHKA5IEJgyQkVtvvUN2/jZvxl
Cpc8zT17+CXfXwjyue676B8mIYEFtNGOpI5cSME/jpeuKZ3TlJeo9p6lWCJWOOP+5hGFgK+WN6F4
1o8vG5LGR3vQD7GV4ctU+jrhF1EhsQuF40iY4H5kmr9km1rv2ABM0P/7DB2hqtRkw0rA/ewwNawd
4T9Eg7UFzKVF4qqcKe0wMmk2icHrEuE80s6uvr5M/+Mrt1olU3N46Fb/beIr1TNzyDhXMF4CWaHp
y85lnZGkXU4NJO2qg0PicPasCh/RWiRw+KkPecuyHN7VgpUmUqmmtYFmMooAYexaj/ZHy6TQYrcP
NfhWe9WasnclISvZ00jOJLTbMlupPIE7THk2gLNYfCmMrAvA5N5TwC6GEZWA1NL6jtHQhDLDIKpo
uAo6uujRgij4urHgVyovHJSZI08CnDZFf0aN916wivJApjxJgvBQkIkDAcS8oa3lLN2Fn+IivWS9
SWJEs6C/xyh2isZDaKRl5UJSm05sXj/62n5YkPfR7fPRyqZkJ4exq6d16RXET31w5qMAgO4JmvmJ
mzVl5WBp8HHk1PUU1iB2WKn4NFvbbN0vKlBtlrNDdxtlKYVmZINHZnicehQj38rmBCYh2u9KsiQG
ENPvUtKEp3z8ZmDLEKzbXQabGiHCwodNAFIVKUz1tB1tKQbJAcMUPPUrH9ua4nkAGMl8mzVfpPRo
3nMktmjZRu265EJK/48IaBZQAV6X4QXZXacSDkOI4Vw/FGv0ac1FTAs5GUUk/3/Tjapp9/Ys2Eo8
OVz2rtbXC1Dq1Fd0GWXK2CIosKivHfyX1qlczo0dK1tor/OWzA3xRT2wi6azyyjGq9TlNImVxIS0
OxizMynyx5DZShFS1K4H4Up17+IPrrYm3s1VOiIZGXKGCW4uTRtIwB/Vk7cDewZsMBs+rhuz8lOK
n5A7Xl4BFqpLXqPxUI1UrGLyr9BKmAdVfCEPFhqpERF3Ab/ofdV6Wto5roq2QxZZphb+A0Fd9GO3
FplHyLH3ca6nTXqagRZExxEjrByPWXtSFSskGwV6OQust/Sod/qPFrjRrORKfoebfoJayHkPjpkn
oQ+rZdE81srVH1OH/L5Re+jKqdZw90rdLwNtKoQdcpKJ7Z5SrLvCyuJoFmYOPE+XbolJJsmPN7pk
Pd4Sdnna8OshM1baX6s+M/uXb03YCi64AIt1we12FQ5Hy6dg5+CycJwtU9ZUME/mHcZdf8g0cw7D
jgnQszcxP1ZPVRKY/3eIAcYQcYZBmMLxJwxvObSBjxOu9hrkbM1NarrcWPcw5I7RyCPgRts+mhUk
3GquCJgSDdjHYWmLH/BXL+NdeTzMD7g8KLKXj/ous8eYgMzeQCDDOpZRW1db7ONT2/qUXyO4wU1z
0wCPTvTm8ZFY+NBVNQShMuX65xEIfsRKXpT0I0uBiOK2bXV5m3Cu0hoTu+ZjMzz+9eZoht/VwQJJ
jI4tsGHKUccluUZEsRD9AtTjTSwJzfCqsXNudCK4nHuIiqn73bnlNjVF2Cg1hSpB+lf7Mk9oXnbf
XbXI0mMrgPQwweuYztjPR4gLGVQjIte/IlrPArx2dgF0M0krZ3FnuA113nY5I/cFNoUy+DJLjaJw
qNcDPdX7j9hHu7Z1PO2UnZmC8M75WH2iouNLaBiuEc5RGsWZm/Yxf31a4jLDqaG1HSSO5YwK58xK
gO74nB8slCo4sZvRCO2Pk/RKPGDqoFwQWCLKJY3LpXphvRkZfVss0BT9NR42ymagiycEu86Bw2xR
pwTEzmbt17Smxj3lbeQz04N4vYN/B+pqOlXZuvA9opepA5uLfDBq51r6Ps8Ne9hFvVYYhK3lqTr7
XCIut1vYiimcVj3pqDwvsNr9ROg/jEMpT0HeYo/tC3YoegsZxJzDSrDfaKrdZbf6grgGZOzlAs3Q
ncyD9cT6qUc3Q3AOtk6ssPjrkhRjSfXnLMboqdOvfU6RssMi3fuNMWUrwsiPOfKwejN9WqGwZr/G
WspmXDylX7sxK4srtFP0ppX3wHvcBZXuPAhlZS4uGAMq3btfE7tNw6lScQNlazACdsFy3zfpIXiX
pshCuBjyC967/q9Vubefgsp1HjZIDsvCQQ6toTuwqoAdcrWsJ3qor80KeJzv0o1S/hwSzr+Php6h
FmEtOfe8e9ZbV9DdkImAN5lMfurQhcLr4INTXS7fJEP3k9LlZ+xDNoKDzSW5FGd11zIeQ8589ZSD
4+11m5dXUo/HxN8b2qKxHGNBVCAteJOvUHlQIRcdIeSnUKzm0TQnAaGF+lVBIosOh9vXLZFMKart
b36bQ+WDHlWoam3Rpyym8nSaJSt2uel/JcY/6pvfx2EeVqFS0s2uum64/vP+D+mnHnseOQ4DKEJu
43GpW3Yz9OJxjq72BBiPBjxfsNi8r6G3t2+8YPFdn/RVg6HIh7KYaGALvIaIrJ7ydKwRyJ0tD61H
cgZLsNduyU9CFWYe7qJEi/xeMSKZ3qvrxQYcsKIHMWi8XgI8RN9vBlJGuFnZg5qWRiODVFQmKGRH
xqIEq1i6jH/1xNXCLRmEShX7JQihu0hWJJJbKkargQ2ddxHXB2hpXP/7bGQ/HevanfotSd/Vfo77
TKyJOl4N7LhoZkt2frjWnHb6JX4STRUHDnk3Vfa7c68UWudDFSapFYAxd3RkXR4bR83O4257kmEQ
wobeigy8Keq6S/GwxdHNk6ghWaeBFkZlx4ktck3bxVezF7+9Y0eXTuEgtiV7yNlcJY4dux7JgYK8
JKaPgZSNEaMs0jVHQ88UIbj2DhQt7IxGkDp/Yltg2C3KUaVBTsJAMk/dZ+LrE61s0U7jjC8Uar3t
Fik1ryPvfRL4n2rsSq959WZj3TA/9DaI+/B/1T5Z6ph0BpKzT4SFpn1W9kvXc2oAlF676BhYIVd5
oolNFBQLjMU14hjBdSQkZ2S0SGAyadpaGI6L9VVV8O3ClzKxvtuyaakeY0avayj8zdRq/pnURS3H
BmsX22A8d/FqJKcJN9xL8ft12/lhKY20uRP0PEDI8ywhYlrtct1LHYMwhmtfFRpA9BLP6wHJcJE6
FGp+8KtmA/oFUdVIPdopCBbMA5w0t040zPjKOKkhnAEiRYXFuFtXECQe0Hs09UuVl6xHPISwqeSw
kzBAG9GZNTLk/vObdVp4STxo6Vq4TEOWCxEFyFjJvls5E8jD/kuJ1nUkC992SjsoNVF30grB1+ee
M5SHNNohmmmudik3TznGIvhYHxZX7JRSZydUWbvnWubSR2QiT3gEkjIlWrzDHVArly5Gg7LCLrPN
eGoQwXztkjfCZ/NMCcIGEyWSkYowfQLebT8fk2rGRLDzq3XyeWFxTD4/JjWyX4YLO0oPv6wi1hqI
Sc/0a/I2d8IBa6+9UajW+ZVCGgSCGi0BAV1VsvLskcAY4EFBpL2oXeKrxt8itZnUfuoMItrqF1dz
tYnD2x784O9DMPITw2q8jRBu1qAtm+t7rT+E33HX2AG0WzCrZKbnU+PfJy4HzNBXJ3L7Ba6gI8tJ
u+90Uc2WY36v4HihjDiKwyYwbltebc8LMcrlqh9DEKcQubS1qW5WZiPcLsR2CvGSyNwk6ywswJW2
xddPKim0ncVgxgJIZhoH24P2aiwrkT5zdSdUgeMD7xWxZWES/1TkzAdi7LD4TnVkVyq1PFkkQuYq
h7WcY3kjBy1A4d9D677wubyDD9/saJeqhIek5axIIHzTCjWeB1ahuby3qaXgHU17xCEqZ1zQEq4L
iu8xkbd4FdrOAe0jfM57v6nlL3fR2oTD6uTeWBFgntR9NcMDU2cugTDo6741ZhgPWVygyz2v9Kbb
PebcQ68dULpCHeONAG3gqHJyTm7Oqwu1faULWKssY4kuQDgK0MVAMAeax3bQd5UmsJd0WqOtXkTg
NKjnwalTKz2RS4rh3MgNl7aFLIjyNHi7oq4dHGmartdx2bChJo5BrhyVrk13jAf8GIIre0yj6BTy
4Asaok6JunegzmwwQS1jTaQ1ejy5ZnGM6DeeJYyFgbLC1O+83Er4FPRX08ok0UFqkUQ1i72dr/yH
0x9a0k8AQrkSp3g41IaiEmcjIeXZ1olsW/5EY0vJV6dufhllbcxPTBeo5h25ZCxuXSM8hUZlK9ZP
w2jRATf2I5Pbx4DHlM7nUK0k+lg+42N8+UYMqed5djJ1WU2NFkkU6VhY3Me7Mtcf+3XWEVphBPKk
2lNEmNH77zCMqeY4wXSoIzT9JK7lBfJtC9g1elZl+b9QgDuidtPmoYgq+st2AjRGPFhUxXom1Uln
HGy4cgDklga+yvL278SqasMCWlxPqxWu3WM+R3YR12ALqba66eiljWM4GZ6i1obQIsR8DZM9GHJZ
bB2L6bbUz+blhk9/bK+vNy4h8QhreUm7zLJzu61t8xDG6liyRlRCmuwdwiQej2UnT78rirMDmrfM
GUzT5W8fw7V0+W+gND94ttsZAV5rqOAi7gBBpPJ20KQx2jLN+G6cW6UvBjV2IZd6soUnmGZwEYPf
ypDWTMr/Fpy77TnUssWNmnhgfYDujXmCeOoewzJAEBWQQgcIMgUP/D4F9WgIlbRE9JCebl/VfYXf
WF8Uyra/Ir8rLEMHErtcLJRfZJhOdvQKTBHfGkZ0OGx68tt+tvPvz7xWGC+4SEY/mEJI5zg3ab/N
O3r2evDrFJwToqDaRsINb7oEeRJfxGS88XtqS/M3CjtTEhpJAkBn1h6A6DSaPF+Yeix9B7jP6uYu
aGoC6V4IsBQt3587LWyov05k85cnnqd7tnVLWgDciZRaGb8fZniCpDzypCoiWaO+lZ8ZN71/0ilb
4jc8EoTYahlUQG6H3bjgcZ8McDFkslEC5o50AccUaoSJeAcnFwJtJbuVI/ovQdduvMHNull0l5f9
UB1ZInqBX+wW6RSsuD/2c318nZcT0U+KcOdZ4KPA2KvgeqHYQBMEME4Fr0/PNeHqFoyOZP/utA5I
xbUGvJP07kH8o8O/jDV+SXKEDJsoVM5TOJp5kbBr1GnEzC3+6/6p2HDSfdrHzvhGkCif/vCIsONz
5N5D3pDoxyQVZvBcWsNxZwac8W9kbZvtNNBVB50X/WPY6qa9bG/zsJji/MO0HUnCWMi2nhOB5DJu
XN0lpkmsn88FW/po8maqI/qn78bywr6eO7MeiXgkkeP0kS39Tvwp4i/uGfptW9BBVrhH4oX9SbDO
SrOexkeuhNY2uH4YMqtx39kJc9w4vjsx6rQzHLJN9HXaOQWN57mO832fFbc6nxSgDcprsDfX5Fs8
4Cu7bmbXDlGwxRDFpT19tey/WmD3hZo5gwD/MH0HWdo2BoFGj/+MXcmvIJmpLA7CDqzL4HeVrYqe
Oz3s1fWuWW1yHcvmEAVtxm5wB1QqtgIUsfzhyvg6Bf235YJu92yRI4msm1VJYAI+Isa7AoPbeI+i
/8peCxgvwiMkJR41pGuWnMCK+KMt5RcRcaO4j1rLCuPUfcSPQq/k/wATuNbfngnQyyfGQ23JXlzW
cgWus4pTb7ICmYa7T8e537C3wBGFzbE9TfV7NoJB0r7sWE/DViKSKoklb3qJ+mQnP8RiWgO5znsj
o9QejI423S5l+Hz/zBn/8J+Qs0OukXAi+6Rv9rpjw5XVOn0h4GqNFzuL0yrCMJHGGKlOy6ZWjCs+
OSTnqiRdlLlW5NxapCUMY99Z5paWkKbIxScl1Clt0YgRUzPQWVGP4J27vyYLbikaR+CQGRhxyO/r
/UrGyHD0pbk1WML0gvEVpWtTKSQkteWRcggFzTAWvK7dJEnM/cWhKJ357j3PjOmV02Hz4aDF18k5
zp6v6yP/KuaXutJ+o3mHGQ1Z8v9ypCq6Usa+/ABJT+o7J+QV/6/i+K8m/zHglxDGpBQ0CuuSWhIQ
odLQ4UIXv0Qg8IIXOA8Gn7e289b9Ww31PXrfxkgW6KKVzM9HrHDxry0nRjXtCIcdWD3DrioPX4Jx
mKkRFnt3OcUXsLkTKS3M4e8G5jCW2gL8JM7wL+60/x9A9uxekez/Hh5uHz4RCBBSaHXCe9AXq9Rf
Nk6pY+zTBxD1DHtTTJEUrX0gSuYrmJAwlgWP1TDYib96GFZbQxY0VxOS09+cqE3NJHxGUsUp1qmo
+GMFGDepY4ux3uZWg3i7/4U5yA3LjdxG0fKPNuRIAdbuUspCKZ+XmiPTLl5TepWdPHKftVy1Lp91
e1nGfSrD7ZMp/bZB9JBySIga7Xr6t63r+c9bh4Fd0mLgBkHm4whfWlWRuRk2QyWQw/nJa4MS2Kaq
oziWOKlvuaZ8C5Qz1e71y+FtGycBe6tIZCyPzLOilgr91MTOvboM+EFJQtaXfMUiW7Sh5b/S/3Xl
2hGAR7RakoRz0Ey1R3+zmHyRPRKfcLN6UoS7WKL2NsmRQ1YV/oFWzhi52zP6N5CQFpriXn3mGlnp
7ef1KH0HhUTPq18VXu+/Cmzla0nehGTqaV99fzd2Hg2vNxCvUwcelTRZ+tt4yi2ZvYYb5AMRsJAl
r48vFrZxbm10pDtvcI2JpD6ql4wd/UM2OFEcLHerD8XOqUrGU/nfuPcCdJPXPjqUHDpmwJ+Ay0xY
VOL40eJ7FARkXrh72OK3dk6bMBmozWKioeaR6XmcDrSyhNW7kcw/X3NOVnXzt4t0viiTXP/mdO2N
pFesCGNkxCktc/d3ftBY08cPk8useSCUqNP0NQQBMfUJvS4wrKAPMQFAI4uaDThdDuX5nfVyUfZl
Ii6Y9SoN0ecNIdk7D7yU/5ooP/p4lKDoeysnmLzg1NIsFtVFntcLCrwcj9KjcQ1mtpSBDGoKP/OM
+vWsFgnarMXmDFrhNoWkOlIvJxxx+qoXWwgPBdoRTeiHbme/+rPSKvgmd3CwxWRLGf54mo3PXK51
3FTveaLJnd4E1JswDDnJFRoSnzRzeQwKOtmJW2ZPehommwu8CRk6XF1GS2OamD2MthXYLB7W93Z5
v3tmTH+/zbptCm9y9WXNdTZTwev7Iy2R0AXzHq4F+ISl8E1kOzHqSeSJ1Xl8SVIIlZOxa/+dqd28
d8YjScqE3WHpggaNbXbmEriRrdpf5IsFnr0D/IRQYT7C2qQIbWCgdkq8F4oWa2Wpqqoe+/1g981B
toMBkmovkG0hqdIHzCyxyb/bfKJ7B3dapCXsXjcxIsf1rI4NvKFQCAJ1iVKPVeJk4NbTtJ5aSRwo
CIcRq0MhZ26Hj9rdMNsIL8RiXorlfYPkydpKDGPQgtPNWdW3lO36S63TeD/wTejcnj4ghz5GBzgs
H3tgbexZaWO2+IVODH7zXUbNnLMLAr8ffJRfsS1tiXq1r7TuEAfcKuRgzGyHej+3v061eM0Qx021
2Yq9s6sqlIYNZpcpZEG8TxKwHU/YaG2siuZktht5CJuy+oechP2DauIldQrOkPp9Zb0l4oLTBug/
Mrs2iTSLbAq0MM6S7EJqQ9oIrhEVeIIgbhFjbFAw1n5M2KjTYtQ1O7WzSv5tzrXw1eNmj0kJE26A
4wZh2s7h2ABKRPTJH9SB952eRYzrjg9tX7wHMPD4fvYFycwWeSWlS1srpXj0KfOa8XeFJanGUL+1
X7Is1uD4lxCqmchexsVsK4G9iU4+IAYZPs0yzMXjz+GbqWmUuTFI2Ef8wD4q78a1WGq+q82VuG5G
mswB1CX2164tetRJS8fxpcQelgRb8/NHRUgGwKJGnF0s2hakVNAHJLEqLKLmBzD+d/EsReWMMS9k
iBim1caOA3SNfpUalgfA3Xhi+N2stcUFMgHnnUA5ayB24OEnAhdURGgG9uVMwpUp3K1Hd53FnzU9
rBXzXxaKP75Igx2dCKQz7UT7rPZYennCH0b7USHb2wZGeUSX4IYr6tY8F2L2QhAYnx3uJdD1zWvy
6InbgK4T2Ty8l/lUmdJeubsgE1BuEQKtx0izNdDT7r3u8HW4U13Ux7DdWP/SEGVA1zfgGCBA4P4b
UWkU6z6gxSdJDEOiyteE0A0ov2ga9a95ZPGph6gIC58j+4znG9Q0el6Fsh1YIH+dd10hOuARe9wv
Mh7qjS7VceVfsw/v1v+OlQ6Q2Ahs/IIH2lBmtBXdg8YcKUE8fAtrmMyv5BkzKbz11TuVERwKrGUG
K7MbDoGppdTypVOJqkcTDIhG5tCpjlm9IoD3p2Tq4JYfccpFxINm9ZA0Q3pWg4JEjOfGZ/ipZBIs
Q+ofAUitXaiazkLHZjQA1MBIYyDAHw+2jULoJbOOz74K22BsmQ49A7V8CbtixL4XpNcY342/2IJo
AFqUod2+0WbIZQLUDMYWcy9GMl7DffONm/a1Py7llPDDsXk7ijU4p3QS5ONzJ97lBR0vh5ULGjXs
KY5i4oUy8qH4RvA9cmGA1b/jDnadAohpLHRY0rdfneFsDjxvSgVIUMdEkW1e0CDJEYHeNZda0uow
uLNzI/e/dtfdH0C9y8F9+BE5e8E5s66QQnuZ2bmc+UE1DphdL2CZaup6kT/g1ncOu7Y43FT1WIRT
urJemuXuP/X6bjYXjZ2KwsCKRPvwflGBBN1hjSHaPVic6ifxj6c/jnypBkV28zdpY2dc9C1AgBR1
Opi9dk3zHYtgIQnOuQ0ZXG+gJYis38pRH0WcoU1TPuj0wJ55FvS08AZuXbXbTR7OU05tbWcIjUGC
AHY6YM1JYdWgTTka20y3ReecgkPQs4kS9LpGUqmgfIhoOZAHRnMXBLiuVsNGgYdiqHDJ8GXuCv7e
mKPWOzzZpbltXJrmAIoZ0Pv19zDSVwYAbHtUGT9ln+MP8v0xgzRsZVDnYF0QcGvM3/qt8dIAY2IS
IkZBrCU+KdmOxjbxygD28+SbtPzImotDzpFEevUCMHpJltF1YCfMf0JX73aslU8O/eI0PraDE8Nk
o6ok1mCr7+JChkfsQHGCVd5LEDw3Vwkqq5vpGPGRaxjoQiFbdhka5cn+EHzG3iTlExG4FqT81Gw4
nTkk2l7WIoJIit/JzqjVDUVMrdjdsjIGfzfcrkwINfZwTgcSwUwg3zCfQvJ8UpO/hXCM3oOQByPm
a2LujUvVLX43ndcIU5YYB+9j++1cYA2E7QEUen8EawxAgf9LGYZdUcyf5d4WoTCwws+lTEEAChqx
zjnTyTrDDJ4l8Wu8EF7NeTwOk8yih6a5MDiNX/FZWTDo93v0WAlhaDVZ8NqNZrFpSrNKYu1kzHD+
aJGPQkXrcogoFm6gK1myMY5uERZhn901DlEF1KqpofV1c93RqDV5DqCzgpH+eaU9tQRFyPML6U+0
0yK6uvaXkXK9lPTvweUrSHgik9gznDHTLt8TvtNUt2QE1Aopui7LZ+mW08dAQsZmsEVr0tbIbZwn
ITp8NktqTx58khrSj5Becjd/JOCyMre4Rs4EvldR5+HWTwhJjVC+cNLUl/MsAYPgpirlEF+K5rUc
CLTUxVb936VqybKMOpjGVuJo3tiMdPHAc6zoo5zcJ8vNineivOUOZy38T6wkrQejGZD4TgunOxBS
yLujjT0erew6+k0PgtKdvTGtN6Ngrqc68EoGA5jsUrmLrefI6/74uk/wiN7BnoBuBSr5cT+Lwy3m
QjYxbYIQi/Q+9k4WgkmxJIm+JTiJ/foVOr/FXvU9sqydVBRLpq2FZJ4uELuatQDwKEl+DIDv7ckD
xYnPLcUZCj5TdwEQItIKArk8sx+ozvmhWfuaJurIgS4flfsQZfj1l/RiB8x/+W4FADZ9ognq0gU/
kAhnJm7Eqyy18wcVjyfjhWf5agzUZRBwh6cY8a4Fe9oD+Uk8QaBCByOmUb6m5GOMm2HcHbZZycKZ
ShyeaVPZS2q3qsuH5Sd35nKsa/5Dkdg4PAqhCVOxlsn26bu4hmkN7F8AFJud6UJfAbQq6OmryMYA
PPDzkONw8FGA+ymqVxnkU1JqCMUAsz9Z/M9l2nH7yG+V66qFQDk8Ud/f/9uzL7+ldIFkRSHygbAl
L+ADC2q6WqSXZ8klKLKwCHJ9jk7ZSLlUySVIgcmtwCTSAPMq2uaQzWK7Usiy0H1lhcXChC8dEtUZ
gbB+oQ9DYwWKm6AzbTkMFRlJ+91iuPjM2pmwzvRuN4Ou7ibdwoSvez0MHugz2T6b/ug+LZx3ULwF
P4gJYzI3N7Z8XAp+4f+lPqnNAxb67Lm4+oASCKlrWSZEravI4XGO6huw9xxjAhiQijBj/LC9Rpf8
0WBXJcJi86KAwEQ/80V1kvcweKOBzYcXajiF7bigEf75Mve0S3Wn0nnyD8422dLG9P7SZwVwVaE4
xYtSatojEer4uxxp3YYzF6KImR0fvIWpFj8/8G3zqgmVr0xrQNQF8KJJGlnqI0++KQ3FBcoqiJyU
IaRSxn+vb8rreGEwd7oxoMmqwN/xpa7IEXwXGEHF4X72kAKrs1ENWV78qO1OOJppvxkanrWi+Ttk
orG4ckMj0L7VKsdlalq7jSq8setUS9ehyCJLG90odobsgnAj5fxs8MuWOBVfiFjP3LfPWsWgEKim
2OiiJcfGTwLqp1wnM9YsNmarqwtZrUZCNVGf8PX6wX59CtHG1VSu7zqxv7ncFiQZzsr/5QLyUt9i
Bqr8I2KlNpqRLQHVIOutsoYptFvrNVlIrOlbyGpu30nQLkvwluqt9ZaEwqPYnNH2WTtL8Cl3ZKrx
71D2zAezJox0cPyt/HiHiV2Ul5NQMuUk5BdghL37a1BFNgUcCJR3f5olJcSsTcvAjK+T8SirPhsH
yxBPIFGUUxc8QL+ptKIsLrswvyXdFcTxVSnTZPgEMsoxt+Hz1Yml5hnbsMcog9ltVpp8u29Kpmab
mJjLaTJP0209yDAfPbP6QDzgXxYhXaSCBYnyBCE7lofwtUJsF9qfQ4jQx3ZZ4rukWmciOA0+J/eF
olgrjBEc5qaq+XCNeIzJonw4RIfKJpTvVW2bjhRI/+XMH6WlhFq1PsFCTVCCBgeDN99b1IfLsbR+
yZpg8GL01EK7f0Eb/JZEq690US9WH6W32Nib2fBbmq68m0i47B2yxV6Nd39Ioj00eqSZPCUzOKFy
jkpe30S2Q3VqFzzQ6oRzZeoHwGZ60RohCElHIsvJ1OmToH0cx4iFDtNI2IkttTLn+95wjHIPTUVR
4aePZYsFWFmX20ZVmZWe06M6kLRTNpBdEdKJwLkc+QEtmpnYfW9/r5WeAKvaHpxf5kPDD3fD4jJT
CFJaOw4/7exJiQ5dRtzwB+MZyhvovDxvBhGeCwUofIn5xN2v1QDhQrWlmhfjdzX1ZDxUl2Qkkev+
v2zRH4cFu3uDHpoINdCvPwwkf/d7p7UIOC69GPplxTTTknlEeYoZPl6mVcAnPpNAbqbKyCfc81zW
doNMAEMkmiDrCl70MKh23fnzWtgIJaSWIp0oBts345+kECD+aJwTtbmiCiOCG3vWw6vhrg1udpwB
Im5OS+MJlwqCGgSyOzBdBlXV1UotgV6DNtJAEjWMUi7poloaOvM2TQM30c636vFHKjzu0ofpov8p
aBCt0H5DIkH76suCNlygPmEf9YbK49xnJ8pWx5qn2gQTSiMnVavthOIcx0nPnn6JNFAaUQVXOdqd
ate5ZGeVm60zyyVSs4H8T+6d0D9CQy3yiGgyPy+KqyetmZkX+ZenqDPLpw8O4xbxViRAo6tydOz3
Ah3bNRuaD/ByKddR5cyMZS+oh16NUtkmbUXE86uKUH6R3MUhvPjfX6ILhwQ/tQXeny/+Whaq1Nh9
3xnUvlrAZVd/yP2A1VrmNqAlE28nXCLIvt/CVyyy/TfQmtdlRAN3t4JKnm2URjM6S68B3YMpYWoL
VhhICq5PVF2R5bQMPUldmj7Ld07wfZR+FaJJKQL8+5958tt+O2dFG0my5PoVklDlAPBfJ/6Jv1LA
C+CDZHFySZ8UbUOuMaciAtzUiy5fe9zu1b2jE8UuzJKsj0TLwgqoKy6uO6O4UszTOqRYrvhiE1Vv
0Qait6TOpdR93XiTJvL/hcMax+sGDxAcXTeSXUzk7ry9UyM7IhRYVh2sQE6tURtYhZmOIBlmlYK2
eyUAeHq1wskBBwmT2xfIoZHgCEdI4jhwjDY8B5/8GCk4Z1ZUCAuVVCmCXX89TUAxRGoo/BbvpF3K
Al5JehF7e+mMfIQeHXIWSTNXGyrgUSko0IUXKdlu67p+GG3I5q20hYO7rqDymzeVNdGswnC3bolj
Ri442yyNvsZstMnEmKObbTi3yhlJ6oQfsXAJY+eC/g0JBwz1S6GlmqlXConjP3eccsKDafqrAthK
6iK0468eMEcy6CrPEa3JLr2HWww1rJmtSrjKiXny0u3mcYt/O9oSs0fK6VcX2fAp/oWJFoe9w6RX
tnizmzrfIEZkgAKA90inMfgKf0+UbRYR9PQy44qZcx64bB26wORW5YOTS116CrarIhr4xrDNIFVi
99rkVSzwQjbkqElLTSnPsvwQVFlBg/KNQYL4pgmG9fGcVN/6Y7QNLPAwn7pLeFLLeibLMzF/ZsGt
7aNjKnKJGDv6CUwBYbA6ftMlikB8YpCMVjMXB9S7D2QlHUaM1+1jk9Q44rsTN67QUK2yYIW1652e
QEwBMNmlPqa2YrHrjQ+XF8719CCCH1qoatdgm2K5bPsRnksCMotbBcvDm9VYoHs+BwPIGQjnCfYH
L3knzkQI5UebySP4Cra05lRtBuOAvBrsv6tFPkjJGDitR3D7cVrD1jZxX131cbohoi4dVdL48aG5
BqpVzxEz2s71rSx4sC8VC2Nnzr1ZHa6s2Hbrw4WB4A7tVOlYIBe2+MEbOynSwBR4zcqUrmMyPkcT
T9RyOtotbNCppWg9Xz2dEO2+xb0po6IdwZDQ0aGePJBl9vGPjFnuUP2LQ3VbnZ6mYIdMgTKWy1tS
TxzgIwbqa5Mkmn7xu/Vkf13GhrYePUCYh51nML6k3LzMgMONQYZfkcFC07/ytPqutJ/mfxeq2EBx
75trolHxoxxSLSZQSh3qGjn56rLLDQ6oJW67F69jnMTJG4TZ8QGjwAxfod6HbtwSXCCdx7DTWWmz
MOQvS3aLLSUcoi29X2jNZHhJTXMWL3bu/iodiY9TGSv8Gwdru88zL4E4MvhCb/eBgLpNdF9Sey0f
9F+Um9bDkCwhmMyKt9x8ALdEu3pvXXpLM84eRAvnMqkXoQfMyy9HqqyLMWovdzy5E9QCnAHdcjOc
uhGkauYdhinxmvTtB/vNx8JgNoTgV2yl83/hByMpFKHyZaH7TKEt9EqfAcMi6QmOsYSanRpD5VPg
2mNBfCqzHH81+8Wk4S+VDBZBBfb/XsQ9BHMVdiV6SRigewSb6LJYtZ5qwRohP4+YE2syIwUZCqFk
NK4EoJtkP85GmOcC6I/81u8Q5LEyLf5PzWctYfDgoy1jkGliAtVCoTvAYhkUn5ImllV3sbJgWsuo
Cz4Y8CM3xz99dmLtrL/c5x/21JSQ7JlXN/6C3k7Ley26uea5IfwPfNd683DVSlQUEDbkTi7Okkff
H75ru3fl8gAD7qcZ++1cyF5qOkT6FoKjk7rLHSDAeAvyhHJHor9rq8Z3+pzjBS/IEZu0LsvH+1Q6
sdGV0OzQCyEXxdRymoPPmdBgGJqlTv5htOUfh/eKxkPRJNOfz5v6fvmuW2q0ZNjPNfZl1EoxtJsG
hrPeuZhidUjeUFKSWdHlBoLxXTUALP4/UlfSI46Jg334jVy3hVOSScc2xSvCD1C0NSu7cigc5qWt
AJ9aJNwXSwl9e2IukdsO+LrNM0PErvxV3z5PDWM0agTmoEBceMa7WpdAMhCIi5+pnwM2n/3+AsGb
srzlzNqqomI85UGTojeuYSAK9Nruah5eZd8murEzRaBVxJCCR6dKB0St+lrxvE6zC+ZS9gjLujsS
CiowvIyM5pglgcu8gW7n5PsHhKIQAVnO5uGye6/BoLlf7GTnib8Q705hy2B4BZE+12pDy16SkRPo
XVGurHlYRaV0s2vjgKxf4qSOr15s2ENJzhMd5p794X0uUXHRGqfynNoFf4Lb3T6pP+LKWA16dbrt
A9xajZI+++KIdluq+D4HiR+bCW0YDByt4YXuBpD1ZCMYCwM929ulVURMgmBz3LioJQCBMrU/t/an
6Af82a88G7l5s+UbXWfHNG1ox2B75FcgBOlR3KmwI2Nub4qMGpktdWyBDd7zzdayfZOUIZ5yW8mE
hkCKpufl3r0QqHP2A3QTLwLWLi3XDSaAcA7dquGSDy4SY6e0+LZ1/5rk0E8Nl6mN5JBJM0pw082Z
Lh9JWFHUaw14G2l81ckXt93yxxnZ0uNUD2Oot2CSy5vaM8/IhA+uaKXPRFAlfmFBl4fVmpHBACQg
qw1DaIvxfrzroGYzmMe0bCeTq5UwhNEJguMBnGOUXUCuUUMHjsF1QKEKNs58gA3FDkpXqftqnSsT
yjRWcm8m8OLltme+D0aZi/GKtPeIFPT7AXlANg3ZBTzfgAYWKhL7mwk+jqtRJ3G4EwBFZlocgnmz
JjkitKw4EW1qWKaSivAhQDEfIyX7jNgD/LFHCKZhPR75nKEzUVOdCRxsIfsOG6mQ+1QabqqsWtha
poaq/xTVswWSMkN5pICSA4VvJXJ3qVpAjXvt+MOSWg/IhvBi2uowVdfTGzXMZW6nJbn6WSq/+az2
sL7o/6iXo+cqbHZdb25IcR8Lj27EIc7Vyzuzl0tq36OHVXOdur7pDrypNs3KvhFjavpHQHmeBxND
KQfD3PGKlGPs205EWlF1Sumax7hfg1YnzDTaOiiWkR+esQZY5KmOsGPc2UDwCPUm/qdeME04iLCs
xPgiY382Rwrme1MP8o+Iwnjyh0zbGF/SYfEI3LoUWXJBFaWAlYr4tPYscY1xJbuZuF38oagzQkJ+
132mCtUJ7qF/6QoDfv4LQh9rSHfkOZercTS+3SDw5xe3RxBgZ6HYJ9rDgtKewBXSsS4TI/D5g8HU
p6sjnxO/E9MGAi9EaS4C/Q9KZGTSCtOWWiI/N9fLkmFO5zuuLrXSzFb1kRzG/QJoliavTtkSxIQZ
JbF1dwga4hA8ww/muCCRQ1gwrA0Et60q4u5IxfY2OdtVmrom5YJUcR66mTKridnynSyorrsfSZ76
PEl5poW8oO7h0Uzlwvncpb4DJZfnQlATM0fF17643m80i2LN4I3p3KUSXahFdA5A+H+sfV8VT375
c91ngNRoc83vum7vo5t8vnZMoKWjH5xdtDaaF8k5vSpK6f97WkiW37Ut/kbes5zcwI3yEmQVHtOr
yLp8Ho0LEOrZ6mBQfFnIa0Hvuvy0fxiymcVlQ8Sf1BcPIJNgeKrQ2keU7LTshBYgHWeYh+vy2z2b
Z4i05IXB2X4QiEragA/QocTHxcABitspRtREQgqQDKw3chSJdXcQ4KDiP3SriBHf86Rn7Ti21Vzr
/9M5F8ev2sFGw107by93roB2HPtA/QneamOYRWHi3fFmzccR4gxITIU5PZm0nRGqB/iJXp3r+3nX
mUIh0fHATmxXsFKyRwlZC3qJ48A6if3pFBuHWQOn0M/+mrboqnjnGp1oon/1TGJx+efaRT5/qLtI
kjS4ytM12m9fmumfgkHT9ZSJFYFonpharajW8Hw+yGCnz6NxJ0XUaPK9YeubgDZdRW4iFG+cBPLq
NKZ8RiMbyXScs5iAJqMv4SqMTwfQPORFFo94/3RAOwTE3FH4ocP5cMEVyqD0YA/CL2blXUudMbfg
eCA1Pa6Z+iUb6z05kL4Sa1jLJFvD8WKVhNLKJjSt5J1qzM2ZPoZZAO7eMCyp6qOkvI+ctTBPcmcM
Nr9Pt8ZXLEpsWeW9OJ73iV0f1nnmThNPlvag73ylvd4GjqmzKELZQAZPxGSYaSv0W6VuKcZvDKZC
JCiTDxVKGPujCDJ4aEVfwf69YXjURpDFF0WCXQnJeOvSt4hR/D5nyDZ7EWMMSmFH+88cFu8T8TCc
SCk2WvNgMZLSFlxS4ImtEmJ52NaxzqzkRVKsIDyJVYuhOSc4yt6fykGeQPfZJ6tt+SuZNkItnHcM
/0xI1a7V9cruYDcNiI+GZ7oqGQRy6ag+D42KmnIb/e19MRkfhS/nCzzxSkgCthknEDBP7cnCTxSX
63c+31+Y//P6Z2lF8RazcFrI+OS+jNopokTMVcOWGUg0HlQ4oNCr9dRRanseNe7hsUl3HZQbPrxE
0F/kUB2gIAYIMKtplaGOcPV1OoiGLdi/OMePuU4zOGkH2jf9ktY/HY7yy4SBdFGKE9yj3cIO3p7A
uMyUlF6cfHLlRqQyfOwK6DfDUfaF48cUcTHcXvTarl5KVEtvbhpuCBHyOy1OIrxAbvLMSfVAzGOw
U2RUJCnYEpiL8dpCGc5w+2xpdKeSZjkPcnMeJRos5m7hubAWsgf0qLFP5avTAmX7/MUIwRAnLxgY
9PTb2IiENDka0xun2dpIb5WPemQLkHReuswItRJhG2YztdvsKbdiNefcDH8d2t0/IPD156u4tJ3G
N8ED7UwZ4/focQFvqTKhATnJT+xqtpnZX8BaErvCOsQNqBxm3nk8YEnWMFtNVeqfo1X2El6kdvdQ
RbcVp/o5t1HPyStwmxG1uAKdMiO4BvSHkaK9wC0XVxDnVH0Fzi0E7AEmR17NoJt+kj1G2OsDE5Jl
Hpu6CelMOjHznTv1HtwrS/76H5dDob10YEa6sERrEegrEme3D4JDA1BBZRDtEll5YSzbY8hMIK3r
Fa8P4KIm+S+uwX1i0dzqWUCuXrXONN+1NjVS8Zsxy9woWDhful7gEqBtZg6ug0RWxpip4842AXpH
G7KTfePtKcBKXtnfY0c/9S6/1/sMNdF8a8i8J+t2nKkwDk0CRHzIH/8IBED+eFD3oxUewwl7llTs
hPsw1gmD8NMdP/cIsHd9gUUhrmbYfwhi7IN165hv4gbPVM1lKBM1vl8sKC+LPOYOWnONSaeCOgw3
216bkoVgHXgVYOCk0mB1fMioXWzAslAtNKL/TCNVkMUuH9hEDmZmwD46NpB7ABgliBe/MHY3+3kS
piQTHyDDEi+FjCRGqdbUCJeAkcaLsPMUnkItOKPWEw8n8LXaqENH0I66sxQ2DNrfgHqKg6k4TpzM
z9kbPWHIf6MzSDJhxnFygBRqE8CaWVI/npkyqlT+HXx/06seD1ksEJQHrM5UaqEC7Eke4QtIkSkO
/bfEeb9htj/gVEqebQKc/jbzrdmg+nVmOsSG++Wp8K4X4MKgLrrj14cLhg1rmiv0VcYrKUMjEL0N
J+TWKDw3THhZbZapc8iSzxbbL67ILAEyMOAI2G/WtpbbtzLsqfLNuHzvv8hwdByNcJaBCeCofQdS
K6ImxzLZ953jHLL/THQA5fm+4rfVOiwUIaoTC75cuDXJAdGhy3YWpdu25WKCbCpwfQNsv4NysbIV
43p3/ZwbygTUwkQZ+AVPwz9Y7hy5VxYu3Syp3BOvOxyerZLsWrsh/nyp7uIpeA3pcZHcIEbAKLcP
HabZLxfVyB0f1ustUfZh5qqnYQKWFRW1mkdoozXG1xyIQk67bszKesiTUtLddpW52JuQNh5mHMcU
MQI0UoAQaJGKIlGBEk7Xutd9VZOTFgeOJ+l2yzfeh4CuW6Y7SPvcrjsqx8q03w7updpviQjszcHA
BIf3VKhiUDJX2cyulrErQFUWDPdZbefhI0UYzsjOEUwdTB24XZfY/iJOQMqWlRv+dzzw2N2OGBnI
Gxtub5qOLBHslxR/aujQMOctWghZyfBXWFjNXuUxd2XoAwpnz3zKB7DaCooEBbrrCEjO2woRFsJB
jlv3QLqzQvBAQeS+kuKUKE0eBQNpYhxZDzY891AfHGYWBfXW/96c3okd/WYeC2U18uRITBLGTRZy
MnkJLsddgfrO/7oDu6avXGO4a4qfu88u5N+8zx0G6b744bbwqrkDTZNMQSyZwUJIo/AjKGqAemU9
gxl+54OZUPr7dmM9XiNhP9eRWbj7ji85AVQlZ6pP2/RFGY7FoaVz1nbCWjmncQttVwp2FxwGXIYf
aYi03nSlPLfKM+zau5kRQvBUuQyNHQ6iAYVL4pQ1hjLiPfNihtPcPNTaX8UT4GUBfeGWjHI2nbrt
WGRDzyaDtUSiA0C9M8TMvYX8IIdJkUuzREb2za3inZnyXmd5Xw3B32kYjGXFs0sobfwXqxbOeylC
RMl5FPokwGoUSYmt1Vf7/UpbSnrbU+tIza2FtdVS+LGouFGAebhCeJKkjipNK2nrRQqN0/1J+cGi
C4p8Dnet3fU6LRIyJOwwqJjZsCBU8vLPpDM+uWQs26L3znbrEj2nn6fFiFXhDc+7sGZoHY93l4lp
ipdTKwMCh+EtXVFxkdB5TY+Pa9N/cxXtXKitid7NnzKetd7szTwB2TWJLeOZSNPDZbeMcfIAD3Ke
ZMGcf3pwtNK1WJfCXNDhPSJyu8vivbdrCZueDhMII4vy/Wgx7xAT0AdWyvrjkDi/JMceuggXcg/o
ES/BiP1+HlfCqzohOtu2FYyxV8676CB8hS4HSsKKdN0a0Oju+a3Iqkkc6HaRyHvu2ZYQwNoEp0As
ePq1qp8HuEksFJMRZQg2V/RsGjgLvGZJimiDZPUXpwTZhbn/Ri4c7lVVW8uvrWqr1zakDx6bzM7r
4PvdbxnUQtah18tB0PmfUeV33A+mqsJk+ZuuxKZrG/DVxfdVeVUln9Zs04/o60QgMlHzSGwmzUCz
axqlxRD7SJGL+qcTN4PSOaophAV62NaQsN9VvtZ5mGdK3RkrsHBmMS82YeyudAub6yXvOHWHeqqO
caKlBOFf0iDz+aMjcVKUS3OxdGE5Yfa9krOlT8J3oka5XNrbAiNKn2EXFHvqM1Kqpd/IwbGK7R78
Vq0kFLRidQCcXfaIOTmvgxqjxaZ//JvAPGLezWBNZXB27F8XnepIpQJ2yvFYvLRZ7u736rwc/zDa
akUDZCfl4VEAjTFFWkUIwmqdGyvSnYBh0vJHKjM/z1BppMfU8gZEBHagNGqAdDANGS6UK2kML+bE
v0RK5jWrHYS7S0nCpBim94hB6YRV6wjp+6WoJk018/VlJYBDInuR1bnRdpQ7eDH8oKEmOS9D5b+B
6dnTAUAWoMa579OC7JU0UrxOQmmqO1zYEbIWLkdfv1x/MIaI0Om6RWzW4NUxDIoYrSGKGHFccviq
cieuDUPT+TZ1LugizcWKTYRO1pCUzRQx1HULZ/jJ+D8+64miOyYp+bzfqx/v0kXyEHEpIKjGQCzO
t6KtFi21r5KagkqTo4+o/a63g/U9f+ObtckFm4bwExv4nMvgOl0A87ZpC035aV0Rx9mvskb6e3pi
LbKp0QD6HYue9R7sO6gg9kwJvtxuRsj0MK3MD2KbfgS01vGV0pAAoiWipC0XFl+yjMpHbxRPSw4I
hgAxAiw4Dq6q83Lx8cDR/STXqBK3/FIsXhPN+2nkpFAkZd75PuZTJQX5HxO2kp1nxfP+bMOPiqxn
8mkYuzxpomrXVnGevVLh5lJO5l+fGnDvECz64QaOs1RitdNFa/irIqcS1hjfqOZB4AlOcxXjHRb/
ZWZ9Kwdfup3D7Q1/lwAv7YifhpF2OaMfY8kmqMENnHrQZ8Zq2TC7ySbxFDzwDzeLw1VtAMFKv8cL
FdcAHB0ZMZuoSAFmPPR5JmegEBvCqCXXOVlJtUaWK6dnK/nOLKoWQ2KgknK+wjx4Ewrpsr+LPJkQ
CFqMKJpYTUywl4UgXxvybThKrpMqA9oM3N3zuobV8gSai8RYg6h+0kRriVaxgU71ivElFaaZdTSz
9oT4oxLF8p4x3WgWec+1f0k2PFqsernmsKO7U26qA3CqP6Vs22Of+hGmuC93ES4t+BYDsF7xpk87
tYebgMN8KwwBj1gAtGp2YT0ep/4n4Vxk0vS96jvUz/7aYJ31CVPc5cvbAJGUFCi/qIOha/x5aMQn
mjiqK/gKUZZPqzNVTCj9973RjQTsZ62PxpWKq+18K7vF3tLYMYeJJUqN/U5emtoyV5VnMF/UVCz6
bbCgEPYk6NlhkLCHGJ4+Ee+HFDEVnfbU+g+rxXC46tZB+C9HDtHOZ7+Rr+zzNRv4Eq1m24WrQV4c
gJI1XjjoQ7X7szxUe7gKTWtvRuRL5i3vCflLXQZRlzopUG6aBHlCImtNfyEo15dJ1v8O/PRs5rzj
SP9az3WV2HzAI47uh6SwKzS4T9GTSp4cAKiLql2XJawIt9uRsMYP5NhDFR278HM/d39EajpmmJQJ
/o9aCXaUpB7subRgO9i5mIAdck73drKUPodo3lWQs6S+U/rVIow0O9WPJVTHPKosLqNMb4CXT7ck
rfRL0FXHkFz5Rs5rIqu+50xF6LjGmdemMpxNOn4lehMl3EVWQTQL7lYUG7wOeBqCrEpgTmBUOzz3
1oTBlaFxUR3yQhKq+4iAz3Ei4tnITRVtY/T1vxmVro69ENAtN5eU0zQuNobRCTkSqAFvMSZ/67+E
aJFGp3gQU2BYavDpWYoRQwuMsIqc2eC8diIaWvIko1/L7I/RxNaULE60eSVAjLpt8M+rwep73iCs
svbrXbmqbLdG2OxraX8asICuIpea5ZAc10l19tLXijf3yll9j3DNvPS525Lqc0lxTD+Ywg9nTpqE
Ku0PnNYcq6Hdrn77/NhLv7oeUFGEQFAHvy7bs7IeccyRvQZjMOkBsBRfvdXZr7TFxmOY+AJGHZUp
3RAsuEWJ8Gp8zhmA2mBc+KibXMa0U8OZhQRNQzLXDiH2xhtM+HUX5cX2Y3NSBcaLE3vqMwvKxBrN
WkD0A/xNsWfAy82kFYYipSCP+1UgBAB2yBwCG0/hvZ18LvDjIwnrd/NqDIoX2pK4soD+wE/h3Cyu
EhvOcVQ1CNZDWB/nNxzHaNW787WpkXlkbFiG/zJuR3Qy2mULWR7ywzn4eKJShwa51TOwN8jsEoOs
n/5zPYOzSag5k4O0Y8blIWCAsgJlE0jgZ2rIu9VtBaatTIwmuH7hluE3vFiWdGqlipCAdYax/qfw
sritns8RwpDRFPw3q49EnLkZi9Wg1OIPcgsxQPc9ENRINNLu08FPSxMlxKfmlVXuDVdTC7LRISCj
RytCsHS4XCTV+9EJhzxgVh/+qN8sZeESxSU3PQeX0oA9G2niwcpbYA0XMvcP5B3spr2BUZN9AW3S
PGZ2yCYB44ljBqUUmd0qM94TT/6rkSb1RCVlX+DsjeE6pOZDufjBkyO5q+j0zZQ5swanxIdnZ4tz
8zBNQFTvufiLKp/uTIZNbOHK8+2zAOk6iPS3dskZUzCkVdygpws6ft8DrjTSPA+thjSOencTSv5M
UMx68DNGWWTYUCKRIlJaJErmbb2KE/rR22q4Mnur7hi4oMJOEK7I46LkvZXRiIXB65NTqIoMhJeP
vDjfQ2QktVtt8muiu0ZAQ5SuoPQmyqH25SOZHYS5KspPVSWc5FKeI+HNsCqlFLSguRs4jwtKL5+G
OJDewe7s/jqGZaLz6jlkGLEPYTWTJZ78zWF/wm3qASMMRVUlgvZnJtKi/aGZAJuQI27mrR22atMo
I/vKEWBfCsVhW+aIqeMMcgWMUUSrtso74bKHFZCGwLB0lQV9Jtc6LkS9dDoP6lUL0cn22uc5tlPf
9uv4v/ok0WIFb7rfbpIBMr2Ec1q8oJU/AEYyUIZt4dpdtbvNCeKCqqRGsQ695mpr3jgfRdtblI39
+UTxY307GvEuzMaXL5Flx0q3Cv+QYibhUTcb5ckVrbhLhToD5w8LpzBY+0yCVlDYBIWfEzOSti25
7Wo6P49exBaBY9l/E3+5sYaUDmXVsCVgIY6JXqoc5OhiBwuMFWoNjNpLUzk3T2myL3GdqV4pNkVJ
k4CI6//lmz7SNnzJOFTevz88pTo1XpEmAs5afdbmsCOuL6VBMMLDUHVO8rvdg5DZVgOMIg8mhTd3
ts/IxRJt3l+MZYyesjQOVTPn4nJlbnE7bOOm3ctT6llGfkPUcE75fY5aLN/3LldxwvTMNsY6p17w
hHtT2c3ZNVm10M2DnJCGQZa+5XV01omZHAlZarRjH8ym7C5wEQWkD9srH5uFdAlAw4iieupGrqxt
VnKMLTD1BXYDhLxQTim85klKDjOjY2kpy/mBPei+yUl63LFN1AhbUQdPtbNCVic067vvuaePEzCU
N1dnTswxDm87Vi4Y5aHzJOyx+QcDmckupLZ4fLZpoBIE3SX+rBxy3RxL3Q0gyUitY2JRbiQtfQv2
36ZOUn7yV0NS95lIRv/CWcKHgUmOMHfx6DzX66K5qwPY5bNjVRdv8Glk6uCr1ieNbVTJGL83tYkg
UexO8X1R0ESaY8Y+4HFrSQKGU3Y3eSUYuQo7g/xTXJNpvGuWR4CEB1IueZrVfPOjtTBKjp1NtjOQ
lGSUrZPwHF/sUpSYTrv3jEVHE5Hq0xHZLqvDqhcvKyqmwfnYVSNS9yTf+rlQhXnT8RU9nhJ5uyCA
eywRGXYMKMLqu6Uu99B72B/nSLO7OU/xhgc3Q1mj1jT3ZMo9cxKO3zB8ltZGDGdMM4qHAC+OvazB
BfV3Om3grGxoGcHpL3fIg+FpCbocrzosEO7LnvIYNGdo405abPaTLstOnRlyjO7TkPeo4/QUtLwY
MIQjxSnn5o7mtMJxGsQLt+2BTxR6pQgU+vZblvc5BcY2Q/Z9/h87/AO4epnMw67lqfTAu0VM3DQW
R7ZBF2M8nsRD3PSzuh07wyztBYFFI2132XEGsQgXv3Zd82x4vkN1ZCpQHu3lIEbPi0wq76vZ7p+H
3Wl/DxwtyDMOMlQW3dPVFCiuSKsEHqiUWAFY5qzVpQ/esjzwJR2BPlEYIzTY369VXy9vMhHgzR01
sztI6y7KaLqMqyXCKE0TJZbxO8KxNo1e93rPs9Q2UicVto1Kj0ny/J91zJeXTAN3UC0+kWQhiB6F
bHAC+x7zOstZkVfw+Y0oH5Z0gmG2U/yTOI+vqLcFoSj9bcy/6uUIgO9I+VSrKDaRqIMSi8R0sliP
ijbfOdgSNsqpk8a9zGxR1GqW4f78Wi/Yv7TSsRLp1G6ujqCp2RaMH7jhKBW21iRwZDuPhHs4kCl8
3Hig7/MOmUnqMzGRi30uuhM5j9GIxFDuGCD/cD7V11A4ecikj6j/F0na8Z+J0CBx8HjTbVA/FVxZ
1YMdTKqV+fdpx5vhCv8JPu6DVTvXHcjiCzxnmNsVt+VK3bLGfkQ50JjxjzZ18JpQGGhugOv5QSW5
DLZg+ycC+0dRvo6sBbfplyjzTk35Owg/aF0HQwmXcQ/Rdq/MSH5CSccOGUSvHf2qymEz495Uub+4
qdpkUVs84yka9XLY1IQ8gYYfmpF1edTvAVVAoKaevN3WfTdYn5HI5DVmaKe5a36OfMFMxsbHY0eh
GUOcgOD1XkhAUl06mJXOkFQ6GtQZo5D5aJlez5zuqcCIzw1aRRRWCWIt1chWBMjjZuol1hQl1PjB
EVDLpf0tZFfJuXAEF6G4c6GgY97gZMdntNKCx7V9YPCu0C9xnk0SuhpB3w0RakIbGGGK9ChyuR6B
IYXX62or6mWaTqaGVZ6dJby4WLViE/XzN89pSILCYxhTNRF8grKxEYPQtHDcwlvCIE7eyuvoSogP
tBoLo/6ZvW2NaVCPAZ0ibT1F0D6vmmi+v8WCe9keig/ICYDD6quiuWPf4nFCAao0BM55jCk8vYn8
KWVDum49JSyIRM6I46p9JtSR3QVQIHQS954uyoJ6MHb8Tj7VPcs2AhOj3MUT3zi1VVsEcFSx1Qx+
Ns2qGtAUMMYtv6L54/WUaObcGwCpSRfMZzmMDIpJJdXvP6J/E/+4wyfatLEb2Iq0HrYfztKQ+1/O
NI7xIUxdqLbSPTE5AUCU1VszilGhwTNg5GptXTyJuEonFG3GUCeEkmBLZ4tGjK9KKbQgSEzo1RK4
w+mOOH0litpnMYBhU66dB0CQ8T+QkEBDPDwvwd2scugSVlD8vWrywX2hdRXvhdVlNtp5+X84xXuD
Z/x8aJBxmjrs1VhYo5yn+c3Dhck+7d8Ji82TdG1USDM0z1PBdcd6C8gGEbPHZGN0muGtScCeISmm
YEa4nKE4tjLxXJcsLAwwkpGLAEqfhnEKJL79MqWPkhIxpsRtDjXoKJiEYx77glqLP4TX8v6YPk8r
4Fxq+JarQ4iji+TVUgtoINOpQgawD7wUuhpGkSqgoXsdiLmUGamwamImG1GR8tVgn20DuiGG9lCw
CvnLC9Smtx8CCewCiCeJDacWpUNAbVTErtXez159zu0QuBRP5YC1ZINYH7Vt4UHrPjO1PIyHGmND
WvdjV1Q12doXRSmMV33eWmRunOspM/ZIFn1KcFfLAyNNgDo61BeHcC74j6t1LM153vqEcmcYDznQ
aMcZbLfc4Z5LIXBU7/JMYutQnqUJlyi2/8nplXaJ0FdO/XgXmIPi/5VeRaDm4cfjHJt/tOPqnDO1
+ndhShi6He7JXfbbjeYlm3IKaHVGSDww2EaAJ/ruUSvlOejtyPp7iMpxSXDTjJqM9O7S2WVwqTqE
zE6af2GDn0SqCCYzyVYR0aCZCxGqFxTcfe0g6htD6HITXgz5klBBo6esBzItwrZJ7/mqciYQ57GC
yyhGgcqsVmO0sU+eJgx7gCW/taFggAey07Us2z5lFwO5B2JUv9StDy5mhjp8NPtDBaX3AssE7bWj
n7IdNwm3DtAb9a9QxsSOkLTrXBWor0MulqgG87TkjToDZZdHZBrF5pkVc/FG0/meU4RAiIFePY/P
qHfddU0yntaSyLcxf4D53OCEnhmXbtDRifzmZWdK/FjLC7I05d1H0Jm9ASQ7dSlIA9/f6ZRcJKxF
mghX7YWV8h8piM2kaQy7Jlusw0SF6TTXtjtnFpKW53Dh+woo171XROXLW71o5/M1boA8F+i6hLyw
8aQM/7QX81uNofWag4u60A264n1DIoH2+iGWYul3jNzK/C2YoddkJ0yxFzbkdyZk6f6oKQZZjo8B
i8cEabJrwebdmtPTh8MdZfp0QJ6+c1BMrHRxEfBqmIjAg5XcmwN1ErZmuBxNftUNv+2v0M3pBN+J
6FOeRt4J7JzXOZmTZ+ILFzhv+n4u0w+WZ4l5tVb3Vrf/HvgS4EqGgaX/vtV9fd0pU+k70UiRECXw
QwVOPzghI4NPvmDXi0mjxESZS4aTpo2W5nXYauhbpP8yLv1yAYxg14iHl/OHhrnxgDtpNGoCvCTn
yK2IOTC+Fcx5Z/j8SssfRQHEqnuRF/RoeGnLb2OsQmVf8uj+n/abrx9YSAPfQNTuU5W1L+Z7Cl4P
ZYXGedONthm+GgDoAcaisowdvsfRViXiYv/5KZiBaQ55DnelHIk+KPALW8zPDs9zVdHrAAP0wcCv
prNnsgR97H1VfkENvKnq85cwF4Rq1g0Rvsp65qHqmc2pnRph0CFMVHqvpo2Vg6BZj++Yfi6OxVF2
lDWCu6889JjA6hoo5yFBxmU4iTgTASC6EX0ao6Ad3baEYkc8JPbXt2Rna7H7m06GSLd0G9/tESYm
4M57JTNtMHN75V8Rtc2ba0F+o7NuQJdVgjd5F2nI4ZSq9IJmYWnINxGO08DZv7CrN6uoa4gmjvVj
o1BCRKclLPxf7segPsoq5TUSH3bTDUlQAoyfUHQvvxrODyXl5lTEAH7TFe//hgw8a+3KwI7Vt7pO
0othisa8Y+/HEd19CychL2tX4AezpvGcEm5G9XFLoY2j50wxTDEi2gzb4sTYSFQDK6cyDNFwhG3r
7L0cMXW+rVOX813S6M97KZzmvlTnJWEqV/9LtLkjG2+N264W+fM5F4Y8C8ehK2QIBlRr3VVIKiGo
swokkgr/JybZ/oJI5GXKiEFQzaqiNNGQRVfibnr+s5Z7DQ9Y1595gBcQvJkhiz7zlny3GJXLrjvy
lkPxBorJTCG34RCNF8sDaQwrLEzZ6OwiiS8Il1sqLnr1fh0pI0IV6bPkDQYL+3Q5IcitJFzfEb0/
XJFR/G3Muqzw84WpN9JMdoZZ8sXl3WsAz2Tguu2+8XFVigLyPob6JhWpWQcLkfSfXoa/Nff6+vvv
PySlLTQ5z8o64w01AnsLtX7kyV74ZwvuuHdEvUHCNY6O205eCLSVypXNRqLkfJXdR8AseI/GiuKS
OEpMkRJFklYmw62V03phe6qDIpPooJJB9uQ/N6l6zX+3MEm2kaqbBNzpqzzChOYUBb3Gwu3brPui
3rsw+No692ubWglcM5nCqKwyNWLyTDRK2PfxV4RXF8gS5HCyy9+EqeoejTERyn9iRCz1UIkzcxoM
vwabJDgtUheObr86RQ4BfdMCwgq8YmVRNgFJLviQUsDN23f51AqoMmA2SHFXx9ZevyT03ikowBj1
DBpnuAYyofP6QxDbyiuIyRd+a25YoObCyMLpDuspqcNclam5K1oZKbTTMU7Bb7mj2rIwnmzs3Cs2
5bQ8I6Hzz8KHlk4dCr3BZ9aaX174RGhBwqLU4cTIlIJvqJvFqfXfLcPxgCRdoeRgagucJ56GV8hu
6XhWPVExIPyC7GopxQPM/fjTEJno6SkS0bYPsUMhzjnzMkBrFFKFG6nZuXaK2FGBOsbT/D+r0vAH
I1BfmHHE8WzT7nvzkCwP9eZYCX9x6tafMXx8as4xYkEaVUzSvaNT1SYThbtoaH19QSGc6IL5TpCx
Zf/Q8BXhvEa/QTh18bCEv9W0nhjTcbQ6xc/pc8dSmvHr7gyFINf+ZZCHV+cc3Ff4qOvwh2JAh/NI
3ejzkM6I+9WjCfh6Mc/BgsxCdTlED1+ek5ScxrvfZFF48iEzsg5chMxsYZYhNGXy5r3n/7P46Fuw
4WDvbQd3JLJglv8ekewS2ysr7Lpa5DTSg5DEL4Gu8gL0oWfJqJV74RICTBDPYbnd9yB2zCFDjpPA
W4Xs4awgZmZBJvk+MbotGX3mof2rZidLjIszAjOLxAKQSwxmBD9336iA6iGFF/GCTyEdS1Q7eTaF
jAH1HzMXB4RT0pCbg+kfb3UbZ1sV/7NFnclsO3d0L0fnK/MNMcYaBXk3r1iQmToidkCj4DFvfFI1
bPER4THtPi8n49fWTmQgCrSe5A1tifeee30+aMWYPzfWNNYgJ3OjVzVj3q3SNa9bcQ4ZPSYujD/n
E1gGzi22X6O6z3LOEhmhJ1QFcXOIdHVdLiL6tvEqeHI/+GF5ZhyjSbRxjqa9LI8SS0yqCXkXG5sB
o08/YoAw7Db2DA4YNWGWKntYv5OKk2cp/3N+Dhp12jGhXisNxI8s1vr+r5xPOAwwa9uOXCTrbxXR
VVlVixrGHyroA7Us0xwgYh9IJoRhIdzpaoZYScE2lDmh0tVojgEy3OlrIUe/WcLIWhUgizvAAFNl
iFTKD+8afne661BKrmDZyF18V0dTzKCBtHK75FEaAQIaOuRhAaeRp/0n+t2ERQoLi9EZE37p8krF
9hfcOXGR1ly2XvqrPJQ+w9AWNMZgk94X9Jdnml4Hw4zWgyhX8G4yU+35LuiaY0R/LvOJc7VrYH+C
GSTMQcjEQQvDiKTk0VXzW4in6BSAoc56nuB8Wtxf4pfHzobbleu1v8/A7jVGvaTu8Sjo2ALM1A4r
UdCd4owauhWva2WFV3o4eCzvr7LFUB6X1DfH5r2/BifqcEyLSDLw96pYt/mZO8/25OnDQXciTMrw
c52z2lZy3kw0JcXmeYttCUT/StOA8QmoRZqhYwHJibXpZjQ2teT9nf1l7iHgJeX0cPup799Kbtgj
SfPz+MewDYfj4Np/F4/HI8xTsd+NsIvuKLxxLEtfTCsjhVAVw0QtcSQ9dxU/D6MtmTWm82NwPY77
jMkuuUWgQvXKsJcBI9twiOtnwudWm41DVhHznjkPhc/+y+OMO1FgCdtwXefFor/YZhIpafGCAu+7
+Xxw1EMr9/eaLyPFOJl/xx8pVBByi/nVnwtxqJtSJM8Ku4DTVWuseMFUle9Mm3MFEO9hR07aLnIu
lsGBhHqeB2iJmP9Bxktw2FsvNkcdch5InCqUP1sVEJvhCklukhxFwHs49Ovcg4B0GNCKDSUZ69ID
2PueLI2c2opM52yS97xB4obSGQW4PT07HXG50YplWTQwRECcyidMzeZad8xVFfra8b8pL3XcWbi9
ONqsljUDgDJJBe9gZ6oU374QKlW1mFNdaYJQGJeOMUt90pKN9gsXIoJVdk2lr+3EcuwGE0jDtBKe
RPqaFQOfQqyYR/e5LN3BibCDFQBSsNBrhjrOAEQdhjx+ED4N4Pa/TVhtb235vAJZPlY1m/ch7Ewp
1Lgp/qqdZRHR8Xy3+glXhwhG4ch3OVSHr85vCTmZ9Iqvgl7cCg//pFqAWgMAoq5QRj3L/fNh4T1N
YLuP85MPmIXn/NwTjmz/Oha/dzd/4eFy9l5DECEDL9qQca5uiJif/aJ6wrOGNW6mn6RO3TWUZq5a
74SKBxTcpUB8lzHuIfFEjoe5RyDKr6bBwGXbSXDEztAj/HR5i4m5lTlhTXtLsRK2kkdcG9OxHEdl
KxIwLsIl912pbh8ILOR+j5e+SHr1HU801WeBIfZ9GlgE5dcVqxFtdDuKnDBLzGAC737komwT3ue2
KAyjQXTQjJabWE00IIeXhyJPr/GcC0B9qtbbsF6FpFtC58raPg/4qXnQrr3Eey9D8F/EAQOymIWD
YBYjmGtRmBgxRDrOVw9i1zSDxWGKfV4n1s5yQ2ZrqUiprUSpK7ukjaVWWTctEAorsy6iQWqn1NDr
AukvIBz1HkE1Sn7BNUwGp3BAvVgqYMlopaSKbBTfRQQ56IerTH0VoFqWVzFRjv1i7PKh3BUbO1I6
DqsdusG+8O2yp9cdPttvVnzGmUvPV1JQua3aTaxiCOxQRzP7r3KkOrDxHqRsfqYqZ1lWaeqZnmwJ
SyVg7uSkq5k2sYrzD6YUWPqU9o3vwCcMDMWuAjoCUpFE4Iixd8BN+dXeem3m+JmII5b7oIa+Gtd8
2A8qvW/31SCGgBc42CxdJoXxN59pgKZdTSzcXnfmHsq2PFWDufOGtuBn5IW48aW5AHTOugRjqgZA
SInqv+V+KI1dDlhttPogMaUY03fUyew0a+fO3Du0FAwt1ztgYZ2Ps8Qy7MFtm6MBjiid51ig1gFj
RyNKcj8g89yJt9eb/wMyhYUS4Fi9c/Mb7sOWERQmhuMhg9Bz+ntGdRpk3O1gNVTFd1Lblw82D+xL
d91RCAl/v3AQ7T8haHa3ooEjqZPHUgtfSDF8fHzEm+yGpnjFkjaH6qn53Pkg4meUDPpBiwk5D23n
gIQ6zTKk1tHWOEwZwnv5DXx6qsLkjDfgfCFw4GyHotTeW5VO+VNyC07MEqNAEZfQ//NNCYO9n9uF
eqFvFvbHQ0ilk+N5QT3QGvpGG9fSQMJ6Tc7wQBAGURIav2VtZAZbj4kvhhdlvafWPTEA1aCu3HcO
iEGhNCvTKYkvtzVaHlxWDeFJ90YO7GsTy87BXT8SgAtHwjeU2HNOD5Tv/TSY6NwU0gCPzS1NwCRO
vXr55K6PDmIDEtQllopkrJ24ebvkXYQaWY9SiN+WOg2N3HqJ1VWHt8Rh8s2YlQutTXmMrbuHcrPW
Q/5kcs89IciOdEkxBA59EcQKB+W7fSXHRMdeTnsYXoN4BfVQvHgktRuvqlaG60X8fbi3rGks5jy7
I5UbpwmbLgvo0y+uA/FOfe/eoPQrS+7O5NRprQtGOC+0TBLriep7iIixunrQUZBWTfkBgmMwpsZu
jI1H++lS2qcSUX0dOXLcO9qEB4HU1ORieCxpcfbFcq9FgkMM+6OdRbt1ZREhl+kdUGgNnXwqIHi1
tMRJYK/jAqY11jGQEcxmejqrRXn2aBo3akkfXaGambLVykEiLGz6rsumdhi2TI8otJqdUDjU/caT
o5dnzJJYQafRHAmtU4p8rhWXsUfYLtVr3801zbsRidAWnzYU6OcWPhPaDmp7Ek5pIQdgukdjSWVs
fYSfOXm5QA2jkr3JSW5A9iag+xtjRDKlbWZ8eId7m9yg/xR+6/S5wqpkdTgknsILFnz+cAR9D4nN
DaG/6XXHAOUq9clk615TCrNuewvw+phqLq6Ukd0BdX1DVVgw6Vnou+dyJ8vMxMp0Uor+7mticVM2
Al1sMaNeMCA178h9G0jjqY0uqWV6ETjHhvvC1KqcsjGWlyP4yxwINILgXON4EUnirjBbbYzc7ayl
ijWFKF91F/1wowET0h2vcl8hOJ9oSGYJWaRKOKVJPkmxff8eDG9MTsXTXmDTKUZM/6Xuk6hJ+Gvo
FXnAr4j3NH89xg3ljJ82DfhVHC8Lyfh1n94O0xXwiU9/vyLzB4//Sbp/wt+6z5Qxk6l91eE9hQ/7
Ebwaw9S2bQ2h55rMifNy2CoNobEZOVpEm0QLA10mDazG49nlBo8YIL2/7UH6b+/4yT6QC1OA5af2
ra/iE61pjFBA+Yfqe7pSNKlUpxJagMGtCKu6fQLOdMc44NHxVLncTuV31VQSj9dKPpZFZvhbybjx
uzucxXxi7SSJliym40D2zqehPpI5OVRm+pbDJny5QQ8DPAsiw8TDIiHT4YXwTv1Ve4yJoiXP+LT0
QQy0+KD+ypkDZqQGJP1aznhM3VD7FF3wv78YwHgSU0lUsX86ZOvRZ1xAguze7DKVs4lMA1V5Pe88
zF0ZiaxkE7uw8HfIymRUMD+cEYDb0n+AFehtljqu8JPMsOwZtixaMOSZVE+ISf+wyt4mJxKEm/qU
3/+iU2c7BzTfjGyw7GkkqwSULZKbHeKVn+xwxoi+e8ISRdjQfKv2cR8hpv3VdPlQ2VZqwtmg9k88
oOFeW5NxXPUNtyV/yPsWK0GxW2g+Sr3zp9k/jdgrGHhNK9oBjbMLpwwIg42NkvkAlagb7dMg99bX
AhtPS2y01oh3mCCpAiWnrr4IPL3++vFUjCY5pRhkcO3MpZShCfhbV+PEBtDttP/XjmcensuhjQHz
1FXye7WOT2cqyRZeIikNps8119lizGJNCwoDyst4tlu6MgxpD4vlOhO22HaRnYcW61kcRFHGQ+gC
DluxxLFp9Pw/rJSm2k7eVVmEj3H5JL6n9GS4lyjQQM9sE3+ny27Zd/03/vIb8oGeEDIAOMV3kUKB
6BuMV6wZBzj8if0YSMwBPjaPjIaxV3Rgch0RV/XkVUz+UZC5F+ASBNExPSO7GB6sULBjX65vaI8n
wsYEz7YuVQCGeGq05gg2J5eJ9z+smL+TkghbDOZoFdpdpDttRkwpS3JM4ij4PQcLWvMUfGWpgk8R
CeSDBQ7GTNmxNk3p6Q6q03Sfm+2cnlmrH0mX9zR0vu9TvD/uPw/7BpQBJ3kx06U/0H4hC6wNHYNE
DNdoyFBdGaPj3jOee5LGt2PUjwpFnR24sl0JmGnuKWIQhngnp3tcI9tvP+h/Qaykl3wslpPjHCQF
e5KHYSIonUN6oWDKrW1so0Aw51Rd755sYaDFRuQhAQjPwxIz73Pd7OhTKQfpONSMdoaet2PGKtqL
J7kKTtJFVkYWRxy+86yfH64Aw9fkmPV6T3wTmIINl1n5Mwt3F2dwlRcCCQ1FDKPBXWfGc62aun3V
7f57XJLR4M2hZRpWyvL7taLjgzFG9EMwbEYUXzFwTXhnngPX0M7sHr2K1FflcHHm5BgFqOXwFSlJ
TINi4RGwToVXVlgsshTWKkzrLRvJYwNcJ/hDwxC0osO5sb/Hvas/QpzzMPt8/Pp1Ph+NdeMBqYeB
6FyN1ih+HWOzxYXLh9hplE8+zmcL8FS33KpS4sbCyLMHv4Mt0Wj+FpVLu3Hx7JslQtilr8F+iOLC
uWTWeFI7VJKJyHcg8FhyQK3t+CYZEIvzNBwNVKcw3Pz8d6Oe9UueefG5i9ZLuiO1mLm0jclfHlSQ
M5oRWPn2RH0mYNYiruMJULIoKnZMVEdI+nH5dn+9bALHVmBpBwjDHQroPSTQYOU6As3pYcLVn6VV
G0UPQVXqY+vwZSxsHiQb1FWpTObv1YchW59B+3ShQvcgJaQ+CcgpJ/MeGbmyYmZI2j64Wl3cA7zy
dS8qrVxMjm/Iir949gKXge76+SkWisJlim+BLZaSTX0mUifdsznVsyduW5naXkx6GgX/V1pGrZph
Oj8mSWFHMce7Y/hX1Ii6HLzgJ3kGCIVv/87lfC+16+n+vg6auwodV3wTip3uBvmvcV/M7iCkAqNE
tHellpVsL40IqXOqvqVBHIKrDU39UwaZKpa2GnpoDZrVIax7NjRQc78l2vpHkc11juGeblesIHGN
Fks0KMGmVbNloVeOcvGzRpfnBH20bMFcWByVXMJjH5WqTNV0a5TywWHmE65uixnCQ7g3SONrhfAC
b4s96G88bIe0N3RGHD8FGPLfPKtsLltruBLa/57qrmTWM8nWq1L63gYzAP2AuU0EXZvGAkqgzzaY
iedv2iPIl6dUfheli+Agcy6rVUYJKo8TLg/5fTKqydDM3XNAhBW6FGNnrYzxCf1ydReLqX/dKvOS
Fcgr2Qu3gVIT0mZvfXBrVxnFqri9U7BEOhuhR4751rdBczlR+BrekxHG3MPBcrohrUrXzScrG9r8
OdO9KdC5ybbeRMfxZuuVkYbslHnRIUyIkGq1+2FZqROa9VlBfLSHnB6T+Fl5liV1mSAoU6/m4Tgw
5ZwKkV11zApnfzYzY6N+wvNcbQ6+ilPhhyc3Bo8dxB3XQiopow/AFhi+ovMkDr33/6Hch0MDeKbC
A31bYvJYRxIBgDGOFVXwFBPc/qBHBjPlCGSZC6ZdVPFqWZMweZvPC79nj3qWQC5uSUFGDME3kWFW
g0TqQ4AAV8l4IREI2R7/utQOja+cAB2vLAv9v2OQkLTUB9xGT4Brz4yMbGi5SMRKsqVRXxX38aZe
4qX7M0NVTHqh58wKASF3soV8M2bZKVhYm/AvWMJoyVNnA0uM3VIj5W/0Xjf6Rlt9A978ZwvUpbUW
t7fEnq2gt9Kq2ceMbQTLQGImjNCORLQrmYq4aRa8oOKwuZlGpEfYte51h+ZPa2uYEWqHf6XileIY
cUZOI50Rw8YUderhwigOjW1OIy4CpasSenDgy+sZVwnsFE/T2yozZPzSiG2K9+tHe612sOweeZnL
CWmSY1tiUFTHTWywz7Bqx0TDrjUchGN2hT/bmW/CLiKQbGPfvHGOCsraTAgqAUrdJPtFTiLS1l2e
vLdxZMaEvlX2xYRByAg3DN8Hd7O0EHW6IfcULRZwCGrhYieJW2+Jb43RpH4pxhOGc8WsrxzlZFn4
/sqpXejaMYYCuN8To13DxE+T5+i9joE2NsjQccmOMa4hexbzx5cddVis4fd8OKKLXIFkp/K5MWtZ
hiO+fmhEp1XLtPPFgzc6oAHBDdqpIp4eDSeXQVq7K0rcxM+AzqhCnNUGiQztfuJkMyOk4dr5bANh
85ZneGDXz0jhUuxh6IJZOhNvmLYFt7QEnTftkkwXR2u1cqNILxGx9AeFeRycJ+vYf0Y3xZNBhey8
OjH1RcZQAhl/ua7MLGLXJuhgYnml/WCYIzK/ykxJCaldfkRbsS0w7PDQzeTMOqPkN5wRhqrXiEnX
6y+VTWfeLfyHSwEteNZXtoEJegDfxqq56rq6UA3Zq0xQXRK//H1lG9b6D/k8/m7q8kDa+6AaSWOa
h7MPj8vsD34SZn0b9gJzOmyVbVHQRYqDNDF3czd3qZZGzY9zBS4oey+mBFcuK7YtCLVGwFh59X6m
wg3KO05dhiC3rmiIqbtqvpXT6NFgjI459aGFsfrSI64d9gN5TCJTlocU91Ckpi72v8pfcAegNqNP
YKFbqbxhYduA54ay4VxhD/k/C3rue8BFoE7z3CZksTvLCoPQ8XS/vY8CYn2tNkCJ+1/gxrGsGufy
B+g3GiE3Y7TUtwDCTxequMdPqzU1WGkys61xb8JnNCtIpvGm9nW16+l192jvJ2iGrdXUYPBpI8Gr
jV49pfEEs+zlHpBj6Rr7kC3Ivm6lb2ykamwSYrbLJr/bbNMLyAZzHmj3fRi6afaBlTPGBG3gzPJh
IMJdBeAfjsqRjqXH14kfZL9HBK5pSCrc0mRENTInFblhZlUi/4K1SNbtk/1vYasRxIg9I7gIib8K
mK2xcnM168nre5jYhRiPNDSdRAZl/rDQ1/6URtixM6X0pdTRoVca24lFzBhfjokf1E2VttssCD81
kfqgOWBFO+xHXXof0PtfCL84aK5spRhMKW7SzBG1LKDLmjVYxd44Q2U1H6SUxZ3pdfPHHGmQhYoy
tODAoQuAn7ZuqwShn6tmQ2Rbmuy/HTrmwp6PcmaFfsaOd4JWXGt/zTfCQik8sP2kuQwNxVXdJs2B
u4tX5D6oPTCKOMWNDcDcKsyS3eQePqOiTU3F83ff2D0TZQ+0EAx5vFPV7ZM/gxhIKQOo/lTr7lzk
TljGELIUd5+ZIHU1PZIKkTcnj/tjY9fWaegY8nIzOZQVc8xm0StiWWSZwRZnIJ4R1JNqRlvAAYmP
sqPwtAX3lV/9tZdJJHnGrO2vj/Z32N6wl/rN8O/s5JOb07uIK9F3W4f8eMYw/ww4P0vE4sFTNrdO
IQc7k0JPebROvOtO5CWcWwesMherA/Iv7QvTuONY3OhhyiXIL8cx0uAko/KesDf+tRngWgLY/Vf/
OIHkQZhfMxR1DKnd4IUgpNxtmFGNIbW/k0ClbXolUqV8pwSyz4gk0PLOPx1cjOqVD8wV4kpqM/wi
o27jsPYQrocYrSYY3/I40ck5OowB2lTRNKQwBYoFFeiarN7FkJIdfK/RU0A3SDbu1Kp+THUvCtXm
ktgwMipld5vdT/oJax3MjG/htF3l6mdEbPc+QsRu7kjbFYDB1ixfREpTxchLJIj5KGSLPOhpAtl2
qIXEqpHnetalpJE0GtrDowCrmaK94HDtwR5aQS5HYo85ngRyz4t6p7bVGwFbZ9E3CEoecFMFXPcu
q67HahWiVf5Qtjp3G1Tzo4MOE6zMuP5D2HuUpnNAMCOZ2bvdaRqOcmL6dH1uloa5MZ1PJnomIBU+
l5prIix4bFm52iTgKVSoDaLG56vs9GPbA7aZBdlNHDAhNvKE8Nesbh2ZLHd9Us2Q1dUB5RTBNOEF
r8sst+qSd9K9EqatfshxeGAvwoGjLS5H3M3y2PXsNXxC9Rd7IXj9J6HDa6SNKghGk7lUApjxnUpz
XuhlX+nLW4sBUy9wky2hGHUYg5LpIaXhkuV170kEHVQWQJcycluH+wg+HwEfGo+kwqGABTgnRdoq
fCIRjwyiM7Y/F/GLLajSgvUYPQvuXzPQaiiMfNkW0k16ddVcVBcxkwJxEi9+L/f5NP/fO0gOjQK/
zyXF8wzBgO8nqo3vLNdj44kTDGtjXgkgn8S/s6r8N0uraFfAjD/r3Qth1fdEfhDALIOajNANR39g
B1KCG1QwOHaVjLfBuCkg7PbTedl5+EloZ1q6anRkj5jCG/uV2JXMPn9WrK8v6RT4sUixrMF4Zn0j
Eo+scKTFpKuK+ldAnqqhcksT2rR4dKoqcp26+GWFyqwDclXpvwqs4NeSekvcDf6D8uUMFJVyvdx7
06w4NLXpw30ML96BDm47rcPGlcKQqRmHjqznXk/t6pU6knlueQSi92/YkS61N8KhlJViqYHM/VC0
xtUXGmmA2hAqO5jUPDIHlERMS05iwI+t5RPzObzDkF6sT0+uyAg91NdN6aT3savx7QQ+lTCHYYZ9
sg2nm3uLfWjcbS166SRHFhs0nXXu2nqjbh9OCBnsZ+wOsRX2n+cOJ81VAjAMtporgGy/vi/Idc6R
ks8nyke2A0vYQ2N1Wz6DpLugJY9MX4mSrjzl2A/hByHGciTAZlDkEm6kjuf7xitt3YpRoN864wVS
aDDPn+rYFk5IYWIl0dyJvyuZxtH+lDnRICvpWK6bLdN2NK6/cXeHUTVkZl+XhXEEsoZuzLYISSAv
KFEqNABcI30fpUgPTfwk0DeIMqe/Jvv/vMUyCxm2xMRF7vaCocm2YP2vGtkznCWiBp3SnG5YGLHh
SCGtsos2saOQO+vrCsCXiC0zb1Fm2e8tIEC/jTCtvWojnD8Rbvwc9kNg1lmsKhuVyzhDCke2EQey
+kh2RH3/I47sxLbkWE8EZYW0srfzZOaFRg4C6Rz1Jg0vJlbfFdV4hLIrT2KHXS/3kVrPF7NwPs0T
rNmBnMwEf/JfUIzu3jIqLnfvIlXVO5PKEMEpIdY/XTOKUi1TJvY70+lw1ftEUurD7p9Ia5eMcWPY
t1JfpqBRhZIC/Mn0NRgRcEWcshM/5SHbqzQLxid/8nhcd2SNMvLqiIaXxM3OLcHCHFUeANqfkK53
31QkjV3mjj1ZQFsMnZW/otWdf3oeIlO1+quOdpjBEVlDhZ6nM5pwGm2uhfF4rRlqZSs5H512DGRn
Ydh8W/8V5jSz4Ni71P46ViH2Q5qAO+LHT8U7OWUx3vqSvioCDBplt7EoVkBoGHiwE0DoY/JMlZxP
NapJMuzuE8vGoiFFajKar53P2z8U/a7m3SpnK7AQtpQa7dnEIOQvpZpjeVeLz7l55mTeINVU2md2
2yAM2utsJig02223FvdwzWBO0pZjhofMGfKjqya4S1PxAmRGn9XhzaAJ3/S601f/czz5SXAtBr0k
lwwMiXWwj5Qs/IGwcDUOS1zqrrfV62CYX098gkiggP+8uXS6naeIQD1tO6oA3aWvvgh7txT+rVND
fMhM3RCrQGyufCecGE2UZls1eJVIaSsFd2WhAyIABN4PhdVWsI/kFVsLt6vuvkGM0wvP6hgY5YKS
8KebVeD8rVnlCKy8wohgwMaW3Yd9Z9m1vUyqx7VKddqYXnehin/7Q5cXEjjXoT2jc+bRd314H9+h
55J5zVLQr1Wk/IJhp8HfF8siiFUoGPR42fAlCyn7lOWpdDWb+Wwhyc7HVIqC7mGPihuO0a3Q4e/t
Lr82l9YMKwhx6ujRAB1ctTmXkEsDcc7/4i4UQKcmpXU2nrly3mpbItYek1Dro7+/wMGCI3MQV96d
ycpZOiKWiZ804or4L866j/I2Wid/53W07r4v7ToQqxUiu8vLM4BopZ6aE/fNwY0Du3fLNVV9Hegq
gLzEwUpBpVl2lwuIvc/TApXhW1ECMn3VAltfP+S1zZhZnyHCyrLBqvbrIrP2xMQlVW8P52aQ5OFU
4Bb/LIrZ2exYKosv/hCmOf5dAj3w9qWBX/k6AkRPdWI3BNvEA5eX36kRlanc7a+pY+wI5N+rWrb9
UeMqk63wEAiAQF9GCkaeG48iQepQGgIo6C6SZBjP9uDpZGTc4QXjB706szZb5fcTDUI3D7N6zFQP
PZANIgp+FlO8CAooVnLyoYKerOFpCgkPK7eEaXLsrvub/Gai9A7hAM4y4G0pt4WQSEzPNJwFlhuS
NQEA5fokH2+hUHYSwluLlsAWs1xTnPnMKNwS6pFxKff2cP58q5up4S66ngpLFIn3pxqxWPGU6Ecz
K6Mr0SezKV8j3sRDIiQVPFO9It+9Xkxp6dEHWArwhGXA31b//kkwfSR0UwQmOWuCQoWMvcuGIcHE
cSip9QazXaH4Xm0WrOdVUZMovJ4/ELluy4hBNpYm0OgBwGt9k7wmx/pd3uWfexmVGMbfzKLStTtO
MgKiuKFSMsxleV834a9udSieXE5pjfoYzWbHS4gkc/qNHUFtCtTT6iMlpp2052N25n9WDzOSTHow
/6Psriq0c+7YVaiPwRyZ/Zk0ubvNVbnggKLlHGVFhaTj9kGUBaCuWaFEtCqhENgoMRjZm1bwAQZp
TlQLXqUPbkMEx29k0TPDOV9x7FtOdTr8jeHU0bcB8ZDRHQ2LN1GjQ4yZFdLyqxRN8+C58dRv8Huy
8AG45utJiubX2aC8FyEVqRrHzadTPGyNFQDsqekdZhKQ8QYvFCOO4YrmvfOuMMx9/hGx7o3o4wGd
0SoIBHlM5TJAaZ1UeYxD+jMRQqP6zMUfv9ahdvGEdHDSGUj2no3Wordpq3qlg4C5sfaKs2iNqT58
ldmYi2C6fCXF2nU+CkHiQsZPOpY9qq5iZAhQzxpFcmizdD3ZXnQFTI5g4d+7xxweD9YSdsEX9ZNl
rVmui8yr76mzK/07aTXGRYzTZdAawfpwKSI8ZPI8Gkw818U/L/RZZno4+RdF7MAk5sY60WtH66dr
zGYw6Ulkgmjw80fqNY4vUyW018ItUdTH5euaAlKtuGtir+CvhdD62LtE1CScPpVAaXFoHE4z8H/3
X7QChdtTvLETGiY9EA7k5wpQHEfkCCPsGVdBORPsKaGsYeDVnofsLqcOKvECoXTPxo2otTSkXW4H
l3DxNrMCpFITmIl6rznB7YUao1hdcumbmQ4NM/jUQJ1LtexZGVTG7aTIxZFUWc4VzDuKqyx45Far
p9I7MoeSzHnMzl8/CgUVLvAbbTJdRTsSn0S35SAEsHL4HzfJz7XLzu+LBUOdqAXFrlNI3W2JOSsP
fcZjkGjvrdhiRlu4oiK7CGPwPj/VwZkpnOQ1E7NPE2nmEngpcfJ8ZBfcto5FgmQ98gUQ0b5nfsKX
wTQSgf0HthAaYyeSs2SIwTomSxhwY6CvafZT75xAzy7fjY/WpuGr4LTyC2t7NsLhyMGEj17NEOao
BqkHjcs22BJ0aGArWcvKYuuOU8nyKh1XVFI+JoRk6GFviK0Y84P9ETbY1KAUDJlCT6ukF/1E4MlU
+gzu5FKuEC/xr5LcTaNroxXr0w9IMiqqOiU6FNkumuCiaYoX4SBQ8ESXz+2T/bHtqwyDBimV7UyG
XMd6jLB1jcPiO99Z53AwTAtxvgiN1hQPwgvvOYiCUOmqc7Tg4V2Ih9PxK6foMSqR3I74f/zegvMU
gaeyYiXHFZpQrx9Cz/CqOyFL/v80D7jWRIaDgSWHkQ9OaA8tA9x42YmFyBkjvE3dfGP9xbM51Do6
GI0AqixXHBttgGr2kk3fJsd8aCze5wcev9fqpxvYh9smxd0gUncfQwi5B9RuIvAxcby0gRH74JxB
r8EQIib/ZEBzNmGUzZDStuMCMj3vT9VilSJzLmmiKq3owEFWCdNhFXDVNBdPH4WZlNa3AphR3lrZ
1wQRwoZL/Aj066Cvk26d/oiadNzhtN8EN2dADnXCp48cMsTkMHjO+sDbZH6UuJRJLGxhH0Dgro6P
xS0HNZZHsQuLZg9gv5Hq0fw4fzTmTThTi4WSVsSWguJ/8W41MZw6oeQi52fmxgk8I/387T1GsC5r
M7XiL7Erb3FZthCUJHbnnb7k00ok05vsqktkUVKQuB/vJSggbkOMbY9Nu+oKOhVlL7uKR4umGz7b
h5j0Zj48SFFRKo8ih3O6o6kkZ4lf7e+s9nIe84wW8Fy6vl9CBSi/NLWuhGB+nkGa6s1Tm6aAiC9t
CfnUt80RvpWgLdM5e9jnU50WDJtUyNPL7oUdtpfUWtQ/O+I3zsELADe/n1ZnkaClwUDqEFv25p9o
FIzBiSCWC1NDd4bmmR+poBk+x0UMKSHVHLlj8PDHQSJM22DzPTyps0P1LedEp+t2VzaSEg40t0nC
Wsrq7DF8E7lqg5G9/TX4mQvj5Y36r32PQ+eDs2pRzbHTi3fo+K+imhBvuSD+73IOObXIVZ7JDUBb
t87sNyXiiNOvf2gJnLSesV0y/8FLUborfkNBXQSQENL2qkyqgUrEyBQddjRBoPsKNqZ1PJ/ys7hk
Ok6izbHf3YmVL3RFyL6+93Tai0rF0SE5xf5PXOCI0zqQnQMMxGfviww3RCGnQlrkoz6YCyNnj34r
ouUG24oL4WTseLO4/CVtnHG4JtSQZlm4Wzanwg+MgK3lTGjz7MXkkjx+wB8neyT9l+s6qQFvxwkf
o5o4gfPwWiSBGyiEGvO09RLUlJP6R/Ifbrv/MluRxEJu8DuR8OZzfR9VXkldVckWhBj5NfiFl0kQ
9Bcpl1CnbmZ/NifRE7EZZS30PQywsVDuZHozhiIqz/JX4eg5GjQXFjRk7l83j3Gk78s4fPEB5CP/
CR6dL3xjM8FS22xC8QgHoVlzz1qt1AK2k3LYL5sdJqOlEOJJoYzBj6O3AXtzIXhhabCuCQ22qX85
9jj6AgXX9Hn2sTuugtAeygz19EetFa623ZLi4G8YM66MCBDjBSym0euAimWVR/4m2CLGgj0sOboW
yVLqorgl7jsj68n5Fxz6LgKW+mELpRcSEYmohEzbd/HESLqfNpzlYvk1DEIN6KM2lfTaW/6nHWA9
RWZQeqztoAcuT8KOmNwiqNN5oZvA7v+mRgkzLOsjvJVNZzCXBOjaC3edZ64/ooEHlZBSWkWL/QPq
iJs81v+cuJsqNYq73V6aUXLx0JH61lbmZcweR4EYgLAwwrP8N1+VFnfPb1Ipm+uh0JvKrLcVLs4l
71FOub1VAzSRTnOsZpsdqlQp6WqKMy9Tu8Mqm1C8rizaRjgC3R8ng9uLeKmRUK0JzdC5CL4bJrvi
KmZWTvjh4gxay9O9VkIKHnxTbBGR81s5hAuznkOKsM5emomMHJVzlLdfFcvlAHNkQNwV0V3d7Fv0
kblOYrTROXbbKQg5PxxDk7Q7eT24agnwlcGgNdH/Xbvyh7pCVsG7I+cWcCW6Hga8vizOs9m/zdZu
WPFUW3K8zSMe5Lze9P1EGsJxw6YIjZcUXYleurKYwLIQ0hQi3B/TSbDhzuhR9M113X4usAhw6KxB
s3Rm0fNxGBKp4ciCxnElbGD0FIsYrKQ2i+d196hbxhrYlsEEYqG9Bm2lKQWeqaqqaj2DzjvzquVF
630LwcbXH89xQ1K5DxPqfviL9IuXir6J1PY1r8TaRJhQQybEUVwkyZ4i3iu4myp81B+1tEdNXyiE
QuxsHCFsJoJH6bcNaInjXhEhiEraip+0n9/lmEqB0othNgPcTdgYo7BjItNQol5pxwsTMDAoFCpg
ItWOwM2292Eo1Hs07gWokRfdw4F8wGLi5Q9KqTxHVHKdtnONFoRsD6cvgHtK286WZJ9sDE49+Qep
hDiqQjPBfv3hdapHXjgC2aJw+PGXIwxzMVZpC1H1VnekrWdEQni4LUVlM+WMPxLAaRHlzSiC1eQS
AeF6mIhjakBtgUvNXvl3h2xHn1sswDMK6acVL47cX+sH7NukjQan4/gTsqSAV0BmnwnfAAOhslvY
5poL+RmmcPaHnlKiUtY+eR7mQ0yMZ9mI24gzYnTyd9sLUA8zjzJpa8Qt6EsXVRgPW0dA5c9IMsRR
5xMQYnQppqKLfsOOBiuejl1TmGqfAJuVUBRend5hTy9VvdMIEEJpZfo3nkD1tlF4e9cNA+8oRPDK
bMIf9G3IQLu6KhZXVaVZwkuh4PqM0ddl8vP1MFqR34uYGK+lRMJW3yR6AFWDb0K8RNoa0q9TvRRD
eZWAE1PFbk8TzBhGhoi3rQM9S4G7vDSpTJLNtOMiM+a/0F8UUMlX2F+m2vGby2KV7vKkb8IH/Hj9
e8veuefls0MTk7O/cYCdKhKywiiv8NrRI4NSUNo6+z5dLmaab+RR/rCXHU9z+05T8SBo8Ix+QxBo
LL+UnEe4sdWXUGiHDSv39c87UL5y/sJOwbAhgkWiTQPgYThlmTyJBf5POiPLCZuSa/jz970Ll/59
td53150A2usKbJvdLGNwpK4jDOpPuQImg8GHhVtxZJctbxxFxv3fUvDzv3vBAPK1uB9wPDuZtlP8
gyThGfUqiu6kYXMZG/kDoB2jSBW7/UcDxrHBnzTvr2KGY9m2TuFrOX2JZwApe3sgTt4rL+NHZ2pS
yFmdm7xeMCTJfpPehdvBA/myaZ9C1c2TMgBhswUiZYx6j4hPj0CZ8V4ldApoQMgNVKOWHf8OAMoE
jAGZYFqYX7woWI+wyO/58nb0q8R6Ry05/aEPKgcE8Rrjao+O8y7I/KuY3IK8ktG8CldyQa7mRZa5
gBm6A3Ucds88PK9NaaCv79IMoLCQBtRcmb947FdB5MiGulYv1jLUbwcUeUQDPxS+LHw1MUS7ksVk
3B9fAQMywub81O5sTxd7Jn3dHW/6lcFAlNRLXYML000xF++bC5kjNeMxb8MAxyKTcCC5pWPL+FD7
W2kmG8tjOdqRdXpgCai/caThT90fCxd8OBFathtgoBSZZwKFMMokdkETkuATJIWNLjn9HTZ1/FyW
WG6GL89q6lA1P6aOzzzOnU9YXm9ewvFzhTo7SJXrKsliEdUhW1t5vOkBGom4/F4bLrsAtF4ryEOJ
3auywh40SsX3FsfEUYtCqW7ev9chN48TvPX8SBB0VmQhS3LOY622xaVtOPh0dJtyVEJSgfyMjH7S
XJjHNmf29QSLq8m/EpMf4i90p0oMg4Oj08RXACijOReDURkRtp3Tizu+ARjXhOSpVZJnLBJX7FQ6
cezCKMAiFaF/iDsTQjd1mnxnCBVd91qejIMpGyrhMCCJ6LT2r/7c/76o+Dpf6E8Zmvu9PlqQBBQk
NDLi2/o3jaSXU+f1YbGBQpbdZUQWh2Hpsxa0dfLioFQnciFZ2X231ldEX3JXDgJp4PS1bfVJIMJm
JodgTmx0yk4vy0xMVi3n/F5jD1voL6oXj1RH0XvsAoyiF4mMXlz+nQBejZXA649Xfp3vnc4CPhiO
dhQno+Y7Mh/Evsv5ipeNkhXDXsG0Cb9UvXZLAKH/ZwgVXGkiPZHKC/Er0M8wAxWrkps96iE/q11h
c+6BUt6tj7sTpublRrUHPY1v6daz12u5yVFEBxxLHEb0BF5sCqGI2K2oOdEbC0HGuahBrPkfVDei
nzMPi0O0BhCnzQV9Bz3bt1z1RwsGiWe+q8uCgM6AgzxqTOB56+GPGX9aStBiyY9p7Ab2VbIHwrBG
B8W4Oc2ITo3IJuyRVlnO9Vsy4s8mqjEW2gqVpIOP3k3J2XXjEKVP/rpgxso8bbQ91IqY94DWBpZi
JqM1Y/yF3XkDPGBW0cZCBHwRfBh/TAuihxG/YJpOCYPyRFXginx0udkjK2UIBKPoHY6ydxKgWLrr
LzS8o5NmPYih8augOmmokG6DId9QMVvZDtg7GwDwNws+Pp40I4MmhAGLTUujmo80PBeo1WNiJbHD
1In3ENVABKL+vDnRUQn820INzm1I0zwvpZT1OD9dnpGp4PFtqDoh6wilvyMIGUOWkZYsk+iiW1Pz
JwUxp81QvkiKPXV7omK8xGpE1Nigl55VvVT0iuNIj2fREVMRtnq2Of3KOrx1bKzSUpyjf3xTCjow
2USFgMovWTi1G0dUCdM8jVif4fwf617YrXL18CTz21fD15anEzdbY0hcCL6DDvlA1co+puYCgo5B
1xUQSmPpm9ZqDL8KfwKUXrP+GzHdF5f+QvyP/3ucMVZSxmvoJo9KnCb8r8LqXwg6g+AWxFJOjIDN
M36II28APd5q/jFvtTOjOybCtBsQ9AwKBW4o0Il/QyUPVndqjCui0Kq5HUpbHVYmwMiBqUmLuQ/R
OVTYtp2AdjtwGLOgPaydURO6FIy9H0f+hbbu7EGO2gyLBYHmraVBx8+1nlxi8/hXcaV5TU8zgxsH
eI0F1iJ0bCCl5jcfU2uy2gGP9V92u4HqP00ikbFyA5n+1PaobkXn+1PtWXvwT7zSB3I496rHXP4Q
mKpW3a3uzWKmN2jXgLmYoof46razfzefPvZYm6w/ESp4mkhcd15qYA4iqyej+tS53fG/gaCi2JI1
XaDOfT2Oc8Bn1qUSnFq7BCGl7P2BfIkm7otfCX3lB3v7j/TEhEPRJqp3q3RQoulNsvHvYZ0HaOwn
4r0MwfOGKgnmJarGux/4cDFbnQweqfnOQcEQyp8QtTXgKG0zTGXhfVF2l1UUQq0bt6avztly5jz3
uu5kOesX/jsLGt24IZn+ylRKFiduofokiOu4+P3rZVcAzIksG//pLnZ/eXjX5uxonxykDfRjnQga
PttRWgxl7uZy9AByqiljJdlyA+TNvt0n2S9GKHUH9WlJGSbeNCpo7fG4Lof80LTMaotorVWoIiRc
acAaya/9KJN4oDnD2VYvmxUpubh2JBy6qC2ZoMLvq5uCPcvSS6V/+I2VzkDfDc3/71pSGVj/KuGU
L1dVYSSSmAOIbS/Fkl05CXzn4mmwl/MCbZDdlsQJhslHQjPGJC/yr/XsIe3/vkJy6iClw7t8OpJP
ICiZscGupCbhfjIPS1wHeIKFesxM3QHcr7SGkLas/FnI2Sfh4RTd2iTb1z2tmOw/oDGd9odJPJmj
A7ACo2Xt2TPanGpcJviJ05owSX8Tv5XYIXNebw5teQnaBOwBZ0bqy2qh3Ky8ihylxKr5eTaBWhsh
6cvJGTCQ+Z0avVA6ssWzISscQhQLytINIrvo6F6fHMMzNhT3pvr0LqhU9y28rTpwrmGYB9wV8TX/
sZBrlNfaSDQhoc5qe7g7PVaHQSM1+0AONf8jJVl8gI1BrU+ARUSlntSTO3K9yDQnc5mAlJECMN1t
xgoi/Bf1o/gtK/U6qUIrtdO3aWjbMa64uEPa0WhTE55sGjPteSLPatn8Vqh+ewxuyiSJjL0nFb9o
b8EEJiDxViTqeDIRUo5j0EBrEMuk7ZmR+cSjx0oazgvaBDRvM5B4EupSEFvHjC8L4RT5k5ISM4Sn
hoaUVklLfFTKFI00qccBuu3zeyJ9Q25DMQqOta2fGH5BueMVCbOEsbKjyc6cyHSwcDjLK9zHSVVD
IKyIIKoKuA31q1W6SmIw2kMI+S2sYCcOaXtcSu1xtnkhKPCG6lRtcZ54a4d8tWzx0nbxMszUSqc0
opsuszSuDC/hMOUU5xHJ0/UVT2Kqt7vG9+rVo+cJVUe1LLRhoqLrzPa5go6Lrb1aUJxE6DCaI1NN
6cW+wov8AH0Cn9wY88prNmba/kciR3knAOyp5cW8k239kolsoJvQEX0XnB+cvcgHguH3L4+phoRr
SwrntNhqoq6dV7nWqiljD8NKoSePlb5/A/P2Ha/PHx+sH1xt4YGAwc9jiwPnt8Tkok5qTKh/01Ed
ouA1U/g+r9zL0nknRsEuoRBE0a/24pkFxx30073+H8iBSESOuX2wCQQjl1lflTCVV/QF3OTKJRke
DC5+EfvMLz3LUye7hRXXvcxf0KTrzxtyMvsWjHqJT/ZInhoePhb9P06zlfnig7e2ziugPdR/UfeJ
/nbP69K2Ri8W0lqnza/vJnQyzZjT023PWlk1c4b95ytHU8rbF2BFSqESsyGVjKap6WvbWfenp4Ev
zmHmbB+fNyYA51GZOSo4Ki2xrdvA6M1lHjL3xvLYGchQ9M3Z2asGJweMJDBH+E1ctTbYcNxS62tp
r0JbejSZXHgmcxL6VbEAkFSFrVT+FubtbhE5sdJ3w8ui8DhphwOZm0z9smUdApWPBIzVfwVi05Nq
KVMDr2QuUMrDSD5ZIWqWB9jZcmG+M3sPGiLEzroh/QXRb7CChJnjIV9zndUsM5x53+P/qqTKhWF/
LYMcrBUw8JGM5o1L3ekzSctyVuP/MQsDWWAACKNft3+tqCyhn7l2Y718wbGHMYNKkASUo82MFJ1S
GqcZ+tfBFc4dqPSZz0DaRj/VnVYI39GHAPMPj7DLFaYit4piK/BCH1S4e0UkyC4Heu0FwvNoau+w
rnTGOVYyEQ0I6oFg+vDgfB8Kc6BMd/sX2YYQRfouRojfAHhrgXZ1e4THMQDa1EOq8S+egy4a50/p
WS12Y2sIywnrKAH2MFQFVzxWPYtUXTQ4QaA5cxt7wYxTuBjCIGHb54rg2T+VIgHsdHcgbocM6/6L
g+ZVogP4pWb8lHvNQKeBPl+KM5J5Mj0gk+c6lLB4ImpPiQRTcYCXHw4SbjgI19OPWDdGh7j56z3c
Jw+whF+XNrZ4DkJKLGcm5xR1D4IMr9MU2U059f8fWR53q7/CCB2IOnWCbPfZVl/yGTsOYfN0a0og
7MCHMjvQZ7CeOeRdVlDrexHmLnNeCNqqlnxw7cqjzSEeAt3lJPMJJfS2G1up3TVpqhE0tkKeYZPx
T6jkTlQd6n1y+v/lePe4yf73QQwMJApsA7FMskrnkYqDBp+LPeuJAC9w4es1AsWlTu2XqA73mtLd
LybAYjHcZzOncECtFzDsrRn9T+ajBJMUmG5j2w+Inshw/d6RCct5/ABnAJMaxw8YGDZz4WMxLAUR
LL64dkB+qooqIGES+XrU0VJ56+9pPpYeUpfxgcZb9Xjva532sfgBVuV1gwQm+O7vdC/DOYSEZSJw
k+gf/2qfP72dUvriIV7A8Q3TWJ9r8Xe5RyHac/ZNx5hSFne5RlqMB9E/6yIyytbpNRRQFTq3MPMi
3Zz5F2cz5x+Oz+2iidCOZcAy2WcrafBnGgr9uCyoq0YTb7uJUH/7HSO/+LCTjTe2tUl0PtaMwEUh
IMNaZ1mOUTKc8eCAgKSE9l357/6Yg+RFq+NW2eu/C17H+tsWdno6nW7/M8EWsB1V2tFkAjKCSsGO
n+P1YgjszGkeH+7+3TzcaTL2O+fOtfFAFqiVv4Fk8hOYgjxnwKoeCedfZiGTaHPEEOHdnTTWW02l
xpiM9kh/rPvdGJdVBoC6RMzHK0ebEFJAHA//Ylzm8BJqEChTXHpPvQCIveyMfXvxS/X5CqJ2wvYY
2ZENl/pRRW9xbt3+sm2G3Sj197z9+uDuoMz0X3FKGvNb6jq3iQpB5I6adHofRR137h+xt/iMmmeQ
ny0wqk/DAyKNzrpYnd5s41AYWSVkZ03wkLBG2AKQ7QUnasG0gRlQED50ZMYzPGL3s6YANvuR1B3y
JcUxVaEubIuPMRTE5DYiuw/0SmVaEbD+l0NOxvf+kMb9rzrlFql0WAP1MeGn/DrF5sNavqTU17FX
Iz1kQBRPOzizDHdy8DUNAlc5R56dkR10durM4b5KbegCrOOo3bF0ynsBig4jW62M9B+mHWrvDnKc
wzy4JLROfGNU2e1EWUVnFKU790YttxbgmUNkLbbzLZRpmOsPuZOxzNnzvPIdIgIF0tggdR4I48zt
RXFblpygUoGfjo1nHjTKU9KYVp98neS957NRrah7zul2uI0kqgdWGiBOnLO6zs+xAx0N/Js8XgXS
4k521SaJFHz7TCdsiRWXRdQrPT9c2yR7IG/BivfyyatrkvdKVvDGGBrIM6Pid7MS+CAOWtG+EN7C
ERvGHsrHd6pUXsfutgAZNE1EAM7hLAK/FxVCxnoBKAYrUiPDBMm4ghsERpap2aCvyIa+IpV9AkyF
GOHJMFkAKTEABi9QqUB0IygxoejagHVetPRxDfyvEnZNfZGBkjLwV56UAndVMos8t1oUUXZyMmvr
D37V2MdoG+RHrksx3ZS81SC7XgE6YdilyysHriW/0W6Pk9FndtfY+p9AqgEw7QQDjv4ZDfV2y/rM
Uyp1oZJBZKhATiQWBnCgYLK5JwL2IFuNpKekRLfc0OK4rgae2Wg5vhVaV6j64eBLhUCuXHtAtyBx
z7YXrps4KMor7+K3RgC5/ekDoyQsc/BrwexZWpU1oXNRu01fccfvyLI2P+fZtLEqhuaZsquEXc17
glJ861sL53ZmAPANNo56lM7rHYi8Tdp/cR26WqVpW/PjYtkERnSNRPjFETZy/tPBOHNxuW0YJaWJ
f0bL3WqEPivxxGDa8/1pOe0BxHPB5VEOVkp91Uz6srBt030ysypRofSKnEqKvjEvzRICkOW8mMBI
iX2Z3iZhPxmwP8jAiUkWfqB6US8Db9GM45+2E48w1+TN3JB2Z0JwsQYnt1Y38jTKq4Ccgaq0sj8B
KUv0C2gLMGJRBAucQzgl9JK5JZJOEB2HdajJj5owtPOx1/6Zt8tbs1qGt32EHlIusRAInb6fgtog
/XaEQjEDtnbagNtClPnuED63WTpGUXXMDxyoE6MAzOCeUeuESh6Z8f1knPmZTLPW3+i/IitpqvDL
X7NItLy2ebA/HAwtvf3NX9Tsi/r1QC/qD+qK6uB7+r5Xmh8oH8qm7wSRPzDPVhWZK+1SUU8eu5Zc
i88XJykSbasquujg65TCUBMz+6/QaYYRbkib0fT+g8IfUi67344r+7w5+pYpWojh4h4vDbVAMne4
rWVkv5VgJEClYFufTToSK7DYcjShqJvOMWHuFG2gY2z0Lji4aWVio4x2Vd9VmGSW29b3eQI7BIH2
xnvfqZ79jFwOykgbsq7ycXCCw+povaiBkmyJqf02sJsymddtI6zpBLxiARlAFjWfn2AxvhypGENZ
e5pSo/dcmu10PXJRyA+oo4j3BOhBBcI2L8KzT85anf0ljfx36zL9aKRkl0eMqQhkGihKKWqL8IvE
1YlmfiB7Tywz/+jvJjE5qsFKnI7rqmELsTqv35g1xATyTVPn6F6uZL1CSrySqCEQWd0LxL87sn+o
MkUhOPnW9x4yzud3KhpEKoVbwJF/HU8481ypIWlS0yErZ12InIUs9RKH/3ITN1R6u2BOvJCiLyMy
lJvVtu8jPYYUcRemPjfRZejI/ealhU6u7WQZY/0IyiBgKPmohjSL9nSaEKJIoqT5Ga9AWwCd0TUw
32eEqy5EpVK8ZWmIJSoYEz6tEBvl2Qmks9jk80mf56a5Pr9FBfX+vDvG899HRrNTLSsVx7W3Gifh
nP+M8sfkl1YsTJ45BjjBhCym3Gtm+XJBFQnjGXCY1oyzGfIJr9OCZAI3iiXSpcO4CRCHnBYhvDN7
7PECaHSZolEdOzrI8rD/wcUIgL8j/z4h0zH5/+kgPfZcHyT9ki3o6+7Z7fSP4BbmhwQCTA/5YTvz
1Us5JH/g9l1GTGHpoi+jtTxA6DZaLzfao1Jmzs3Ae/r0Q4cf0KSTV5LdPVqc8xF6BgJDL0cDX5ck
6WEUFqDb32HkgjGZj88viGFp4llozjgKlZqUgXVb6K9RKs4fjAX4CORfHQZK3mZ0wIjpbyoPhVbm
2826iJT8OMdLDcBQOGEvqA7c0+YIgdLHIKoYwShnKSU4fnz1aHOjkpHsAhg6OgYj3SoeJZ54itAo
K0PZynuttRvieXdSIqjCRiL224g80r1K6iyWSDCSF1N/bDnYSd/TVdmMgcsON61fkQr3oOXJIR+a
+9VvPGNsYzQbhB+r4aprdinl88Acv+FBIb/jLHGWTzG6h35l8eTvced3IqPF9k6psScvKIGLA1ls
cvbiadajBH+zdJj1h7Ep/2sfRU9uprzoQdAB3AsYCq6X4el5rb8p2W19ddzNAuq61dzfeoStXUaj
Pmrv3/6MjSwIbZ2PAtMZk4SIKsWkl1VruTh9ljZfNSsvqjIS8rLkssoO5GptKObtcpi9eerONUqh
On4J6kD55HTDJqDIyof27xrKEj7ZRFLHotee55J1s90uU04zfuBmCtF4P0k5SGrX/AgUzPWTrRyw
JCn4byAF6aSWUo9Q0OJ7Ov7qP1Y4/bQhQ3CP8gTYtc27NrZ1hE/xkMkou/ruDkhfuiLPb6/OnLH1
Jj0o3tXHm3pEf204OV/D7Gy/FG8MRv7rcKwqyfRjDhDdwrFbhRNT4AtYL7yjPe4/GBweGEwFvVur
x2AcpeL4TNtzVrigc5vNuriO+x4m+kcrUOoXt1nSeHtl01eUSbZv5zl5f5sM19lIA/rII1UIJbGq
Rt5aWA1PyOppRcM3DJ3Rhzj7UU9YeZNSFHc4lsmJbPZPz4ktt/YBb5fIeHBAKQO4j0utL8AL3wJg
5z/GWVjpVboHTkIQgRVAZUkckF1QViwjI0IVG9JRImYInFSrJvO4+d9PQp6KYI9sUluLheS2YOEk
/98iA1wyvvxSyXAUMcz/X8BElg4dKF/WknHSNGjsDf1LTVTzqCYlI0QwMzKwowQW4/WvE/um9y5c
hUx5U6+6FNluydzinc6UaYiYhnEGWBfazqDKB8X8jsNxLFiJPlCeSY6yt/tYaTW/i7lz1vcqB9fG
9ofr1BDdAY1PaYKC1W3li9/+UXRbOLYyFZ1n4LuqHTlB1bY3S6gWr986x0ZCys8zBFU8XeD5+dO4
Dt3vwU7pNnBfRaqW5qTjrffdfEeORNKiM+/tNUgxD/2RPxSETVJq91Txx3qaM07WNM1P0HhmlHJA
aPVMd2HGiLvmpIM82lgYJXH9IGkvYgimvESgIHHn4/9MGxUWVMP7Y0BDPlICRPVClz3d8G9+5Qv5
TDVAwEPVrfOFRPhG8cVzVWiKHqJs1JOTb3S5tfJmX9RIN2qfhd4Bfnw/53yCXo32vm3RjWttR0eY
z0s66gqe9l7zEL1bV/FeDoix4WP3iEVztg1CWuaWcawwumHnPXzbGaSwFoIvDLc6qe58lnObTS6u
j4WsrdLlSBsPg7YkCL0O91LxJUrSKAuV90nOO/Y6eEFBKaQY56hJH0k1DpzDkd7x0ApBrR0hzTcL
e/zIjRCG+hSD1EEob0YNC5RgkxFt/c5/MY5Mjp8s2BbaLqoi28bp9uRMDRsXFV8V97p5014JA6rY
oSpfEvSQoYIhhMt905MirU9zq5+/Fg+c4MTdfo+fIKXAcEyqX0fZXGbyfh3weW4gdKNiYcfKsFsv
9P7c5iXmHb9UfSPF0fn0pP+sLJpqGmy8HwZwlW/fcPnSKIOIBfRt761B4O5aKiHcaPNIerzQNWVv
3zt7ajHDYDrVrZmvjPoZnLqa734/2ZPAt9XEICM++wsUyeou46PSpbMeQYEg7u3eU2vStYEoM/YV
P7nqgSYasrMxT3UyhmR+V9QU7V2kXqyNVw3dkizULU7fzduE9Vc1lbQdKqyGTw15vHL8OwKKl9Qf
rNt5fBGhR7wqGbDutuptVIytM82Z4p9tRJVlmmDNnO9Z+RQ1zcbvyCXGsKq5Iuczih2x8Jz75QW/
xmm9KAojZaUyhWloZAaA6g1TvZ5seD0o0id38AvKvaijYZxIZN74f1sVZLfHQ+756L/NmK3ZNq/4
pec7Cu0Tezb6ESLLo3lHC/ECCS4s6m9MePWXAKVsBsiSZ8zJmKEe7Bl4q0guv3NiVqIJCiUlkUhj
Udwv6ljcgkzylXtEcslpVIqmddW1ZT6deUDTy7hG+OTDyBBt/pgpuX7pvUW1l/pCStIyQraME9F6
KK9S3n2cla9MHr9z0EmDUoxuBNXcDfGxaci6PO4NqT0MfRSoOA85Q9iWPtNdJP5UDyMmwxDxMsx1
JhA7F4g4TceT+U4kRoK+obuc7+OUamMiGUjHs2CEnDSJhhTNT8qD93YDOK7jozoafn/Im7JDK96y
4cnrSeCHdykx71Mswbtu0SdbaILc7918aiuS7oMjTSm9gGwz+7PMykmDjQQ/Fj1Ilvb/4WxiyiUn
kd4GiFXu9WFR0SAQIBTNMyT91Q9v1465S/eH8ENv/zE/AFFWNoS/y/gti2YicFqWlqER4REM0WFa
vdj+Wd1QmFjnShSA55lu3hA9b9U+8RpKrENH8G+SHZSpPFn8xP+V0SYNbSC2PuKUeaelU4jQqxe0
HHlVTy0wnWUaU4mN5LsRjPTIwJRCWmzRQ7pjH2+9Fz4uLnqWdJ2+uRfGkMXJ7ii7FqNxJpGrQLTo
lWpvG+dFunMMUPpTWWjdO+ge8htvKOUM8Qtq6NMxs9RZZ2OWkE9aFj7fVHeta+zelQYFVAvrqZOX
iYHeku3fLUujObpOcDLLynAP4rMvbiqFQX0fLTnGSm4ROuYjYbVo0NSP3bXVBHPffn1S3O27RubQ
uRzDuGCLAUILDvE9O3kyfNkSPWRr4urykcRpgvlYKgqeirTtUTPb4R2n7PCn2UNSKILR6OQIfL9k
+wzBdgM92RxnlAgfMtNtdC9cCUZ3k3LmMduLgJ/N7gkTfqOLvImUGV4jXWGY18p+9Tsc/6bIEszk
gcCxUwJdwsjvbaNHPc9IrIKEGU6/9Y/6msH1+uQimgkjJfPgOD1kRD6atVyUXuZ47n3bw8OmeBpF
pRGUD9o+INq2JHPrQXDx3fcuEvnCXvMMzSA8gafMCSffOi0bFQlK/Qs0m22/BpTLLL2kfvmkUbea
OoPkUPZkNmv0FQGg3S1i77vM+WPHob95+r/WQTJg3GeQSos4fMqwxFIl/rgShu8D1bGFf2ULeG4b
Z6+mMtnmJdwYnfHFefaV3c7DoFrxgn7umY/tO3gUu/Fg8l8PzoXRs7eB4Ay08f2gW573dbpR+vOH
A8dSMVISSniKwrUw1cV+pfH7Lmo+dyH4Kq11izgsltU2PeWQ+Ks9ZauYkl3oWe2MusotgYhHExv/
PSSWUoTBAWy26oDiOuZ0eZXIft6NMhS784YbJtZ8XeyUWN6khwKz5uSTknBpB3/Hb55tH6H5U0Qw
iuByVEN6lvI898yd2Ex/36pM+ndfbggvZrFML1jgWZw2cbrG8WHGBasTikkO/QztxtwFSiuVK+Fi
D7d4kKCsfxZroGneNgwdJPqbYMPXXUarjB1iOrZe1Oz+gcoMrSTrAZl4blYNl9Z0kXFg1kf/HqfA
oy1BdATLPBzKOO3nzdUa1vrqP4qoa0hSEqxi80n66+JadPcoy5IyvkSfdzCd9QT5aeRTgLvzUGeA
7MqvxN3GgEr2BYdXIdM3rTzniyZHfh/JdU/T1vX3nT9N75gqsxQ+pufoF9JwxnEKyWXdxNkKnvH1
xT2JFqcXs+f3nj7/HYan7euM3usX41YLgelsFpov8rBRcPMH+TJd8/sHH63EO+QcXaLWLtGHwyVN
Nh9TSqoJ/BJ9N3ELWiOg3mgbtQd3wJyk5/yO7o7E0cF79Qp2wDZgIv6nRK12bWMrCFviZGmM4JvU
9NeG3diC5vWH8443J/xp9KYLZ12T3GVXQPkd8rb+7RFBbLZX1dtza2Ia+A3xX15PBh2isCWIpEiX
DfgVtkhdFlJdhBdgUQFkqA4lXG57VVfWngyF8N10PybQypIk3Ogor4t+A52nS3cEYCF4dEht2nvF
U+1lI+hddCoJUVlp5Q9GGM533pxx0BC2E+j02u+IVdtSdIXTHAeaAseCCKRKQZgtHxdM6pD8ZOJR
QfjaN05URH9AmcMqk0Il9oSMBMqtC09HmZIfcGtTE2oVJErfQJX8O6ABmImCaGTR3jOitCufjVPv
A4caL1VoizZ8pzuxQOm0gKSeF1YSUSxOeGicOuEJI3D/czYV02TRCDO+VM1Yk+tvp3nV5gieLsSf
zIr5d0w4OheX+vh28V7DFJ4igo891FyX1T83Kgx3z/76gUpDdSJiV6hrJ55iZl2jhqdAOJElCh9L
2Lj3ADnuOEXBK7TvfzaIBoNWdzwjZuxN29jMA+qFz6P33hZNOEXzWZ+DTvhbpVehtRTjV9Eb7Nd/
xIq3CL+zy+EPbwVTPa5Juz3o6Ky+EgEsthfbXiyF7tJ+LtNOH9TM9aEhIRTQkZVnIAsaufsReiiq
OuDMFufM0TVdU4HTTU4X5xYY3/lXlO3dbqZeRwLvCRs1srxakvXmFs9Q/+RnLsF7AQMykdj4d5UT
xWJ0/gEOdAft9Vp+Q3iHOmpDuLMIcGD3F5Huf3Ku2Z4MXMzR0A1Jm8C+nAWs+p3uTbVvqRX4HzCQ
DekeUWpQNAN2xfPqWWhaVPLe6NXvGq53r2UdiLSHEuepQVsWnUvL0UWSi7YZcYGDgL+dm1XOfUbp
K7qcHxTl95M0KlRMAWbzzjZIrfa5XCYn2sMa/0LzpgX3ODh/l9CFt3mv1CYKAHSDrYAB0yHslQX4
EYYK7DAAYztrzu1v91skBahBDP+X+zTm55YKh3osiSkPz4zcR5zBvhSdzdK4F1n7U/gMkMDMIniG
BwP3oI//Z5AY+PP5daQLTGUhZMs2mbD+tQgx6moEJRUiN6bfz2zvZcIGO19bfbySXnP1+gFBF/vG
sp2BtIRVlksXlmsZFN2NyfOLCaPw+3lYno2B0LNNp4PLdzgaRJy4JbJzD0V/Zyle8tB7tPemugoL
jND8FTqEg+jCa8fvmjoOYrEmxsD41O9avi+L04N4t9OllcQZqyfw2D7JPf7+AdLTvGfrY8rD72uJ
9wkeOcoBjFlo15R9UdlX53wcOteqUG/1M5aDMfm+JuKtjaJZRPGsHDUhALEtMBCm6awAXpzmj67Q
nnhm0NZW1hZnJEht3tEwdxFUBCCVyKzQUYFDO/iyWPQMGsotBp2s3mG4IOpnYiKzc5FEn4AuTXH0
aYYIJkoj2781rTeL0hmw7Q63QGptDSA0qeqvYzAFKMuglGLL52hmDAkxKDTTwsLsJFd26aWBbb8k
PdxSBYJAYEetfNsM2unWH+MJGDbvAE6AyLqUdTD4RFBY9W2rxcuO9BKx1w5VZyXZjo5wy7r/cowR
Vg1x/0ZG8LAo/cGkatHXMiZLuT24tXF8hh+ySPcCZotOmoopTfF4eg/VjP03j01EQdTqCSBARoem
wLpSHu3fu3eGxZ/EaGyNdEpy6bWYIiI2+7vWbJDwcZjjz+kl6TyCb52CFAR+X1yRZqo76uqdLxaw
Q11fwwr4EBj5A2F+WstzGdJ/bpGKDpF0h01IVC14un1xNIS/J2gYJL6qP1gVU4eQAgXUp02owQoP
QYHjWtSnQB2JSKgwXV1vE3EFzd3w2jmwZI69Qx1xYwy25Pms74/jo/10jZroLBiVlkBzwKEOhC0t
HP3+m+2tLyoj0IqPbZdn2g7Xky2YVzqXikRw1fgVRCiK27g584UE3ISKU4kJC7cYcv6PXvYAf5AN
50Ki9MHrdVFpiE5PG1OEVJrkb22TXTz5RSXmeIxYP+AN4nigRPY0Vxd9UH61wvgJnF6mjoCI3ytS
VQ1bST7pdi4gxHegq2yB4HSsgSG/PwSyIchhWyo/jPxXt8xKDr9xOM/flGJQzal40lHSnM6iZzuz
oTsGIQpOczboIsR1MhDI4kd08Hrk0A0nvFPQPZ0Cao/wHMfcS+N6MGL0byLXb1Z0GNUnWLUpxSB/
eFoAmBI0r30sQcg57ZqzUm6mnQbcr36L534Vw1DgBtw2SnicghymBazn6dsoSJnFdLfWWF8GTTex
ZPQ2aMtWVnuFnGIKFy4XunZKcPhRUVyj2CVdAy4c50STRyXzwXaQDQHVkJ7o21/ro2tcSSQFCfiL
8kmDSjDM6c+wxUQ6H4SdyGu9C5QtQI5QNwiQ15m17nFR3Pa5LIYKxhxhcE8mzI2cLLukZ8sSX2Ui
rjM+Wab2jkd6eFKKN56Pc6wILVSmj94inOP72ZA63hFaGXTsfWKld4huyMPMNYR7AMpMWJssV8Gp
Zq7QQovo6LN5+DVCTl783QImtAMU6zM/4h/mS97f7H1otcZnQFbk6GdH+ruRyAcLTIoLeXKpUwC3
tif+bv72BPj4cuPk6l1Dp0H9HAMWKd5x7KcOO0D1WGvIS0rCNSq/UnKc1vQc0mslrdJ1zUImF3oS
L0M3xaj65HTdRxxdGbC+iJStTWQDC8HEUDI6KKJGnypMUV5pOvSD+VMs+fIwrHjQKPbTG0N79RNq
qXQ6EQRUYOGEC2IFDPRO23G7f0DtK7qOjKeUNsT1qFYItbqovw8HSioPPx2iGu9881XdSRL/BZ+X
rkbRra4KwW9p5neSvceIAmyYiTFwIKVvgJlgCrT2Hw8aVVPz5t+9RnukNg5chqHi0MUK/W+Z8UUr
gsUmW0+ObHomxkTsLEImfTkwbqappFurQPialLDNqZob8FeeLEP5/aUvB2GYXmeHrVUv2s9kenzX
+zMnNHS0VRmf1spv9GCwhPtfzbHoJ17VYxA3lccCEBCdFh+9N01ZPrLpHEHJdFxJjdLTY4OemEd4
2YMxhf0h8P2JoFutnXJFoM7J/o2+lhRrSShpZAFIzaQ3MhJvmOTzYZ9DAXEuVXKXPl3ysnjIgGH2
9DZqr0hFZb62KVxDb2Xm0FuacF/aXNuGHH6S4yrrJxGfjvaMRylw1pX+k4Cf6iSciXCQLkbtCTHW
mt3XnF+15WXknrla9vyL2PZcDbTKBeac2YFXxCnkH6jQJMYEMHZxrJ1MQNWztGVJm8Oml5CxKTTR
Bz5LzCl1yPRIwy9S6ouPcXYUGgkH6XSw2rB6GAMCeB5XtwZ8YeSDk7bXC2yA66gjLBy7Te5fe8mm
55XjBBJ9jkK0facZTuBjjS3JklPN9CrY3GHcrCYXHbOs6fhBbRn+1laln0h5OlS66LZOP2JbmxHI
IJUkZH6OfmjnJqo2pizOpcp/hpW6fnDCnG4KvK4MeYdi04GGTZiN212tNnhDMzTBub43NYikj13F
34bK7dKXjFBkcDAFh43p439QiJGxUIzZnoHIkzVbq2IpeITZUi96K87LFESqDaUnckKx/CkxaUA0
FyhgMHMMWBjkveIjO4u6qs/w10Xrl9qccgwV9g6ad5m8G5dv3E9wRf3waZyZvpcxM6WboT6xhkuT
8gbLEtCYkKeoP7Zqr2GqeTS4uLvwTFufC9TxKLDVHdbqix+cFJjZb1KyRhzomWI4ptKyJqMMJjUe
JCMG2ru5dqzMKSpEK6ZgoyZHlkSFGA6KX4PDmErTjhwD4M2qSe3c1KgZI5pIzEU+wUzq5mbRZlr5
dqpDiXvoBRRJF21T9uYJt3zFBTg1roRtvzGBclmOuolPwSbOWcagbbIMIrbpD1ZqQXyLLkHbNRnn
qZQMLVy3gj62pK+M/w/9WZk9Pp4YBQDGON/Xr/3xQMIn+XV8Y7sB6alRKqk6IC/iVcmCx2vEN3rq
fq7z8MMC2qVafyFVAfnEUOHwj/QwS6bB1AdK58O1MrKN4GcrHcgF5Q7qFhQIZllz/ExDVwbp7eWT
vuBQczx0OjNVZH5Vx4e6hzz6gDB/Cj09sA0TNUx+SKurecuiACjy4Fu6Idk/DCdAhvLtm9oVE1xd
dcfapE5QYF0xCqYkV/G2/JFXYuhq/Ol10xZtUGbWKq/vh9WxHgI+NsoA3UtDPaK0Lb0EYHD04af7
bP86T2aHDBHNA9ROtVyip6BRWa1kpBH4+YXlmX362y1ajISRK2+S4VAv1kgU/czfqx9NVsQvTm7u
ztoBrH1y25B9HzNUzWjMFbeG/EQmL6ytb+04ayb+y+G7mYSoTsuFtt6U+izhb8JBhTtmBzJ5hx20
kEjnwJDrOpZ0/HO/vKUFWpaK1URPb9jAp2aatOysKH+lOM/zL6WbEKwcAL4otb/EYhZWdCRhF5Bs
Nt5bBpeioF2xjrrAYqPyg21sx435y7j3hDwyDd2dtR3/WS8qDPu3oX5wGa+6FaiJ1Vl89U2Gv/Gw
D2q9ahz2XYYDHFthHrA+8kzP0VrJ4BwQN5E9kVFLVhLvoHzsC9pIBWBcHoyEi2pZElMHdqaxF2TA
w7fj5mvp9qVZRpnxISjtEPwd63Pr2ZZ0om0Fx7TT7hEPA3Wuqrt4KOut+13Kg3GE7jeFt4ue/j64
brIjKlWkWaCj98MjbY1DFbiiBGYGCQCfkRZhwdVH/T9ygwAJBHtYShUxApboLr7N8jIApMFqIAr2
fsS52yCQmGyLh3/AiG8u+FraWV3Y6OhkR+zRKQIRxFIroGTBSpAMTgO/v9LEnVbJHsW/bDaXIJOu
JtMien78/S4TiQiz15zFv+1nbooczdhVoHRJldZozuxpQKb5emC9S7hFFhFJM+okgyCSVzlmOSam
rrCt6fO3+QiFvj5nBPXxlQ/btsAqdX8sxu9GN1DiG1y7JUO5P6hwpw6cOYsjw7ALJAWgyXs6mhoW
FcGFDDo7+E+EGlzMrptUFbnan+kGk2ETLIao2TuUCtmSpXcrr6c0d6Op8MmrweTEQuHInxnuGA6G
a8aGiwNrzRiefJane41pOTT6Zhfm7rfwe3ToCemtwBXpOLDZmv3JqfTvR+zVKZWLqi9o1H+7uIH7
Lqf9Yb9tQloxl3cgw9utghaHnJYpGtd7rKTSu19hh1Ls9nYfujfAtZAGe8VsAxKwSHxK26Ix55xq
gAfXyYJsz6k6S5qHHVx09GJeOsMYxOvlD/h4lE4KY98fH+rhh6l9NI1dkKyyp6z9k/IZLA9dBqBm
gGrkwJDTx8GcnIR8Yy60GU5CyjHR8btkOXudW2Th2t2vVPp9KNISZq/Oeh8j5HQW3qP4SaiqHeMd
USV/oJ4majWQn9ksqU2J+H3hyah7onyZsall1a/aiDSVMErUwjpGJ2AuShDvoEqM5kmg6mAQ1oek
0VWxUBg0tnG30hUfj+0X0zbMqAjfkFu7sOP8Z7CznkZ547AKfIkScyNXDxd4y7eyFDWvmtBVC6VY
6iIfs8ODrRRKpEDIhaay/9lSfGFgR+1xRhUi7uaEAWJI2XWmKOZOt8LQRWFQV6IGjmp58WcbuTvI
edJQSmt3quV+RkfAk3SQjOq+k0yXRCBXzPed8Dt21CkAWdg9bwzj4S9+rkYirYR4D6r04uOSbPer
Lkit7QZIqfq5cuXLQXkblQpaRz4Cauw9jkKhhdUE5JRK+3TQzcprBYLxsaXWxc1xPNImBWqKehSK
f2XDBlqY9F0ZsVN1cfPrBHc56iGWbS2sKh9Z0svhmekrWbRG7eOzMSD+NXJS3dX7jNgUYMn+OE9J
NZGrpukyeUEHUQTvU3+6PTymDUfZWBupi6iouHWf/2XfjQVj6ueJ6wlmq2VphAv9rG6BThFANBZu
f37w1D4QBPqGcynpEaEWvqervs/qi5JsQenxC5WJ1x7iB9prxAFRQz7UzHRV3i1cuPQ+k9XERL0u
aQCKSBshpj2jtgOtf7I5xd12Y1pwlMxXo/2rfMgBIgVq5xAmI4+yqleLH5VBTtMA+LNrvOY/p5ir
NOv5IjbO8QB8pQv0CImOnWbVa7pphZ4UZjWpxTIGI5SBksScmLgAX8l6PKEsoY49E2glDPHO+Wum
1YI0UYghpEyLPSP+7lW8lW1dsnjy+KbiVS4Bdv9DVm88IgEul5svtxoYyJM912vRbR9hYPtlfrwk
zG6B25WAQg7n13vvKT0ZLL0UGbAZX0oeTUxGQJ9zug+PESnRO6AQErobn6brgw1elEpkuxMAVDqW
tynWANK5er5ZQPIBEE6GN8mZlNJJwwUtqDf0ZOWMpAKk//x0jXdTi8IAwQsBXkrQcBCE3k1RYelf
4loP/wvnJFGVHAHkkXQZ8JYj2SqvR+19eO+T7Ccu+M4zgHRkLm6P7trkn9r4AGG65ekmuUpntaLW
x8g76h+W4L6Dg4imJKNsyGrRJpvunyazOnjch2dCNpgUT5FhLdJjbkIBieKpGM2p9T95js01BYPO
ddDqQK0QkbeKyBXQGkUMzAEa1td2255x3w0gB4IpQYDRwZ+r3ld4VkCCvlLQx/ojQjIoGjP6svAp
NjA+qJt6FYDK2xeUC8IxejVEkA7/KjRIZHzAlfWdO8wtlZ/unmetL6igjPP38NujfAis49QpDsNW
JY6T6HSiwljanpnU3UdGFXykVX6FJ+ZvKgBxZauJpF+VIz27cjh2xXxj288jjQrnV47ev4YF95cq
8AyJwmwu0Ow50fndA5YMt317/1tNiA0FJiyn9D6sA2dVOgW0a9kN3B2XCxZaF+QiourEHL+mh+mF
Ma2GbXeSK5obITqJtTl95W8a6OJFs53wkXafYAlEdh0SP8uUAZxUkR/1rBJUQn9hKXcHDN7dnJxc
n2q6Z9v+p+p2ZtSF7HiJrPZ8UoeUrSlBwoCmmLAJQdxw1370OAnF3vc08MUmdQ1QOfyJGUbhQjqK
9lIENmLwYu20eiq6GaAr3TRXmsk79JlwWWSpzT0iOvBxE0x/y8s9/auxbHkRRurZY9ZolBSXM7Oq
/1KS5trx/iZJrHakuAnX/Buz2LspQT0DqifWZ2+v0AIkwI8P9IJft1Iex2+MwNjIZid+uMh2eSoW
sv6ygMzW2ziUYmptZhfn50rWArMZX0MeRiyyjNSYXg5dy1Pd/uBD2mLjZNOypZMqum5G7nDBHJQ0
ncc8egQtcOMDVjnPSn2/EnhEhEA/RRQp+c3iYrv9op9rflG5E5c7ztUa/hVXxDdgGu/vwolzLbvq
jDKt6s3N6ZxJwDNwX8740N3IvTxk5HF5cUHu9miAWahr/7F7uj1J5scUsXtCBHHS7nOPksK9efgr
Cp/xD0STJJLOhr0yPovVHvcVtld3CCjmff5hPZuGv5PxGAYk1irKCeYi82OFPuQpv5dMpAl2e9Pj
0gt8Rc41GX7OCDqTFT5SKIr55JHOIwayeG5b1n2YcbHjvwrCE+SRlLE+SCzv5PM8Wf5SGmNyciGs
5vr6tvKWsoH29KEa6KtDviinIiyOKA+2djwyntLo1GePZlRl0cdx95dz5Rsr+/cKgHjyCHadWWNg
7hn1Il6oCVk4PE0IwnuK/F43HJrL38Ul2vyagYc2xzpRd6u6ekP3VolZvRkkwomz1mja9OESw4ve
jUxHptCilrcwcBqDialV6IHPPVrWkqNxv/0PKDgxMe+BkwPMy2xgrNIshU9+NK8C6yYAcEiYnSY7
V/rQn6MkFkFd/gjmSHzUgkGAFqvAZ3zz+lxlXLMhlMhEHIRl3pzWFbL+315a2EJmxUjqDcZBT9rO
5c0UnueBiewPPxlIeh9WlfH6DRtVBJaC54hikHnTR63ENCYgG9Vd07r7KiBL6pYkAPuhCrHcqPO1
auyZC3nYZYBDRvumSjChzoT8XdKFozInmCCw6/KSaRz8WDGiwpm9MIQ997xbX4n26RS6C9z4ODMa
K6ruCIJkqoDqIEwcV+CBxXUfNFAbBxIzbE3iz3CLfRuBuejRygVXNnWD7z8gj5Mcpuao7swGgo3P
tfGdZLGRtSlA9RJX+RPHoaYmGfhfyAbOojrX/lUsGcr+Ssiklji7FIDDiyB/S7AYWkVOjHyxgSE+
S9d+yavLq6ryMrj55yIilG9Is103yNoOu9atpzYglfsH+nOsbzYpbLcDoSDrFvUX7tyYu8YCKwhu
qsJZbDcF1sG7IeON4QpNxR16TYDofSVTEKjHgNoBqcpRtTcNtclNrvP9K0Dvm5POKw+k3B09Tzh9
fwfLdMoShU29NvsX/19NG982z8d/ZICNyDg1K3vuJDBYHwg/YRJEwTc8RJwUKHRm4DHkLg+8cWTB
eziwVoAQUJ9D8dqoLdgHqSolwwK3kw8hTQWh81fBrThvoZh5VnO2RphmJg6C2MA8nwlMb5ZfCkKp
uxG0kvVciurvS6RzJW1IAtSsB/p10odI1a1lIx1O4ypghlzwE+MNAGUJrOnWN5E4yhhIDjxGHJ0b
aTX3Ke0uy0oUyAOk3Bw9FCJOAHuWANwS9GiDY6puHv+6dUnhK7ADti9TAhYuB7DUGQXDrJtX0e+y
cWZavUyR4+5NUV5O+hq1UCC3TPhgAqMYoDHRS46CtEmFdUYjdptxKcRHmNVd4r9cx5la90v2Gi1J
YDbuwCAGecKH5szq/Ff0MNNR4/bWGO9f03690eJLiThSegZx7I3q7Fy0HH22XN7z05I4Cd01ZODx
KVOwe0i7el0MZppKD57dhSwBBZdvY8kcIfeusYyRz8aHF5Tk0857dlIB4KmpYHlAU1z+ALB0CTSV
bvp9WvmnwY2wRVelABaHtq+4a85anoGXh1p8NPD71p9GQHBGGgskzK9AtwscyKaktllvLLALex3k
wKW8T+NMJ2EYeruYYBSixfQu/0SXyvZyPxsu83aA0g0iACjTuDr9yg3nIV0JwY3LgGAAyVpKDvya
eGZ9367OrtAP+jpNffiQE0h9WCeKlFDuMPzgaFBofexuQEmHfo6CJWeaLxfoxgLtdgdGnkoNl/eI
Ds64eSmRx1C6lkbvk9GR70jZ2JbdgXAWJ7kG6pVlW14aOxYRVzhdpPl6SnXg+0/snjoF4N+S+Qei
HK4HOX5XOAPbQjRjCp032Tu8OKjD9YdyXpfSkoTn1f4CEuEa+BG0npdE9KG19TzzlHN0H1K5IO9e
dv2+lrgGGEFE5KkDB6J81xkDYVAzWz45r6+KrStyiEpSCwiRvRa03nRDentTo0lBqvK5y2oynggE
j8HkrmNun6A0V7UXRQXUV3Nwhxoddk9OT+FtR8MYcASHqBYuTLBvDeUXZZmTtSeesNsreCqBZV2h
Q2ZPJWmhzTpedbcBtiW0yidvwZGSzq2x36awAaSYCwamc2LBJ3W/c7O22v1idu4H3LHXu1v7xLVQ
JHtTngA8Nlj3DhNyPon81+F4BUTB1nFWBeZmQXKl+HK7EtmKMKZwBvrp4cLIQpt7WQAGgVC6jgq/
Undiz0Vo6zzJTohz6n09FC7vTuyVFpq7UbBVQaN2O623m6Bwiy+y1XRfoGnRPIZMDqXSwPwRY+kA
7+uqnjFQZArGV1VRcw8FUsSx5cyf2mJg7OKMgG2SLL4cJDVLBvZzM8JDXv0BbI40CmKGmE1tNZaL
VJHELlBbwqRMwFSYq+23iu9/GUL+4NeP1j6Osh6CjNMIm/wIeu8chPvYUzXzQ3Sf5iTwLbo30/Vo
+ntgOoBO91+Is7TYpAGBg+BA5TaTcLZgPynagR6682Zwq7kqmtWUy9b92C5nz1AomUcFc9Yb38Vu
IOPpFms/IKEGiS/aEDQTLwS3Px+fhAx2AO9VF5fV8IS5yURTjmnX1oeP68BCfD5NxEA/eQ01Hom4
rNoI+sWgLLyHoP3EOzIYPARyx7BU7Vg4FXrJdZuIrWjOFUlzt1HGHR1hfccuWDLYITulQ72aw/Zq
GwQWxq4cpItHr5yVxsXZsqXJ3lR24ggePQDc++6UyWPsC1oYsB/UEkJkSnjehPumfU8tQeJcI3ui
ggRShxvir3p/aqFvyUOgKez9Xo6FYJEKR9NydA+CcWwWFBgzvQoiO3lrs7eqvRoo/Qhrh7XbkwLF
/7xOyt9/t/G6Va1HXJ7KedSO0v16a1itzC4YQxu6x8w2fFff8ShDU73eQ7ohz+V/eg3MtfyVqRbT
Loqt+R8DWLNNYm8Zok3bt4BO5oNaFM6Y/h8kyNB5liDhkDA/+A65xLqiI6lCiCRPezuTXPtyPe35
y0C4hDyJrWbEPWM6uf2Uaq7haBqgAJHQmfvtA6AR6rUuXmd1y6iS+y18CzH5tkgiGBQyQhyKSGmn
KkKCLwtxQos/+Nh1bDTaOm++4tS2lQ15rdsdnuYtIJY79Nf5uC3JV+P23s0nklwujjNGijoJ6qSH
jiz85hx6EMjVz/Yhv18zMNIIo9PNEamWozj2CkclW2H35q3tRbsgjm5v47dP2phGcQMZyW6K5fua
uM6bNeW5l0N/APdf90Nk/zjvvVQYiMC+FPHJW+p4+B+nskSbrcmfdw6Z/itPeDK5H97zmDOovtdy
1hUAe47Aqv/klW5amBHK2KrVqL+NhOXiLy45tOwwPqwAEsccvYI/Yt4fSyDylNN7pFiMvs3W9sZu
1rRs9l2zpIuUnODyx2GlPaogZkh/yGUnQ/kMVWKX8F3/sKGkHRFTzti8MQ5HczSRj4QgFN2rLizd
7VMWz+kALibcTAdC5lTiAL2DA9MYleupRogT3tgWbqlYoxzz24FaddyVo5RlwEM2watqatRAQ83Z
CQddM2pLDkRy4GkLKo85bjmXEWrU/hY+gBdaAnVylcDpGk5ThbyBTyAy70trbnYEJaIumEY42/En
HJbSHS0Eh+hTCSbM2bjrR8MqRZ6P/Os8vrhkEuTFq9N9lBdC20pB/Yf1eVpqW0tj/G9q3aR8DwoR
v/lUEkyjS22vNdv0P9JvnRwu06sH0FtZZCM9thBXg+CHvupxvEM7Hc4dZyktlm0fgoSy21g9+gEG
BDar2CQb94CCsu2DEZJrEWQsd+lhB0vQ+pIK/lz3/I3PNIbB2q292F9w9KPcfPVzCDO+vofBV9B8
GhoIHRoGJbivSaSIp37s9wsCw08lPT3M53MyaNsYs1TFOfYO5kPN98Kappkn94gmzMF7CqqOvrmj
X+gEsAqKGR3PKYBHZkByHyMYSoqtAAgIitUkxYEK6Pg/XaO+ueYTQBwnBWswPYImmIuHn60WYisz
i/UCljZa16zgnonpWoh0PJ+sdn7DE24/YOcEwKqjetDFDryEB7kEXh1OOOeT6+BoGL/yLRSa/IjK
8lWIArXsKrrMsqY3Ze8L9PB9n+JZovUbkUrLbuOpFnDZRilEqu7vSs3oPpxqYhE0/h7cLlqOQiRi
Fo8qpQ28u4/ZlFG2zuECLNuXIF2yc7eSC5Z0OZ4WLW6s4eAjM6l39YA1MQy0/zmg2TDgc9Wc4onY
p/reKGTGbrFab9VX0fXJUDJJMHppamFOpJxAA1LDFlInFwKh9iC6Dy5eqtLuzVf9/f6cETF1bWvb
xC+mDL3jduKdgArT/42wJUYOAYWKiio7aZ5emb1DncBSjTnariUi/CpdhzohbW6wm61MqRMlGAQr
om5ucVdCtmDeUrHAfArken339RvhRmFjvREZCri8FgI36PrNooIQOQuithew+cuHEoSfS6SqwAVh
9RqJfHl4MpCpzkkA+UYYim9ttQ1WzW0yXvPgv40REs8toeBfwKTFYRy0OiRzv8p6rZ3y8nH/XORm
gX34Q73576jf5PwtQHUlRBrNs4jIco+nJLN41f+dI0rhLdlTJSEm1r1XgAi/nSlh5OCd0xJJq520
Athb7Mr+k0i2rhUx6FZedYTSd8OWGtmBpl8CvF9We70wWZxHeqdGcNFpEk3vi1g2SLQbR4m91Ssd
//IMXgy2rzTDCBj6MLX3JN7fp0h3dE52e6dhlfcB4qPj4oZVg5W9pUMX5M3LxCmrZVsYKRZIVxS7
mshR3v3a3gkrSjs+Psn7o1q/TZGnV7/8OE/1hbJxzOoe1THcL4HmDCKtI6yZUhu3iyhvwD45umuN
fjF6VeQ7dnr8DcgJ9cXMcChzOYw6ZuNW2qj0W7wsuDgAzKFApOoY6NY+HX3oCfRsO4+y97XQ7wZW
Wztq4fGkRweNzc/2DgfEuTcBJoMa1Fuua9Z+c4ziAeldNuPTjAUvG4snwElsFTqLVUTcBmTGg8zs
WcUEsUHMSXP/NJVh/1gAbq+VliHgOns7G9K9m4oycaNcDBG9bsGKY3+Qfc4YsRUcogD5eZDlN/RU
yKcSl0i6XJRmRoFOg/45MtApFzcGu7pZhIhTG7tn/suMC5rE0n1sb6yndUC4J4TTxGhgxdkCJs/X
nFOp9xrpmQLElyStvh/GTLBk56npNTxFnGVNEASCXTETNV8EUbKtizl04i+5JDs7HOrKkJkVmpH5
mRf5r1cUGW4DY0uKPwsNEHzRX8VEPHCYUFK8uXj0flmejEmKHRWJDYvXG38iUvkLfIqcC94VjDJq
jkKg9zzSislEB0wiOz7wbFnXOUBDFBaxFJ6VI6bGu7sNdkxv4ek/O66D4rqrPz4G/d2bmVk7nvLm
qlNeJ4UhieEehqqF3T6A/rTbB2MpckEM/OCn4RFyQ7JxAWQqJMfGL8fRy370wAclhciDhGDvwpiS
5aZvFgDg5AMLeKLp9WULBAux9xWXxFzr+PGInBcUQseQe5YRFBDd9tCLWQKIInYuH5fv2IHTcjOD
eN/R8lSa7+m9yO3AWrOSXJtXC//Ren/BSZpwUjdlOcuyQJsYhvZD+ZYzwBCw2+RmFB2CGIKcqDu+
2HKNIe+i+I9JcI6njKVq3QAS3d+gVdVi0sd27o/67NOmiLoe3Je68yDWqlFBC3krqh+4BAySrvuq
92BPFBCwrEuChx8wwS+Xm5IwoLVEqxVueFfOki3nJsYMJk3fWKM0hLKjeyO1L34vtZXh7sfJGFGg
yxSB9jYLohlTI13tnh2dw1jPrbcF7/eyzqCFhtdwGBlR+9aNQmbG5ojy26EuNMDvLRRuJFjEd7PH
GiWKOvYf7bAm07U2MCk3a7VSv1k1gAMVuwxvvnusr+s+t75BGdQFp46Xvt+F8vGuV+LSACCJ/jqH
oAv4A0U1gJCeCo2e3bq2wIP48lrGeAFhy5I7YZen8gFjJSdr5+kKyJXvN1XvVC1grDW02JhaImHc
j+EHDPxF4KcSbHA15b448e8/LhHwl4Z9fakFP1HsurZ7yDonVdiNejNFfpIFk8wC/gtfLTyJSiDq
EGF30mFVS78eNwpVLQe+Y3rtvMS9Opohb3kzPIYSsLALhwbzyul84hqfjDA/T8mTf6svP26Qr8zZ
N0AvpgQBfrk/cFpfQLQ0rXOsv7KBJ/pbdlftNW59vHV4zaUkXXE3uIDbALe/5E7N0zz+U9Giuqvi
JGLEm60upNPNBbDoh6tbw4hChtOaKF4UsFK1o03BLucQDhEMWmMLWrY6AoYys3B7Ag1krLDFR9Xn
wstbmWawezCaOwd3k/rsS3AzZQhGngJbjYXrQjeLdZLCnOwFZbqp8A5rGQ+7oqNGGc8y90QjCvhY
M3ZPipgTCunYC30eupEpYQ3nWk89p1bsbchbajLNL57UsmRpX5497QE2gDOHObvWnvv62+S8JXpv
xyv1wQ5aMdU/gOn8H1erYpmSqjv0eSr6bGIW292RwSZc0hXu4jaftkhw1gzRdL21PK6ZhEfxf+/N
P0GdJ9MHNq3Pe+uWghGpphjximp7e59ut2J2/xRtglxghD2S023A5kzHlL+/+iPxyqhC7qHn+X1e
y9e2PV5MKjLUctQCV1PcTjy6O3EBZYoc8EkRrGGluH8/mP1dohiU/CpRTlfBOxrxol2g3QIJ2ZRW
bEkvcZ10SgvOsy4rc2DabwjqV04Mjxsnjj4wbk9UguvTH4iO73bNVm64itMVglWo2Yw6kHeXAWtg
J7ZguLOUDsdumPhi5PvFLM0Fw9ZBHoFg6Bif5bcCugOUnDZscmIUptA1DHJrFB3R5f3Ao0cjXrRJ
KktjKedK17Txu4ESZW7ZWW3x1uylvjIIPxxl35anDy9b6GiQIYpGOXObNQt+6VBUZfZhcxpfS9tI
4qwVha1gmSjxnbBjw7gFBZMhwr5bMm0gYJJllRodK//kf43k151RAJTqiklauxMvnjOd0I9Uqrqh
QQ5jaap3ENWR59Al9RusAflCVYNlLDxkN2oBnHhtRkCRk8X7p5Jz9RZjFS7v8owncsxJbCClcICn
8Wu37sN6L2eA6g5loJh3v6+C22/NgqGSAnYxyhqL8iUzFHliSOW4nAlnNCbvtwVhD3I3agvzzJCM
TVwPEJYBW5eZNWWBuPtrw/NMjs0ywQkDmDgQjMa17iYiy39Dn6m/PinJ3k1CwtHbywnkyNEjaKwN
1H+5QhfBJNKrXScTWR2ENueGnRlpSasbBtg9j+NyOO1IFjfIKQLAE0Re+/aYwGvDK7w6B6kiJ5O4
yF/4e8+hc2OlnJ82QrE17bQNlZ+D8AHnsP1QkMzEj4q2ZPF9+/EfOg824vUZMXGC5W/w/M3TpgSG
FaWSJmeLdIKZlHGP4k/QvGl9CWG4Skn2speSFRF4sOpeEqLxje6X6f2myYyg2Q2ZNgmj7N3880dH
gUpIGqdfco6jc++oR3zaUe6JlEYO7nFhQwpCyQy+8JGVYW3tzHHF+YEmzK+ZlDPaLrHFJhgBqOxh
bu1qXbmlcT50qLC2sS8Wb0UlxCsvFAh8BG6L6teNzuNA6vje6GPtGbiJKzh6ptbi+jYQGfOhz1kj
D+adBTs35pOYvzDHoYZHU0n1lje2rl+phWgvaHn/kyz8pWEQc3JY1CbWN0RvceaFnqSHW/iiv7QY
UXdzDcYgA95Hwx+kymoBNm/rlgIXm4nsf+x0h7HxnXWYJO4A4nBebWJ+fCZT17tb0DUfAEgIAQz5
uQg0BaFZEJeHKQe10LKMGAteWmb0Xk+rG4FjlQdNArARZYL0wnVlM7uuCFznRC8xQRmlmWnjwp3M
qAtpuO6uglUhNrleG5kLOKeQF5GW0l7YZNwgWEayX2pB/oCbfWyA2dNxDq7rXeyw3pcouLD0/zVD
VpXfcLSVsKqUOIDob7sv4mNOo5eXNqoRP78fqNcCK8m2MM9E/AMv6pBM/R+731EEiukJi9mSxhc1
pPYSzymOI1RmCeSoRnf3EwEUv/rOe6wxVSjbVb6yE36O8cleR61WtKs/2tn3yYWkNt5hGZActRnp
Qrj1UbKGIrRg+J7ronnxd2JH7XjOHfbFVvQxf8j9oddYMowi9Qlcs3fs2/uxgl3V8Sk0bwm5EWEa
3ZgMadhwuG4z1Gucbm0DIHjN+tlXt3gKwBXCUOYNVFQFK61DZlJQLaELlayDEj7RZQPtKRkW1l5W
f1RSlhnWwCG9Hf4cXlNOCoywTM2BIUKo/pMNMKf3hgW5oYxd6AZvAA+dezBF7vic+0qK3gB1nm+O
YjQSxTbn8xmJMveT6QbHNI8Ji2LcNpyiFAcxJawUsOxAW42yK2NSlBZBOw+SUuh87K+qVWqV3WZ2
uARMk1oLbWYE3v28o93r6Su3554Cjo9XNqinyxynZu327WxpY+CjWgKFi6qjYe1LXZ0KHkG266pc
YcNF5/U+ykHHxQWwkFP+MBKkxaIqydX2XUgXMnZWC36clgGREeqgOsdGJPdw4O8cNwYbsOLykjRf
sT3Kz1YKpjtB+Ra3odb1/PUlvfjuy4PCbQsMbUopnxAmPu58G8SAt7uxwjspG8sJ4exxsPCEw5et
R/8Sfz5Bm0yrmeQnQYupZp+6OCOYscqXUKHggoDM1eD8wH3ZIM0FlUo/2HubROsRXEZg/4C2RBIY
IEZuRN9rXd4f5VlCS+CHmI2hKVBsix+2lJSX+TQU8QlaWZIL3nI93MehLwsRexMurLqnFVMNHxci
8yL6/orf5rmNR0kP5CFS5HmlqCr4KP9WEg4QhkNvB1eDOPWLPlDQEl2aLT5WQUgEplmmAPMtLtEm
NA3DtBeynNrawiaXPi3SmTV7eti+sjlAxtRBTQt4AeSz0iL72lnpv5+AJEyOWmucMd/A20+nMnZs
WdC/EyH+JpIAm0yDob4vixHlLSBQf6yzz1ZiW5Il2zQ97haeWh1PPE5yukxG8LpXYSKeBLwq3jD+
5i6vB4pgpNwEcdXWbjMD6zMP+uammO1EDN3hmrg0dRiRGDfCbFSFYuWBakAt5np8/mDNHuMvwuFh
B8OJyNE7+4h+jiEJtSHIdMB6kELTaC0JT7vN4QhKB6OX1G+RJcRoTtVCrldpJS9xmrrYrfrqTK3K
6KjDVJX1MAvA5wAM61RrpV64rUbOkF/AotpDizCAax1mL1K7CDWvrUfp2sxN05ipLC6LKs5KXt/0
NU0J2KC1zOxzeQSXsSepcWGQzp2rUjkfsEVuO5+5y8mF1YF7Ginx4udOQDo1vLGl6qmTUZ9rxXyg
pQHLrvaN3axTo6ocTrarqJsF1IG//3Cknu1wTho97b4+Cgsggy6pofetLJJXDdif8l9qE8LeWfu3
WwDuQy/6oUB80mVLM47UACBjFvVV3be+zfD4GioLytHesBrK9RbGGlEw0sbPsC61Uk2QvJOlLhm/
Ng79+jOFmkyFOpXQKd+RE+k4mgkA+OtIwiOiB6mK6LlRnY29C/1lV1CSPzdZuUvYS1wctvSBcnt8
pXYizY+UwY3NgS89WxFTYhcPgxdUjfg2EGdiWZ3UkNTJDJenRt4/k53NmvisAlM3nupeNIAVZ5BA
UoI9BFrgNn4DbQ1v+fH+KOyV5yVejeoOCA7nPKowtu6hxa2VS0idKN/WRYeGkjHI0BPIWDuzfyIS
RdAg/iBdA2/hN4MOvG9uhQNXUlrjKrO3/xSrJiaNXdI4mu2eyHpFkfvtqgjVqix1oiWr3xJWD5+P
w31kKWhLvScii6bb8uFcGGgxHL3TFr5ZmKYFJB1QLp5EgTkW7f7eW0o2Le0m3rqFhzAfzxb4PSQ7
3SRFXbeiK1dI/hR5F7sjLtibTI4MSyNw9w7Nca5ZkCYGeJZU9IyEAijx/XFxWd4G1tKMe2tLQXiq
FyAE8RZ8CqNR4oLIPCOcPfcCxLz8Uxpmb6t+h4Zm3juY3rjrdBy6L/9rMWtk1JLi4/XfV85rkIp9
wX8HfYMcX8HQOyo7ZFus5b0PWCER86hRwkdnsEo+tJAZriLw37B9uT6YgjoptY/TCw89nShCoJOh
1ObMhmWLiWZ8nGnglnaH76CrUp8ayV9dRHaLJkmgPBrrx6sSKKpBH3foKsIG0OEd9hvjmv2/Q1Kq
UOzR5f05WrQW8m5qAnkOjiPnGcWPpOnK8/HaD05ejviI4GRQGgn9DZdEXcloDv7orORykXcr1pHM
d9ko6Ay1uFfRGMFj64CxIdkbLr5v1rUlZ9rCyWyhYhsOy2MsdTHu//q6mq8B88ThvVNPbGC00rI+
Ht8/eGr0W0mFNVclTuAKzczgxvbXeE8ymHK0r1G6gdv150nTdUvcQ71nW7CLkmpAC1YTipYJwK18
0NIr2hzpBJQXoRsewbyPaKYs+rg4/eNRioeEAeSu+/TwkZvucxCzW3HL6IYmsAFELScmNdimd4a1
mdumoryJAkaZlnuUEuM0lgjhhLqkqPjqQauC+rmYmP2AVhdgsWbscb986l411YVL4b33QQhhGGVh
c41m2LMR9ncjV29GS/22ScJDw9pPk+RdTRD0N+e+hhWFR3w7vqfnsJbSXOtDR8utxhQMmRtGu38D
fcao1owwEo4VLi6tahsMjcp9MWzm3tcX/6EducDdZhg37FFxkKh4f1ij1Rny2bIhQBsO33qmewL2
khYYyB9lLOPQCIfApNS3Sh63qizidPvouQVonqI7N9f1wCQUAim2Vogwph90+nYSN56wIuubQy92
siOdNywKOe+qtlrwA6I8fvTOcYjka6N2J+oAR/hwwlvlkww5DPLO3FCzgLuvNcqMCYCZCzj6Hl22
Y//FZ1vfbfKkILgeocBRMkEN0oxGxN8uKoYSekEyDs/AS/8k67UzCRwqi4u5JhmCFPNdwfkbaALR
qtmRAPSJCXieX5YS0+8q80uM8JepKeL7To2VuWYJgUx0UIcII95ZJb03HL6cMVIDKpT+Awe1/vHX
c6Y9NouKsMed7jeXoYi5WBmZOPYkFhTTu7MvC98mmGG//N/Z1pANShUY1Q9EgYp8+agUcWjj2Gub
de4DsB+Mj7PhTMjC7O6cLwukvd218vd6/4rh3WwF2hToU9YVHAy98Jwi0SsWSGpJy2EMFopDGINp
AT1t8d29H6wVrwdzA3FtZpUD3ABKgXP8U1rrrdzyPkoFvBRgpJNXtSSBauAqu1eSPxCEODcXIdLP
lDkwM2HqVA+oQx3LlMRHM/TJeBlbWqJbuAE1Eg3+FRvVbnSeSHhWvxbrKQoYfSdclQy0GJscIDb7
96839pOCunetC2RxoxrQAnpB8GkHnY89o8F+XciuOg+yETeYalc3cS3itY/FXQvxeIwiHAbsu2wr
g+DF0dQeETpVL1Jriiv3gELUjumjHXQIftgla7dT0QvPBQfwxwOZLCGoRLm1borHvYf3rmtgU3ML
ARxySr3CQf5Rh6ZMF+CA1+cb9cDzIuDzZQLOQsMMVGRo7jtqDLsbuwHq5NGqvhdP0p5XOaXVkujS
p56b3PevQhfkODBhiiW0XCAJCsef7Tm1Ux364rYeu7iDSxcOuhDZ7XH5YTtU3PYCrx/487Hc1yrE
gxy/9hVqwAXTrtDUNkOgbqw/dCVVRrS7lGk0EWiNB/3bluEFL7wOHEleSPeaLAa6DaTWFK2ZOf/k
pkd//L/AEFh/L7naXL8PG46IVvDSW3JoU2wZVliw2mPzAKX3DoT3Kng+yDZPIBVyoU/BvJttkAzi
ue/8GGr9O7IESGAmB8yDFAd+gPC6scx4vYGSjxqMd+dYT9nRKYvMujniBTC9GE3s1y/cnL6SKM7q
yrtl8o5k9ugqtJzR9OM9FbPVNLr2KoWqYiySa/gucffNVw/ICjSqgJ7UKV3TMxp3KDuefDEg2uHX
mXKOwUFcVzMYJOCfwSYNcLnZgFZTy0mwHaLE/8FJZmftOw1miJpziVIATjOJkkRPpeYAxhrBAipA
onCxWLLkpKsPTP2NWTfXcj66N8tTTChnWL2iwTotoUY1GlfV+bm65iWuVj6FdCTkF+fAV8XyxICr
l5+GKgKFRNHBRAZ0idJW1wF1JBmJ599OuG/4Q1pq+XaSORWyRq2CXXeo7fGeQkrK19U6bi3j4pNj
FoXv4nIRuZN+nTJtvwT1gdy7HYCIIHFeseXaXieu/zt8eINWRDsRuByJINxjw/v2B4IzLEvab1Dm
OavN8q+lB8l07V+qR4UHekuYylgrgNYxp8PI1UFiGmeuVs0Spuv8vXH48L+gHU6V4WXNMZtjtBIB
TkNHIo3Bt8+/0ffwIkdLANjW8J027eO5QM2L3Mye26HHNd19Yr9SocchXHLVMkZh+PKKb/KEtZv/
nUxQHfJlpJD8WpNXAvpo3PNEH5a0F9svRekEF5OaAnmdTr+CwRnZZ9c7DX8VuWLewViMQXUxHXSO
VvaRZZuxH8BaoCTBE+zHUwPUiE/AK3G0/US1XvZvrHmc+WqyvqAAF3nlZ2SKaxdpYK6V3DBzK4dB
t+mPZT0cqzYyiXG5D5T1Et4ZU9l7q9JwAV39mYTLvBUFq6N6tAMIcieI1luvlsFAZ37khp/yVhKK
IfTwgbRvWyoD5219ZZTWkj6xaP/x6wr3t/IV10Y2E5ByCQvtaYO6T4z3QWTNUEglZBZMb3oVYSfe
6ERB/LoJvaMAk0g1h1f8Wj6eEK+Iw6KKIFZ+49iC4dkKd0p6xibvdu3VK4gsoaJCWU2duTh6L4vF
tFV0W6iLzFXz/KsmlW/0mOwNRWb4d3U27E+3KSICApZWH1K/o2+VkCqtHNcuDi8LwPIzATw5U033
yHWYf4+1EMXvqZ1U7QaYElot4MtDli4O4XN6wHNrkyEqljAx1vBJqIMQCxHHcrqD/xNMOLR18ASX
6ik4EqNtm56CVZSSr6mXc4kMiYtCuhngLs77K2IOTvCDXLAJ60aj1cgYuCBa1KlPllnLZz5QclNB
x8gkMnoRFwabQclEOeG2LzCnKFRgvkTv6s5hsCaQWHpsnlhoMvkWEPVPkFGmqKmMYwSrURyWRdkG
D35Wl5hjcd+cN//14UPD80cEk1r3y3/KXtdRFiPvlIs0NGM4KNvj2YXJN9QPwWz5DmyGB4bfQrF+
HrxocAsxEbEh6sbICb73GgsjeB+CXKMO74VbSQ3GwagUtbS/y7bKY4c7i2O8j7SnKg545dCE9DGV
NyYGRngL6/bbKeojVmGhyw6tDZUWnST18U1cStx0niBEyq5wRddV0g37+TDCPV6cm3ojCvZonM+v
PkH4B1h/U9Kb6vxhnrbxMXB9lT/1oI0grS97pvHR4Ur1MVyaRV2GDDxJXm/wlCyHqCdNCJMyWKjO
FZBvN+zfbKJKgm8P9CK9OS8DFPl8ZlXj2WcIY+p5y5M1i3cZxcthEAyuxb9Hwi1XV9nhbHuqPg3F
6LYMehmbwG7v9rnjHw2c2Kyv4w31p0non+MOeYIOnmT+sDo9oT1wGzpvbpDcn5okhAvfkPb+SGjn
jpfIrGd+Q9R0l4h4gjWOnWT0F0HCb7fxmtQ+c3lKom5AG3rguwBvPy9I7/xvlGe4uxL0g/1EOSRV
GaVKQUjU9N0YLHkNjeVtofNX4ohJwi2D+ES/3LGoNbXemkSWqyiN23wVT7KxlZWE9zxCklRuWrsi
cnGZCpOBOuoHYafzAQsa2AztoczeEAuEreWIIw6zmohC/r+IY856iorXGlvLvy8uZ6A0vMOC4emo
onymtAk3Vl4oIHwjuts8DYTkljz1XGOewqsN9S4YWb3ufXxtasZXunz/6/x+nk8+4l3rceKJWPDQ
aWI9kpxhEuzil3cIYpgEHA74i6fa+T1VfLdOaAtb9yD3JcQuwvaz0BZj35j1c0UNnoz9tSk+AYiL
TnKkdus+fyNdGUgsWu2EVy81zU5Jdowq25RusnLwT2rTc6DdWXvQtBxj04qSD+idxgGTRiMw1Cgu
nu/34mcl1u0ubyX5mN9QSRYL21Yn+6/MY6CyoRD7tdyyok56EHqeW82M3IGepAktz+LneuMePFIb
Odav0smrVV+ZkngapjIuprIMlJy/1GUv8aGg9PVbCMi5JEqlFi4fwHsspBpycVBFx65J2V2Yc7Ym
RWjaQnPEqzAGswkRV6bPwoMSeYVxhYPGxA6pE6x9FB6UtHonZYHgf6h/r+U/5DozjFfzoCrL4ntV
U7Ges0izacTHVnJGARebgxFaqqRg6Cg64xcsSiF/hxE8iKsvX8s10vtjoNOzemjFoqFYZzAVhMAq
XAbBhVLlScjRvYbYrSFNtQB/QDGUqaM6xJj0rVSS2jlJn2hbNG1wSAAzAoNtkwO4WW8hAGetyGW5
mNHYV5zNRkSWn5byzhmOLzf868XS4619lZKQJD0EPw7gOvsVH45J5S1BVRdxeMjudftU3gY43JlA
mW5kzBP1dMuwE+f5xxy2OnW/uyvSMBdShgcjF0EoPSgXYaa5htkS59zM5kvZ7ypSbx1SDilkzbs6
l0vtdd5yJ1GHXGssFgf4wBFP0/Cppx6nLzLg04QXaz81C4ECYrquDx/A6cXrHEIPafKUfe4OI5hL
G5GlgfByVNa8iYsqE7rt1jSL3GlXlOIRmz1CvDWwUl+3E7sVONZSkWGHGuUTxY398loya+8XZQbN
pwlNnql5Xhy2uAvaHX+M2fl6WTHCFoumZI3a3PevwfomBX2GTT6mF7dIs5AiWtG3+9NUmr4IZMQ8
p/1MKS273ovpjy/Or2uYHio/txcXvZwgFXJ3goOG1QcGgAPL9w9oEG+WWg1PaKjR/HbsFsCz3vz6
3t2zo8nnjT+/ucdhgGGHYYzvyB0YbAZ9ezgN2jgxvLAKw/NUKngyaGwzCjcxIx5mpUFGO33RqGLl
VxllymHVGSCLvxirjeUYTVMzydHSO8yzT1ZZkwHbJHUH14qqH4z4TuRBC4x0zrvA0y7wuoKmVYyD
fF3++p33aHYHa5VCwlqBhI4pP8MNH6aPHGQp4C5j/3S6GwHhjxTSlghx0xPOhqMBw53P6J0YgDoz
vWtkc0vtWKL4vf4NbLSPjSDFQ4Z82vdRKmmwg3gjDPZ5c5mSDHs0RWk+PAdpB3z6PSE2lBz0I9m7
h6bAlacr0AGX2QTsyMd3x0QSKmn3x1mncpEihlnDWikDtA4EZaiWUv8aduMn4pxs20HHUxlPEQlm
Y/GdQzsU4voZpNL8g85iBLc13zOnp+0uKE5Sc0jgIwmtRYpgFPI/GV3hdA0iLxLl7Epl7D2hNkwK
5XUIqBzOEQCwKpwRU1pcBzs+GuhzQRbH/o0a/ozjE+5QUMeFY2vvcVIMDifvXzj2W+GvJ2bB9E2Z
qoOETajkfxE1zEeZb6B5oN4/jJ+OmlNy9l0ML3DnOBBet0FRL4HE+8LJakK7725V2sV7bx0xjpQU
pwJ0/yMrKFTXAtJYmkr5kASugL4ULrHPy0E/mbeab2skynBCxro4QZxi5CnzrO9i/YYFQURs2vn6
wVXoRprXHWRWmxwEcWbnSMcsUqelsM6Fl7uBLWdMq1lxPBLzzyUusxbXEN6aOkFkjkg0fpr/D0/r
jfmr0mLUcnsxLTuwLP6GhnKFYHe3Czt7Owb+8I/zZznEx5JPGas04A61/b+6raZ9bztk0qmaDx7P
Vxu85M8RLF2468WXTJh5SgDUBaGGZdFJ5Chp7hDBSl9QO4dw3k/7YkRdiNiCKTacvhoNLLMsYeUg
mgVxXvsnIvy4ajuOe1haKeeRHwJYJTfkDBg4y/IswMo7FUPsGr/t9O5JGM+nb345ujG0f9J4Pv16
GS4FtZCmXejY3zbx+ntDby/h9HWg16j2/r/dkc/LJNj8wuFubxf06kS/bizbOoMbiA73B8ZihIko
n80bYJPo67yTEEbDjWS6RzbNMU/OjqOHMXOkfFKXo40BSevMdGHaU3ZfK+r/f6l7Bj0roU5yzcPL
5hCRdW4LpZwyhD0vcQaW2bk3br2GTzULVQxw3qUNHQMcGuEUaohE4oHzqbK/Tqc8hDQZvEcMTB1J
y1g53bKSZs+Ex/vV58QxjwpqHuW0B57oSvbS3+y5/JcRQcX0OSVqQyziBAiETyk+l2UfFjVbT7qh
7KaxbdudPQYCQIEWQ068ijJL4DcEoE+B9mOYMnVK8u5hlCFGDHa1EVco3SCmdiGKwxg2OxcpCClG
zHYFEBMayJozrLFg12mSRuzFdiZtfWXI+283ziQ5PCV7beM+BLQlAx+CkSxq/JvTAGC4Kc6kra8x
U4KRBJC1mJkjXolqkiD3A05s0jv2t1RretJ0Y0ncH6/MmeAvXgsN3bL097mKa5Aagd4x47s+6tyi
/EvXT2PprjJHKKY4co/VLQyckRdnXFrBnEufusj2HEtPGZoNKl3Ro4z2A34vU1Cnob+EHQ3iFudx
xOd3XXfCzh83j6MNc9cODGvCEgSf5ElFPvp4iMZr0vR3VX3Q3JZpVW92CSJN4Rz4gNFs1dt3GPsG
MbEeCgWs0fZmFY2sgLi26JPVgcE71Iz+++xQEBXcMSStHugTkbfUzEegrw1LxXNeOaeawvqIPGYP
99Md9kllkxFy484vvz+6z1CSGGEwPzy2yQ2yfZGgQvX881Bhwd5PjoQ/hPTe5Ah2N0frnG4TxCZ0
aRtYxBZuiEp4yLexKVfN5nleANy6U7Uk2D5r0ExfDkvExmSSGlv7d1ufwBgWthKyoIYX5RoGX7QW
UDFL2VaMMQNa4rQiA2zrQbLME78Bk5zP4inlJHra1NSw1DHky1Nk6ggeeJGwAck9NI2VfJDMpRwi
bn9HCNq2B1o3C75dT8c6ybWvp/X4ju/VruHsA8/+MEU2WPf1v2aPNjZiDXK334p7c2C5v8Ty60m8
OgVrhKp+MJmPJkoAcxqbQn/Ls/dg3BafGAWAKZBoywPaISHpasZdTabAlDMBn/1Tp582BHbL4bY3
qVmexS2igs3cthUCIAkBTrGH5WPl98dXQESmVB5VEsVf/K1s78DGwlMMoUoUGU18/p+ypsCZmSub
OeXp5xQnbHSu3QbnUC1Dhaw6KzYr3JTVWK/Pt/mUUSByutjk0K3KtAlze6FtH45Hw3QG7ap2fzOY
poWEHQXgKaVnQAmzH2Il42yFLe7vPeD/MAqNNhOQRlGcNSj7euqkRkXIe9/Z8i0x3Yd2uAFgkEov
7K58ca5YIDbB6BnY4gn4MwuNnlgxC9CkSj2FzHfZPqKBaJ/1w0zgX4vLvepELHFIAB0XrTfndRWA
jDheRwKvdU3NtyTn0IDc1V63Z7a6e+hMwtelc7z1qtOgKNKQzgGaiIqigxFiFaaVFbibcANSfEvO
SJAg+gOg3XnZhd9Uv4kfyoYgRAcOYSx6PvpT43eed6IbgaJbz6UP/4ehy7HJssuUrCA4M66gI2ob
bHCHhe/rIGucPaGkVir5LiPJML4Y9Nl3hWtq/b4rGzxHWH9cQwk7GJmrhrqfEfKwNQ2LjOzb5c4z
kU0jM/vEdBtwx8LAC4D7BnX3/7sBak13KdkNsydDr//uQoPkgKychqbGqaDVuWO40pJ252k8vFKi
yQLUY5AQNd2m1EvlxbGZNy7IxfOxNH6GrsW4EKQL605J3EsCIqB79o4FtgJEMeJ5cY6DwJvFZmMT
dcQngTXMt4iex6MyUOkNFrhsVeOjQqzAoyg/SeafaMgUuDRiau/ai8OkqJrR2ZYlArjcpiraxK26
MZqbQhsnLIbleBnlt9ym4XiIzZJ/E4W3qmnVZJ2aH317jrDf6gxuoSjn7vdZl+sWimFdZ6k9v+hf
ctBk+ftVjJg519iDidPhcnUE/H0Cc064PuK62wm3eOBq/0v0X4+sjRWh+sN4AeAGYtHRHLo0spMw
IY2KupOsaN1j4TNGDw9WlikoVlRi5pvq6cif979zM7OR+SV4PUpdr6e4crl/VRfcEnpZe8FJodxE
su4uHwNcWb4kW4mvEcZQhDAW8oiVJ6g2oZkXIhY1r1J8a1LA5eKdF4QFY8X1ogawfZfCxbS/H/tX
cnOuqiYNV7F01u6JE4qhhLGX201EfTXf1ee7SaDf8K5o493kTqQJbvN/c3IJMRlbHk2Z6Bp44Oax
dQyTRsGumdPl67p1//59FCoFD5tw4X6ipAXXg3FOnEBAZ+fMfXx35EDsODApcSJl86CteR4Fng7j
W5uRLmt+hWY94/CtjC2NwKEoasiKcJzaeFWlfCuOoMRfhE2APHhNyCoJwdcQoF2OsLK4CIQ3rKQs
TH5/cSDCLce8A9I1k1BLkIgxDmy4w38ie8tiu60yq8b0OXlmkmRTx+lHo4Ak1wGj+jRyCzkOXnRV
Q2Xx2qxeo6moHrHAcBTzodO/SWdniiCmmyYEIS9HPCxkCmcWwveE6OPOqP9OtLT4k14nDXrD83/W
yY9vJrpRmlWzXqmL5drrTfD0FFqTuYufagIb6RFEaTSFc8061sV9wi0wxNiaxp++6bVFQWXCy4My
J2trlkbgJ3zkPuQS7FZ2FShPdNEuJVabY2Stiur582FRIQn9DWFzSkrs0PdoIZF2TCi86XJeuqp4
Y0qfrpb+L60ybhMyeZ9dmRIK+tmITPB4i2N5qeRm9x1IhM0NFHC+IUDw/TsH+Dt4TcD4zF+Amz77
cT1gpNW2bNyfR8SYUBBT3xh++RXLfOR4RszwmLLJOeRflZHQiaY2wq8gi5Z0ydPQJyG8YodAIhY2
whwwwAmC34ZWsDx4Vqzte4ijZACJh2LFBUDn0Lzrg9JHBDBAxAfdWj0P03e+S+lIB+IQmEcCUfLy
qX8RL0Ar9MBY0PVFZExxmAveGqp7x96STAdklaQJ3gtt10Qjika4KG83+m0qpjloPTedIMVNsVLX
+2TK7IwVc1DavCB0gW6U9NdIZX0O2ieg2RJZ0UpOO1Tyu0lEmJffYTFV7CsEn8YTAdK65YVaYhlO
j7x/ruR7WVw6S9k4X1cUJrsXZDw4yaPXsC07XsWMryvxOn9xF6/imcQgxyXPIKJCQY5A12LZFcV3
kxri+hHHexdWfK5qYcOhc7rvv2iAWR38QkYDkf5cFxKTCwm/jEzx5Dt41IFYxhpNRV6K6R+R62vX
sknd92ydXIfCff9HH3c9PPWzVrN0ynXBXizfmVv12c19o3Cuoo4eD7ATYZx5jO24Rihs3fP5Zu26
1Cr8xP9ShxbvW+othdcuKa1lQFWGaD0S8p9kABo5LQiXadU2LmJq8hsEM2vPFp+lrizn9odu8V5J
w1rda0ImxZs7aMq8AnowmVs0cyScrvI84rJr9MkJfYJY7GCqXfwMcorTPVzX0yxUV7gMUIczyUqL
fRxeTbnc+IKcT/SP9h+HAzerdC4vB+mrZUbEWnhVXozCi+cBll9EtRFxVtKG7YjvoIU/7NP1QxdI
1iSqTM8GBP6cGfwZ9Vfc85xaZUsNyd8B4gqtfkjHNMnRDC3EIssQ6U+e8vwG7JlCIxsiQZclMNj3
Prv5SMjezA3mSLLYtWITMdSwcYyaSAZ0ERtwhxhw3bx0bHB+R4GsL7ALtAOpVoHxpSM/qRgOD5Dt
UByqSEaiTAWDrQ2JtMAolRfB2ZYgDxQUKEmYpYScQtSoQlisyYkHuIJJ+SPAIDappVMY8YyvNYbR
9+3SII4Tf04dEcUsQXPGnrWqcqOi2QiGSBTVwN4IziRx99I3ITzwfYoz2LmNf9FrWj7WX6NTAKgZ
Mr+QDrnO7u3cSeNFnWkwg9TaS3Df9BdtU+/iRZy0JGOiAHpoQROaGoNEXSKWy2EJJ8FZpOOLE3he
ItOz2t7T44LHnYlKxEcFqJzn4MHvmVA5l/9CQPXpq9TxTRFPPkVm1lHHj2yMkIxVLvZy5CZh94i2
ZjjENujEfKtZxIHDDYJFxfoW69uFUthvy8Ce7P3X5W67g0OqisR0uMJdbIDYq6SrPNU7DvhL4FE1
ZB891XToSAxoxCthYl7rIJ+d+95Uxx7HzopJY7tMadMHPLMg1bs2tQd/vgowInV7dyIYvV9kgrNr
zEE9Y7nf3+cs3jvuYyhENM+VjDttIPdF3wO1DZWJLRd3o/4c4WlsifzNM4w2fwlpMrtc+YT2WQ39
lXD64JkYaVqOM+D/AOBGun4CCMsE5BCtf9xlufE/Zw0hmSeuMq1Z+foy89o7FQeZpLOUtdQoCCRc
BH7J7n4R5sWizW63BLbpj2Jl9aWi1rQVu/gI1SddryYYd9xL32eza0EJv5IRu43HsTTxgAWJx0+L
PqDxXPACWj4TxW1uwpGKWSr6Ua+Ak8y8fIPU8ZOeUvQuUK+0fPPUn6bSEEBTFvzzL/FJPV1Jnzps
/sJWJzHvSPvcQ65JIpk1oJ2pfIs2ijoOZSM48l320krM2PeIhG2mpGHjdlDCPEDOj76QNuc8Hap/
G1DCNsiss5tSnq5kxM4zUw8/+QngOZxwbExFjYslJ+foLngZ9J586nT/+kSMuCyfVZmr0y1ki/t9
ijDSDDv0mNiRtjUlJ/27ahxSvps1UlxNd3zUke/sUrCGYohQoLzz1LyolyxUVPhUMGPut8tC/nHy
Tp6Zq81xAeegipdncOG+ehvD0TijoUBz0qm9N2HCcbQHVnuyH9VNLES+FLZdftCWyg1VuqN31hQP
SWYIpYu9gbLXcuk0MnXe8iKXeQHcE+YX0ZpG7/YN1h/EBuYMn4dF50tnX1vwDNgePYal3xgbs+Lx
3Fif2NCbRNo5QoFFBcK8K839laQVW5TLU/zbjazyzZy70Z+XldoA8dkzb81E7cJVhAyQro/KbYTy
v12DgmvsUWxOEn74ZWLadHIKCOidL76CFI7BTkR8bsp1WH1cx0zYQR5OBt40KRw4Zolb3TbBXy/u
2YXUzMZ+HxxhkJ9MBlWhGXb/bQgonYlryZob3IXdvpICI947YiReUlsNbK5+SBhzpWIonioq9Vjo
NhLJ4aKGpdPBxJldy3LxR0nchQE5w9qdznGZnBcLKHUYtyIzKMeHTulDVHMIiovVN1c3953/NPzd
Kcq4wjdDwR1OKM7dEncu4C72ZGWeCp8m4dseJgBLFXhTI/KCNqV+895rZpwuXp7OLKjw3opz55Fj
AnMxx8vu9qBfmXGruO6VXm+XQtuJB0P3G0otfLZyyWv1dGlxWVGh9e++e8IBkWJIT/YyCOtAl2wg
r+Vwx6qeB1/dMUe1ADPgursZhgN6SfvNk8cHQOF2Vn/U65cvA4XOWF6B64yqgqLNuxaTHl7ova9B
cr2Gw9VAjlUDpOx1fm859IglXqW/NJBK5K/V5BsFcxXto1clINw4/L4fK7/gRQyH7Za1dpvuEj9v
GQ35jQv0AQJGpBgYVuiebh/oGQzynsXG45ivU7+OiEGp92jPQrERarsgtCjv8h0cEh08Nt326j4o
8gbuNp/s2RjCWEUOajwHuVPme7mIWiGT7ZAJa2NYfQEVEztNmhHH/MeLMNqH98Y9SxgjvlhaOQ6E
orOPgCZiDVCpI5w1TG5SCkmyacHym/TDyLM71gMMIcSbjdErSOKmp6Yx76ecdMFVWy4cyXdCZaC4
M+SGKLMu4lIvcvg61mICqRq2P1avxExNdFRuyqZ0S4yeL5WtZHxtptYSg98cVfZtfXtQO9gNnef2
CXwWFPEKZUamPygnTyikRlWb2Ls8PUPbLzvKs0BQX5YqIS5poIwdZs5LqDXzN6AMQksvb2d9qfhR
W+8J1p1ckXPi54bTIilPosvonTsHnC1LDXcDhyd7SxSDbunU1TMUlFXi5kJV9MWDBuh7CUZZFsy4
SSMu//J7nbKy4Fp30aRzTa0sX//vZhJlD9HrlvqHSMTCt4CDI+pryOQFlnrtKg03OgNWRR+0Kpax
Zlsl9vTavjj4Vd2MI4V/LyXwSzqc6FwzjPycAca3H+3ODOaOtSElJME7UjDqNR0ou0z7g7gYjcO7
1FY7eZA3ojb6cxZsS612WUOwtBfs63fYdWFd7KejcLd+Omsmp1sig3k7yF5eFSYP862J9XfNbSgl
B+uu0t0+g0YIQssaanCkURe+7jz/dYW0Glflcg5z5p8eCGzLCJTptZMydyviwPqaMKcYwyEKB0vU
ZOA8npqHpwXwHjkGeUmin2OpCeAAMGDvZdNC72RaEKhf4P49cUcvQQyIfYPaF7OAI7wJzlvk5zh/
fX4fFZpjDzgeGkH6G4Z61KC6HyIAxcN8N3QL3WRSnMTFNOASKRXG6Db5dYuTFMSne9DjUu66Jp1r
+0pTMlTbQ6L2nlwZlSSh2QxLpGaO2jWbj7+Erzi+gtjIHncWUNYEdDxM1BBQCE+rj1v0wSPtUaWF
QBKwc7EO/MwW8v9u7XkE55bXiaMz8qSabcsC64yLKNehN0kiZnKdKrUhSxd09Qfh7BGYQ7EorBik
rWDAajF43ZBlemuGLx+t1BNi6CjfMOXGyYYxB2fdw22+QBGQkECCgZcrWJIpka4T4gRPibrac5Vm
i/fr4YW3HzzlT5WIax6p6lwOtgToRGWZjZTYbVZw124hokKSA6aj5Ssl0llEu+Em3RyuCCxucDtZ
0NCcL/pDtjTOZbzqM+FD7JBehw95pv5oeHjjS0ADqj6+DPPCFTZNe5yXEn/jki3ZdnhHQVtnp0OS
2E1OnVs3dJlIi2hLUj/O+OP15qKEev1k2J6s3uoGmGv5LFaooMXdIqqiKvjXWY+WnNMSx1E/VFuf
pVgQPImxxb/l42Ec0Dl0ljKn5nHOgpox86tQB6L05mfuFDS7FJwfLuRodtxm2q5viJUYgv5ohAI+
0w6bNOTKr5niY+DEn9ts/su9RUbmagAdQZiUEAOMt/K7h979HBGEXhXiqwVlihcGGzaxNUceLq3D
Vt2gYpgQoWBiRjwtfWpDXxJqmbR7zP3mK1SlLMEObmrCsg3/0OTcaoSJwtZuKIGJ3YsgjUqKGNdC
LwbK4LsAuSoIz4H4hgRUtJ1FVcQdoKJqv1zEG+P9L19pza41GcGYA3O6siXLl/0k8JcF6pXMVSdX
jCW506goDqU7/gr68eqhMFYJLdQuKe+McDb1JupCulgUdqHpSLZM1yfor4ini1asACWnulBdp+tF
ZBDqIkfmw+6TPzm8F5ugqTIqEbX3zqo3CoQkN81ZmFEK5KMMr5Zp4p0ragBqGclX8ESwmTdCgTOQ
bQcsqWC//ifwgXrKk/d9muBkNuWx37uNm5UpghKAcCI2ssgO6Ps9TWcA7pWI9f0RTV7W8B72oZZL
xzTZtJ17+HxuIS8hhPEroS8LlarOG+/IUClEbC3FO2/xp/5yvwxKQ5WaZecxRLhY9MTs9MY/nR7p
IqX3dvUWNfoVAztri6xVMLhdhkIrHmzo3fIavh27hYL6jnCehNepfieCRSdKwxIR6xwZREQCZ8OX
r4AoQUO6e4SJjULk05U3/KrxR0J9qcxpCMukseXCejUF9G8gdTvzeHgp7ONnfRGEzrh3/Sz8NtHR
5L8lpm/F4OZYngMvkbYW/vf+c5Fje0P78tY4vOj3zT6s3rDCcqShATbWXPSRGGqgT1qBwiwdSvRv
u8U3PXKB/JHHxgFQ1HZ63ok69PfiaFpIe7sFmPbEYns7wMkUL5MPm1G8FlQ6/eDu9z+x5sUFvqTe
rmbA9Dhaz2+oUSXUMmjgTR1nfQlmzWRib3xU2uOVYabrLqRFpv2VoQ9QEZW2UsK7LPUtPjolVjrp
EFx92xJhF8t3+lQ/HLgRvGjwZ8BslLHPhC/v6ALP+Q76O42OnEiWIe9FqBxEAiRLok9zeOK+XwlP
hak6oUndjgfx3mzT7IL2m7YiArW2WzOUh4c9W/PKTfzAttmy73vMxozim20ikVfZE+oZGbF95SYb
sFMPqndZ1S0rlbtObbFNMGPVd5ed5ZYdtx8hz9r/jJ855CUiEHNDFRx0OvcslE/r7WH1aimzzaH0
74/0VjwWN7DMrBb1TIrG6C9cdE9CsGZxG+ptu8RNMu1vQn0Mn7+n+tFyWT6OhPGR6vkFNfJMZrx+
9MAeDpYRfjqy9numNg2n8MK3/ph04ib0ILi+RovcFH7XutvhDfSfLekOcKU6ltq289jIYIU6Ri/8
olJymTGjxDajR1nkxxG+UOMT0I5zLdNCVe4J4o8Oox0n7klSzOr4KHAVY4Due1lmiJzpgFanC2Sl
6pHpN+TS8CYRFXOhpTxcdVqiyhQ+xB1WnNgT9yPsB8nydPXN+7fFrupca4iCtTuF/q1m7sez5qcA
9EpYpJwLg3TJNvPYoz8QPzUanl6hBk15ooPMr2TvrXwGAW+MoiPPLjrPkV9Vm8UmOxfQ/jBJVQ08
2E+hE0pFvzd8RPyGzE+Qelod8+Qf5SKIc+r3mM6ohoZBbjMuJuEUn8sEQw/QNRrKn5kagHEp1oPk
KmmKrRbdejbgYoWPYrJHqMlwkGMX6K2j9Td2YM2kZpRZsXhKZVrM3nb8q8nKWxgZyr7jnJ9p5SjX
NRr1fpOjyOektvVyKMAiD5z877YTbxVL0kXg+gvuFpylF+UjykGLdT3+9ribX5tA80oPsxIAQZjy
HkoYCh98UWJ9HtWMjOkQqr9awKEbNFEMLgr+t3Jgk/7vsfE6Mrs50gOzVMcpET6pKrIa+tATZ1Qm
HbgCN+wAum/5vjBQp6Eq/tGrb0mDjmfor1Kzm4h1l3t6wfBIyV1O6qTElXovOWjP7YDPbGzGNWT3
SISZKiq+8H5gSE6ZItoQI4nUe91J31S5G580eops51VEP809t+FokUkMss0nXWh9Cau+werK5Cw9
ZkXe+fFYzMJZZps+NVi0Wz7MCkKkTSoXtBQ0jdmTZgaMT8jk6ofurIpJYi8m0BRu5ojXRAoTMMEk
+fSGHTYhDQjaVUUs5nU65g09Vgz7LBZPfkWBGEOfCXZo8dXUi67ll2gkCpG6Achb37oZEL3GdN7m
eCB7hIQO9ZPDq22bZgFRP0jRwxLyxt2hHEbx2OadFZKlOIvFhGaRLnfL1S4zEI2ie4n5s92+zG0y
C3kQ0+PfNYEuhI20ldnY5NMzscKCpmbblwuzSMRCBD4vyu8bx62VZByd71MOH0NSZa0DXkVhxbBa
JZbub8q8dZdwC5rdcZHvbZEMSzQ2V+b9Q3V6szu1qrmksTOO11oS007q0OV2J6jZpSCgXKsxdHG7
YKmRaHWVfVqMjqqfX8L3rOjQwt3vuXguwSpINvYbaTCk5NW7jnKfTRNlQvamqT9Nde0BPyKDGy3g
YP3WTD9ehuj0obUmn+pgn9KQfSCYZBgqAvjfsHn1rCO+AvEH4mQROAN9hImmGkjKHGuTOpWxE9XB
TSdyQ5Zwrf7qvIH1a9UZK9zBn5MsEM9zo/fc5Fz9SGC2rvmKEGNs/f1935bZWWMY0JFjPksI43ig
l0OJDGWTP9LyLeG+MILHKxuLyPraehgxgXQ3JoNZj0n7lXISciKJsV1E4rfYg/J4j6hofo1z8zVJ
T/KLe4iVAryDFDNLuyOsqft7LIka3h3PxirwwTC2T3A25vXycesj+QKOo2XP+bKWrWoGLu9rqRdR
thD2DVXnqNVsK1MKRid7rjc8A43kBRG95V6zWYogUxuQeIg4sViazhODfy6qdXQggEZlD8HVc6xs
EpEBSGlo5H6GXBp6ygpvSwu4DIrybXbRZ+vNlJhZ4M8glJARJGNzQNQF34Jv2Mz+Rnqg+4el50CY
on4ZSe72v5q2Ght8oJ44/uUJTK0SAWzB9L3LurJeQk3B9oEhc8Ou5efU2yJH6YMDW1HSVlTtxWEI
A7/RZkk2CDV+D2/cI2Fb4sNRxP0quK9A6moTeiAdW8P7XUAc8N5IyqxEOKOveMtTU5JjzE9Tu+ZE
lgqKV+86TRnOrtFliDKhFw7GltCczX5lq1Aoga7gcqbl5Ug3iYhH56BtMcyEb7DgfIuwqdh6plMO
a4u77wImIlyHN70P71UyjibCGXF3XoQp6os3ZRHTCLTjJuMvIYfndNfhw7sWYN0n5KB/wtakpQWH
FXRyGAz1+jCvPT2AWdy2gwoY+FQ8q2jGDuuJQboGB/KH7o4hdtr/3LHHFJbZidXBJIzxYGbceemJ
krE51m4gv2QDDaAlVXXPt63XkmJr9nO2D2aBc59He4Sz9BdohZhPh3alcR8GTGjlsrWGangkQtdL
Br5B9KEslaGOcPnPVVx2ME2G47U2ziJBp4RRRCrW3H5z8rPG2eHKdjaaB5g8HU0uRqZlxopm/10S
UPuXUdZWq15rOhQGD/ekJHp+D0lTSqFUTSbOhyitQLJXL46PFVWsdr+hsoP4fqMlY3FXsSLvQWx9
Zv5UfSnifG/fhkpY1ik7zu15FX7K2MeyUfH8b2bPXurp3VfnoVd3aDcajl61H8bF9BbrapNsogOk
YB9OAqfqQOBp1oK/YyA7ordVohVC3ccOohLkUYga6Lo5wb08x4vUdOp6WMQwddYsHp7vhuo3mX7n
RP7jJRwLeYlc7VTDb8RiOz8tTA+M8+bdO9ydOmwz/9ZetAIQTUEy0p15Hc7Dsx8cm2Uikel+Z5b7
F3AMRbT1nBhDWZUT75ddIA6TaRkbKw+XM63YowsBfq5MN2fi95pr08+hpolsdH0YWE1gYqhFHjba
tkqlP99TGSDT0GtXAr/Ansug4MyzZbUJhefCHlOV+HGJdxyZ3Xl9EdX7t6NcaK8LfE4OYxXQE5fQ
vRXOz9wMyT9YYAmZtAdFi9QN/TJAHTakL/K1eoLIyHzZRmjNWW0DZWVjTh/5qSFE/2kFdjS+2Bbz
aC8Eg8HLm4cupysGshUUQTqllKPQIvrFjjVaw+XHyURiXSNsKpvgQompyFbFdwP4F4clCzVORqWS
zTQcEFCFwfR4FjdVHaT2hfcUDr2qRaZxvA98Wxn2IG493KMQmSMqIUf3LELLLzHDNGahRIgEP2+0
au+nXRQOpzFysKqJG0UPTU+4T8+qk4HPbWJg8/LTY7Sufuw50xFAapkZJMEXOoe7/LVzYPotA/LQ
DRYbzQp6ioVoR0BrLcTKe20sDgMpcfohWg5YHCAMUGZn37/v0ZbD754bfn4eZLEcBRWj3pU4I5y5
YUzxIHGfd48bmq+CVEtiazuzOHQJPSSmTmlRqAmknAVwTx3aJmQqKkvPdtcxL0b4/VbUBrQq0Ksr
Lt3DvgSCY/HcM+FjC1c1qH09BDWjNTuKTqSXip2YcIPoJPJs7e2BJOtqA4boe3VXQYorVKUq8vsA
TFlzF1u0gid7ofa3PY+v3ouFIzU6Bgsm+D90afnz0XVS7wVXXeect8emTSUJxHBBdWquu9EQGGuB
ZHjqLRLqZuomcE7fKj7UQQL65LUElJJI5qp3J9j28g7eOuzScYzblERx4PP5082dgDiFAaYyT/EJ
xkVlhd/VLJ8gjFb8huN/N4DTWftV5y9WXmGGKmytUkaddkfNbS5rPyQF8ImxMS9J2NJpoyMINoBZ
rYlry3bwCXvKnR52npYnOx9Myh7u19oOmybH3Ag9k2S8cqFtW5vsoUzAa1mmEH/BLmFfGiLg6pjH
m4xCvdY/cYdtlMzLCS3UH+ZA1vjIw7/IXbxao1bc+6kRbePxRlYvgZENPkcuF+TRmXGvLz9+qVQM
MR6CwcEh8rOiAY5rOO5dXu/7n81WZfaWNgh/y6YZzZSIMKyDlFM2gGzjWuQCKQEo4vcbo/ZzZW2n
dfRWYBlCeAK3uAg+hpy3MYl9VhfS1UH3Gm+7nfrPTrW2mXXZxZSSMMfMLdUKkjp2IU0Bsodashm6
JmRew4+4955s+aj7q2swThn3tPyfmjbwRoWx5tEZdT4vwnvUYgZzJghVcbRvuyI+xskeRzExEcAz
ORIoUXiqPBtApH1rzhPyotPTxH1TjbaliprtToXRkiBenhYMrdabnrx2oJdZHl670Ai8Ii69gO3O
HSHFRnXMd96HJX7a7YCpcj8mWCJOln6q40Wi9AQXui5RtI3Ra4Yhm5mrs9SGwPW2Amw5uh7s/cSq
n3kO9wtWE9lSe+ycbOI4+iT6+kdEYXH0b9n4pFkQeZH7zmyGeSS+9q/0XMfk7KpHafEDF45jmytl
sWnnQ3sVsL4lGltxvDqFE3vLkHwvIRhE8RaQC7dCNbJ8tJ97rXa186qRZSIeDTmav7Bf1OAPfd6G
qf7eOMPk2FOHszWn1S8N23E/NTrIKxu1WMpUty4pYpJH/iQiF/FUnz5Qw9hWIusgQNJkyBViAenm
0OYewY+dKllznPyrrM2deNLFENgfS0YwuZ96C7mhvf0gIhJxO12qbDw+EX1RjoqOY9eR/Hp66OPp
wgFURMrX+e9yso4J98d0YpoQbvAvTQ+nJT2f/Xlfij644vXPCB+QkhnMpQUwmwBZBpSSnpDg/wMI
9bY7fh2cc8jQJeOTQI661Fp7AkUpDxUX42q/UJQVfsmeo4M/3C4x17zDW53VJH8mkBjpf2kc9TIe
lygEW/c1y2+Cypegwjrm/MF9Jc8w0dt1mswtINBNPzgyf8n2gc9vLv7xfNVjMLkACd4bFuovwopQ
INJeRs7Tk3FO8X/+unNEzYD1R2yqICmjhdJYAI1NHvryKKEq/E44kQtbnHv+FQmDl1t+IaW8OVH7
Wwxa+Kj8X0f/wmQb98gi3b/OdNv7NpOHZUIX9ZdElgtm3Cx2ByHruU/jZdd9S2XBSkV6CoeKWcZ9
5tg6nFezkKumafyw+ENyPmBpm8br9NQOqtVSZ8u6o5l4dQUqzDVgDXp9SiUvWHDnF5UGPI5+uLto
5jzIOvQOt0q9qfoAYxrBQCzaOMrfSNnwCIPy5lA0rs47OGdrOmttTsYB52Kct6ZDbSEwkhxCJP2e
m73gniK+iCjHiDB1LOYSKQs14xsXnUJeE4HQpEaMfj7vE65MMgHzBvnfzItJESvxlSidoQ5vjG/N
MvYkfmSGyaZeRT6pytDM6nRMSpbBBTDQouokVPxbCbpooDueGYnLatMoJHaM5L3o/kkp/YAnJE/V
AOFffuFtYebx5RCxqi8zcvvz4Y6f08NjgBDrdBBcT7+bDbkqCF+1wgF65YqHXbYjqZeDHsmk5mjK
gXZtbNAuCwSH9z0ZXVpINAfNB3wjtBSI+FAmEtUFJlGKY2JbbEjSyYdQBW+aoXuQkG3Pb/KQxRMe
7rIn1btIlH5P1N3lb7JryXuUiBf5EhQROrzg76nuXeHl1oMtjAofn8VjaibFq/flJE4W17INku1H
WH0hIwVRz+wvifKLY30zQw3btyeY/svP+9OFxHPERt659w3Klce0Oq1UFoRwiB5ZAc3dwIYZ28tj
78BhtsKyRpLzfzMysi/3I6+UwNkWpuPYAulvvEsUd0ihZw6cH31lh6vCHpjJ7PJfD4y6iMB3qWpN
7NXSeL2K1lOmFJcrPfrtYsWHcT/r0snxW5rkjvwnt5Cauj85ebIrQBvIpSYc+r9kFdq6RgjAjD0o
qw1CoRRybmO005xSPUSh0eZo/6ZSHwO8U4tl5L3bXd0GNTqU6QSRWuKmwxLoHrR0M1maNlj5/dUF
NigLR9CbKqgOXRDOdaAvOUGPY9zK7tXAiqccnQuFUXoZ+cf5dKVcTCcygBYYZmD4rTKmveTV4AhV
8rFSvmVgBYmq+Us20GtCwVTwuAMFlwRCz3dNOThJ8agTFtTsCihtjzO4NiyGTeYT+4pLTSjUl+hT
qMFU0wrUH/Nxyp/Bnk7ZRcFfabWYwRkkzkc6LEi1pJNfbbxLM/XVAlNoeZrKzvX1awMrB6AdB6Zx
Jvru2F9sdWhBk0Gy6NyBmLaLr3jfAy2wRuhAe/T86kkmigA/GUEdwceKEKtR5YjQhb5EcdWVP/sd
CYR+pB9pNFaUX0srJvxTKx08I98Di9CAbKXFp+HcqNf0lnO++MbeL+aqCKDiE9GqjzQtIVb5C/wB
tNlR5neaVRZZ8eAQO36IOhtdDYA+9wjVlBIQEqm6LPwzs8lNcLzrW54yczm15MwU1Z8Ngj+WJXvW
MkOzyBHjCuThEIRsosBGmDlARTxbkxoJFG0KIbfmJnEfyQ01yDM9X/zm7SY6Oq7m80vhCBPZBmyr
7Hogosc8WxY/NvchiTVDbWcALifI5m9jX0fiSA5QrWirggfwl9mZrFI6JbiUxQFgxJQCuN3KSVSF
6w9QYmnUZroErnKjXH30lX5AU3HlTFVDteigyN1ZNca3KAadr4c7waMyWRh1Hm5flKycnks8ULJg
kKdRJvWjcmP9Su/c1jAKSdJ0+c7NH24503vk45UoJ+eYTs5J12wX9z7ttj3XXpeu9JVzT2R7DMx/
1a051htsh0Xp5Q+BjftMMt1S8rZI9uVqB23x2UgPdT8SC1/Nia/qw42zsdHhqVC+G2J45Czwv1Pq
PitpiHuOm5B67uo+k2cysbgOSU1jELuDyIjz4RMg+ieI4EnTpeP2BcLic2DxqTA5BT28dLaqIdB5
dAx5TkgfVpHNiQ5UZ7SgJ4x8Zm3ntdtGP0j/f2sThJ7BX1H3hoPyOaaXzwgFngUzii2gROXRzmZd
HujT4C9wEFZKt/+PHxioy6M3GI7wWrWJqc0CV8eGWIoGrGx2w9Ys+clmPC6oy3hYDzf8DJ6FGvjA
83fODwOAtm2q9aCVHT3UbYDHyV6Q7us21I5AZda0nxqlPxaaGFhKhobxug6ThX/b4wM2jzCGdRUA
QctikjtHC/Hs0Vgx5LCNHlNbU7s0Sp/UTiReCqxsIT5HKC4AprRBJVg7jLhYuPUKBR+4wk6TNUP3
2RW+OpdypE87ylTlfKxYpRBEGinb5wGlF5/pVTMsArlzy4OQcNAKIFDEpB/Ob18zZ8s02p966Oas
dpmox4Zhb8MtwuTbvCuGqYaJ5CUNgx4us6mcxaNWtsbSUhUpT2bkd/8gQbqyqfcqUtWt9qrFPN2e
Mr6r6QwHY5P1xaO4WhsnXDBXeumrYxyqxqHqVJpryduBqShvZLwP0CAMjBfcAv1AEs2Bsb/nr6mt
q7SinwTgnDW91JclyozsF63KVoSIO50ozrxT1DNIluTq19J9gED8J+pb18c3VzlR6WAe8HuNuhkb
s6CD1I+ykWl2BtwzSS0ebRpjWyTMcggsH4Gw7FD+jTu7ArZGOZyTiV6pB541bnG7lc6VVJ7AEuXd
NRUB0byOH3rq97h+kAnNfYKnPeSiSZoIgN4IbqjYHUXDz2Obz1fQjFncq5cbouY2+Lh3u7GwXtLM
JHYwa8VftdZN/8sj3G+GKfV/8c5udTaRuk54zhfV5tlN++ET2Id47bsqG0dNYZe4r6DunykRPDy7
LzGkt8iiDXucijibpUHWPshuQGdPCZ3GzMku4QoKtj3/qwa6AmSVh4l+zGnHC97bm9BuC4rbkQbY
nY+zW5Ra+jvOQRtnY4DIEX5b/NP/l+Rha3McwPhbYt5qqhGLF6n8vQPgqDVqv7rYXp9ZjzxCqe20
aqE6Ge/2P2DLWqNKOU4WNnqjOokovBcaBfDintQD2lBoTqsTMAv71sK28FHAZCCeG9DdJxW+9BFV
dApcWBccv8/ntZWlAqQ1gizDi/JeIG2ichIZyPeDQ+zzlW6NJWSoY1hoER+87SHSI4NXg251ko2Z
vq9oo53nEunNqIg/w9ZYhVkVikRBdGb84zY8mzMfrp3F+t587GdHbaiJ5QGWGmuNMCC40BjD07v/
LapANBm+yoh7V45z/uI6QuWUtBDLTLwKh+ydMwcvQ3ByHTbbW2kl8L7mYi/xbHoV7WeCspFyfyoF
5qc9IsI0/v3B973fCv9W1ChRKWUSWKk7c1Qm83CsDnKXpeR9Wow4K0YS+0pWpug8OlzC8EAKD99E
Ufi12ETR7rx6ev/4M6fT3NAG0ZGgLnMrvRhVrUE4CqNndN4G13UjR/mJDpKLyUrtKat+Rqrlgdve
XSGMsm9G7WeJhA1p1z7cTIDDiFq20WIugsqct/QB5NwmvvYTSoga5cZK3rvBw2T8uUlKOxACbO0n
aR3n/KiTC7+R8vfgNjgY7zLE1RQgV83BdDXOPVdjT8ixQej21xx1j/dXMmGrlO2nHPwWZJiU1EpP
0JFg/OhC7YNQoT6PCybe+3EsotqDcd0kgdse7F3JWkUQfDlunV59s1SD3a6i5EmIZJ5M6xT9sSRi
xl4RVVvhClPKuwbNSsZtQyPDu9wtnPDoOQ4sKW2nlij5IbMX2jU18ealo32KvEd7GZ1vRABiyq1M
pgPDis2SBoNNEXJepRPSti/IQbvnmDR1OSxIDWkqeNADNdwF4PBSxmTVJ/AqB/BWTuTf3suWqEr9
yF2hX76WuwDd+wPqGio42jyzVA793e3JMvOGxhbPL7TrXsbW8gT5sQO6vjUUhStFRtXuFDGfXEqh
fRFEg3jt5bcFpSz6Yx4G+s7gm0JzIitUGREmDGej0KMDMYu7jzTDYDRxkCM61cy5GncQxAozCjg6
kCPG0ku8eQIpXjWNUdhx+YYj3j0hdQetnG91GdJzUjX+SJsHJkC09g8jOQ8wMXvt2Pe3PVjhLS9+
FofoMDA8gLOMlHz/zb+vTxQXtEu1ap5Ojo273IEYZYQJSMY6spifz8P8yW+8e43URPClSdgr0wyo
SRYVNe/RuqEA1w+V+zLWyP5Dky3Cp242pNZEodFZ1uDP3HvtEWPyrPoSt/y90uyzlNGBzMxT6Nu0
80aqjX8kF3DVEBJAlJDvWt8F5bvS88Gzt6hUhilZTd9wv5S9p70g8P8pv/pQOwELqnQPoj8irHdm
oTNlbnyRRJutyzi74xly0qE4L/fPUta1sTmpZakohGax5futs3XI0HyDvqH5LpbW4OhR3F67CFHM
TxJAuBOKWyFZUjq2vwbHrjSg8oFoF9jnElNewN3jXeJttmubtphwHt4B1iJnHFoKt+MWwMiBPIl7
W5x5zDCLqokpM3hl1iZn0kb+tiOcwE3Aj4uZA3TxYabdBUkiRaeR7fLQuyl3xzvqdCJhvEzRseK1
RW42+mUAwOQCG0WU55trty1KOjRlpijNKBhpPFY16xTp/odotqNPUYED+imwhoh/BHprJjIB+jz6
kOsge5yrESivd3Wlcwo11OaXqbjHjRHiKlaeRWOT01ywbNCNnRDtFEpPCqLRPKXTEqWTVg8tutgV
7jK7nYaizOlkIDNUoAum37W9r8X/PCtYRg6M8l8y93Euw734Rn0kkQBgu9HVe5pQ+gX9wFQDEVzB
cSRCvtgZKW1CdwxYsA+Yd+SnvCe0OaqoQN4z8Q+zBCC39EPB2WXJnIpZEfsxFHDi8SXEY+5h2N2r
Au8YrnnZcoddBStObKZ9vpX9kk7TT2hctLB2NsKT+Iu79oJLqpjOT61nu5jgGJuBqW5SpuptPB4N
Yia4O2b0V6jaaUMxHI38uYQaGy1qgZkFXXDwl2YME6MUTsPHDD7bTOry4gXBL1MCWzWYXElWplBG
uGCjcqDdPZ7YPt+y5fzDvEmlvZxBwng2qGI3MrPAJ/GfycmhUqBogV8Z2wdYoq2imm3XNs3aRslP
/tVmpN3mTYUWXxXI6rUJC1YCrkQE5BVDAPqcRRCFpHFB1z+Xn1zOrFT227mG9zbVUpQEhMysRcAo
MDKwc8ZRIhqc9O/myuFhna9sYHuj08yHDSGIToTySpmPTSD7976bB1/Erzjkx4yi7EKrYUBNUp/f
J+hM8NlDQbIp0zFnc3FJCS9k+WIR4Mw4bp3SXTamFkTVVPIumFwmWVCT811kUs/pp3WeLIxgKVbR
SwtNHmsePVhNC2q6aKHaiR8lcLx8eT4nlmzOERY3HOt+gl1Hp7u9oK9q+aCpvMbHca38lTvQLP9o
iBDzoGKiqwdAnzx6i8NXoBieSYdZy5sAO52v4omGQuzTuHy8eMl+kZGgIKeSWwznmPA4r9Kuo0e/
3A0fu3l2sY3n3izp22vQt4vkJsFV+smBNs9nBbAUhAjRQWblGZptGrPmP8b9pF6MnLeJSviUhHOb
rCJlqiwZLUh2L7VHMVMfhBBTNLpSDtUGM0PUCgOkQJEIFRW0VPzHW9rEq6BIB6Jhv/QaDOeNyFHY
1Jnk66MEZ9v971BUzkVlSUWKhgvvHY2Dh7oSzwmGdMcGNL30Gjqu0376z1RpslORaicDw1QCKOrM
rnOKsVRnrnXbiKc/D+3Tk6s8+/NyCK6Jn/4/3JsqAt7DmyWFpSE3UP7qvV1uOSdUuKEc4YZYSSUy
bxX7FqT5oTFDZtcb4WoUbirf1OVQ4Qd24SlnDsRRnjfiGIx/o1l1hKW2nmbs9hrNmXUfx02iYnqB
/zZvciaZ2XWoltXmj+BBp3747PlmqCBm2AtylKmimXuLPxWImHnkHc1/NHvi3mwT8buHd1Kj/K/g
WHsONTBk0nyTv9hIoxbCwD/YheZSqeIovmJQ7a4z2D3QLxe574z5/gPJ+Zu1JtBA9voxpewde5vT
p0BgEtml9ZxFNS1MsSOOLEh2t/szd+tOmt54XfVFBcJQd8lMBf6O3IcVHMLU28w4znOqS0EROpYw
OdsCdzVBbsRR6LJosPjrx71pNglPCEsjNNLl3utcr2lBGXAyNYim24xM90OWcNIue13EMmQgTzal
9UYzX+Hvvs8y9tG9eWsgQ4smtUWRT8F8KILqs5+zTKMC/G62o0UefbDronaVq7PY8NdFdqPZLX+3
J5ZLfnfYtaEcuS13vIynsXPEZcm10I7BDoMkLK5WfRMW1q76Gb1bA1FAn8CTCMf+eHe8F7ft7OI5
pSJ4/5M0F17lYe+vvhejREGOy93C3C5VNsfgu2dmg97ytVnDYcrh6oP2tXYCiLM3l1iDQyVTJldq
nUcp6rwcRXeJsP68lZVSJSnAMRG7oyrzh43rHTy4BwfazU1qmXXzdshyqev+D0EcsGStrEgj3m9O
EJ+zp2uW8Dh2z0kiazEz6DSqyjcYTK3hZuK/YQyEnAtEiMMzso+TfT/Mq9dUeLxxlvnyfstag9Pt
ca++GGQwvpWouNS+i8fKsUNXyZipQmjK3SfJAMbG2A1PrOA1SPUWVWZpXIEn/nP8JmbhglibRUlk
W4ZReiCZsbC6HYLGk+aK69aOnBeaIKEdKyXlFAR0ixKC9TWhwIJNkvItoMxSkaDeJyG2dXsyZIu9
67FBpkk6Uux0vP6qaR7GMf1oJ/mKXrX8kJO9nZiKis1QNUsdIoogrGVkRZ7Mx2nklNIarbBmgvx7
5olosU/lexTLhUD0lFhS8Lz4kSLce7O2/nlf6aIgsHNd80ZrbiulvJQTJ2osy8FbzQY9C5KvVJtG
k93H2NmfRNTIvYDo7bpHZ7xDh8pQ7MSTRj/cEFxfPNxUWE4lXZWmmOv61OBfEaykWK3Onm1n6aST
dz/hQcL4MW5RAfi7lSLrMpZT1JBBHsh6LWie9Bt/jZ4CCP74RkHDbfHiRGufnlgdl6dU8PjX99V5
Po51wlDtEzLyyXnQOvzM+nCib1Iq4azMmcvQGDwdVQ2WbiTJCoXrkbeyN/93zGoGTFvoAun6fUI9
3/xNn764zjt+AnPErGA1QUd0B5aolxN5PHnd8YnXFahIAH1UrHqbK7yCwW5JrIvb3o0M+KLZFBUa
dWnaVf1ycryVw6+0kHsBMHUFs3ycUbd4QJKjfzIx7uRy8HtmhKqpl6hhH8e9E7dX3/sEP8XYmBcZ
rDhhR6ogCWUfQsGSUhJjMGOtSPeV+JWpg4usJIPA6bgfJwgKnfD55PA8XpFk4rmdOjTPHTc3xLzl
Z4kpXWQJrG3JAUNrQ86kTU2RaL21yblgzgScqKccV3dUmr7F/F7XIrumN67l/NYZykQUyMKcrSUY
L0TQVUM/UQqq/yOxIbaWzxUqla2gcKMWwhQjYsrZ38KY4dVyEh3CwWwvET1np5pWo4YBJ2ceSo7e
78hWAnCvplj3k23DLFXXw7IKgLSR2WzzB45/i3Ys4wxk9FcZkfmUPz6g1iAvBuhQA5mCecOtP1FT
IkUq/xt9Htfh6Ddtt791gQ4EyqY0oM2U2wHl9VWMMzO5Xy9bS3qGLJkdP//lH/Jv9RZWgMgTKTNB
VKJrkG6MIJACCU2ktJPWCFi9itnIGc5vlldnmAtVSnktCbEZUs9VTINfa41Fw2k3C0VwYXL4p8MM
WQzswAapB28Dorg2T2jxPWd4OytP8oY9L26tIDNcOov2K/KHkb3Ik+hhMnboaCOwZFFutUq73XKB
/Z/gAnxN7iky3hARPZhffQ8KsJBhih3koTrJdpJsC82Sy90dPJ5AUWyPFJCEfCeQx3WUG5sHaFsx
MnhVCTcUQQyKNKwr3CnFv37vn8Dwn3wRtlh4QQp+9CvBZE0voSub85sh1gFDZzF2HQ68i9lYjp7w
uhVTDIpHPlVxUakyY3DbKeNT2d1GMJTC1/NKsjsTtxwgXyKGWni1VGPtOajbp7oPUwx5XvIuZaS8
SUxQSYrQ5REwvPX3H/bn72LKRzwUqMS1bg3rGBLt7gWQBVkrZbj6uyXwsNqzkM0wg0ze8GFWrJTv
C2VNR/hlYiLnVviNpaXNwxKquwfUSd15oiGhiPNuSJyctB+sA2rZkFsJQGgBAOq0J3bWdyXPsJlX
v4EWtzIgEAQ8bdZhj5KztUT0zE2wLIotKun9J1mBx3Ra6bQC4YqF6XxoUnBL1zirD/5dPqbvkqtm
+WXRozHo+B0D9qbRQJKQY3GYXZEZ6sKmAa56vjiI1i1bzjg5VjQVrm8bNYL7TNkTfpRRfI+b6eNI
l0N+kf9T9mmaUKxgucc9lswYT2GKyguH/jdNEegeRJRJFVXv2JO4i20wR8K2H1e/meCtfnHRCD5L
RZDtN6r9Vl11yJBcCokfepvQ6JVv26iTiYwIWw1vCns+mzb1Mrmu/WH/Y2DE9r7gsH4QG/4OWtG3
f2SK/YhLrTO4wkpIkcuTnPxdGGzOcTtDw+xMHXNtiammY8UgWHOpCn53FN+hDRk7hClw5so3gt2m
pj/1Q0mhnRnwcZb4NSowodywHbRKSWqrCzeC9JDbnPhZ/t5wTDIoX74wj6p9RtFAwry2Z/RlNb22
boJautvaaNK+mw5XL34SkOyQIcX1uijNveGotkTYOw/0rCbm0yCw0Muwc5NmFg5fjX/ryEUvn+4F
HqfapJINWQZpuWTcI0kEse2uYDE/2LEjTqU9fcPbrBFwq2brABJnRrN9U9BlQIwD8Xd5XIl1txrU
naDLYMpWl0/nH9x1uOpQ1PRM1O8+dFKEj/MHRrWzetl74aX6dXTOQMJtP5HSMidY4YVam/GMfEkw
3nZmtEtO5ST9iRiWNzpU1uY0hf8gHP6er5mzblyPP0Z/riEE7MDRNsw6f3Abd27UTNyGc2a5P6La
cLUMPkr0Gdx5j/GmtUJMPpEbiKxrnfwq3U2gCpIc8MnDOlx6rvNm9DAOraFyJcqnCWxpZDdmBfG9
JFO7X9tMDyx2mV4v9CICD1chZVXpCd08ruWTGL8cC/mITk3BZ+h1lWOfqP5ZtYel/Y71k8gspVg+
wcY4+D5j5G1UbG11YQdaFdH+Ax2gsaFKp0mFP6zo4Oy9p4li9wJm3nrv8k7O6nyUnQIih/Adi3qU
LBdW6F1kEUgv5yWy1ADdzBfW3eCtyibB4vH0D/42aJLmfNEuvOIzpyTbkhMoBWIBN/jVkd0kUCZP
r80qWVQ+XLODrpB9LguVtffkpovSo0sN4E9lv7AhuHbEX6YtmiK7tTikcRqf74E5AU//rDJsf1j4
OQ2vaUQuK8d5BkRX6mecrwaJJ57scHIeZ+zp4VB4b4zx62j0ROsNH8DOeSCZER8ofQfgRdqjGLRU
36yxUmV2yKghSNYqyhkIHagja4A5pTL4q9LQzbFQ75aHFWskOr/jZfJnnDoDlUBmymmaN8qcXuSe
TkgMltxl384NOeJNksMPavsLU0fgnBX9mfP/8I5t33UPuOQLm77VBxL5satxbApyJD5G9N3AsFfB
1bGgZ7xofD5E4gvK6/oKRiKkhc6Yv9+SBZXyfTQvDzTjZuGsBTditzSWVVDlmYR1uEodAmxyzLnm
E87rZboHq2aqHD74sgOL5gPi3Oj/P1t1hDbv2AcJdyCEnCIsw2d/O/vkUWZPtpL+xafCuB7IFoCo
KAwVUkqci1XSuX5fbavbp8aFDGm5sfbNvSlOjAA5uTKMQflfdBaQQff5LN8vgAKl51Ic1X54CLar
Z6cJhJ+IBv4+8MYqjAT253vzME+sj4uyETb3SoV/5RUHrtSyreqP+L18WQCiw2YGlOMJB8L6B7PJ
eWIdn+KVb3B9JEmqrENSpXCa3WHpsfcQd1WqDFMd5mhiwGS44C8Wriq5itItRUvMDKO5NCRK6GpB
YYGYMycWvqr4iVPGiM+HHoB2/BSwuQiUH12fH3bDcL/1vi0B2tpOi/dqvhoFUSrERijqwfVzd/1S
a/VDXxIYevdNkF4pYF4/3tlmtoJe5jkpgchi6Ut+G0FwrqIrIw+VOdCF9km0SwjMcHBF/Fgui+fE
YjOvy3lAwUKjVxUxBS5Kef9QZf4hmKSnFwiP5N3cfhDLxCWNStYA0yMZBm/0bf06m96/ksJbadB7
xEDVaDy9LPYwb3G6eBJWuqK8BYxPbTuQYa4GSg1X+qw0je6hqqRz5SoNqhGxzBuUFBojaKpyPNDl
jBj3XNl11FlI1jKFqkN/VK+765wsWih5Jm1yu6a/eIxmoSwgrsTVwsrRi2Zz7oEwouYTh2iKQ30X
ZgOIFSRJIoRB8WdnkQf0VYmGOywub1oquGnkP3biI5QILrc59LxFnpm/X/7VUXgCHMBOPuxemIJ4
c6JKiTwcf+phJOJsWCaMrAjYMVKdMF+yRYhSUAmu3n4AsqbdR8uZAEkakDC3hiSzY0VMgIauNYLl
8GW2eieu8UP2tg8rLZjJxI9lqPAxQDOqGKUNt0evJ5mcWEBeDGgle6LZmXQMEr5iwnk4ZMPOTsV4
I0aqedPsqxRFVqtZsVITzTkEFeGX7XojFWxEOOGFgb3A17IB7PscQyhcNZg9LP74roMeARceCaj9
Ra6L6HV286RPmYFLmSPgint20UUSH0R7SO3Zmh3wJmaWaSvSg5Fy5mKFeEnoRUg5FpgvAux2YdPe
zZcjWMvLj8P5TIZmzxdN8qXPoRXaC8zKgjePWN6bC0eGjKWGrSqf5sQf4s1XeIobZejfEEBvBiQm
1CIkGcvV8Fctl+6ic1UiAC9HU9qDcZugogSG0N/XIw26vnhSGzKgTaYtddM/Nk51I7m986TmNcW1
tG5Hy5o5faktNGOItDDeneuWLKa+a8iwGpyQrDLF4tx7IGzYABe9UWT4V+e5r14v5pDblo8qgoIX
VQCxC6YaNLOcVgKPskJOEkbzhghLCuv8dFuA8rRoF/mmEVRVJ3I0IeSQ+pM/U9OzwtB2tLPvoMX4
8dMhPCDtdZrOIyxIIhFMV0YVYZApw74UQW0SUyC0Ct+Spg6wiFboqfazyJpIM0HhJ4Xg9DahsLHA
s1DV0dhNamI1nvFDt5Yy1aEwz8Dm5AnwESABk5q3orJiiUJ4J1HFmEPxSkShvVO9rBJExYsInbL/
aFmUr/y7RbE1hajbWdXuoHmC0I75/nYRM/J8kKw0/IAYbKiFKsIfP7Ki55s/Imrgdx75jT8inkOC
3XBBaNiJWszo8X0b4rsnnum8xzZVTDlR3j2prd+JWWq1iaTDNMzy0QAeNZTUnZENSI+M2YngKDEp
hAlGDYPOipKoZRuEs5qtbyLwif7CAJci+6+cagai8TDbYvAdPEUs+LjTYSbTODQ4c/cM75ePV+aL
1fDcKESK9NNipYN2flJCHPskuvcW4PJi6goaROoFjoiT0hyrwqciezSrZdnLl0IUnP5eF17rwSuF
SpElr20rGUjN5DBNBjVgUmau0RbZVc5Zb7V0j2agGQ4ny0NX0GiJ/gmaJTr3RyGF+Y6SmY6w202e
IxRwcGJee9MVboYeZ4u62RecVYOEwZFkoR4dM+EA7UeDDEi2FUb218Fqob3eE5LaIAZBc3+bK05K
q7zPGvAbHsxU95rSogqZc01eXLBgCd5kg2SSt+LyLLj1GUwkwSm9tMbj2BXEeczd/5GnPwVBvfgg
kwurEN+xNF/OBjV6hEAvqLoY1V4QjibL4KYbhvtIvLP104RqMb70GLSKbn5B72kjCnCyOiEAQQRM
KdxbiDqeGF5zMYnXVE3AFAWSVAOZzsBex7xm0h+TEAAz9ysVy9KBGoXmjSsEDCldcdZ7pE4eqiMx
ilDxTcllG7ywLJ0t5QOY8WhB/TdBmUSJq2Edsvr/2HfJM1Gsuw5iJ8CfJDwchX3CZrMk6UN4LNOE
fg2mvTb9FQNEBzFkZ14rAzkPcYSifrmxDi/447wTUKCy1fbrlzDULnGgAiT3c4CvWsm1ihjj2119
a5iwAQT8BmsdOChPV1vOQHgUDfQh0iX9HzKK9IaM0njjo6XDaeh9IZJnF6aJsWf7r9jU0UYVszZ7
TrBqbU9nKhHZIPjUVdRouBZSPpxcCWe42v+MauQE5TfZZTqToNaqRH5shXFye3BguCK2feCdK0sT
8wsQoR85kdogJT1vtlZm3Ko133xcQGjZir0BhVEZFqfb14FMqc5XIxjtkSZQhYrHfaFB6D/xJZnc
zIE0bU2IsDdQwwyUi02x0Tkxkg2Kr3ghMGUKP589pUQNoG0KYwzHqXwDBdfN4t+gVTVgkmlw0Y09
MXkZrKzgFH8hk8poVlXFw+noMX6jHm69YtFNrTad3EhVZ7vH/e2nYbQ+1wpKBwf8NYbqws2MLyDM
B9NOVo8MuM25HGSAZ6azvJeUjNQQYhezZR1DCJJiLZzo5YJ83mMJ6ULlfxwSqrowoE2YFV7IAaXQ
Kzdh9ct/9WbthJj7Vo+zGAy32q+9RUXNCgUO12Q8cbxQzMSkWq2R7dPhTMHAWV6t1u/gObZ9x/Dv
/hkEEtixOmjWwiCQkibKIHid/ezjO5OYGC+Oq0W3zflErTKmw09psy1GszE7F36whmxUl6uv4tjX
TqJvEwAm/UjUb+l3xWqd+nQNJ4oDvEDl0qCzOX7yyi9l0QSVygDuUf+GWmkKiXeJ9GqHrE41TbmY
0764sW8akuDq9t10i76JTOTkpLxsm8yegkp9LIAdS6wSweaShX/At+J+jm743CLHNarQbZwsEpNp
GGo4wmCJiNQM9JxZLKva81pxmwM1LoRkWASZR/WSg6mGr8v4FxtlbkcPzdCp71QNWoxJB2gZ5BVK
41OMt6s2su3iNr4mGtYaEe3ugusUNHw9C3G1mFWFFcR9A0PocVnA6zEbW6TDV7N+nXDVcDHv9U/Y
3XRQnKFpntFarYVGb4xO/lCK6W8ZF+4w/+CxtZwkFVO/17YusfBhCcy+eQirYZ/rHcZwgNiXO/A8
OHRGrQgz9NhBZOm5s5z36TyHExpuYx1C2LM9N4JDjB3HHD+T8ngBTm9BDySLzbBeW0G3+b7Xk+5c
FmFe8hwuLGvdnuijW9v3MAFpR/I3l5Ju6rf2IzXPeoykmp5tQnRw2mivBe2Hp7Vjr0elzROdu6g5
5d2JgjmJV0OZRDVO4KOzyP7wWTHs77YQLTKg73ldhhAvi+c3kkJei/3EQ2N/9hqGVku23BZ7n/ZV
ViS14XHRtFW94ToURubYrV+nq5DvZiXQAWiJ2DKNuSCmJfkH9lucUNq2wpNnVRvbOyq+7oBYAPiP
0E9kIF8DrNX+HPcNdX6uvxT0aY1ZuwhdQd6GNQSHWJwLu+06jBDyrK0cElJsSe2WbkDqFu7a+oz/
tqqjfzmH+GIMyJAQW4/tSyG17JeyXsBdX9odrs//bWwa3w00++ZPws9I6Oxe1/zp8rm/BB0a0gxo
lo1NnZDJoTqnyE6KdIanWxvqsDOGBp3Wj2DwUlw6nbt1ptsdnKZRQF7hHu0q3Bf0MCgvlGLTpxu8
ve6xs4YDq+obH4ha1vvTQ4OW4NWK8aZM+s/eUTVQmYpWVF9rfn4CFF+Z1yZY+BWG/ErKnyizPMi/
OTPoashLmPyktJpgxIt/1vvuyYLZqrnjtr3TXIN4DDakkXXxQaBYdLXAkRAyw/bM6C0ViHXpYG4u
44JgYbhgSIVvdvOoiEWI7IeKxqxdx98JCU+eHk1ZJDIe6wXOOjqYMxHac5z7anJ5V1yfeja8Gm3V
oj0tOSeNAtY77dF/kHcadsALEB8H5802Ge0Ez5f1vWsWqVaAbbXdYvsZFEO58wuiAGHR1ivTOxO6
8iHDAFVmJFcrvOGUd08qd9m5GI/Y69pS/VKRKS9arU5DJVTIogPlWqSRvhPlvoh+LVSQjh+ptNA6
iRh8KuVd5CQNDez28ZkLAAIX6vpZxcsk4KHCNJHm3hLA+NqvN8jzyR/tLlc2Loo2VlFMHBSRaz+K
cDdFaAbi6QYWcYY9CkhMrddkZtd5Pcx1Wglqf0AEQrKW+YRTfhSxVFzuA+byDDeLs2rbzeTk1tBc
yMTBmY1C/8aFxmNDxHeMpvKzrZ5bbfAKwGTRu9xYg+A6cx8PSaYjjOfiMlTbmi7xai4a43SiWSwD
jxBlZ+T5iZuP48qeJ25m2Lr4ANxp/+TFZiJ98tCf/Icl7/ryoxL1KlhW1VYdTPYFmBtFld6+vZij
zof4EXcony4QdNOqoonFxR+B06qdkaOdNBG9RZo2OdTICyLklJkI7eSa+IOo/v5bdxAxb/YwoEns
vGO716yhkgggSCAIv9q6eeo7aqtamxAB8471HwluVXfXgXZJ5Cgx7HuwK/Qwlu6pLV3e8io8/OtT
tt/DcnVBTB1DmLfxnttuW2SsGwT9LyxUyzRXNvS/ZEjmpv7410nLGU+oUEhKez02jyc9LA0hvoNG
c0dGJ0Tg1HqPXHlK+5R1fHuqA9Q01JQWRjHQXpz05isLHDiS3F+ZXPEFe91pWy23T71VjdSsiYbu
grCpy0isY6HaFRu2oxaGO0vBfO6FxZqA9zykQwXV2dJ8R1Gl7NpnJTTZKgBJoKKGYb1aWm3wYMFi
mBKtPu6PPdgVVoENWAUHFrFYrMWnImG1vjHf8iJ8u0rpzgXl4lfpjtObLBLVRqkvKUSUwQ/27yFx
mdGpKL9oiOA7+PJLFMbKMiZWHBbUqp3DqcB3R4t6pXQ4ChgTDth2rnKJPPWUIAWbMiz0Wl2E3KeN
EOzJUnWfcEmp51Y/Qu+teXB3XalrIyoF8YjPjW+m33Pf73aJ8x8IzQCRbvX4sV+0SuGGhvdXzBBb
cuAfrVuQ6j/ZbAgVuU+WcEgd8A5WHqVmBCeLb31PGIzI4Ocf/sooMYGNtoFM0/1WY4Nsl4FSIFFQ
yUW2kc0iDd8QAg8bQ6IymPNrSQDDSrT1W/xsUvDM+bZQvkslOuu9XDnQzl7si45JaczHUU6I8KnP
54MY3jckDU2YWD+DBdK14CzI+Jk1baoFRrVG1L32U450AMRM9CIOJN1j2fRdF91uz3g+TCylWWp+
r03Q8EE39VRnKPFiGuB/7FWUPDIvrc6cOfEW6p8FQ/daHuvvRJbKHqyU8Z73a8c9nAN1FzkKimnG
4ndMBnIawzm9fXwOfPgaFU4s8R/TPPflXZJHG/lVLABOLtFlqGJwVSuoN0l7UcHpDyvJrLoCLjL8
yoCTRMKyGyyLjGdwrJ5xpQKlvJzIgZnFlJXJ0qtYq/ga1/vtHKwXkT/EvuAB+q2K58XQmTjvDnPG
QKAxDvJWm9LttvXcxNVmufOqdJCqM3Imvlk+TV+D/stbZU2miKM35ZTzb6bHOxZoS82AJoje0PH4
MM2HR+WOWvTIhW3qbnqYkZfaPKxCM57IX89xolIuixj2SNLh/FQ6tg8qR74rf4eN4uz++kvuowgO
0BsLbmZgPhOb1O9YsjAeDhCPN8qlnSuVAnGOsZa1XvgbnxP7VZG5ATn0A+bEOEWF+7FxpK7X2YvX
iYV4tiOv3l4XXg69znb9GXfxRKFg+VvEA9frtpOgs6cYTuGdYgP09Qca974XD6FqI+eclHLibPBI
4M3Np+j6Ma/CV5NKkH+Q8CtYWmTx6IB0BUjPAILmNcmujGBqd56vA4SMQoycOJlkzcBrjgzY28CU
MdXadWoBN7FxJnkmA2apYGq/YOt4XPkZMISoS649R3Skk1dFjEnAHarZ0H76gzBY+FrDcGX1Wbu6
C3PyShkgB/aaBGjPqt/XvO2BgIve/UxUFb2YN97AoCnjGfXIprxG/iguYyjkv322DbsPOziOq4pF
Mpt7V0WS0HmWQKtdHj8kzWmyFLDS23MbIhWsvC0YB2D4sqTpVBxbPQJ/3gIrq8vehF6Dmr70fDfx
UjDnTDL2YFd8fqjhltm1yVnaGTdHP99dOfoZ4Y72tmkNd+kPeidHmNXabScCpSCmNyDuo18AMSo1
HC6y6nkcZS1W5UsJqA6M9msjZCbW3DHD5nkwWeVHz5rOgS8gQexrAR7vdlZHXzdM/y+01sPiYHFb
tm4L2wNTChu4xv389FRwRsaGnSiqxAkHAFUqz84giyLRNo3eQfeDds9tfia0NEqD7iDwiS6gn1/J
s5CYerIQtSMtsAhOBlH1VU6BgVbAW099xnkZ5wuahBbq77yFNgwdA7z916Y3ftKCrS1mcq7pyNWv
9uKm43m+aM3zu53a/1U7sG23x33dJpc6ulyRsFcGr2PPgCfpPktWRRRHMD+Wcg7VzAZljb5di02M
0YBTxLHg2rwRhg8Tu/fkNF/V5hBcpIYJdxRO8A4Sy7wcjBLAmojq62KOsYmgn7Jqov/UBP/hZooa
n+imf3wP56s3yiDxjK5IBulVMAcj6VBVj532K1wOY+rBGWnFoujpyKPOP2ImlYxARogheYH1HB34
eJlW3uAga44EPZFF/+/fZk6Q5NugYfiCfMrstUp3eT1BBJmCPB2DU+Zh71lW7YqmRZ4sS+HrizCA
tdndGKF4zzQG9VSsRksi92oY6xLksTizlFk4iXQv5WVTvXDoqUUu+yqO5KRfNWTYhigDie4f3scB
XZgH81QGEYz/ud588XxEwl/lj2p660Yf7ktL8gdAxMEF3vt9LeHgPcHcZKgSMN+VsqxIDk3x/up1
nQ1QD84trK5Hhs9wgRnF5oV4YvskV2aBYlqGAL0EEAoTwKoAbdFjSNwBFRGJzLCZOJpUPlzl3c0e
mRu0QBd5ijdMJnmU4+/ixg4nrjs7Kl1H/sbxHs3aIktFVoiBPrdXK/PfA136ol//5dMgwchthe2M
4qgyUXlxH+uVaZWiGwUTmt5p+0WUzPx6wG657qitmrhJio4AckO0e3nJcVu0JgpRBUIGlYNv1fXp
Syu+IGgiYuMQQcr5PclO41f4QCZAAQjoBpwzfWE5VJshRqrB4aSXCEBClMMEiHYNZ39mhBAxWX2l
zLqrWmoBGbO/UmvACuTOuZ05lbOmeCYESaP1PWSE2PByWQycqBcQA+eNXVlkKiZq67Y1PBfBMYyz
mILT1Vzk5SelFxUOcVpViqTMX/HsBFHfeT7JpVcHitLDHXRWOnKpMELlvpEW3ki/xEHTPjhctp6w
NjE5IAZY37Sl5rNjLohb1+5Qjf43N2OvX2p85tXqZ9/3f32Q2vZjQAoWQ7z4yVvz3E4g2Vj6Lm7H
o0Osk6OpF7osM8JncHDpKuLsv3Gb43QB04iS3vXEdO1ASyxmiHDvmOWFMEzEGP/Tbu0tpVUc0zSZ
OAnHc9x3S1PZGB0AE5gSNkXKOEo0vowDPdRRs3Sj+kliqHpsNOhdjZQb2ePvtidownebA+9Ztriz
ff8kE3mjlV7M5WcHhQ24L8Pjp0gmkpfVCT987QjYYpD7g8eHt/YLuQ+abFm33JbE7vc41+ZUch1O
6i6K6L6FEClYxrC5dGxloUxHv38BQUCqeMDY9h0U7YT0k+XWVt4KpcYRvz//mCgmgKI9NBVzPeVp
VPlFx1YaaWJFAI0sSajBvJuB5zbAEEYCpYmWfnolqmAu8xXZhRiDcoYbLfT9V0fLdpF0xTxudbSZ
wVlV/X5YeGZu2fYBcHwVxMy/ci+VM+3dXX6r6l3wAQyC+SDl18V74usGU4rTNauvYznbtqvnSki6
faB12jViql50LFBB8UT70GmDwWGdy748jnHZvj11/lOELmLlnqYGxRUEOEchdXmco2ogbN/L695w
wdFibudoRTiYPKxbNdedU+OAtgGCJZeUZIR8NkzdOTQW9SCMN2cWNmZ8Rc2UA97Czvdel4dagW1k
T49qBO5FFD4BPK5eGEkNZ/tQbvg40F8TPzD8vWmcDOYFAm40/nRHc1wfJcLnG9F/OnJxaGt9ZN/d
KqTyq9dS01loJt8xAiZR5K7kLqvWw6M0RPAgzc5KjZ9M6amuEIDCCN8Rlz5dV81tJSSBRYA5Ppmu
12jjEtaSe7C07P0oI33a9RClyRDrXx+ZTs67jAkqaBiwvsws80sY6250HRoBmkXGEgmTJnVOS6Ol
o7ZY7FMycvPyXcZV2aJAV0YJ41MeoQ5r6ZrB74TezgBod/ep4FfdwhPLVFy5HqCZWvIshH6A900a
cVXIj8Ky7JBK9dF7s1WKxpH0Z5q4q1x+tSP/AhdJcQDKlvbyqOX7MNEOXPYYc8MbVs1zXrtMGFj/
GFSDr8+JWdqazNS8el7LsvyXEeUerSYo6jgNdm3fsKZk0LMD6XatcNS1pWqVMmO9v5hUomnGUwel
kWoR5oZfhJKTjZX5JvsVNsVzMjnOo0WeVC6jneHe5kgamxM1WWT8hNdHVrIBC2yxmIBZH7cdjZQs
9ICFtIg6fZ4rCxqJDJNW9P213o/aIe9Q3JipCcakQLSZKHoYE5oVUWjSXZPKbS51+1VMeGk0xxSa
HUZTHKqf26KBTjsI+VCnlgz/dt3ZTnuqBcGEV7EHJL4c+GXPpVeDXwlnWasDEy6laWkoHe1m1vbZ
n3Ip6F9gDmG2reyH0fLuNohshtBAuicLlNCvcJleVA93u5JFIDunp5fH9AS1AS6NqzMQC6W+qi5r
Gekt+oFkkxXslnWbHFlwh06O/Jl8q/LILPqgK62OsoNWamd3VWa42gMQd30LkUPMuk2FC0IbIVuC
SGERJgcAqumBqBBB7UO6IKO/bO9bbnBBqSu++cVWBWDhVhT9d6O14lRozflGbfdT5SLrEzjO5JT4
yTsVFH1EIPJKHrrngbQq/OFD1BkCiBBw7RyMg31Qso8ozG4KNBq8hR2mavlMAw6WvbnFljYX1Mz7
vvBGy4EazUrJn/3Wq0LexS8+7xA+xXq3xNrx36RNbsvyHtWPw5FVvsupS4GnH/ATQKWgsDiJ6/IR
HgtXNJJWf2Rfv4s1/wLNzGwVMo+e/9cljwSCKIzuwrPAG2WsPjvDxrK92aABqFNrh1QROmLT+/oz
aY9kgfLNoRowU9JrSEeOSUwD2uAwCXvOt8QL8rBJBt2VKhmQROqnmAG2w+HOj/UiQcywC9r+KZ6K
DTkhsQLg36ie4mezaKRI05Nbivaio/sxKwvtX6vAUyfjtDSXhlSO0MophBZulnR9jlUTmPVR/Bbr
5lu9ELnsgNGg4KvgW5rp5YRnjQNrHLeRXjgqCj9epGzkL4NIkK9kymf+8uH+2WtaGF/xqYErJ4Xf
4f2l6YCbVmUKKqPPxvTqCUuLUUPIkyJau5wC7OqtpUUmgYQsMRxzXgM7gUrGxOE7pBzt08r74Ww8
dVJ8t05kRA6NWsKwie9WDsxF2wS0Ko8uPBq7IjMCe2umVA7lAyM//KS+lDiDi9EeiGNXA+QXVd2i
nv6oNqcqtU2RhTJwFGs/65CNCzUC0kTs5hJPD8k7rLqjGjbKLDVWBefO/lDGG06i0opryYccjWmh
aAQsDosdeCTGNhPbBaKzfeRukDaKV7WsQnXc18f/rgvqXNEXNGVO/3AtcmkrfrzhnRzu25vlKnmp
jgNBbPkXq79D/VFgD67wemT8uHaRb2oIZ28QcgHKaea2U+cBP+zGI/NMKz4HdxLiTx+fUBdBZYxp
8MB4wfPdMDD41VcTkXfAhzHcIpWiEl5kAn8jr0IsfyIdcmL5+eSS7rl9/TRxczbfdaf9j6ReXXdK
Ncru6iRj4MCGR9j708pk7XGI9aybvHYTID3dQwoy3naJQtPsluYgNQWXvMzUHK6HwYYogTkOLe/z
uAelTqbn5CoxWhfJL3g9boDYKhGOF3MGR0ccawpm86vQYJmAh/fNx7cFYTaDEEfYV53+mvKg6yGF
seEcBAvGD/JJU6Dgfv1t3lxv450yWwNNyNG5Fwuj8jcho4NbfHRDQHdqyClPnVahcdgwfRQ5XURV
uwvEhuA8b5/mGHqS6ItmGv3B8WLGrPkZN4ymrSxqZbALzj46SYKVnE0hWQeMKk5yJFWvk2TkW3JO
VhKR6RNYw1GzMeYMuNB2pbT1Y9wSWa9dAmfYeSjKAWrSmLcGt4RuZAGPbDjVgz4N4y8PdNgaA9v3
+RiwxQU0HkVBgPZmV+dCqW52DpU148JRNIlKCvtGTJWO/x07gvRGtKOgy/ZPZCl5vZNBkhobqvNy
yVk5S4rUvHMeYaKCo3OicJCC5DLmcDOJ5szD8fRikkv+D05P7SzGBZ3yAKJW8V67HRXv2wsiFl/j
x/4/vHZeT+eha/7NtFPNDte1HJ3gb0CWwzI+jwKahQPZVG433L4wedqs3x4O2eSPsN8LyC91TJpA
TvFrbvkXslmixIqV+in27EqfN7CXdFckcKDwVvDV3gefwy96XCZYa7kNfOVJ2vaiSX3wjY9mVYyc
Yqod1vrYcxXkEHEvV/XWM2ZjQXRjpy7+IjQH5PqEtRrIas5icpKNnkmids7ye06GyHYWv11oOZlQ
jvbZf8c8I8ebuJTsDVlgRSA2pYho4S6egbJxd5ru5iOuu67VP24Kxm2BoGMQDFb96VmdGGtY65IQ
9fdumKyZ2aFPjzjQre8nrzH/bAwufxaa3JVU05OLRTbUuM8cWgS0w7Lk3YSTNoONQk9oM+yuhMeK
tJ9mbtz/GOOQhlV2p9hXqRnRv7AEdxiCKChLSRtl9QZ1YorffPwxc/0/56ataPgzTvkivtNKwP6a
JBDA+CqAc4eNwUOD2202S5/2hsbjGBzqFm1eDMv0DNF2hVm8fdx+o1n/gTFG1cAShrgSUqZBsOsh
f16EpsWIxWNqRmZIXrUvdtqCaLbjBo77h1i8Ms93qJ4Szx2Sl7L0OSXlBE2z41AErGZ+Hym/0nYZ
yxkjRk1bQ9ZchhyBTCYTb2o/nbo1O/bXKm3O2DBSpwjuvLJ9yUGdUspBF6RTJsAYleW26t8W/UbJ
NaJHfczKpkQTMN0Aos0I7tOs+rLeR1ErF6rhnGPQqtNOk6LN3zmJI9Kax4jQ0WTvr0Psik67PeyY
v+VsuYGTINx2ICvmGmXppPyRwy16OrecMBmvRqc6NyQOj2+cuqB6VV1OwSNe2ZBcPeQa3Hkm9tKw
lCu7dTdODBMsW6yXEjjc7dcOOBJHacYxnJi4qHNAV2JZvYkIHIchqMP1/z1V/yhsOTL23ooFhza9
EAhxVPtc9jQg2WKuVrgVoFipi5BTUYGBk3NfZjXqMehm7sWc252w4T5NNyXfaInqPhdlzDPnFS/6
7/D8i0PYltSU0cg9FCJZp6aM9/tinCEeZZi4loufK0fE/F5q8S5vR6IPfIN9rw6kQ9UTyAERl+PW
RP6DAiZbfbzXHupEBbJq0YOnWEOm5vT/xRnqbP4ftOzTqvNUn4yJt/PGUfa7wAVmCJq+I3NRq/op
KMemIe2GKngmbCeYYZn5VP8+uylDhhpY9po5BAGCmzVu6dIyK2KOTd4PI4pJ1ozgPmYJ/Vak7kas
cFJ/xI/XjYdT9qWFRLi1LN3lyfARte4iO0xx2mCbhAmAqYEyF6RM+GWGTvr0kBRh/kmlq2VktCv/
utzQlUcrob0YBaMll+suUm1hp0Go0RtO7BAw2bLZ0YC3qSBmeomH87Rej+17o2izHfWSOoIlHw3E
boA/dMUez7jFKxuUvREkQ3QGICJIq2RKvCxHeI0sDIQX5rOhDlXL2wIfX+NdVPy0huOXiNImyCQt
hluNGz9vpbCiEGFRsZPCZ5a+fJG3NAA2DXlT5R+dwhpwoTVRzMu5JK0ZaZ8EmZjj5lBzTxzDQCCI
ONIJiLpPK3YIQ+Tuo4faI5DL/eQBh0CTVOJK3gckUDvyBbW2kE9+AG3Ojwce9CfkKfxbsMUKm+bS
2Na680cT3rf8IzxfXdn0XJnWPl02uvRlMjxvkXi11pEoBF0RPmq8aFhPW8OVKac4wOvbNis4rMOq
wn8xhDc6ptwmngJj6D5q+8uJFUaZDAu21F9sM71V1Ypl0GMfKhNM814Bd8aP3F+sIybDInlpV8Xw
vY9TmRYFNSSPkqjkRrWILow3uSSAiRsam5vDvEseN4IZF0GFdu9uzt3Ik0ujKpVepMNutqtmFbvq
xfv4LbFcuiPOihQ47a+gcyCdUgkVwjyNvRtEpZffwtgr+oub+n5dVkbD5t2iGX8gNSpAWSCGL5I+
b9QCVUNH5DzRYfX2uuIuWYG1VwWIuVcjEhTsGWOfTb8TIPUOKXi3pGs2WYL7rzcg1XAOhXD7A1JE
Bc2gXpydl6/OTEp8PCePw23Kr50p3Gi9pm2jB0rIDWWWic1UQ063rAxQRY8OdkIFB8ARNSqBaCDD
lV1Bf4GxWwbGK8i9Q85K+/0mkh6JZ4c6q75FspMZIYSd23zMaL0cevXXX3/AixMaJ6z3qpXxltVH
mbrInLdMBB7MbTIXrL2Pn+oeYaxyvfcirAUXgOzwhi5ZjTKNLAex7/44lQMDTaEaZ+aAGVtQDWTp
HKm7qxYDzMxBQjGjzEwZwcyGzrtWoymkESS+VgTVNWN+NLtC771b0812pbhQv3wDOVqn8ArRWfgf
WC+DKA+hU593tRu8EkzHwfxCyoFSZYnVJ3M1TCPjjyDzehyVUOiGDi5aDG53N0uOCWgDbo2IRVDM
QWP8AiAdw01icucnT0vWQpWaypu3Sb8H4vKzkz29/BiV/Aahz7gEbR0PbHFjQdb1u6nq7JhH0yXQ
MutQqTbQodhHr5qxvMZcxjFF0+cQ5y8ZmNglSk0IBVdZSevRtwcikrIgPJqw0uRNm2gwTSUkIDzN
xuZm1qfkPLiKkOrQiIqeNooh51ALZ4FeXPwoKxEOkGn61fkoOIXgTn7cLQ84ptBU2mGeyKIMWPCh
sgvSQPfSRwF/b08k66FL8e+xf8KR30bqpjsie4Ju+1QVzpfoxVT9PUuveUB8UIKoD2tb8rvHqv6v
6CuYfu+2AL7nk6rNC0MW7f49BWO6qAYkeMvVDw+Ui5V6DrTawshP2v7tgmhfUxHJMpHubCquIdA9
ZxU/hfTRHYcKaC5ZMnUKPBbpWOyPKZZI0Mw1/2lKIMSxSYS9NuMAsNXvyqRBwqv/6iIIEBWe/BAZ
N1EI1EU8dqSLpcN63DhUuvzn5W1D7nc3zJObkzdbZMYvRbkvsgoUVAh3QBg3rVrgxrIMXNzcvMpY
u3Yu+QLUv3qAQq5/jmHx3sNzOGyRHV7O31WBBvqnNx868N0QH5OwBUqSVVUZPlbUPXWQrmWLNNRt
MbMU5QDm0JKMYIpgRaNiqiG8ZKZHGKkyLV/wmbavr7PmK4nJw5yV+rfkBA4HigbJNg7xzMsD8eKU
HHSBLqgvlgs/V5uvcMIofSKHo1zltrvzAKMV69gWs2m3C30S8GIynfq2ij4fs9o26wWHA8+0AxXO
jM6pAjUDGlDFrZCvpB+d1bNHNm0h10puUb07oxQz9s6fUe9ar04Y/DjWIU1LhX+TJewQNAbQobTs
c+VUvCUV1Lk/zoi7ZUFsksFx8NV+Vy4DpsSDpEuzJ0qL4ozUU4HEjJ/ShOM5uKAij1l3Zck85hul
BT05DDREh6NquadtZutp51JKMQgIoZ/R2eGssus6l1uRvzYAJdp9JranbmJ8Fe7cvD7Bz1zJx0vx
j88klHAiFAf1AxA1m0DTjPWfNs65k1g385+AQ7+HekhGKT8yDj4YcugAILEomgARaX/pEN0NZgX9
VXNvGnPLWBq1EpruWOBWPfRK76dG4QIdN0TsJV7UMoYpJLqO877PdjatGAgz9MiPpV6JyevpRqdg
gHeemHy7f3qdkH4fEfqMo/6QitHxjL70n0GpHcPK9M+5tV5nqzJcE0SWKrOHyEQene/mK427FA15
LnVGbKyUrnqLRaV1I/xhVRmSr9E/wmF2cGKBdnk7R7V0LSi9C6I2shswZktDN7nXvdGOyBHP7HEr
+SQvPeus/bGa9BGxaE8lQ2AE1ifgFJ4okWlrc/iBT0juFcSNXGpxlhhOezqIkG8RMBUEMj7mvYX2
QmAAnbtnyF/dn5jqWdEYHGncGnp0YIFmNctYyY8kT7/2HOLKiz2H7Z6ckDRcZayRMiOaK18OEb//
mO86Plr3JlZppEv0/E4PvYmPTOnGQuX3rX1zH4CQ6XCzW16ktcXUD3rN1zLqD7gynJIwJ6ZmAQBI
rqi1q9KL64xDup7yYFIu3I63OoGj/Pi4bqiNnZc5q0kPe8jBNRA4Zqn0xFZveyb393LaOWtqQ3Jv
1DxxAKnv2/BAg/SL9HscxwtnmfBiwwplVK+pN+f2D+WMgATGjeOwUO4Z2AniRNR81uoKnaC+zAKt
a1O91j+CeU5f4Agbfv/QRoNUPu3kFoNlLCCAHBpt16fyUHBJu4EqkxGoROo+f895QSVwWPkSWPEq
0TfdolZyTSzzU0Cl7ALrPxYSycXO4nAaOazQPGvn//t9tmZtqvMpC/+ppiaRUUhidhB3VhsbE0y1
hyYfi1QfgZpURwyxqcjGmt2PvI7vXmCpJGTivV8B0gQEW636VCAl9VYXR8vg/HysUFDfik1ttwm/
IOG8sXclpdG59jfBLkqYYbji6xcspUzcL8cMoDBXTzURdqxYwDsUewZGw21F8qAvPfDpwwkey0Hm
9fYENcaSMPNTyTTN+AkPUOjsphKBlA2FvpWl1Ezuo/C3wn7zHVBmHuBsfgq+j80O0LPfpgsFzlXM
4+ifuqJ3+Ic0Ikq0eUivcvlAJ+e1BoJ09LGGWJul5eyrJmTA2xwQQf0Q8P+YoXRfK3ZkDh3wQeet
29Mzo83AyxZYzG4kMZN3vTOW+SZO9avl7dKPvqs6pojUCSnVm6tggGKRmccvHgEothhhW+vREDta
r55FqICPNRWsNfr3EJvNgL6NS+6+WZgVJHgAXV+SJO7rvULJ1n9CgIM4OUn59VQmV9tsGKUyPqc5
3iU794fTzLq7pdVrk5s83kRkHikobA/Uhsl43dipqWmZJHy6WNlhjaE6IPtVAUBYmRZ39zlQ8ehI
SEM9nuSNKkKTaUy8g88r9pufM8EJg7Ed485tvi0lGeeYQO1wCjAI2+VGH4dvsmfXsmvLcyU5qfSC
HviFiqV2t1hmZ5jMevK9wJfmhpBzW2j/cD+d45fPSKfATlZSVwSs6nq6pM3HTVPF/MHirKU5+fYT
pYFM0/xSDe+SvqZmsG1C9R/Nsnstki8MUbKtSaA0WyGhXeBZuwAbJqya6fkK0gpsToKHP40WoDZ2
2PEAHuEm8d1etZLCywUVdS9N3J2hhNnk9xVjfBgZmNCLcezpyQ2nM+4LhVYrsdD5YDvqi+qLLSac
rfR0JZCOcXd+qK2X/w1QAJxDwytpU3clRbXw42zsZTAlsgg916713qC01YH26vw3zoZCioXM2F3v
9s2KKQKs3SMUhu0FljFEBIOOXSlj+5FbcDGiRO06nUaE8pSvwA1pDsiF7Fo9+vNZcLDQ5KOyo9dX
BciMQil7/DUGyXZSgtHT6bpeYM1IOWU00ieUtbB9mXWJIK78jcq+FZ4EJ/K3hmuY+jN6MQC6Ss09
N6pFn8H+m0/mdJe3pr1aW5dd/PzjS3zNC7UNUCx41M7SDE2fvbLVhI0gVZZuuHPBvP3her0gpYH9
Dstv/hwfOcgJTWSBoEFxicRXj4U8he/LqqlTRW2egexdEcFpIg7XWyWTF5eNBo1iE1bvQtdqZKW8
FcA2tSujfSMPAdfsoEMIyFVWVqxFybjP5wJRGTCWdKuYywu+iVesUwlnPGCeiAbROMkBevdSBK0c
AsCUwLI6DIlc/zO7KP48zZktrht90ZxBUrYZK+RVcRt2gPr2lK1XV2k4oXojixEIdaDjljPls4Y7
tUV3P9RZUq7JSuFjSjPYaUF9mZo0ujk1Z7yA9mxB5M0pbKtuVxFzIRsUB+ZE9JagJDKwso+3tcnb
ACp/nAJnhONKOHZUEJwc76CFGhV4HcNRt84B6dmDB5bPT9xrSqa96F/l9OlPqHjUCPnWx8ApAUMn
ilSTOFo2g4vhD9mhaGOVUz1RUgdCoeQG6iBoY5HDN1w5UQ0J8dWIpEQZdflZFyNXyqKUO+wSAhLm
9cejJQvMpfCBvN6hc8MT7WW/raABvUvJQPYVrjI9pi5K+Cnwqkgp7V6mRB2QPUsFE1p4FIEnZnQN
mqEL0/Q/6J5jYkrTZL6GVuFf4oiOi+eDiC+QyVr5rGj3lN+jt25PAJYMVTvnIK/lfQmFOwUO1zYW
muJt8Rgkilnu8maHY3ENWNg2ba/vetx0yceliY3CMEgc2O8SgirWDl4WsMd8UgH/JSW4TCPjaJFk
mJviDw6DYverrfM5dFfAdd1dYoSJvyniB3tMTakaimmA6z3vHE8Mj+iuvO7G0UMBx6SBSR1dSp1m
KZhWWfiL+Ay3+ncYkg+XFXQs1b+nouvAtHdpRiSwjoTHVg8rrIGEnyC3xUeC2DCtaX3qd9uZIEyH
9OMDizuyACmDd0t0BTT+zP5Im/SySeeIwHV8MfUIwe3En5eZqhNdr+NtekBzD7+7PxseJLy5oZcs
vvvkw/4IKikC7f1vOi1S9iLpX/g6D2Xbfc93Ck4NXcYief3xRj4YvH0+eeNUhhNivt3Z4rXCAJVk
6QH+XWsEmIy4JIRcq0Uv1tTVcSAQB9Jfa9qg+3RICGkoh1nfqgKGMA7su8LuhiPyD6bp/fluqzsO
fnnXsqZ5oOACX9mdabLqo5LoL4OlrOVmhJji4BqLtiafG1kXi2xjVN3G7HJlQYwLt4f9WdIPpTDa
a/cu13cTwKsKWYq3BoGqzLnPB9eeWfdkYslUFPtxHVbr5XqPmKAFWAbi/37XfRQgnLiQhDEWSgFF
U/L/6JaKa6fRIn1N13jMhcx1VXgk3dVk8zk5fut5KePFkMVTGvoBhRmGvp5CdZLXWg7R2kg+8Abh
QjyiObJmW2iVQ+MCxDfPkOXiJxhjcLAUDIVvBKUgI0pNlOuvvBMgoId6sa0Qqxhay5mfjjljvcBy
QewZLAB8rLrH+MiqCQRdAEvz/e8Qt8Tzq63oOQE2/jP5nYLsGgbYK70xjGboQjNFDKKu02ixMQaD
0hbggraCUKrARnqZsO85yLLT+lNdNgmTBfQUj0kgBS9MD4srPU9AjmyHIT7aUvepR7BpWIBKoNdo
CfwQmBs/cnq9QkLr1ZZdvJ0FcoOjUwzjkg27LRuhBKNKA3CIsOL4bZwbrul1YS0O3jtIiJM3mQ70
9fChsg9TWbt7bcd1AcU7eTW5meI6pvZgcC8iH4IS+3pqBgJPOK2KjKoTu8nvPWXopFqjhpLXvjqo
YcwOUHQ/kQqcO70lD4zJ3i1TJke5dj2L/YmkdjSkhboGEMleropgMc1w0qzovDDAoQZQDPUcWQzh
xofO6+37ETWKRwXjxqSU9lW0RYhBYcqzU0XfSk9D1VL8tHzAoldMjpRzE+Y63ydmfH7kIGh4fomI
TIDLSqjv6Tk8ES0YnBVwGX6vnonSLayLkT7F5XXBeUQ8u8nY/P2/gr2ZSLIn9IRok0Y6GMnQD6FQ
6pwyKea4FXwVC0dKVxIVl2Ajan1HCfneM3KOAK32b4mNssx4JnYeg3WxQ30BBaiGMQ2gH14BN1uC
I/EZtLRgKuzxpkE3Voz5OB33TI8+la83cdXqGF/U6d1z2P9YcQckEaHZ0C1McM0vgebwcX1pIbKy
puQ3zHrkELNlrXAjQf9V2tuVJpGifn6+pTRXAq7XwlXCAcK1sIzNzmQuNpIOhlUVbUSLpoFiaQ75
/Sb8TgBgUexpFioS1QPqTCkJYq9BtA2rBmGjk+6TIiqQ67rfUBDdDd4EatQX7CbdAa2lzkfZeKiv
lzYIe69w2Fd0nUKmUKFAoOFYvnjOCM/LRy2piC73Ggswl7BzzKyqbN61pDPERSGUylCU5s2N4QlX
Gv+gMXcr4BGgnej9mFukGydcsHusZEE9IhteVOPzUN0vVWWPm3uDEDrQRGo4DhV5vXM1kyoE9/Tx
D6YtHa8aRdOr5uHeegvAl1L1Gyup2slAL+zZR01xkqQn8Yv17arcJ6orp3IGteS1ViC3HU9njiZo
k/SRm72HVDF0VUWA11IWwVwcApbWhxDeBj1+FWntaQRSLF1DrIo+7Ry4sKNtzLjRWFLLYNFQSxAh
HFfUgFV7O8XGCIweLSeZZbTN4cZNTVf/7OWuXqXzE5tGR9J50fNIM/AtqTzolRwck62iu8LgcJjh
81r4oioTfKtNYuI627Z4UqLNEeI1qJfuTY7AAWeofZt9IIA+L2ZC/0zHUpKvCkiIyLN+t79neFqo
K3UvzV9tgVpMY+eNwJpfca4GaJksDkgS73Mlli4ZJggk6FuzbvoRJUY6LNJTEhim0SDnWNkJ3my5
VJbUFgsbeyr1U7DDFVmtb+ydJ9guktEjYunDQN96VqGA42tse7RBzSPaFWZ2gWTzS8bpZrmI4Qjs
PVt20bDfL9z1vi/AF3XIXvsStkc/I9kuBFMeDEjiZdUceaM00Z1uZPaflTdnrEMInWODem53zVnR
aQMdP3A/0dgSR7F/qfiRUHJsEiiFWWdiSUnsI8HPp//IH1zZgEtYWL4insGEolww5vnVGkqAO/TC
woTqVI3smkQ+f9Cq7xL71gYX/IcuFSKCiNg5bEBXxnWAFIa0BPTu+sLX47zk9m69FpEW5fnGfvf3
Vkgtm5JNhKJ0TMGx9E0EvAbFYkHrfXfmSFiIIXeNCZnPmHeAi/+uVJfxLjZ58Z9GoGMlUffspvPW
GsIr0zUeDe3tNrM66zjyag+JEtBOi6DdoV8mTuctEr2LGMjNTkM2Do9n05M8/S2imnPi/szOJyxM
dC9LYncxDX/yVadt3s/c1nsAd9cvDrfOgPityol3SeVkFx115iZYyTJhXc3QMcnYIBYGfbD0LUwA
geS6/AqyUEkpVpBoMUZCLOuzvnxiHj5P0bje/J9CLUpydk9TFAhPBJb3gv7zPuIU+4c3zU8qxbsZ
ePjlfO5ew1fjmWsrTM/r57LI0WfArW0PK2Lj+BklwGeM/8YNUmPOXtFD/uC9ayj9GGtgdtTfjY0B
yg27bB/yLbMhUuI+3ErhnpBXaOFCOJtE+JzeHlZWL0jDgRcY4c7f6v0CQuFxPWSo0yYqUljZ2bEB
2Mm/xgg7cZ3oKVvde0reJ79rdVBaQMRkdze0Enpc/nmH+qCrgYOWg4oDKs4PreC9XPse3wqUfOkr
+/g6qEfbqR5+hKwGBH2PyGcrpvKk1scUCsUTprJCXdF/hV+Kzk9XEEr+CWjMgMIdONy86XHyxDT0
Yxj5DxNzy6K+LN//J6Gn+DoSjDMfitDSDI1aSV08AuSYgPASqoAobMRWHwImcSN5uwqbEepVdwTh
6VRjrvd5+ZeclV1qJoIGYHREkRe6cyG0co5tMFtCOumImH5TDOegLwFqEPi8LvVdUhK9yBuDp/3b
b3Vx2KMQ5A94vsui7cNWUq/pOQztVIupa64dFn/RwCWV/x5ncY+/Zw2TTCGn5j/XjlzzgWcFPh5l
1nkTwFSoM7g17k/pdHlIMBbULB723a3NCR8mYL2zfUD7utbnyl8+NENlbWCgF8xo0Knku/BiQxVM
XnJCsjCh4kcKLQHtrskwozidFTHnBnyojR8RfWc+DvVcRPpQylf53ri2QXKUfFq2AWCwFU/lOwq7
JsSQ99vIEbQXDEl0xsHueMvARA7cd6FqAi9XkcehgfpH5yz9d8HGboUDPV51yhBhado8woxONmHV
wTtngzyKwLURpgcd/dzWVAKdubvkcjMXUne9ZC0JgdlP2M/XTaMIIPyAQhsqbK1RZtyi5Be0Rkau
WlR2WqD93TcwABHC+mwK11ZirrVklxLqxBCm3JyysxN/XtjAbCkYHVi52yWbzOHvm0gPha0DSRr/
OixHijFyix6s0A2yF01JEiVt97/Rg2o1YI1zoEYHOlWxVIJ03Z6XDfKJuGaB5TvLqv+dfxNYS9H4
W79z8FzE1OgjqHGLf1fEYDJofs9EhLPF9K1PynEgYRJW/LzIAM2/xqbBiZ0p4z8kYcjkbJ2X4kaa
lT/kcT2bQ4fEDegcj6seIJ3Zvv/TYg61uhdG4WIDrt4dvzPIv95GaoZjuIe9zQFJGuCPlhTDO5IQ
rbZNxcMPEi7md0O22Ymh2OHe+gHI5q9JhBIQPixJ9XGYcnVL1wwgcKqk40gKeFwZX8gg98oAaZIl
BLp9TRphl2xrT7ehVggfQDqY18HDq7fFmKumkpZ4Lfcuf89SLaqwh/3QSkoZLKn2DgtD50mLjObe
+i2iolIhi11vJM0f65wvakrl9IXxiQu5gd48a4qFNT6JcDj6+gkZdzd4Xa/9cgn3r/f/MJrksuGG
xf7jUDFFkkkWfv9zu7Bp+NdBgRRV5UZFsuUCTgkUBrTIifbS61RFk3y7eObiOWU4ytKXrHHn9k0v
ujHdRqjhFRJbeGcg3WP+/wBNVbHw0T+Xk26jEAnqi1w6oKymOoMUzVb2uqG/OjYuprtQEniZH3x1
GPKKeOtQaJYwrp0kE2C9/Qh4bdYSXOfNyK1dpw6owE2pmluTaXPvPddiOTltjKFNd0PY+sEeWwe1
Wb5HFtRmRtKxPY90q6jQGwtFd2sAmzcm2hMbAG8FuFDHQQlo6fhhstnxtShTwnKR2X0AgmJyMxMF
hZ0Kuu6aj3kmKziFFFFKTLI2ywu/Ke6pGvQUBJQM+V5keyT6moPcQa+CTtLEDUB3Y6djLkGz7yxZ
iO7gGt+JnFRkc+Pvcu72pnPT3TGhms36VhuWbp5Klm5lY1cllPhoUKZkeNXo/JDZ1pp9fG4qc+9g
1cZcPa4Zoh2RzWCmhujao2stVsHB3Pz2U0BuchrfOD7KIeRqF9B9EYkYuAN4N40zan5ZwRgCkRK5
8DXaMxOFbxPccsas1B2FBGetaBXcDxbtTFCqRm1HH5+HciXQC7NHmAuGw4UVRRRoqGy7c5Dc5Wwc
FLzHCZDHvrh01RLYDiFc5cBPOedU/a/T5UOiZ4uFG98Vx3Enwg5LBsTLZQKsBMK3rQwxxfJGuZrM
z+XCUU6g/1TvuEox8zhSmV13f7XHpw7qPN/D53MT2JaRR44bo/6M/5Zs6DFKjOwNhjpNr0gORgFv
ihwWBFW8zr6CbZaA/n7JwMVos7upbPRJAJ/cvRS+XgATqXK+WU4LH0qHHmvrJ8Sru1/h595klDwz
9m9C2Cns9jHLYjmBIrMcGwpQFTKODDKxab0JrzNvGpTLPgIX00ib1tHiQzyaY8XZFNagVJj2dnmm
CBurzxm3Btk3pP1Mu9s24G462IS8oz301c0lXS89PDB5sujuErL1Td+uAJd9rWOOGGcQZEM66eC9
epegtKIB4BW/CXAxK6+lKSPuIYD6G9TCxLsCAQrIOnWS0ZpVnAqL9NbxqWRs7IOYXA7GjqN5NkYO
wqnKz2tF214xUgIQnpb3ZsMw2MwzUR6JZXzc7o8YlyFTbI2nna8xOBn1jw7eMby2Ix77OLFSYjCG
MPR4eRS3Z7gFyTxKQNBe36884WmBgYLmXXgWXCzTi+SDD0g6zQpFKVWC6DwHbK8/BaOF3Eer8p37
k+aDetco/22tnmVZKYomZcP1ha141AobUAPL0bX8PHpH2st+i2IVr64X5FG0mP5d+W7nmUPpgK0L
wOEM1ToMPsnOSV6VTK58fDzusXPKqCAStOq4xyhd+x6P606p+CQwb7kGnVYF4hLtimnQLGuY8iV0
EWM8DC8b4HewhKcgkzpoW50CIQd26y0fRIFD+mTtELy3DPR9bAGbNVgcQZrluUW9V2plABYlWZ3G
IJQWB0ez3uF0vXEJha7X56coRbRk+l2CXZZ5QW6AcxQeqe7JTJ0UnnmoXlHQe048YIb7ePBRY2+/
Co4uW+ynhfBhxRdAuqnNlRvgAvovYkDWsVfFbQ+aYDtc8u1r3KlI/LdbTYb0JF/nNYjaJ3QISiUW
oqpifwYiHVeGOVnYoBUVA4VnCKG61tJxI4qCsZ41qMp8eSD6U8+vDuW1USOZlN6HFuLwbPe33k3g
Tjpn1ibM+9z69T0aZ7orrYDLTlp/j9MJ7aXDZyim2hVB4ebTnaMhleQzGVkc1ohImOXkHPdFf/iI
ZFGOpWKqYaQwnyGCa9jCfdD9Mdh10Q9Ve8JWXfo8Pxqa6RfL89kpACpyON+Iup4V0zWTMbmRzGw5
XQTa3wSGk7b+JEOrwQgsqb+lOOkcgg2fQGjThkOVbTlAFkHjWmNUEz+lUjfoUAEgmS2UFXNROgyV
WT+wniw0/DqoXPTeL2yzX6vu95/5JCrZhL5fPqJZkGXuVo2ZWex5KgwAAld3aKtbUTdr4+nNN/H8
H3vjYJs77c6HCcRcy8HiLOTN/twCrbjzpIVLyYx6z2UidaHv9OOb6cbdRXl9LL1tmbXNH2qPQvwJ
RsSiea6+fmait657UiJhBlUqtu8UDt6laV9y139evrph0jozbuPrj02ZNFx638kJdrZP8n95rYEr
lIOOgFEZWnQYr5Xq2phsMijm0zbe4+AiFdErveq5Bnde81Nz/pOGVlzv8+8IWDihEapEFVhOitDG
w0aFg/mzp1abV49VCXb4ow/MisRQHQ++V6Mzq9bvybBSgexEm7Gdoj+fj1Xm47eh/1rsI9Ve3emP
kTGc6g3uO3QraZdeKT6JICEYYbRLbXLjwLrPz5n25IzRzc8uCl1IeSYs8ZYdBJKu2eslFiYi7Gtd
pTTS2k+OnrJnKG6pii7CN6//1ZemQ2LPguQAAfFEQWCQBn1n9iGAsy70yE3zBWr4aIgsHgZTmGqs
XJf0MGo5uEyjunPMFGw3kcQ0qbQHt7MQDbiYz9+VywcSLq+SYBpbbNtc6zu8IKcAYq5cEZaQfLpK
ZBUjaGpi96+l5Vg56r56ZZ6ovmXGGIFL53Fe5N4p48+kVQ/PkNp8Yalrtv2SM3zMrw/SAjIKvrMV
y+FVELoZJdXWwAP6YON4zCJ8BPX0iws5m/EmF8nfVAZIpJPY/jSHSM9SW25/FywnGum0krhCh7x3
O91I1RZflKQmg0Ip+a3jYCsHWecq0eHXf82tuxX85w/6QcU6QURK2BViHyJxGUsv4Z99JxjuKarr
KzQAr+rH0D6VW0//Q8KzN/vHKg83+0tewphsYRAJWDLliDYyNzDt0E3UJyxxnVVCL98GZPoG8nOA
nGVzcz+QPtnz6knUvuX+WOPHVp9vOS1qUIk6yvitZE/lC5DB3op5MpWkBxClcY/wFrBV4QEQI9ve
hl4YaWq7JeNcZluRGD/LI2KZcac6+AKQiMiFI49ND3OKDDVOKjwf1qWrA0Z+727H8hTgesbzSerp
dT1WrFjdUnxoQmO83h6zgbCmgelMkNt48GMCAERgbNzMj6P6byN0UOsNXC7Sql/dRs5p9LDyMM8V
z4PUVslxfHk7oMMGsRxaH+dUYAtnid3m2t5Wf+ozauc22TAdKQC1m1BCSM7pE/W0xsc3IR7JOPfl
Vu10PlJ6Q4h56H0qltUepchNVSFOh0+Fi/RwPpO+CKgXaeQgqbITgd5giaxsRglAPfQhOWSmjrSR
mGEfVr0RQl7WVwJl4yUkg2mP+G0jYsYez4opJQlUIj7VQYpX3QfwNLGll/m6Z0MnZDtOde/e6kbF
2h34+50REQAbEwVeoRbdRK2kdlYzTFdG/49xyAc5KIxJtGy2ORz4DLUml7ljUKLK57Z64ErPcJUF
N+pdNTA1SojSsEjosGQy5kh8tiZvk5BwrsXilzFtdmWWhxKhI0h38sWqgsNjyASBrRBNKnXx7ag8
D/YxgWV7HMh4D3PvG+kV3+n54MegWYbnLeQebVlPSiZTUgQBIE7aOugnwcsAu6oI0dRaaRXzFIjb
Ws/Gnh/y+Nt9p0aotuH1m8Y3Sbs50QbNzV/27MEO1Njm05JqWC3pZ0jlWCh/frZhEuxePHP7Pjpc
7Ynv2mxOEksycMARDj13wCGatRKdwZdz8EyrAucAGDaszMERAooJd7shGC+0ZLnecGaQKro0W+MB
st7QcIu13qByh4AT6VfPw9OCFgSx9+OCYLcFKIv2qiy3rY2cdhnQExgrdWXt6vetk8zO25TL4caz
1ashlI5aFUgEtRqScfXfwVPSxyc7sj+SVmvONrp9/+nWMHY6PfJEpWzFFTlWMAcoqgYwFlJBni3z
wb5bmMynwrJ+hlC2/x6KddYZY2vELbVNcs08/rRFcQ+aR5s38zCRg8BajWlXJtgX7/nSSkKVn/EG
WM9GTaEuIH/ySl97S6g2gAF/yKnF5SNVOjn3o6glpK9BXXa2isWJzGMLHPyt64/SHPA6bBXBj3CS
ZQ7TlAcoMx9rDSG0Ql4fMXkDISx+9CaTglcdBwhmU3YCqCMeHjdvXpmryh/cgsJQSy1DCpk2NNDX
wpFvZ4G3DBZwoHvUGTAAyY8RIherxO1yY6TfJpAJMxrGM+1szlpX7gt2exXnaV2yrltq6SNzO5nR
2dHAECvdiQEafYp7kvPgwO9vLrT7OG80DochsgvJWYJ83cto7tEFeJPhePhSFdBixH3F+rgMkofm
JXYWyRpf3GobAXZsQf7qtxr3OlvX0EJ8kv83WjBs/jbpPWq+4pHoMRAoMSF8NkBr/bxTfZf7b/rX
xa2rcPZYS+FGLZE4tUu4DTeP/UxjihUk/T8lTqy65LXFKYj+4w4Ka2cUf7QO+zPamSOY6xpjHwbM
bni5aL35CNVddO5qbdutKvo1tP04P3siKsbJZ53fd9/XPZDFOkk9qLb0a32f4AlO7if/Cirjfwh+
o/fkn9I9mqXAbOQ0dzrsjT3GR3bcVvBHpCK8UxqUZOcSszO8U/rjFmkmQ/CGNeAHmcqJd0TihZUC
pmdYmun45A+MJFS5jA54LKZnzWscy2FMsCr4ENZMTKZCfF9MY/j1vXzHrfG++Oz6ByD3jCSMJSgp
sUdKY6IJXSfVz7eWhVFSoxfQQPlr12W9MYiJgtPF/9JJk557tu0B2b3WqyUTV6kkFQDibwKK/QDt
cnCcfpl0g0dT+2sBtefpowUviA+e7eFRYerkM0H+2KuBQFew+mk+2MQ3SK3bl/9zmFROG9lwX5Wi
WtGUX9qgXWIidh/V2J/vUVc2lUxmoIzA69TNzNL841cHg4iz1B383b/6Agyyl0gOptM8fOqBfvNI
9MxI6qPDadf5wPMhKegrztLJsjlSJvtyo4hkruH3cOgxo0vd7PiAzqf4PVRBjs78TfVnu+yYGbZQ
Hn/EGk5c2qbwh1l7CdZhOu9T7xe5jMCoKmRvQ8VjC2TIeZqpgWtCo0g9zr/YUWkTgO/JeC+YREDg
yivrSEA7WPSDaifXZq9fzXAbaQeT9gkRvwplIgEho1/Ik6XFNWpcdgu9IzJdUyPqa92YLfYD6b3T
alGhpew+uf52i5J13bxV7j7h2S5nnsVKNK7MLuwTnhIDGeCly+d9ayaJjhdAqANqSML+5Xfu6tHc
u3h52l5kTkUOy4yxT6KvSMeINsAq+foQ15/d+ufAzQhBdDrThs7Rx2rI3VVa7gR6OBXsahNW9jU5
sknZkDrmxq40zgzDItXK02B+W5MAnVfk2o942dvLzxnQcY3m/EgyqTXdy97xs2gN7PzXNpXP3u9L
feyvgxzCzsBmVogG3Cq0QLe7O5Z+xKDk+/oEmJtHc4oxIAJwqKB3Tj3au6p0D7lTsaY/GkrCvIPT
nFGfJnUQ2lcLXoGYcNn8b40KHpd2pClm39yt6mSCr6Jce8DSlaPqyaazsJ6D86ISA0witPY+pnub
EZ8uamAUazs+yCXVN8eEccvhy8fe21PvYn7HrAKX7QQ6LxQsNdDehuFJ1haCQXafEf/+ZU4HYMgf
1MAU2gmF4FSqXSWIUzWCIznclI1Y8yzeUXtcWWuHSGSYIAN4KDsr5mIJCQfd8ydlW2znXDBf+sSz
daSJ04dSABwKOc0v3mLNL6kCBJSBbzgVjxZY42K7PyB2N++iOmOe+SsYorhA+nVWnKmlfpxQB2Am
5qeRLMSLcwjFDHqfuRmwPIlZTXL0QBxi+601BU7C4FaY1Y3otCz9v0rlmebhQM1HmbKYyBHJVNZb
H0L+nedw4XRw9B8LOu/IukWwwBZDjgb6aMA8HPs+LcDaeHKKTXSFioJHBdIpVLtems91fFnpSeC6
6XVox2l9qYlJyqE/Qn+qtXII9iOsqMRkSSwtFZCAi7Bb1Fgj62yvzvCgPWIBSYZxEjY40IWezJR5
squa3FrpPsW9nGxtV8aQUgQ2acQvhtIma087C8fM9+020sF28XxDA/Jbmv2h9HyxdwDg3Bh0V2oE
5g7SLmen4Li1rX0GWOj+vIo6ltAAuQ8gygw5IK7c7QPuUjzvvTkcsrc0H36SBaxvoN7pB9wzc1CV
YrD1sx6Ti4crEG90bqkYR5/hmRFallD6QDUamkasuG+0VKl8Ha31cgYVbK6SZWMIsRkyf1b2Apk6
HSbjFL7NBBzxZAdafrSgOaxi3FEO4wXanLbKAWfehawC32PHE8phCP5obcezZSHATRAdgHVRHdA9
PYnBMf1FZvMQMhccnQwGslSbEAuoAXL5T/y+l7N9CPEttWbaAEbFloOGJsEOh7nZ7HaXi7Z8cnBr
ENe6M2k3cqBtUSl1n7THzTclNaW3mY+6Rx+QPfnyT7YS6/XG1Eh+yZ5ozmXHhexhUOzJdB8xZtyV
3kmLGaMw7loIP6LdDUsSnMFrlmJ4ZJ5sRwdOxB/Zvo866HNzS1+5dw3eDWPmVotCdmn7pU8aICVS
TSuSx6Nn1vS89zAZY2jEQfF37tnAvEPuzIDyrvxUGJoWaKnxPwRuFOenmhx9uU2fCBymbjnZ/D/e
ydHI9wuWAsOvJrt9OTjpuX1lAMviPDcNw02lpi7USxKIEdGyHpIXXTaI2IABZD0zze9G/WHNwhm+
QcFAQKonmAN8A59Jhv2RDOk9yjtMRCef/QxZb1AuoDNp27N/kloWnXr3Lk+h41/+I12gq0Ux8ffc
r22uucBt1w2XpSI1vvHzsUDMszXgwhtOM4K727w25nsBM9Epfb6lKwYQpb5NgGgxAGfbwhTYFSrL
dHRGLqMk13b3HYUzj/FUXagmCIllAqbTaHMvqe/cGShrx8QzfpVNmOnzecuJwWMtk5XwP8vbRxXz
OL7E8YPrb7b8cAn+XMxw2v0V8+GWSXiMWAXtKAS/dK5w2FTI8YEfKOknuH5aySL5iC6gCl98JA5s
GL2EKKk6RAheoyWZGReA76eshRUYfMBMVTquYgg0Tz0Hu84uttBs+ej2vCHJfMAr+kydNd3KV3xw
5DxeCAKOsq6S0ww/QS3rG6fCR5rMbrAhpVXuK1pO3EEJFnwxTiqaRZoSfmMMXzsNt9A/0W02GiUw
tkDvyFlCCZNqh28gmwrgSTAzaInOSpDqHezY3aKIK7OfBYWPJj46BouXfjQm8vtsF0MWJZXdorve
5RzpH4Gfqe7aw945zQjIKVoFJncqMAre01eM7Vp/i4/J6LEGsHKd9MzQ6KJRtPkwfK4vLJRhqcTK
qVwOATu82SHBCpLMYs0Xx7ePegQuN1UaLd3IawzqwmzJMyF0vW3qaL2felObFzFc2AlghD+ebZle
1091k0grEnLkYDcCNqFcUUu1mY/qGXhTYLikLgjJuPvKzoHLJ5zcJYc9R+DfposAu6i6KWiU036j
2c8n+sGdEI9PoU3ox99U7BIIsrg9K0I/H5Wi1pQO9Kwpm1npELcFmdi9JfXZc4aOabaD9DjFXufQ
huxf9fuLpij4nZaWcPK77m42ZqYc9gvIHUad0XGFb/RC8hDsz0dg/75no7JHS9sJrJ3tfGkd7/pY
tqCw+GWOCEYW0Hv3fRH2VFaEwR1t20umfEUalbAWXZ4eLxQ0xuG6EehGD8twAA10/Yw2HuulqzbF
4q+c6TGNHRU6gjVLekrKWOM3WbG/cFrdHqXdeNOgUZYjtS82oUGSEwsbtl2oNxbKOAeArhnT8uAS
eiIKR83KnRjoAiexAA36hJtYGJurQCUeKVacdYpDuMJysDYXD1XCkMCird3v0xuy7F074nrOvcd9
QP19Y5l/Tf7Ttzr7pVBPChMWCNyRlfp3lQnPklByQCn7f+QpDY9xurg3TRxKOXtC2oaRrOzkrGGk
t1jfFqDbDVI86NBM0+LXpauKs55vS18aRHxT7Fie2srEKBQhfdykgPrMhg4LF1fjj5TPS3Jq/coY
yD9YAnOCTEbh+BIXF3XBnmHNQMdTuDRWsEXpw8QpWonVay0/tIc3unhfiYC3C9Yt7I9Kn4qyyNGl
Sx3Jaqk0Wkcg12GfjwSOWqmmRhvxd5wvTDBCycdCiGj0dvoy4mXFUDIj8Oz9wotIm49hN7wpwkzo
G3zwuk40FYCrPUAJXul/nVX5X7/JZGaEOYo5L/flP3bue0U5fbrP8VNUUI70CzXtNragLxVjaTZN
46M7McJbiSm8YqkzEm0W6oA8+0PGK6jx0EI7GSWAj1xCaRdgNNugtDTc5JWyvCqCXp71EX0RH6rO
/0slLQVwSunIdjtfqFWYp/wKL5w6pI8I6w13DRXUU4b8J88agh73icOXx0k39c2kWx9Qbd20aXXW
4gYSNSQGPmxdJa7s3bI8XIrhYvhxV7jhgk7d1XKklzTkRlOs1FahLpEObJxaDDV+Iy+93HxMn3zq
x5xd19smvMQ+F2a9a8/LxEirxUN8p1NDmZstYBO+o5RvfmniLQYW6ECB13dUGTUnEm0FLoqE9Drm
E//m62bgyg6W1e92dkeUJPmrLgfw1C+HBqYvLIEtt0NFeIrsuReXFi6k+q8ce3GnZo8ZoJPEP/zM
F0qIFqGDug5TCyJdcOrfruC4eHtZQOAaEdsoGtznn3kKsfp+pxEagTuyP0ymseCn2SDHB6Cmr8kG
LhA/iDQkcNxwm6qxbMcOjpkV6I9VlLAmtpjnpE5fnDL7cCKdPudXv155EVsW9LU/tKLqlrr9vlUA
ZIkbbR3jjnQkX74QjweSfe5MC4qGotzKfyGCLAbVPabIdEO0Y3a8JLSozEBlJ0Nc6LC5K39AKx/G
3Pz12Admrm+HBDKint0jdNrujffbZLt0wN8M8BCRXgcemqyetva5R+rn4sHRq8a0akrpfH1vLE/d
TPmub9ndIzH8O5OX5i/Mi7oDJZEKJlYpS6ohy/MfwdBuYp7fofQXh2xzdx3JUaM+/DtOD/vrw2/5
G8nJRiPTQZ64Ai9IJ4JZnJ97gJNl/HIYMuJyWGaI43w6gDZIL4sxfXJLOv2PZFf2TGt2aHbVQbkz
4MzOg33eOpILzCLyPYc+Po4f9jKjc0Td5h6a1eAomLEEVEFGLfT4KIcbiVxue1N8zIN/fAV/h4U7
hsOfApXsYBCBSb1XEiuCyB3o7svBiAEYrJNuLO5onh9chjbOWFy6jPohVoqj55UtCi9NtBQWzEZK
4lqAxY5v/S4i7KaTOQUvx2Dh3Il/3Qf8tRdX9jrf+CCgeLfQpHucwtu4NsK9eibbBxzp0+oqhR57
AjA9Ksj6JKcq4eg810SX9T4qQ6NTqp30cBLayZYPla/FdWcMLp77a7ZGwHbtTZJHKdF5gZY8k3RA
Xw5//5cr5jqPU6LQgsd9dqedJq1KsCaVkU0EmbueASb0aF6AAZHfpk/TWqGwI/n6wCgElZRX9768
gj6nwjKQOnj23rj3uoac6pPSKI4vVkyyqZCsufSLKhRDoLuLBz/MXzMV7qmC/tYxXoVYT1MUK9Tv
ztNczBApivclFJCe/rfy8BA7I37lGadxLtLTGDPJ8qj36wdwgtjzEDd1DAL2nkcOdtA8mM8Bb2mI
PdtyU2Cw24laJm0JwkUBW44V1X2pH+4j3P3DbwNrQFowDTIjaelWG09hsvcDZBIAeR3ShEfLLd1t
S7FeDrwIuNP7AwCaAXo954GN+QHa7eWW2siZZKPfbeQSqzIUZINfC+3V/y4StzsH5f32G6yvv5Pd
o1J5IBFWewhT8eV7HMxHYTcjU3+7vcyAJ2tVVhXgxSp7WyjFKHWLnP0Hbm+LAp6TodRaac6Yv5GI
MwDD+WiVYuAzrb0/HzUDrlLUOSl5AF/L+N0mlsQaoS1IdpACrh362chG5SdSbAPyh0TE7jZPmkYf
xEOYRD/BQaQuKYHnlTuHSsbrJbB4Sddwou4hJwxFfYpiUHZ9gAaY50BTAT5mcylSR/2ocaiC5neq
na6LG+F4Fw5xH+2BVrdGinD8YvSkyXjj2qYeeUMLAIyy94UaN14AfLCnOSMcffSkHcx3MBUjmceg
XYxwOQJHYh7oaADCH+Re/rmCSUpyqHFldHCAHs2n+3sfnTlu+tPn2gw+waHPcXi8Q5xlFihB0fy9
HaXGK0insxUwHoQ5vrsRvouwzW1KmVDABAAX2ZxzZ6LkS8SP+e2fSF077thRSkbnuk6+b6EFTg6f
eMGrMumh5iI25tEJ8v5jgAZs3zmTK6Oz+f2NZ1yGQHcqBdjA8OfE9ZuJYKZIxqagRUv0seqb6c7i
YOPO94fu3bbMrVLl4u4rT+4jPulFFsNCXyPrjIC+Q1e/KIKoGYB0c1AOElewdBRuTiy7odteZrZ5
CY6neKRASmcZgbxgXgrMnPkw17/jq6U3xs6tpzsFCIhS7RRVBzNMy1Lyn9xLEqZUaRiiFYmP1n2b
QBxd3ZHqdhvPk+OaGeqaEjxEjxOc6hylk9H4JnthnaRcpoC4EjU20QPR8Rfl/T7uPAXQlKs8VFoD
leJQNyYoN4g7hWO75692YnJqti/U88gTUUg5OGrrkr844ksP7cIskY8TAlEjnnK+bh8S7ZMe47i2
FBihC9BopE6GF1+vNbgWzbnNx3Z0SQ8T+Sn38s8NwF9ZtQHVkWt+0JAXa1ShEya3DpSCdREeQ6ls
V01lCIDZQ2QS+YBiAfjqp2NjmZqR2nVNEOHSWzNuFecUxNpCPQY0kvZkT1OhOseBNm11uCZE3WS0
6Gs0OYeoG85p++/7PdxC2gEbsIla3t7ahXPLgfZeuN/iRc4e9F69feX2O8ygYIG2aOFTrsS1w/yb
ZdkFKTWeCkLwN0ncpMvv6z43U5ilcBgaKK5cp5YTOS3vxQ+FTt/hITZfYptOTeDCMA7LVXRheoMm
bBYnK0E6lwyUMDRNFj7/2kCVQ1V4RzbZVNUtHsMPQ2izM8TY2rL8/k+iJN9ri7ZCu7nqp/DlpAh9
cnGayrk9WWsskt64sclwLheOqsuPv0XbEdLShBjhU5K1w8By7nT7Apeb/9xZAz46hOzCuycfUWvl
tBdA5iC0CWaR+QNusnPqoZqqg+EDfivUQYD8ai0DWTdSGXp5G+/436fBRJLpImuCFCxdRN4C8xxq
FZFvgXlIPaPwEC065Mp1SZ9kC71YhrcIroNCpPFPBfa2qQ3jjRls+S2K2QpoMvo0m+900v49+AsG
QGm9uFErZBKNDllDWc2iF7aORfgKsEWuKtmzofmhyTn2hrmNSFtcMcjmryDzNB5fj18O74mooT4x
XwNAqFpS5FIG0H2VHy6TNiixruPZmKBesR5LdX8hdVSVIs8su1CIsIij4K9UiRksCkePU9rYAPps
rqY30PqltIXSPB36ycKFROTQMXLeWJtiT9Mj9pSMF4+ArvsV5uAN/9aYFaNy065+i6NItFSP9iJL
TNbqLKJLzEreyLgaivTtkLuOwCGBE4ElBboZQG8FKQQ21IFkJw74SgCh0/1ai9oDDvDE24UtF6fk
xe59rWeYad7ox0JJ5SKjQQ9pjYTTu83rnyaT1xw6Jo6eHhqq+fu7AXNLWfLyI1pRwjjvJwTocDB5
0e8oHyFnEHy2JQYZjiDTkqwR1cr2jowHwQwgw74YofBOxxqpaItyQF5i4/SlSDTjRPuxQb6M3VRi
0nOZViXc+z+CDOkxx2jqkKZpH+I0agFGNtylmjO5C+l4AGFsfj/eqFRs2gML6ZHXXQ6bvLSMRxp8
XbDsr7G6Y8sXRC3lzgJmEAayU4chfOtuvFAmNdkuHOpL0mhkaeOuILzQuLA+H/leGpfGI5Osq6Nd
XAePdf6uzLq8y2RapNdBue1CWIofoJhWanEcthco2q2xywpujBA1naURCTnJtx+Z6/gTRFkoEqzP
Xe5gypNegalVMW1l6Xfdr3VShq7/D/pDn/jlmAdsq6ySB/oOhZB7Kgjo8z1k04iiTG8sbqrCBLUp
fzz7+AgrCxX/aOID787Aw5kAXl/oX4k9CvQTsh+DCVZOmDgv8yv5QxTlJHuJdLKy6wqgIQL0WB2L
kSND0rlAiGcC2Sx4i2qH/xhb7+GdRgKSdcPHZxt0a2KMz9sC+zKEVcKEfLVwrMQyTXz1b9n/kUu6
r5euKrsKVR6V8Hx06LTFc76jTOO+D1KgiYhkJiWm+utCyfspCDKKJWN3ZGjZgglPv6n46vinQVom
CMsMrW9tWJui5gfQudjzjBKXEigX2qOdKxI071xguwM7DqGMNk+TZRCjbnCiAmppqBeC+QVa1Pe9
95wEowSxzLAoqrn6xS1OZgu0CD68zTkS0aIj9Mp1nz+yHf756jkXyHtcy5z06BcRLufDvnmya7II
j19kqs/eV4qZRSUYFxdqCD5z67qqO/SGAj+03JSrFQipNUTF3bMrKfKQjA7VdQd97ffVvJtcIZLV
U9UJM9lxOPgOLTmuRTFHCLnX7EKrJoKDmELaD17da2uWKvfMMlITU0LVEj5qcGkuSh4dXARxSJmq
54PIYjV+SYq4Cyes4d93b8fSODI9SRr+tjLdMs7EQ6SwW1bs0F5pyrXsC0xHuy8B8RuYU3qBGzVC
tZQRgCVFPRmGz2X9tG5UTombCk0ZC0p4IJtk9ZObbN9vuW5a5XUDXdvpTktbWXRiLbf0zYiA3apj
F4mlqHGMTH5wRkT1aiUamd7+hMXw7TpTYF2nEuqQid0td1SvjuY+ak5AkaKsKkKGrW/yRKjePimy
cw93NUNzZmUh4Gn0PHyAIRUbpTGlU8YrvD/9vIR1rKxa9UVL8KhMKwI6pEhxQWbghLq1y3s9nGN+
5a/0p9pa7xAFpu74qQAHuovG3CeZjvnv/29wYmvHPhDgfN+Yz8hH+eCcgkkpvdomaXaOKUyqkk9l
rmUgfphGfnpMrhK29xhpeOTTGGb1Mq917jAO81BI6wIGIe1AUSlzcG/09NyERbWB335vCHrTy/ck
OosDt0tPw+a30PXG/JS12aylqyeb9Gd9J6EV/RideSBn0qBk9if7Ts2IREgfKJcQw08j69qZNEk9
NFyyEdLNh77XP5tckwax+pptoH3TqS6PM9CYhM1Ly0+cD2NoMD1XhL63nB98jA4FxsoiAL6ElhHB
JOx+0/ZYCEZvxXU17M2Xf7eZUqhmSAZfXBOTE7WORC9Z5wQcMeGUf2DwclCFy98LvjoXLBooQX2+
8SGEo9aIipKbtykj7sNQdk0avWrxtN1prFpKFex8Q5AlyAclPrylE4tvyKo13PIO7WuQ+UeM80+r
3ECFUyALpA8M+jAm1Jwzu9bsYCe1Nn85p69gHOWgm1XMIn4gi0H//0aTRq68P0uR6Ssd2j/JpX0q
3S/RXEXbHJ5HSqrtN43yLehNiZmfVbltmpGc6gQGQAVXGg6R0vyI6srjzg5JYn1bYTcbibXTMdCY
VbcXvBX3NS0vpVDUMq07/v1CJ+wAWJd+F2w7REm2eVZBSwIbqhHiMF5jqq9r5EIDe+F2b7OUD2op
KPj8jmhsftG5xA+N0O3RtrYndRaL53CoKhE+vdxkkDStANlHk5xPz7tfdCXr5P2/EcoK+YjKBfMn
4wZyl5hlkwgLRzf0xh0nLT30I74WabQSUMSCY78jPU2ODJ3/fZJHO5et/tCu0nA7pLHotv2ngdOU
YaKjoZHerNaWCCKTQu3yUTw3R7GVFFCxuWw7+Mh/MGRiwVhwFFtzPPhAelTTnTQJvu+QAZxu24QT
SKgRWuarCcAibOcx7rdsORa7qnl366Mcf4vjhqMSJH7OBDF9J8tfBNW0mFNVWSQOYOoiwl2D9OQm
lCKLZX5J1C77JLhu+bJ9pb5JemtWsL0ouzOoruoifwaG0LbI5XYHai3baBbJTP91CdLZRpPd52h7
3pdsO33cGxKYW+clyqCICyVh8uiW9YsTNrC3LkGlQAQfyUc4gm3puuIy09onF+ShQjbLo+x7JM10
s8khpN3QCxt2GxRu194XHbaoR7cgU6l8jl27lFZC6oCtRaEeU7HTLgK3JyyIcwIH7S518GLIjzln
v4u2w3mg/8P0IOAJD7N/5mJkBjLQ5Yo0zvBhR/Jq++QaYdemusX6Olv6Xvfb8JimqoOwmd7Prllr
H2W9kSyRLhUsvE03rVyLpKEnrr3EzcPV5B46DBqd6tfYaqntB2ZtADN/zITBdR/3G7gup+BJ5mzR
lRjMm2rKSo0Zt1Qrw8N6tfIJSSE+fhPwPHTX2h39krK8XowctqEoL6s8MAOqwJCkA03K8u/77ZMU
feqOgEF9kya1vSZrx2V1odIwNn+Bbiw4qCRQ1uPzl9z4QpTpqgix77/UhogAH5NtdhFBF9PxczTN
MJkzf8EpSUtxIW0Y5gTPZPIUaFPkwebEfmOCfV20r7OUNHckq3vT9/B17pHFIcm/yFNOz7/JKIvx
dHNIFc/ufScWhEIaleytDrucyxGqCsxsBh714BFx2vLw1ctvPzNv22aMecUA4a9JSkJtDrJaEdeW
Yp+eifx9dqSbR8YXfuqWaxGSEJ9XK1r8R9TOAcb57OJnpK5hYI+GIKf8j8mT5cFYGWuR9/AjgtWk
+/T2zqX+4lUMjezH/msD3ipGQt1W+TkNsjjPsh0whCAyTdv2x01NSUPFYRgcpjWcchpAhnqjoHRR
zVRFZnAmbI7h14x38QoxJhOPg6hbzZ016WSxXT9ywrToGNX+dugTb+CJOrYXU3A3HSyH3tls9Bcq
wCl0ATWP3TRDO/TfrEfKMos6vmh0mI8XR+5fsdG9gVEBliTae5IqRKhfTaU1tpQkI1Taj2UlqZRj
UhTVlXpwsqOzi+ArjrkVd7kluAVE+rbTyrLl2GRq2lIgdH94SOwhRROoJkivjoRGtXB7CbQar1AS
OKAC2neKogU0Y/7Qh8+1qzVcaEAf+GJITY6yoqUJOF2nLGihRW7y40chpO0MYUG1NXBq8tgpTSF+
2pgh14++Ouk7tcS2i4OOUdO9U4kOd64BbeqHe/pSKSO7A6GCTio8bUwPW/AKiZwgw+qI4WISNfcm
pEb7ZCtjvgTLUpj+U/WIXorB+bHi+lOZf1GPrDeL0xan5l7KvY/ciMtaH+1/mt6BJGsiXL5x2plC
Oha0k+p8kI2AQMYVAt2DUPpxUjWTPQYXzMo7Z98Jj28xu/zF+8xaHPzmcNX9UKip1q0rGyd+dw9R
SqzOL4ylO6M1YzoAXjqPiBHYHilB4FUZHIwBQCFUIbRMfhfFe90jiuYZeC1z3NIiH84qZOaXzuHL
PSZw9lxN/kn1GnoQ8yGeraBk8FLFe0ZPP2JkQQ95BG0kDgUq0yKdHPrNoMLFWKSv93BC7xiy+buQ
vakDWpbjAeOB8uZUj8QM1u+vjv5mjojrnzW5r30XIst168Ij/cXejRnvin7B+X7hJ7rUTMvQku4K
ipDFQwIbby+wOLktbKhs9cbiKMIRtyjLn5CnqLWGCj4qTv3jvgZJTRNdsE/sRvW9SU4FmSIDq0BT
YmkufvP+mWVT3gr/j9dVMBHgF/xO29x6H6/oj6ccd7ixU2OwBF+u7fzqVKcypTypfAp/KFakYOit
5Z/VEVHpIAHQ/HR9uTBuNkOaeYDjlXwkHQvzbS6cuuoBIYDP0xpD1w37Xu9KVNYWTV+Gjp4DWH68
mSFgifbfjgWLd2sCIH9gp2WlL8Uh8qeoiKb4bR0bUKUuo/U0N8NpIf/gHNPOABxNtPEXWPvT3hX5
5kGuWFfNlPUnqEgNBzv08PUAzYHqqD3M/i8xHvrZfTEdzkewpKICQEjkVsWk0NKpxqGB/ErKzRKy
g4E+m80s041vwKN2cmx/4/LsT48lN5Lp8gbVFM+s8aa3u/g4EOWkp7w5bPISHLtS2tvCCgsJaCUS
ke4Kt8IeCK24a7bxk2mY9qhZuM+UajgM365Cbtz6/qJz1a+2PPrLfMXBepZKY46LZ3+LCfcJX6wb
xHZpFfimD0Myfthk7uc4iJ9JAcOVkT8Wpze69prEWujRhWHhLfgBqELDNEyLVOT+SXMdWvCCIK7s
PsP/Tn64IXt7nf849hkRwIriMtgLYONuXCEgMzKzhzmzndbq7VUxOV6TqDsD/vrDj5jpdpI+VYbi
t3MqCEdnzhLMlUgIfWIc65baCVNaotwUllWUS/ih/JFT7QCMLwQUigmp0lkxzWxTzq6aza8P5i7S
ztPy3k7G9TFss03b0p8G/U2Mngft/hgGAmH6BIpaH66kWEnMz6y5/Fou+y4ewxvOX4M/1jJsX5Ag
zu/nhkpL5rEmw/cERMPGeuf1ZV6gRdRcYKmxQcK3ByixKn0hLmpN2XR0ofe09eRntCVUuNzcK8hC
ajQKhhOio0h0emC/P6MIqNCRENbDHvOfwAvK4XPHG2CvnM3mLU/NNKkwg65R/3mqT0lHvI6RONoZ
qQB0KFfkjcjUuy7f1QeWnsg4h16pK1zRhH6Y0J0fxuL0B25ZKyVJPnr6d7vvN5GgGnzkxqFl7JX4
px8yRveMx+cbFAkHa6QXJknMDJjdqlZNMLuiT47ofCMn8P4kQxkW7lbbjq+52WN+NOxMeg0bprt3
vDSekxSDmpG4yNhZD2V2o9hJd5KWvx+ky8JoiLpYzvoAfFIK1lC3BrNIkKwfpYizyKlGXolI0L7V
AUSoY42ed7QWaNX908yg7AnA7RUKGbKDuLr2C/Yy8LPwA+h9XpDn8oRdxtOPiaJo6FbClboet3OE
4R6y0XKTXoXLu5+LadSl6cmyMjvpNywn7RE2pXSZadA4LNcg86ELK9USIyvXkQn8zq/u7HUUL/kK
brIhGoZtFaoinQf2lUUP+to2z1eH1FK+vhXrqjqo7/M7s/EiiV3nYBk0SrrBDz+662cz67NUni5u
aZDBnrN8R/ekZ6XfY+Gh8AKvWGKGGwgvV8AmEMOliJFDnG6gPIpO2UPuAwHd9XUvzdg26eQQRMHZ
z6/41pLdLvJPEhGVd8lEwSg1OvEesbyRBGmg1JocHBwOECfipduWYYr+WZNsQqHv62eH1e1Juaut
EfV24/i3FamJOpLzet5hCbAWv9qWtqBO9Xg3qcNiWGf19rX3/TSJsSqnhmbF6WC0A296LDcGqofe
dyn8MxTb374Ii9PuiR42HUMQ/uMauSHJ/+VGr8j2eEeAr0ZQ3QOKuh0cLuEQrVGAXSfpYlguu96Y
UTZvZGSxGSH72KzSEHbAj6HKHZ7cTuWXvxpyoO6Wu4wVwqa4KdcoxVNozTkhkwoUs1o0zux1gdkn
O/5isx4pvmH75kV5SsJcEJ1WhuUTbX7njbfD02+OzNdo7Abpb0/tXJvcPh0GU253V7DGJtKlmOpp
XjKrTJr9FUnpqQ3Bnq07RXSr580edfqRCC3Bq1DjAWLFSMPMAxo4w+h3QJia4zIJAr4KUpr8FaJr
b5tRQkKgpPREELI9PzLP1nZCKU4+u8LPsK9XPnW31geY0UqGHGrtTKMYfv8GIQayD6cacZIy/HI5
uMXrRjT4bpPPxYVd0zS7CjhMp5laDCZAKMeFswzqp1b5bh6hOromRQwmXe/VjtBY2oFOCKkaJmdZ
vyi3evRu7LtRZNJIyupobNRQZWc2xtxO56N0DJwgSRJ18qGg1yqJoIRnkWu9oUVmDuMXF617RCLL
yVMgQGjHVBYNS8Usu0cWTgksKZ2/n5RPwiyDCR5v1/qlkUZhsJVx0AlRZP0BIFCWWZyoPJ3/hJOO
AlG7MXjkEISu3rw75vGNQwQ2CQTgSm33mXZbLiikIwHV75sX+hrT+eG2T2SJnOaV1QPyvedaMrzp
XV85wn9YP3HFjGmTx6Fxa6cpWxnjIg9z6LHP2dsSzQDGc6Zwdeht3Z2sdRtMLqeZvSWolSidpoSC
XSgjeeQb1jvojU7wYoYmt3/bjMjqozIHOHLkKnSRI3vyd9sH+GQW9KCTwzIfzf4NUkT8+1QpkHFF
2ajhkfeSQbR+eixGdYaK6Q9SmsxHVi9WGHYRYtAg+7R0X8pMMZzVVKp9GiijK0nAleuz03MopUFX
0WmPUB7pA8lD3CJlCQWp/1oCouu0tzem4mhXPDgWKVN3dkrccVkXfAX9Ayv7A15wHRqPEqGt/kqd
yAZLF2K9tKMxkBFQxiXJnocbFY7auywhOMXr/tB7CLOjbSG1xoXM5vZCnDddLkymrkP/dQg0Kh6k
TV+Xu7EDJ572ni3puJMDe6lfP3pW2wy5fmE0SZxYjAWcfjW/qkvYhNRCD1pExiN7Ay0pxzdGWK+B
6O7bu+UIKgnUpwMOAacBPX8v8RNJEmI7Ks0iA4ijm+/wsvpXZGEgo1FnrjW3IYMkVBTK16KCtb/O
r7dliZD+PdZgruxb7GlGk3b7ACKkEI2kLqwH84E56cj3R1eZKA6KrD4JudFX7Z+EtxFQpX4k+UIP
KO4/rOsk76p+oPke1mXr5MlOPa0UrHgvz7BQSIj8daHP8BhomLi8Q7TlYITRYxbGLlb/ytm1pVw6
NV7lYo/QfhHlq5KWr0Zl0xR7t6nfCFJyQSPha/W5I+CrYXMbSaLhH449nzpwQNz7PuxhkNS9TFBq
yiqBSixe1htC9C/10j9lrO5AEgs3UwkDDoAJ5bI3DFgJ6teEnaKY6PA1h6X+pAASPH0o8wRklDcl
+FNA8LShQACEHFSyYwXl+hssqzQr6/yLKi5y5Vm4IsiJioTy9qAFoAe0CvL3o2LDsoxhv3J1DjQt
cDEkRn6MEzm9PawlsqT9++BfvHO3vhaPRjWj491T224MsR26ojzOgHNuE5vcueF670DFsN86PfPL
DqOrz2S6VBYqJXZxsIRptHBgohobPSOkdjMrvA0d1fb66oXW1Xxc/dpREKdYbj2bbpPhHq4mA7Zf
hdABF+wpbS0AQ3ynax7iyDZyKDLlAdfGh2rNMd/fn9NNWyLHDjrNcBlb3mVoY+CSg2F84muNDNs5
d9jH4m1fXaBS/T3aLqEQZDf4AbYZOSnamYgXGjnoUqk9ybf92XeKV0M/TYnRODDiMCCsMhZRLWAE
cACm6rxcwKnK/ybSgKrmt9EbFMFQs+MYWSwTbXHP7xn8amJUzMJPdbF1xVbP8uOrBFBjZE8vtbco
6s6PVeXf51WtauykALYc4Lnwj1jOQ4LSbiHYZaDH2QX48o+LvHsUnE/Jd8gKtmTGzw6vsdRJf/k/
YONJMeNgxAB6F95BaYe9W+1ci5f44rRlftGf3cdc+U9sKx6Y2ccpGC36piVfwHmvvMJ4ag/crAzb
YdTnCEaYfS3/W4WaBIvqLlACL8nmJ9NzIStIlkiqxpQjkIZaCmWHyBLLbkDYmtdU6ZBgKoobdxXY
G6llFPRZkPa6yV972RUyI80DkpRPwWkFMSfm20enudUp5nNP3+UDFV38jgRjfArIBjh1zcF3bjOX
Ox6O1FgrPqERNZ0zvLS+p5iZ/0MrHEvnFvKpVgIaTaxOVBaM0XnXt5t/5M29VmFauiaQvxdX21iJ
Ew8U3lPOMxWYB9I8H/n8zGXdDDmD6I6exWgyKvbNoOYt2eQpUJHPaJu5ZanEN7Ch/nBvqOiXUW3K
iQnKXi5eg4HxsDCzQ4wVv9wVULQTKUQMjSSU2tkFTXmBy+NqoiA0CxCLsHgoPwqZPCaOAk9t+GQk
gpXYajoTrcMOyrKxK1WigjINNSYKdvsHU+J8UTiAUvEPvAfizPNBFvrLaJFH7h8za/ujZHb7nQJK
AS7hx4ghz9Cf6msn2mWpGdvriwf/G7gX8M5AvSK7NPRjuy7d+PLNmOr978eCKcoqtsJ/0rOl5JkP
mWjqR1S7XOudP9jp60krWEywRtSaQhYJ0AjgcSk5H1gXvyBrCOjC/oMFn7u+cvvaz1qWXSqZEaot
K5M/jNwRiTcQuBI6R70fKymZW0/5fmX6WR/GmDY4tOHQs4PhcsEsW8vEOQMURcY8/fhh+Ud2FeW0
hg1OvGh+07djLIuJk4vZAayY5O+HrSKMFTUddGC37lTOP21k63DowZeBUcPtjpfGbk1/aqbzZ828
yDOakeZEMyk2IYiQFd8DNrgIJGP7+f23kzD0rSAdaKouOjmnYlDhODp83KX+2xpdqu3BC8UdrkeB
bSdJUOIxwE67u1BoCBOnG45+x4OBGaFjBgX6566F/yeKNTYQ5F5muaWg2VpjtxaYq/4VFoDeuIo8
bAKb9EP12nlcRKTx7Vl6itAhhCuCjNUZZW4A1uhfkcTxh5RXNLtPV6/oknlCZzjCwZHWqZZh8ZBj
CgX0F9fcfqWpI+uTBSHW7MgwbpKz+6rND8KpolZxZrs9M6JKUSnRYa/HqKGFXM6/V36APecCochv
IK8qzVd4APoMIdwLDIdqs4RDLLJ6YKSMljv8R47fAH1aQLuddkIXWzGvVvW4OijvuqL+8+ru22L4
8gDmDil0nUescWV5vp03U3Vsnl3E5ZzSrmIvJMv5fPznP759ytd4Z5084hprL4posd1HZGcPBub+
KTzRMzSa0A0mQLR1vmhsyR/MbaJ85DEcpZeWcO+6UMXnRmiFQ4N+0xB+8yVgIo8liWeRoxjgpMVj
vGgOpI+ckL+ld62ENLeLaSKW7SBRae+ZnAPfSrO+8vhXq3GfAg21ZqhL9/H3nqabEH0EyNa2aYJj
pcUlUjzMQhmmd+5229cXcdAb/cPPMY7uWok5sD2ROOh3qKOUF7X5/s3ZqxBlo/QNjMoGEcwbTyuG
752SfBVCtAS9Kaxxz5nT+AnjdlUWxLSYQ+GOqFS6noVsrL1o8u2h+asiL5nMZnbtOe6j6D9bPmpE
Br8ZTyA1VIDwqg14rwGkQtS5vJL+0bAV20SStsixi9JBNv+9FuwsiqZvpUL6wWMgQdGPMKiwDgC4
pWbTKH2nQ7gTY9T5yWBeAv+FXG/vgHP/wqb5uVQjEpw0yVi7bWetPQf4f0g2yTJmtj0TqdMM8oaf
myt7VZ7JpAg1kuQdQU8lMr1F+A8TZI5cIF670cvNpsfTAMtad3Iq2Cd2OH/4P+L6iNaaWOf1tTFR
T+5iWcQoP3+VwxvgthhxPIdyzQa1y47FK3PXqe6Myayaag1fFy2bAY/1fjRcOZhAGwg399l0IGG3
b7nr832KkLBE4/Q58KiefpPQMC+9DOVQFvNr8iM4bnP1XedYzrSVX4YTMmdSo4bHfgbNp+Dsh9Hf
QEFpF4AjCWJM+iDdsAhbmlrewgZ84Zso0p0PgCj7c3ufEe2rp5MUFS4dK51S9TDWpIZS9Pk3Tcta
v7ZQBXeBIe7KcJXaOY9QKJjS3s6ANDoMhDwbMN5tN2hJ4lAPdygTfRPqmhlKKES3oYhuQ6pSgk2g
g/nNWjJPQ+oG4w32brCyICjow9TD5uktspS8xVpOu6Ru5yRS33UpRDtm8ZttezSX0AAY9baPsYMF
urx00mg4zGozvK+88jEg2HoAxO8OHK+8luagOr0Co+BNU/wFXc0qOXrx7RBdXd1P+eto8s5dsTYd
L71L4vyLVnWJC/aOfQ981ZDydKLYYQdiugHj4/D2BB9kquUQZgEDzj83uJoYN4P03TQFPp1HVcR0
V3H292N+u6TpXZRFt2vg4ySeYoDK+1LEeU0DyXGVx7IqFbRbIgZzYCRL4Xj/S/iWIUegsZ3vdWBu
l+LjVtQJwjiyx1FDwUUCmhV9MFCCTJ1BafOUtNpUiKbxq4E2sQFdw/ehyqtMvVM5BViszK5nEVKR
nINaZ4pGWHI9+lvNdruPsqXA+8CTVZzGdnBOM02tyQsTe6EqPRTpvI9U7eF9Y5a1TgF3GHOvNRwj
hycLyJtUpxFxr/EjNoeIbhML4o18wsV9jcF43RaBuWDJUOHadIwG7ge0Q3IxRiKu6C1lbaFkdKj/
7dckYoMDzWQP0JTH7q07C7A79B4eOGBkM+/0GBgiwVUPtWd+oGNGhB618t2eE2mG8dyx8Ovv7ak4
fOcWNUFbKqSCWsn4Aj9B5AhRP+ODj/4MHdw2k9ltFz77CTtZSw63wSxrtsQIPmpume/vuQQC0oQ+
DiFKN2P8HIIjIkXdilgdCqh6b9ClihVO+U3ZfxqJ+NzO4nCe5bOSuWXinOA5OhCD3Uw+tau59nDG
4Q17l71u9r51iZ6qPvjosU4v1zyvCsV5MspPjklQJc5nOPK6Q1RVa6xqp7E1F657ZQfDUohk8Y/a
IwGeagHla1OcGz3dIZ9j1AmvobEb0wZJ3vk86UxYxYKqqPVL6Z7nGfcH7QfTFZDwbDUCmAf16xK/
u/Xv4yJMdAu0kumZ196vXFRHVjZfhp8YY3x30VVCP73W32Cd4kuKPLzPuWhmDDSa3/6c1BH0vIjK
34WFnzRf7oKhXYNlnEn84/c2iNWsGwPqT2f/QYFIB78f2uYG52689pb2c9sBmUz0ew5oRvfev2cX
JLKPAt2OxELnRsE//eMfFwNzojDYTEntFsUlEJTlxcWk1qcI0QyDMITshr0zPLCWj9UOUO0lN9lK
J26sMXKxFIuLwrTGY2B7i5G6yo721ROBBSKz+d243k72kXePair1ZnRLHqdOc3uhV9UEGYUMhguN
+5fOKJ/citoNk8Rt3GEbHJBMU7MCNtxYVsM9Gk8Xl3FwoI+G08KxwrrHu56EWpnwHnSSTJNiog8Q
6z/P9mYF2+I35xBq5KpET/eoIPheu22AX5m8WSRTpLBJEsGLFdbA42hzCfnol3SarpppCy7GuL11
aFr8nMFeS71w8Uv3F4ibPperW0m3bMVjV+zaWBX9w7bUteuwmOzi4MNPsosctTErO5hvbi2VcZEN
keJ0tPytH2xEKQwljqmOgnTSfop+T74UenWKmh/i5A+me2VhbN39J7wwDmfIqgrHNiVqZoYJWRKD
LbvuCESy44htj/UARqO84zahybTaU87M2pqFhaAu8XKK3z/M1pM9ewI539bSWI5O1/FVhml0FPLf
hYob4rdS2V1g4h+fYYdlZH7Oepb68kWxiIuQd6o856NzFpn8HJYaZkue4lYpvi7cdA3yshh1qrY1
ac3uXndoZC/IUdPaWALCwPINHdeqWF6Tyb1mcVWbKwifjE5fFj7qLMq8rJPN9ZHXdg3sb5EdO6tq
fDA0b4exc7PiHhq4BveTZXjonGF1qIyHv92fRttaFdUACEfF8j64L0K4tGtO+LzgIjCRmCofy8yq
Vp72m/g7Ks6irc7/NZFZoiicVPSyjNFEV4LHka/8uyCl+2HHJjsSeTqitDavqM1w4VKiZQBXWXrH
sTB8F4i9bAjGFMtKPSc5pDdyAVCx35rE73Cy+q/b2ijYTD2NTLt8lS0AZjgBHT6a5O5FCbi4HKLB
WSECHXUEcySemiohoF2IVll0rMwNAqdz6mKzW0RYOyCg/AX/W7gfHJwY5YwdM8mFRXQT96pJADDh
tYA76Bcu0hsF6tyINGwwYiEQWkin7kVMNBeUHDdv04WLnw7CGs9yeskjhlUPKLDPjIYK8WdNznya
twjixfZqMCH9YXcbsTuHB5g2vF7yIJGf7vQcg53LKEk4ULJ655ns6AzsYAu6uwfN/jn2u0wwZCEa
1EC6qzQUokXBzxFh+SMlauy1tpakPbn2u5y7siI1VLElmO2UYowlCI0JmC1wafC4VuRG5whPS5KS
hIVhysVjdB68lvNAoKpNXdEEmeg6TAfEqGZ7c+F7KoMKdiL+3EGB93rTCDGWL19ogFIscwT6NdHi
VXrJL7C8f1jGIwvb3B474J+6qINN+0A+li3wFzV0Kj6oCH5JBnPYtCkWBjb/zhXyxgxCHdzGIidI
yU5eh4ijgrphO4uMFuLdcak5dtBmoe2m3Q0XTX8QBzOYf6lp4LsYt5LC9uGlWXriSQtMcqmSPD9K
Au6rbRpGqousSSFgFKn8hPRkA0DSBuT2PhkDMchKsDsOgGjyzVd+lMA6lPOt58XjxBL0nrQkBepq
jVJCjl5WhE355sTQRCON7ZUVqK5+IIUcmVsTDdiTTcfoYjQAroz9IPYKu9IwwTIwh1AqRqg1OLfD
9BF8ziphD4me7OW+M9yxYUjwBF07xXw03w+hzS+yJFwI/dsf937KeMRhlUOv3MRhPK6RTTOAG879
CBGJpxEiGM0yXGS3/FUHxxxXcSInUAm3mwc3W5CKFaN1y3NTs7ojp17gGJSVFaTktUVuNQLFitxl
HA8SCaVNHtd3cNlmoFL5Ghz3UD51rsLcqMCcoxE83lTxc4BwjSXowB1FX1NcRHQ5XTuhLvUDueS3
4swyirtMF8N3wQlOBQKf2x2fedc00oogYGrxAG7v2lYIBGLBiMAi0Q2uBZ0Bnf7DvDU6hATme+dE
rNCTx0XP+Bs+MPlliTdf9dsCBc36c0VvEokmDqhz
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
GVgRO7Dr/KsjnVa35ieIUqOl4nqUKmu8XsjgW4UckaPlmajxFL9W0N5oPN2UnA3cGV8L7ALNikVv
1kAPCg6Bvg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
bkWq8f+reSrHy52xcAyiQE0oA2m9qup51X/HDFvssQqEzJrKhDENiv8uQSLbpPulVdR4ZmnTWeOs
v9PQfvYyDJxEX1pdb2tbbhp8NIfz7u/RByiC3xydeBPYUxtIWUZ6VrLYI6eYb7AFTUFRLXxKi+W7
MBjtq0jooJMKYMxl7JM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
qxSPrd2yauidnrkqnXBq2SIFZuTeYt3LAMsh8r6iVDhFAL2qfddoX2Vq05FCdpzJ+SMOi+pD83+V
QjXo2qa+tlRjvW08+3DHtUfpiSuODST0ZMrvbSC6n69/T6AE285Ci/Gb+zeHtsgXQjctURZbqOi3
VIivc6V7135r6gfK+nJjwc0VQdmvkk7PV8FNU1lvgqM8hwofAj88v7DNMGHYw/aGeAMPGo+geiHi
4V31hVWpZAMEZZTc/IVAqhPIwqkRpu2OTe6n16i1V5xNvsdDhGcJ8b7wLBAqvMK6h7GrXKJ29gQ0
Kv9ldlCYdxvJDoLphfmorjKhXu5PnbLWtlyUeQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LtRBT5aRmHIdup+rQlBa3QhkBHtfBEOGSBQZQ7Bqj75D7A6uAv61byEeNKPc6Tay0oeO18s2zSJj
k9R/Ewl4KveqGLBBh5G/fatkgyS+hOfyBw9uY5MKsXu6IU4dKRPU536sbQ3kbGwV9WVZK6y9EEf2
cVYPT/DXNibgrYnm9y8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
SkcYV9qXe13gJBvZWoWJgcZb0cO19U+qA+h6jHvcsXnyku1rL/E64GkomYdJtJ88v7BfAmT1Del5
+pdA91cCgMkIObztKiQHDDZGHZOVW1u65dpqje2LNOVc+gQZVnzcf/XKjl8tIUGQhYPlZzErMdCt
Q7F7rnsNtawtK9FRR/CF8w9ULwQ6nDTT7hgGyrHgUE9C4cgCNyuzdXdY8D1oxbn1Bpq9GP74ZCIY
4ivDWlnNOb8MMmNQKrHQnsxf2+RT+BgOdd7C0Vaj1u2aNzqpOl7iWm6Sh0ihvdePfXjjLbKVMeKr
b9SIP8OsFGOWkA0xNe8gZ9y14jW5yP6/BaIJGA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 448848)
`protect data_block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`protect end_protected
|
---------------------------------------------------------------------
-- TITLE: Memory Controller
-- AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 1/31/01
-- FILENAME: mem_ctrl.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- Memory controller for the Plasma CPU.
-- Supports Big or Little Endian mode.
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.mlite_pack.all;
entity mem_ctrl is
port(clk : in std_logic;
reset_in : in std_logic;
pause_in : in std_logic;
nullify_op : in std_logic;
address_pc : in std_logic_vector(31 downto 2);
opcode_out : out std_logic_vector(31 downto 0);
address_in : in std_logic_vector(31 downto 0);
mem_source : in mem_source_type;
data_write : in std_logic_vector(31 downto 0);
data_read : out std_logic_vector(31 downto 0);
pause_out : out std_logic;
address_next : out std_logic_vector(31 downto 2);
byte_we_next : out std_logic_vector(3 downto 0);
address : out std_logic_vector(31 downto 2);
byte_we : out std_logic_vector(3 downto 0);
data_w : out std_logic_vector(31 downto 0);
data_r : in std_logic_vector(31 downto 0));
end; --entity mem_ctrl
architecture logic of mem_ctrl is
--"00" = big_endian; "11" = little_endian
constant ENDIAN_MODE : std_logic_vector(1 downto 0) := "00";
signal opcode_reg : std_logic_vector(31 downto 0);
signal next_opcode_reg : std_logic_vector(31 downto 0);
signal address_reg : std_logic_vector(31 downto 2);
signal byte_we_reg : std_logic_vector(3 downto 0);
signal mem_state_reg : std_logic;
constant STATE_ADDR : std_logic := '0';
constant STATE_ACCESS : std_logic := '1';
begin
mem_proc: process(clk, reset_in, pause_in, nullify_op,
address_pc, address_in, mem_source, data_write,
data_r, opcode_reg, next_opcode_reg, mem_state_reg,
address_reg, byte_we_reg)
variable address_var : std_logic_vector(31 downto 2);
variable data_read_var : std_logic_vector(31 downto 0);
variable data_write_var : std_logic_vector(31 downto 0);
variable opcode_next : std_logic_vector(31 downto 0);
variable byte_we_var : std_logic_vector(3 downto 0);
variable mem_state_next : std_logic;
variable pause_var : std_logic;
variable bits : std_logic_vector(1 downto 0);
begin
byte_we_var := "0000";
pause_var := '0';
data_read_var := ZERO;
data_write_var := ZERO;
mem_state_next := mem_state_reg;
opcode_next := opcode_reg;
case mem_source is
when MEM_READ32 =>
data_read_var := data_r;
when MEM_READ16 | MEM_READ16S =>
if address_in(1) = ENDIAN_MODE(1) then
data_read_var(15 downto 0) := data_r(31 downto 16);
else
data_read_var(15 downto 0) := data_r(15 downto 0);
end if;
if mem_source = MEM_READ16 or data_read_var(15) = '0' then
data_read_var(31 downto 16) := ZERO(31 downto 16);
else
data_read_var(31 downto 16) := ONES(31 downto 16);
end if;
when MEM_READ8 | MEM_READ8S =>
bits := address_in(1 downto 0) xor ENDIAN_MODE;
case bits is
when "00" => data_read_var(7 downto 0) := data_r(31 downto 24);
when "01" => data_read_var(7 downto 0) := data_r(23 downto 16);
when "10" => data_read_var(7 downto 0) := data_r(15 downto 8);
when others => data_read_var(7 downto 0) := data_r(7 downto 0);
end case;
if mem_source = MEM_READ8 or data_read_var(7) = '0' then
data_read_var(31 downto 8) := ZERO(31 downto 8);
else
data_read_var(31 downto 8) := ONES(31 downto 8);
end if;
when MEM_WRITE32 =>
data_write_var := data_write;
byte_we_var := "1111";
when MEM_WRITE16 =>
data_write_var := data_write(15 downto 0) & data_write(15 downto 0);
if address_in(1) = ENDIAN_MODE(1) then
byte_we_var := "1100";
else
byte_we_var := "0011";
end if;
when MEM_WRITE8 =>
data_write_var := data_write(7 downto 0) & data_write(7 downto 0) &
data_write(7 downto 0) & data_write(7 downto 0);
bits := address_in(1 downto 0) xor ENDIAN_MODE;
case bits is
when "00" =>
byte_we_var := "1000";
when "01" =>
byte_we_var := "0100";
when "10" =>
byte_we_var := "0010";
when others =>
byte_we_var := "0001";
end case;
when others =>
end case;
if mem_source = MEM_FETCH then --opcode fetch
address_var := address_pc;
opcode_next := data_r;
mem_state_next := STATE_ADDR;
else
if mem_state_reg = STATE_ADDR then
if pause_in = '0' then
address_var := address_in(31 downto 2);
mem_state_next := STATE_ACCESS;
pause_var := '1';
else
address_var := address_pc;
byte_we_var := "0000";
end if;
else --STATE_ACCESS
if pause_in = '0' then
address_var := address_pc;
opcode_next := next_opcode_reg;
mem_state_next := STATE_ADDR;
byte_we_var := "0000";
else
address_var := address_in(31 downto 2);
byte_we_var := "0000";
end if;
end if;
end if;
if nullify_op = '1' and pause_in = '0' then
opcode_next := ZERO; --NOP after beql
end if;
if reset_in = '1' then
mem_state_reg <= STATE_ADDR;
opcode_reg <= ZERO;
next_opcode_reg <= ZERO;
address_reg <= ZERO(31 downto 2);
byte_we_reg <= "0000";
elsif rising_edge(clk) then
if pause_in = '0' then
address_reg <= address_var;
byte_we_reg <= byte_we_var;
mem_state_reg <= mem_state_next;
opcode_reg <= opcode_next;
if mem_state_reg = STATE_ADDR then
next_opcode_reg <= data_r;
end if;
end if;
end if;
opcode_out <= opcode_reg;
data_read <= data_read_var;
pause_out <= pause_var;
address_next <= address_var;
byte_we_next <= byte_we_var;
address <= address_reg;
byte_we <= byte_we_reg;
data_w <= data_write_var;
end process; --data_proc
end; --architecture logic
|
---------------------------------------------------------------------
-- TITLE: Memory Controller
-- AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 1/31/01
-- FILENAME: mem_ctrl.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- Memory controller for the Plasma CPU.
-- Supports Big or Little Endian mode.
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.mlite_pack.all;
entity mem_ctrl is
port(clk : in std_logic;
reset_in : in std_logic;
pause_in : in std_logic;
nullify_op : in std_logic;
address_pc : in std_logic_vector(31 downto 2);
opcode_out : out std_logic_vector(31 downto 0);
address_in : in std_logic_vector(31 downto 0);
mem_source : in mem_source_type;
data_write : in std_logic_vector(31 downto 0);
data_read : out std_logic_vector(31 downto 0);
pause_out : out std_logic;
address_next : out std_logic_vector(31 downto 2);
byte_we_next : out std_logic_vector(3 downto 0);
address : out std_logic_vector(31 downto 2);
byte_we : out std_logic_vector(3 downto 0);
data_w : out std_logic_vector(31 downto 0);
data_r : in std_logic_vector(31 downto 0));
end; --entity mem_ctrl
architecture logic of mem_ctrl is
--"00" = big_endian; "11" = little_endian
constant ENDIAN_MODE : std_logic_vector(1 downto 0) := "00";
signal opcode_reg : std_logic_vector(31 downto 0);
signal next_opcode_reg : std_logic_vector(31 downto 0);
signal address_reg : std_logic_vector(31 downto 2);
signal byte_we_reg : std_logic_vector(3 downto 0);
signal mem_state_reg : std_logic;
constant STATE_ADDR : std_logic := '0';
constant STATE_ACCESS : std_logic := '1';
begin
mem_proc: process(clk, reset_in, pause_in, nullify_op,
address_pc, address_in, mem_source, data_write,
data_r, opcode_reg, next_opcode_reg, mem_state_reg,
address_reg, byte_we_reg)
variable address_var : std_logic_vector(31 downto 2);
variable data_read_var : std_logic_vector(31 downto 0);
variable data_write_var : std_logic_vector(31 downto 0);
variable opcode_next : std_logic_vector(31 downto 0);
variable byte_we_var : std_logic_vector(3 downto 0);
variable mem_state_next : std_logic;
variable pause_var : std_logic;
variable bits : std_logic_vector(1 downto 0);
begin
byte_we_var := "0000";
pause_var := '0';
data_read_var := ZERO;
data_write_var := ZERO;
mem_state_next := mem_state_reg;
opcode_next := opcode_reg;
case mem_source is
when MEM_READ32 =>
data_read_var := data_r;
when MEM_READ16 | MEM_READ16S =>
if address_in(1) = ENDIAN_MODE(1) then
data_read_var(15 downto 0) := data_r(31 downto 16);
else
data_read_var(15 downto 0) := data_r(15 downto 0);
end if;
if mem_source = MEM_READ16 or data_read_var(15) = '0' then
data_read_var(31 downto 16) := ZERO(31 downto 16);
else
data_read_var(31 downto 16) := ONES(31 downto 16);
end if;
when MEM_READ8 | MEM_READ8S =>
bits := address_in(1 downto 0) xor ENDIAN_MODE;
case bits is
when "00" => data_read_var(7 downto 0) := data_r(31 downto 24);
when "01" => data_read_var(7 downto 0) := data_r(23 downto 16);
when "10" => data_read_var(7 downto 0) := data_r(15 downto 8);
when others => data_read_var(7 downto 0) := data_r(7 downto 0);
end case;
if mem_source = MEM_READ8 or data_read_var(7) = '0' then
data_read_var(31 downto 8) := ZERO(31 downto 8);
else
data_read_var(31 downto 8) := ONES(31 downto 8);
end if;
when MEM_WRITE32 =>
data_write_var := data_write;
byte_we_var := "1111";
when MEM_WRITE16 =>
data_write_var := data_write(15 downto 0) & data_write(15 downto 0);
if address_in(1) = ENDIAN_MODE(1) then
byte_we_var := "1100";
else
byte_we_var := "0011";
end if;
when MEM_WRITE8 =>
data_write_var := data_write(7 downto 0) & data_write(7 downto 0) &
data_write(7 downto 0) & data_write(7 downto 0);
bits := address_in(1 downto 0) xor ENDIAN_MODE;
case bits is
when "00" =>
byte_we_var := "1000";
when "01" =>
byte_we_var := "0100";
when "10" =>
byte_we_var := "0010";
when others =>
byte_we_var := "0001";
end case;
when others =>
end case;
if mem_source = MEM_FETCH then --opcode fetch
address_var := address_pc;
opcode_next := data_r;
mem_state_next := STATE_ADDR;
else
if mem_state_reg = STATE_ADDR then
if pause_in = '0' then
address_var := address_in(31 downto 2);
mem_state_next := STATE_ACCESS;
pause_var := '1';
else
address_var := address_pc;
byte_we_var := "0000";
end if;
else --STATE_ACCESS
if pause_in = '0' then
address_var := address_pc;
opcode_next := next_opcode_reg;
mem_state_next := STATE_ADDR;
byte_we_var := "0000";
else
address_var := address_in(31 downto 2);
byte_we_var := "0000";
end if;
end if;
end if;
if nullify_op = '1' and pause_in = '0' then
opcode_next := ZERO; --NOP after beql
end if;
if reset_in = '1' then
mem_state_reg <= STATE_ADDR;
opcode_reg <= ZERO;
next_opcode_reg <= ZERO;
address_reg <= ZERO(31 downto 2);
byte_we_reg <= "0000";
elsif rising_edge(clk) then
if pause_in = '0' then
address_reg <= address_var;
byte_we_reg <= byte_we_var;
mem_state_reg <= mem_state_next;
opcode_reg <= opcode_next;
if mem_state_reg = STATE_ADDR then
next_opcode_reg <= data_r;
end if;
end if;
end if;
opcode_out <= opcode_reg;
data_read <= data_read_var;
pause_out <= pause_var;
address_next <= address_var;
byte_we_next <= byte_we_var;
address <= address_reg;
byte_we <= byte_we_reg;
data_w <= data_write_var;
end process; --data_proc
end; --architecture logic
|
---------------------------------------------------------------------
-- TITLE: Memory Controller
-- AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 1/31/01
-- FILENAME: mem_ctrl.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- Memory controller for the Plasma CPU.
-- Supports Big or Little Endian mode.
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.mlite_pack.all;
entity mem_ctrl is
port(clk : in std_logic;
reset_in : in std_logic;
pause_in : in std_logic;
nullify_op : in std_logic;
address_pc : in std_logic_vector(31 downto 2);
opcode_out : out std_logic_vector(31 downto 0);
address_in : in std_logic_vector(31 downto 0);
mem_source : in mem_source_type;
data_write : in std_logic_vector(31 downto 0);
data_read : out std_logic_vector(31 downto 0);
pause_out : out std_logic;
address_next : out std_logic_vector(31 downto 2);
byte_we_next : out std_logic_vector(3 downto 0);
address : out std_logic_vector(31 downto 2);
byte_we : out std_logic_vector(3 downto 0);
data_w : out std_logic_vector(31 downto 0);
data_r : in std_logic_vector(31 downto 0));
end; --entity mem_ctrl
architecture logic of mem_ctrl is
--"00" = big_endian; "11" = little_endian
constant ENDIAN_MODE : std_logic_vector(1 downto 0) := "00";
signal opcode_reg : std_logic_vector(31 downto 0);
signal next_opcode_reg : std_logic_vector(31 downto 0);
signal address_reg : std_logic_vector(31 downto 2);
signal byte_we_reg : std_logic_vector(3 downto 0);
signal mem_state_reg : std_logic;
constant STATE_ADDR : std_logic := '0';
constant STATE_ACCESS : std_logic := '1';
begin
mem_proc: process(clk, reset_in, pause_in, nullify_op,
address_pc, address_in, mem_source, data_write,
data_r, opcode_reg, next_opcode_reg, mem_state_reg,
address_reg, byte_we_reg)
variable address_var : std_logic_vector(31 downto 2);
variable data_read_var : std_logic_vector(31 downto 0);
variable data_write_var : std_logic_vector(31 downto 0);
variable opcode_next : std_logic_vector(31 downto 0);
variable byte_we_var : std_logic_vector(3 downto 0);
variable mem_state_next : std_logic;
variable pause_var : std_logic;
variable bits : std_logic_vector(1 downto 0);
begin
byte_we_var := "0000";
pause_var := '0';
data_read_var := ZERO;
data_write_var := ZERO;
mem_state_next := mem_state_reg;
opcode_next := opcode_reg;
case mem_source is
when MEM_READ32 =>
data_read_var := data_r;
when MEM_READ16 | MEM_READ16S =>
if address_in(1) = ENDIAN_MODE(1) then
data_read_var(15 downto 0) := data_r(31 downto 16);
else
data_read_var(15 downto 0) := data_r(15 downto 0);
end if;
if mem_source = MEM_READ16 or data_read_var(15) = '0' then
data_read_var(31 downto 16) := ZERO(31 downto 16);
else
data_read_var(31 downto 16) := ONES(31 downto 16);
end if;
when MEM_READ8 | MEM_READ8S =>
bits := address_in(1 downto 0) xor ENDIAN_MODE;
case bits is
when "00" => data_read_var(7 downto 0) := data_r(31 downto 24);
when "01" => data_read_var(7 downto 0) := data_r(23 downto 16);
when "10" => data_read_var(7 downto 0) := data_r(15 downto 8);
when others => data_read_var(7 downto 0) := data_r(7 downto 0);
end case;
if mem_source = MEM_READ8 or data_read_var(7) = '0' then
data_read_var(31 downto 8) := ZERO(31 downto 8);
else
data_read_var(31 downto 8) := ONES(31 downto 8);
end if;
when MEM_WRITE32 =>
data_write_var := data_write;
byte_we_var := "1111";
when MEM_WRITE16 =>
data_write_var := data_write(15 downto 0) & data_write(15 downto 0);
if address_in(1) = ENDIAN_MODE(1) then
byte_we_var := "1100";
else
byte_we_var := "0011";
end if;
when MEM_WRITE8 =>
data_write_var := data_write(7 downto 0) & data_write(7 downto 0) &
data_write(7 downto 0) & data_write(7 downto 0);
bits := address_in(1 downto 0) xor ENDIAN_MODE;
case bits is
when "00" =>
byte_we_var := "1000";
when "01" =>
byte_we_var := "0100";
when "10" =>
byte_we_var := "0010";
when others =>
byte_we_var := "0001";
end case;
when others =>
end case;
if mem_source = MEM_FETCH then --opcode fetch
address_var := address_pc;
opcode_next := data_r;
mem_state_next := STATE_ADDR;
else
if mem_state_reg = STATE_ADDR then
if pause_in = '0' then
address_var := address_in(31 downto 2);
mem_state_next := STATE_ACCESS;
pause_var := '1';
else
address_var := address_pc;
byte_we_var := "0000";
end if;
else --STATE_ACCESS
if pause_in = '0' then
address_var := address_pc;
opcode_next := next_opcode_reg;
mem_state_next := STATE_ADDR;
byte_we_var := "0000";
else
address_var := address_in(31 downto 2);
byte_we_var := "0000";
end if;
end if;
end if;
if nullify_op = '1' and pause_in = '0' then
opcode_next := ZERO; --NOP after beql
end if;
if reset_in = '1' then
mem_state_reg <= STATE_ADDR;
opcode_reg <= ZERO;
next_opcode_reg <= ZERO;
address_reg <= ZERO(31 downto 2);
byte_we_reg <= "0000";
elsif rising_edge(clk) then
if pause_in = '0' then
address_reg <= address_var;
byte_we_reg <= byte_we_var;
mem_state_reg <= mem_state_next;
opcode_reg <= opcode_next;
if mem_state_reg = STATE_ADDR then
next_opcode_reg <= data_r;
end if;
end if;
end if;
opcode_out <= opcode_reg;
data_read <= data_read_var;
pause_out <= pause_var;
address_next <= address_var;
byte_we_next <= byte_we_var;
address <= address_reg;
byte_we <= byte_we_reg;
data_w <= data_write_var;
end process; --data_proc
end; --architecture logic
|
---------------------------------------------------------------------
-- TITLE: Memory Controller
-- AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 1/31/01
-- FILENAME: mem_ctrl.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- Memory controller for the Plasma CPU.
-- Supports Big or Little Endian mode.
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.mlite_pack.all;
entity mem_ctrl is
port(clk : in std_logic;
reset_in : in std_logic;
pause_in : in std_logic;
nullify_op : in std_logic;
address_pc : in std_logic_vector(31 downto 2);
opcode_out : out std_logic_vector(31 downto 0);
address_in : in std_logic_vector(31 downto 0);
mem_source : in mem_source_type;
data_write : in std_logic_vector(31 downto 0);
data_read : out std_logic_vector(31 downto 0);
pause_out : out std_logic;
address_next : out std_logic_vector(31 downto 2);
byte_we_next : out std_logic_vector(3 downto 0);
address : out std_logic_vector(31 downto 2);
byte_we : out std_logic_vector(3 downto 0);
data_w : out std_logic_vector(31 downto 0);
data_r : in std_logic_vector(31 downto 0));
end; --entity mem_ctrl
architecture logic of mem_ctrl is
--"00" = big_endian; "11" = little_endian
constant ENDIAN_MODE : std_logic_vector(1 downto 0) := "00";
signal opcode_reg : std_logic_vector(31 downto 0);
signal next_opcode_reg : std_logic_vector(31 downto 0);
signal address_reg : std_logic_vector(31 downto 2);
signal byte_we_reg : std_logic_vector(3 downto 0);
signal mem_state_reg : std_logic;
constant STATE_ADDR : std_logic := '0';
constant STATE_ACCESS : std_logic := '1';
begin
mem_proc: process(clk, reset_in, pause_in, nullify_op,
address_pc, address_in, mem_source, data_write,
data_r, opcode_reg, next_opcode_reg, mem_state_reg,
address_reg, byte_we_reg)
variable address_var : std_logic_vector(31 downto 2);
variable data_read_var : std_logic_vector(31 downto 0);
variable data_write_var : std_logic_vector(31 downto 0);
variable opcode_next : std_logic_vector(31 downto 0);
variable byte_we_var : std_logic_vector(3 downto 0);
variable mem_state_next : std_logic;
variable pause_var : std_logic;
variable bits : std_logic_vector(1 downto 0);
begin
byte_we_var := "0000";
pause_var := '0';
data_read_var := ZERO;
data_write_var := ZERO;
mem_state_next := mem_state_reg;
opcode_next := opcode_reg;
case mem_source is
when MEM_READ32 =>
data_read_var := data_r;
when MEM_READ16 | MEM_READ16S =>
if address_in(1) = ENDIAN_MODE(1) then
data_read_var(15 downto 0) := data_r(31 downto 16);
else
data_read_var(15 downto 0) := data_r(15 downto 0);
end if;
if mem_source = MEM_READ16 or data_read_var(15) = '0' then
data_read_var(31 downto 16) := ZERO(31 downto 16);
else
data_read_var(31 downto 16) := ONES(31 downto 16);
end if;
when MEM_READ8 | MEM_READ8S =>
bits := address_in(1 downto 0) xor ENDIAN_MODE;
case bits is
when "00" => data_read_var(7 downto 0) := data_r(31 downto 24);
when "01" => data_read_var(7 downto 0) := data_r(23 downto 16);
when "10" => data_read_var(7 downto 0) := data_r(15 downto 8);
when others => data_read_var(7 downto 0) := data_r(7 downto 0);
end case;
if mem_source = MEM_READ8 or data_read_var(7) = '0' then
data_read_var(31 downto 8) := ZERO(31 downto 8);
else
data_read_var(31 downto 8) := ONES(31 downto 8);
end if;
when MEM_WRITE32 =>
data_write_var := data_write;
byte_we_var := "1111";
when MEM_WRITE16 =>
data_write_var := data_write(15 downto 0) & data_write(15 downto 0);
if address_in(1) = ENDIAN_MODE(1) then
byte_we_var := "1100";
else
byte_we_var := "0011";
end if;
when MEM_WRITE8 =>
data_write_var := data_write(7 downto 0) & data_write(7 downto 0) &
data_write(7 downto 0) & data_write(7 downto 0);
bits := address_in(1 downto 0) xor ENDIAN_MODE;
case bits is
when "00" =>
byte_we_var := "1000";
when "01" =>
byte_we_var := "0100";
when "10" =>
byte_we_var := "0010";
when others =>
byte_we_var := "0001";
end case;
when others =>
end case;
if mem_source = MEM_FETCH then --opcode fetch
address_var := address_pc;
opcode_next := data_r;
mem_state_next := STATE_ADDR;
else
if mem_state_reg = STATE_ADDR then
if pause_in = '0' then
address_var := address_in(31 downto 2);
mem_state_next := STATE_ACCESS;
pause_var := '1';
else
address_var := address_pc;
byte_we_var := "0000";
end if;
else --STATE_ACCESS
if pause_in = '0' then
address_var := address_pc;
opcode_next := next_opcode_reg;
mem_state_next := STATE_ADDR;
byte_we_var := "0000";
else
address_var := address_in(31 downto 2);
byte_we_var := "0000";
end if;
end if;
end if;
if nullify_op = '1' and pause_in = '0' then
opcode_next := ZERO; --NOP after beql
end if;
if reset_in = '1' then
mem_state_reg <= STATE_ADDR;
opcode_reg <= ZERO;
next_opcode_reg <= ZERO;
address_reg <= ZERO(31 downto 2);
byte_we_reg <= "0000";
elsif rising_edge(clk) then
if pause_in = '0' then
address_reg <= address_var;
byte_we_reg <= byte_we_var;
mem_state_reg <= mem_state_next;
opcode_reg <= opcode_next;
if mem_state_reg = STATE_ADDR then
next_opcode_reg <= data_r;
end if;
end if;
end if;
opcode_out <= opcode_reg;
data_read <= data_read_var;
pause_out <= pause_var;
address_next <= address_var;
byte_we_next <= byte_we_var;
address <= address_reg;
byte_we <= byte_we_reg;
data_w <= data_write_var;
end process; --data_proc
end; --architecture logic
|
---------------------------------------------------------------------
-- TITLE: Memory Controller
-- AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 1/31/01
-- FILENAME: mem_ctrl.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- Memory controller for the Plasma CPU.
-- Supports Big or Little Endian mode.
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.mlite_pack.all;
entity mem_ctrl is
port(clk : in std_logic;
reset_in : in std_logic;
pause_in : in std_logic;
nullify_op : in std_logic;
address_pc : in std_logic_vector(31 downto 2);
opcode_out : out std_logic_vector(31 downto 0);
address_in : in std_logic_vector(31 downto 0);
mem_source : in mem_source_type;
data_write : in std_logic_vector(31 downto 0);
data_read : out std_logic_vector(31 downto 0);
pause_out : out std_logic;
address_next : out std_logic_vector(31 downto 2);
byte_we_next : out std_logic_vector(3 downto 0);
address : out std_logic_vector(31 downto 2);
byte_we : out std_logic_vector(3 downto 0);
data_w : out std_logic_vector(31 downto 0);
data_r : in std_logic_vector(31 downto 0));
end; --entity mem_ctrl
architecture logic of mem_ctrl is
--"00" = big_endian; "11" = little_endian
constant ENDIAN_MODE : std_logic_vector(1 downto 0) := "00";
signal opcode_reg : std_logic_vector(31 downto 0);
signal next_opcode_reg : std_logic_vector(31 downto 0);
signal address_reg : std_logic_vector(31 downto 2);
signal byte_we_reg : std_logic_vector(3 downto 0);
signal mem_state_reg : std_logic;
constant STATE_ADDR : std_logic := '0';
constant STATE_ACCESS : std_logic := '1';
begin
mem_proc: process(clk, reset_in, pause_in, nullify_op,
address_pc, address_in, mem_source, data_write,
data_r, opcode_reg, next_opcode_reg, mem_state_reg,
address_reg, byte_we_reg)
variable address_var : std_logic_vector(31 downto 2);
variable data_read_var : std_logic_vector(31 downto 0);
variable data_write_var : std_logic_vector(31 downto 0);
variable opcode_next : std_logic_vector(31 downto 0);
variable byte_we_var : std_logic_vector(3 downto 0);
variable mem_state_next : std_logic;
variable pause_var : std_logic;
variable bits : std_logic_vector(1 downto 0);
begin
byte_we_var := "0000";
pause_var := '0';
data_read_var := ZERO;
data_write_var := ZERO;
mem_state_next := mem_state_reg;
opcode_next := opcode_reg;
case mem_source is
when MEM_READ32 =>
data_read_var := data_r;
when MEM_READ16 | MEM_READ16S =>
if address_in(1) = ENDIAN_MODE(1) then
data_read_var(15 downto 0) := data_r(31 downto 16);
else
data_read_var(15 downto 0) := data_r(15 downto 0);
end if;
if mem_source = MEM_READ16 or data_read_var(15) = '0' then
data_read_var(31 downto 16) := ZERO(31 downto 16);
else
data_read_var(31 downto 16) := ONES(31 downto 16);
end if;
when MEM_READ8 | MEM_READ8S =>
bits := address_in(1 downto 0) xor ENDIAN_MODE;
case bits is
when "00" => data_read_var(7 downto 0) := data_r(31 downto 24);
when "01" => data_read_var(7 downto 0) := data_r(23 downto 16);
when "10" => data_read_var(7 downto 0) := data_r(15 downto 8);
when others => data_read_var(7 downto 0) := data_r(7 downto 0);
end case;
if mem_source = MEM_READ8 or data_read_var(7) = '0' then
data_read_var(31 downto 8) := ZERO(31 downto 8);
else
data_read_var(31 downto 8) := ONES(31 downto 8);
end if;
when MEM_WRITE32 =>
data_write_var := data_write;
byte_we_var := "1111";
when MEM_WRITE16 =>
data_write_var := data_write(15 downto 0) & data_write(15 downto 0);
if address_in(1) = ENDIAN_MODE(1) then
byte_we_var := "1100";
else
byte_we_var := "0011";
end if;
when MEM_WRITE8 =>
data_write_var := data_write(7 downto 0) & data_write(7 downto 0) &
data_write(7 downto 0) & data_write(7 downto 0);
bits := address_in(1 downto 0) xor ENDIAN_MODE;
case bits is
when "00" =>
byte_we_var := "1000";
when "01" =>
byte_we_var := "0100";
when "10" =>
byte_we_var := "0010";
when others =>
byte_we_var := "0001";
end case;
when others =>
end case;
if mem_source = MEM_FETCH then --opcode fetch
address_var := address_pc;
opcode_next := data_r;
mem_state_next := STATE_ADDR;
else
if mem_state_reg = STATE_ADDR then
if pause_in = '0' then
address_var := address_in(31 downto 2);
mem_state_next := STATE_ACCESS;
pause_var := '1';
else
address_var := address_pc;
byte_we_var := "0000";
end if;
else --STATE_ACCESS
if pause_in = '0' then
address_var := address_pc;
opcode_next := next_opcode_reg;
mem_state_next := STATE_ADDR;
byte_we_var := "0000";
else
address_var := address_in(31 downto 2);
byte_we_var := "0000";
end if;
end if;
end if;
if nullify_op = '1' and pause_in = '0' then
opcode_next := ZERO; --NOP after beql
end if;
if reset_in = '1' then
mem_state_reg <= STATE_ADDR;
opcode_reg <= ZERO;
next_opcode_reg <= ZERO;
address_reg <= ZERO(31 downto 2);
byte_we_reg <= "0000";
elsif rising_edge(clk) then
if pause_in = '0' then
address_reg <= address_var;
byte_we_reg <= byte_we_var;
mem_state_reg <= mem_state_next;
opcode_reg <= opcode_next;
if mem_state_reg = STATE_ADDR then
next_opcode_reg <= data_r;
end if;
end if;
end if;
opcode_out <= opcode_reg;
data_read <= data_read_var;
pause_out <= pause_var;
address_next <= address_var;
byte_we_next <= byte_we_var;
address <= address_reg;
byte_we <= byte_we_reg;
data_w <= data_write_var;
end process; --data_proc
end; --architecture logic
|
---------------------------------------------------------------------
-- TITLE: Memory Controller
-- AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 1/31/01
-- FILENAME: mem_ctrl.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- Memory controller for the Plasma CPU.
-- Supports Big or Little Endian mode.
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.mlite_pack.all;
entity mem_ctrl is
port(clk : in std_logic;
reset_in : in std_logic;
pause_in : in std_logic;
nullify_op : in std_logic;
address_pc : in std_logic_vector(31 downto 2);
opcode_out : out std_logic_vector(31 downto 0);
address_in : in std_logic_vector(31 downto 0);
mem_source : in mem_source_type;
data_write : in std_logic_vector(31 downto 0);
data_read : out std_logic_vector(31 downto 0);
pause_out : out std_logic;
address_next : out std_logic_vector(31 downto 2);
byte_we_next : out std_logic_vector(3 downto 0);
address : out std_logic_vector(31 downto 2);
byte_we : out std_logic_vector(3 downto 0);
data_w : out std_logic_vector(31 downto 0);
data_r : in std_logic_vector(31 downto 0));
end; --entity mem_ctrl
architecture logic of mem_ctrl is
--"00" = big_endian; "11" = little_endian
constant ENDIAN_MODE : std_logic_vector(1 downto 0) := "00";
signal opcode_reg : std_logic_vector(31 downto 0);
signal next_opcode_reg : std_logic_vector(31 downto 0);
signal address_reg : std_logic_vector(31 downto 2);
signal byte_we_reg : std_logic_vector(3 downto 0);
signal mem_state_reg : std_logic;
constant STATE_ADDR : std_logic := '0';
constant STATE_ACCESS : std_logic := '1';
begin
mem_proc: process(clk, reset_in, pause_in, nullify_op,
address_pc, address_in, mem_source, data_write,
data_r, opcode_reg, next_opcode_reg, mem_state_reg,
address_reg, byte_we_reg)
variable address_var : std_logic_vector(31 downto 2);
variable data_read_var : std_logic_vector(31 downto 0);
variable data_write_var : std_logic_vector(31 downto 0);
variable opcode_next : std_logic_vector(31 downto 0);
variable byte_we_var : std_logic_vector(3 downto 0);
variable mem_state_next : std_logic;
variable pause_var : std_logic;
variable bits : std_logic_vector(1 downto 0);
begin
byte_we_var := "0000";
pause_var := '0';
data_read_var := ZERO;
data_write_var := ZERO;
mem_state_next := mem_state_reg;
opcode_next := opcode_reg;
case mem_source is
when MEM_READ32 =>
data_read_var := data_r;
when MEM_READ16 | MEM_READ16S =>
if address_in(1) = ENDIAN_MODE(1) then
data_read_var(15 downto 0) := data_r(31 downto 16);
else
data_read_var(15 downto 0) := data_r(15 downto 0);
end if;
if mem_source = MEM_READ16 or data_read_var(15) = '0' then
data_read_var(31 downto 16) := ZERO(31 downto 16);
else
data_read_var(31 downto 16) := ONES(31 downto 16);
end if;
when MEM_READ8 | MEM_READ8S =>
bits := address_in(1 downto 0) xor ENDIAN_MODE;
case bits is
when "00" => data_read_var(7 downto 0) := data_r(31 downto 24);
when "01" => data_read_var(7 downto 0) := data_r(23 downto 16);
when "10" => data_read_var(7 downto 0) := data_r(15 downto 8);
when others => data_read_var(7 downto 0) := data_r(7 downto 0);
end case;
if mem_source = MEM_READ8 or data_read_var(7) = '0' then
data_read_var(31 downto 8) := ZERO(31 downto 8);
else
data_read_var(31 downto 8) := ONES(31 downto 8);
end if;
when MEM_WRITE32 =>
data_write_var := data_write;
byte_we_var := "1111";
when MEM_WRITE16 =>
data_write_var := data_write(15 downto 0) & data_write(15 downto 0);
if address_in(1) = ENDIAN_MODE(1) then
byte_we_var := "1100";
else
byte_we_var := "0011";
end if;
when MEM_WRITE8 =>
data_write_var := data_write(7 downto 0) & data_write(7 downto 0) &
data_write(7 downto 0) & data_write(7 downto 0);
bits := address_in(1 downto 0) xor ENDIAN_MODE;
case bits is
when "00" =>
byte_we_var := "1000";
when "01" =>
byte_we_var := "0100";
when "10" =>
byte_we_var := "0010";
when others =>
byte_we_var := "0001";
end case;
when others =>
end case;
if mem_source = MEM_FETCH then --opcode fetch
address_var := address_pc;
opcode_next := data_r;
mem_state_next := STATE_ADDR;
else
if mem_state_reg = STATE_ADDR then
if pause_in = '0' then
address_var := address_in(31 downto 2);
mem_state_next := STATE_ACCESS;
pause_var := '1';
else
address_var := address_pc;
byte_we_var := "0000";
end if;
else --STATE_ACCESS
if pause_in = '0' then
address_var := address_pc;
opcode_next := next_opcode_reg;
mem_state_next := STATE_ADDR;
byte_we_var := "0000";
else
address_var := address_in(31 downto 2);
byte_we_var := "0000";
end if;
end if;
end if;
if nullify_op = '1' and pause_in = '0' then
opcode_next := ZERO; --NOP after beql
end if;
if reset_in = '1' then
mem_state_reg <= STATE_ADDR;
opcode_reg <= ZERO;
next_opcode_reg <= ZERO;
address_reg <= ZERO(31 downto 2);
byte_we_reg <= "0000";
elsif rising_edge(clk) then
if pause_in = '0' then
address_reg <= address_var;
byte_we_reg <= byte_we_var;
mem_state_reg <= mem_state_next;
opcode_reg <= opcode_next;
if mem_state_reg = STATE_ADDR then
next_opcode_reg <= data_r;
end if;
end if;
end if;
opcode_out <= opcode_reg;
data_read <= data_read_var;
pause_out <= pause_var;
address_next <= address_var;
byte_we_next <= byte_we_var;
address <= address_reg;
byte_we <= byte_we_reg;
data_w <= data_write_var;
end process; --data_proc
end; --architecture logic
|
---------------------------------------------------------------------
-- TITLE: Memory Controller
-- AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 1/31/01
-- FILENAME: mem_ctrl.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- Memory controller for the Plasma CPU.
-- Supports Big or Little Endian mode.
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.mlite_pack.all;
entity mem_ctrl is
port(clk : in std_logic;
reset_in : in std_logic;
pause_in : in std_logic;
nullify_op : in std_logic;
address_pc : in std_logic_vector(31 downto 2);
opcode_out : out std_logic_vector(31 downto 0);
address_in : in std_logic_vector(31 downto 0);
mem_source : in mem_source_type;
data_write : in std_logic_vector(31 downto 0);
data_read : out std_logic_vector(31 downto 0);
pause_out : out std_logic;
address_next : out std_logic_vector(31 downto 2);
byte_we_next : out std_logic_vector(3 downto 0);
address : out std_logic_vector(31 downto 2);
byte_we : out std_logic_vector(3 downto 0);
data_w : out std_logic_vector(31 downto 0);
data_r : in std_logic_vector(31 downto 0));
end; --entity mem_ctrl
architecture logic of mem_ctrl is
--"00" = big_endian; "11" = little_endian
constant ENDIAN_MODE : std_logic_vector(1 downto 0) := "00";
signal opcode_reg : std_logic_vector(31 downto 0);
signal next_opcode_reg : std_logic_vector(31 downto 0);
signal address_reg : std_logic_vector(31 downto 2);
signal byte_we_reg : std_logic_vector(3 downto 0);
signal mem_state_reg : std_logic;
constant STATE_ADDR : std_logic := '0';
constant STATE_ACCESS : std_logic := '1';
begin
mem_proc: process(clk, reset_in, pause_in, nullify_op,
address_pc, address_in, mem_source, data_write,
data_r, opcode_reg, next_opcode_reg, mem_state_reg,
address_reg, byte_we_reg)
variable address_var : std_logic_vector(31 downto 2);
variable data_read_var : std_logic_vector(31 downto 0);
variable data_write_var : std_logic_vector(31 downto 0);
variable opcode_next : std_logic_vector(31 downto 0);
variable byte_we_var : std_logic_vector(3 downto 0);
variable mem_state_next : std_logic;
variable pause_var : std_logic;
variable bits : std_logic_vector(1 downto 0);
begin
byte_we_var := "0000";
pause_var := '0';
data_read_var := ZERO;
data_write_var := ZERO;
mem_state_next := mem_state_reg;
opcode_next := opcode_reg;
case mem_source is
when MEM_READ32 =>
data_read_var := data_r;
when MEM_READ16 | MEM_READ16S =>
if address_in(1) = ENDIAN_MODE(1) then
data_read_var(15 downto 0) := data_r(31 downto 16);
else
data_read_var(15 downto 0) := data_r(15 downto 0);
end if;
if mem_source = MEM_READ16 or data_read_var(15) = '0' then
data_read_var(31 downto 16) := ZERO(31 downto 16);
else
data_read_var(31 downto 16) := ONES(31 downto 16);
end if;
when MEM_READ8 | MEM_READ8S =>
bits := address_in(1 downto 0) xor ENDIAN_MODE;
case bits is
when "00" => data_read_var(7 downto 0) := data_r(31 downto 24);
when "01" => data_read_var(7 downto 0) := data_r(23 downto 16);
when "10" => data_read_var(7 downto 0) := data_r(15 downto 8);
when others => data_read_var(7 downto 0) := data_r(7 downto 0);
end case;
if mem_source = MEM_READ8 or data_read_var(7) = '0' then
data_read_var(31 downto 8) := ZERO(31 downto 8);
else
data_read_var(31 downto 8) := ONES(31 downto 8);
end if;
when MEM_WRITE32 =>
data_write_var := data_write;
byte_we_var := "1111";
when MEM_WRITE16 =>
data_write_var := data_write(15 downto 0) & data_write(15 downto 0);
if address_in(1) = ENDIAN_MODE(1) then
byte_we_var := "1100";
else
byte_we_var := "0011";
end if;
when MEM_WRITE8 =>
data_write_var := data_write(7 downto 0) & data_write(7 downto 0) &
data_write(7 downto 0) & data_write(7 downto 0);
bits := address_in(1 downto 0) xor ENDIAN_MODE;
case bits is
when "00" =>
byte_we_var := "1000";
when "01" =>
byte_we_var := "0100";
when "10" =>
byte_we_var := "0010";
when others =>
byte_we_var := "0001";
end case;
when others =>
end case;
if mem_source = MEM_FETCH then --opcode fetch
address_var := address_pc;
opcode_next := data_r;
mem_state_next := STATE_ADDR;
else
if mem_state_reg = STATE_ADDR then
if pause_in = '0' then
address_var := address_in(31 downto 2);
mem_state_next := STATE_ACCESS;
pause_var := '1';
else
address_var := address_pc;
byte_we_var := "0000";
end if;
else --STATE_ACCESS
if pause_in = '0' then
address_var := address_pc;
opcode_next := next_opcode_reg;
mem_state_next := STATE_ADDR;
byte_we_var := "0000";
else
address_var := address_in(31 downto 2);
byte_we_var := "0000";
end if;
end if;
end if;
if nullify_op = '1' and pause_in = '0' then
opcode_next := ZERO; --NOP after beql
end if;
if reset_in = '1' then
mem_state_reg <= STATE_ADDR;
opcode_reg <= ZERO;
next_opcode_reg <= ZERO;
address_reg <= ZERO(31 downto 2);
byte_we_reg <= "0000";
elsif rising_edge(clk) then
if pause_in = '0' then
address_reg <= address_var;
byte_we_reg <= byte_we_var;
mem_state_reg <= mem_state_next;
opcode_reg <= opcode_next;
if mem_state_reg = STATE_ADDR then
next_opcode_reg <= data_r;
end if;
end if;
end if;
opcode_out <= opcode_reg;
data_read <= data_read_var;
pause_out <= pause_var;
address_next <= address_var;
byte_we_next <= byte_we_var;
address <= address_reg;
byte_we <= byte_we_reg;
data_w <= data_write_var;
end process; --data_proc
end; --architecture logic
|
---------------------------------------------------------------------
-- TITLE: Memory Controller
-- AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 1/31/01
-- FILENAME: mem_ctrl.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- Memory controller for the Plasma CPU.
-- Supports Big or Little Endian mode.
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.mlite_pack.all;
entity mem_ctrl is
port(clk : in std_logic;
reset_in : in std_logic;
pause_in : in std_logic;
nullify_op : in std_logic;
address_pc : in std_logic_vector(31 downto 2);
opcode_out : out std_logic_vector(31 downto 0);
address_in : in std_logic_vector(31 downto 0);
mem_source : in mem_source_type;
data_write : in std_logic_vector(31 downto 0);
data_read : out std_logic_vector(31 downto 0);
pause_out : out std_logic;
address_next : out std_logic_vector(31 downto 2);
byte_we_next : out std_logic_vector(3 downto 0);
address : out std_logic_vector(31 downto 2);
byte_we : out std_logic_vector(3 downto 0);
data_w : out std_logic_vector(31 downto 0);
data_r : in std_logic_vector(31 downto 0));
end; --entity mem_ctrl
architecture logic of mem_ctrl is
--"00" = big_endian; "11" = little_endian
constant ENDIAN_MODE : std_logic_vector(1 downto 0) := "00";
signal opcode_reg : std_logic_vector(31 downto 0);
signal next_opcode_reg : std_logic_vector(31 downto 0);
signal address_reg : std_logic_vector(31 downto 2);
signal byte_we_reg : std_logic_vector(3 downto 0);
signal mem_state_reg : std_logic;
constant STATE_ADDR : std_logic := '0';
constant STATE_ACCESS : std_logic := '1';
begin
mem_proc: process(clk, reset_in, pause_in, nullify_op,
address_pc, address_in, mem_source, data_write,
data_r, opcode_reg, next_opcode_reg, mem_state_reg,
address_reg, byte_we_reg)
variable address_var : std_logic_vector(31 downto 2);
variable data_read_var : std_logic_vector(31 downto 0);
variable data_write_var : std_logic_vector(31 downto 0);
variable opcode_next : std_logic_vector(31 downto 0);
variable byte_we_var : std_logic_vector(3 downto 0);
variable mem_state_next : std_logic;
variable pause_var : std_logic;
variable bits : std_logic_vector(1 downto 0);
begin
byte_we_var := "0000";
pause_var := '0';
data_read_var := ZERO;
data_write_var := ZERO;
mem_state_next := mem_state_reg;
opcode_next := opcode_reg;
case mem_source is
when MEM_READ32 =>
data_read_var := data_r;
when MEM_READ16 | MEM_READ16S =>
if address_in(1) = ENDIAN_MODE(1) then
data_read_var(15 downto 0) := data_r(31 downto 16);
else
data_read_var(15 downto 0) := data_r(15 downto 0);
end if;
if mem_source = MEM_READ16 or data_read_var(15) = '0' then
data_read_var(31 downto 16) := ZERO(31 downto 16);
else
data_read_var(31 downto 16) := ONES(31 downto 16);
end if;
when MEM_READ8 | MEM_READ8S =>
bits := address_in(1 downto 0) xor ENDIAN_MODE;
case bits is
when "00" => data_read_var(7 downto 0) := data_r(31 downto 24);
when "01" => data_read_var(7 downto 0) := data_r(23 downto 16);
when "10" => data_read_var(7 downto 0) := data_r(15 downto 8);
when others => data_read_var(7 downto 0) := data_r(7 downto 0);
end case;
if mem_source = MEM_READ8 or data_read_var(7) = '0' then
data_read_var(31 downto 8) := ZERO(31 downto 8);
else
data_read_var(31 downto 8) := ONES(31 downto 8);
end if;
when MEM_WRITE32 =>
data_write_var := data_write;
byte_we_var := "1111";
when MEM_WRITE16 =>
data_write_var := data_write(15 downto 0) & data_write(15 downto 0);
if address_in(1) = ENDIAN_MODE(1) then
byte_we_var := "1100";
else
byte_we_var := "0011";
end if;
when MEM_WRITE8 =>
data_write_var := data_write(7 downto 0) & data_write(7 downto 0) &
data_write(7 downto 0) & data_write(7 downto 0);
bits := address_in(1 downto 0) xor ENDIAN_MODE;
case bits is
when "00" =>
byte_we_var := "1000";
when "01" =>
byte_we_var := "0100";
when "10" =>
byte_we_var := "0010";
when others =>
byte_we_var := "0001";
end case;
when others =>
end case;
if mem_source = MEM_FETCH then --opcode fetch
address_var := address_pc;
opcode_next := data_r;
mem_state_next := STATE_ADDR;
else
if mem_state_reg = STATE_ADDR then
if pause_in = '0' then
address_var := address_in(31 downto 2);
mem_state_next := STATE_ACCESS;
pause_var := '1';
else
address_var := address_pc;
byte_we_var := "0000";
end if;
else --STATE_ACCESS
if pause_in = '0' then
address_var := address_pc;
opcode_next := next_opcode_reg;
mem_state_next := STATE_ADDR;
byte_we_var := "0000";
else
address_var := address_in(31 downto 2);
byte_we_var := "0000";
end if;
end if;
end if;
if nullify_op = '1' and pause_in = '0' then
opcode_next := ZERO; --NOP after beql
end if;
if reset_in = '1' then
mem_state_reg <= STATE_ADDR;
opcode_reg <= ZERO;
next_opcode_reg <= ZERO;
address_reg <= ZERO(31 downto 2);
byte_we_reg <= "0000";
elsif rising_edge(clk) then
if pause_in = '0' then
address_reg <= address_var;
byte_we_reg <= byte_we_var;
mem_state_reg <= mem_state_next;
opcode_reg <= opcode_next;
if mem_state_reg = STATE_ADDR then
next_opcode_reg <= data_r;
end if;
end if;
end if;
opcode_out <= opcode_reg;
data_read <= data_read_var;
pause_out <= pause_var;
address_next <= address_var;
byte_we_next <= byte_we_var;
address <= address_reg;
byte_we <= byte_we_reg;
data_w <= data_write_var;
end process; --data_proc
end; --architecture logic
|
---------------------------------------------------------------------
-- TITLE: Memory Controller
-- AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 1/31/01
-- FILENAME: mem_ctrl.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- Memory controller for the Plasma CPU.
-- Supports Big or Little Endian mode.
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.mlite_pack.all;
entity mem_ctrl is
port(clk : in std_logic;
reset_in : in std_logic;
pause_in : in std_logic;
nullify_op : in std_logic;
address_pc : in std_logic_vector(31 downto 2);
opcode_out : out std_logic_vector(31 downto 0);
address_in : in std_logic_vector(31 downto 0);
mem_source : in mem_source_type;
data_write : in std_logic_vector(31 downto 0);
data_read : out std_logic_vector(31 downto 0);
pause_out : out std_logic;
address_next : out std_logic_vector(31 downto 2);
byte_we_next : out std_logic_vector(3 downto 0);
address : out std_logic_vector(31 downto 2);
byte_we : out std_logic_vector(3 downto 0);
data_w : out std_logic_vector(31 downto 0);
data_r : in std_logic_vector(31 downto 0));
end; --entity mem_ctrl
architecture logic of mem_ctrl is
--"00" = big_endian; "11" = little_endian
constant ENDIAN_MODE : std_logic_vector(1 downto 0) := "00";
signal opcode_reg : std_logic_vector(31 downto 0);
signal next_opcode_reg : std_logic_vector(31 downto 0);
signal address_reg : std_logic_vector(31 downto 2);
signal byte_we_reg : std_logic_vector(3 downto 0);
signal mem_state_reg : std_logic;
constant STATE_ADDR : std_logic := '0';
constant STATE_ACCESS : std_logic := '1';
begin
mem_proc: process(clk, reset_in, pause_in, nullify_op,
address_pc, address_in, mem_source, data_write,
data_r, opcode_reg, next_opcode_reg, mem_state_reg,
address_reg, byte_we_reg)
variable address_var : std_logic_vector(31 downto 2);
variable data_read_var : std_logic_vector(31 downto 0);
variable data_write_var : std_logic_vector(31 downto 0);
variable opcode_next : std_logic_vector(31 downto 0);
variable byte_we_var : std_logic_vector(3 downto 0);
variable mem_state_next : std_logic;
variable pause_var : std_logic;
variable bits : std_logic_vector(1 downto 0);
begin
byte_we_var := "0000";
pause_var := '0';
data_read_var := ZERO;
data_write_var := ZERO;
mem_state_next := mem_state_reg;
opcode_next := opcode_reg;
case mem_source is
when MEM_READ32 =>
data_read_var := data_r;
when MEM_READ16 | MEM_READ16S =>
if address_in(1) = ENDIAN_MODE(1) then
data_read_var(15 downto 0) := data_r(31 downto 16);
else
data_read_var(15 downto 0) := data_r(15 downto 0);
end if;
if mem_source = MEM_READ16 or data_read_var(15) = '0' then
data_read_var(31 downto 16) := ZERO(31 downto 16);
else
data_read_var(31 downto 16) := ONES(31 downto 16);
end if;
when MEM_READ8 | MEM_READ8S =>
bits := address_in(1 downto 0) xor ENDIAN_MODE;
case bits is
when "00" => data_read_var(7 downto 0) := data_r(31 downto 24);
when "01" => data_read_var(7 downto 0) := data_r(23 downto 16);
when "10" => data_read_var(7 downto 0) := data_r(15 downto 8);
when others => data_read_var(7 downto 0) := data_r(7 downto 0);
end case;
if mem_source = MEM_READ8 or data_read_var(7) = '0' then
data_read_var(31 downto 8) := ZERO(31 downto 8);
else
data_read_var(31 downto 8) := ONES(31 downto 8);
end if;
when MEM_WRITE32 =>
data_write_var := data_write;
byte_we_var := "1111";
when MEM_WRITE16 =>
data_write_var := data_write(15 downto 0) & data_write(15 downto 0);
if address_in(1) = ENDIAN_MODE(1) then
byte_we_var := "1100";
else
byte_we_var := "0011";
end if;
when MEM_WRITE8 =>
data_write_var := data_write(7 downto 0) & data_write(7 downto 0) &
data_write(7 downto 0) & data_write(7 downto 0);
bits := address_in(1 downto 0) xor ENDIAN_MODE;
case bits is
when "00" =>
byte_we_var := "1000";
when "01" =>
byte_we_var := "0100";
when "10" =>
byte_we_var := "0010";
when others =>
byte_we_var := "0001";
end case;
when others =>
end case;
if mem_source = MEM_FETCH then --opcode fetch
address_var := address_pc;
opcode_next := data_r;
mem_state_next := STATE_ADDR;
else
if mem_state_reg = STATE_ADDR then
if pause_in = '0' then
address_var := address_in(31 downto 2);
mem_state_next := STATE_ACCESS;
pause_var := '1';
else
address_var := address_pc;
byte_we_var := "0000";
end if;
else --STATE_ACCESS
if pause_in = '0' then
address_var := address_pc;
opcode_next := next_opcode_reg;
mem_state_next := STATE_ADDR;
byte_we_var := "0000";
else
address_var := address_in(31 downto 2);
byte_we_var := "0000";
end if;
end if;
end if;
if nullify_op = '1' and pause_in = '0' then
opcode_next := ZERO; --NOP after beql
end if;
if reset_in = '1' then
mem_state_reg <= STATE_ADDR;
opcode_reg <= ZERO;
next_opcode_reg <= ZERO;
address_reg <= ZERO(31 downto 2);
byte_we_reg <= "0000";
elsif rising_edge(clk) then
if pause_in = '0' then
address_reg <= address_var;
byte_we_reg <= byte_we_var;
mem_state_reg <= mem_state_next;
opcode_reg <= opcode_next;
if mem_state_reg = STATE_ADDR then
next_opcode_reg <= data_r;
end if;
end if;
end if;
opcode_out <= opcode_reg;
data_read <= data_read_var;
pause_out <= pause_var;
address_next <= address_var;
byte_we_next <= byte_we_var;
address <= address_reg;
byte_we <= byte_we_reg;
data_w <= data_write_var;
end process; --data_proc
end; --architecture logic
|
---------------------------------------------------------------------
-- TITLE: Memory Controller
-- AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 1/31/01
-- FILENAME: mem_ctrl.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- Memory controller for the Plasma CPU.
-- Supports Big or Little Endian mode.
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.mlite_pack.all;
entity mem_ctrl is
port(clk : in std_logic;
reset_in : in std_logic;
pause_in : in std_logic;
nullify_op : in std_logic;
address_pc : in std_logic_vector(31 downto 2);
opcode_out : out std_logic_vector(31 downto 0);
address_in : in std_logic_vector(31 downto 0);
mem_source : in mem_source_type;
data_write : in std_logic_vector(31 downto 0);
data_read : out std_logic_vector(31 downto 0);
pause_out : out std_logic;
address_next : out std_logic_vector(31 downto 2);
byte_we_next : out std_logic_vector(3 downto 0);
address : out std_logic_vector(31 downto 2);
byte_we : out std_logic_vector(3 downto 0);
data_w : out std_logic_vector(31 downto 0);
data_r : in std_logic_vector(31 downto 0));
end; --entity mem_ctrl
architecture logic of mem_ctrl is
--"00" = big_endian; "11" = little_endian
constant ENDIAN_MODE : std_logic_vector(1 downto 0) := "00";
signal opcode_reg : std_logic_vector(31 downto 0);
signal next_opcode_reg : std_logic_vector(31 downto 0);
signal address_reg : std_logic_vector(31 downto 2);
signal byte_we_reg : std_logic_vector(3 downto 0);
signal mem_state_reg : std_logic;
constant STATE_ADDR : std_logic := '0';
constant STATE_ACCESS : std_logic := '1';
begin
mem_proc: process(clk, reset_in, pause_in, nullify_op,
address_pc, address_in, mem_source, data_write,
data_r, opcode_reg, next_opcode_reg, mem_state_reg,
address_reg, byte_we_reg)
variable address_var : std_logic_vector(31 downto 2);
variable data_read_var : std_logic_vector(31 downto 0);
variable data_write_var : std_logic_vector(31 downto 0);
variable opcode_next : std_logic_vector(31 downto 0);
variable byte_we_var : std_logic_vector(3 downto 0);
variable mem_state_next : std_logic;
variable pause_var : std_logic;
variable bits : std_logic_vector(1 downto 0);
begin
byte_we_var := "0000";
pause_var := '0';
data_read_var := ZERO;
data_write_var := ZERO;
mem_state_next := mem_state_reg;
opcode_next := opcode_reg;
case mem_source is
when MEM_READ32 =>
data_read_var := data_r;
when MEM_READ16 | MEM_READ16S =>
if address_in(1) = ENDIAN_MODE(1) then
data_read_var(15 downto 0) := data_r(31 downto 16);
else
data_read_var(15 downto 0) := data_r(15 downto 0);
end if;
if mem_source = MEM_READ16 or data_read_var(15) = '0' then
data_read_var(31 downto 16) := ZERO(31 downto 16);
else
data_read_var(31 downto 16) := ONES(31 downto 16);
end if;
when MEM_READ8 | MEM_READ8S =>
bits := address_in(1 downto 0) xor ENDIAN_MODE;
case bits is
when "00" => data_read_var(7 downto 0) := data_r(31 downto 24);
when "01" => data_read_var(7 downto 0) := data_r(23 downto 16);
when "10" => data_read_var(7 downto 0) := data_r(15 downto 8);
when others => data_read_var(7 downto 0) := data_r(7 downto 0);
end case;
if mem_source = MEM_READ8 or data_read_var(7) = '0' then
data_read_var(31 downto 8) := ZERO(31 downto 8);
else
data_read_var(31 downto 8) := ONES(31 downto 8);
end if;
when MEM_WRITE32 =>
data_write_var := data_write;
byte_we_var := "1111";
when MEM_WRITE16 =>
data_write_var := data_write(15 downto 0) & data_write(15 downto 0);
if address_in(1) = ENDIAN_MODE(1) then
byte_we_var := "1100";
else
byte_we_var := "0011";
end if;
when MEM_WRITE8 =>
data_write_var := data_write(7 downto 0) & data_write(7 downto 0) &
data_write(7 downto 0) & data_write(7 downto 0);
bits := address_in(1 downto 0) xor ENDIAN_MODE;
case bits is
when "00" =>
byte_we_var := "1000";
when "01" =>
byte_we_var := "0100";
when "10" =>
byte_we_var := "0010";
when others =>
byte_we_var := "0001";
end case;
when others =>
end case;
if mem_source = MEM_FETCH then --opcode fetch
address_var := address_pc;
opcode_next := data_r;
mem_state_next := STATE_ADDR;
else
if mem_state_reg = STATE_ADDR then
if pause_in = '0' then
address_var := address_in(31 downto 2);
mem_state_next := STATE_ACCESS;
pause_var := '1';
else
address_var := address_pc;
byte_we_var := "0000";
end if;
else --STATE_ACCESS
if pause_in = '0' then
address_var := address_pc;
opcode_next := next_opcode_reg;
mem_state_next := STATE_ADDR;
byte_we_var := "0000";
else
address_var := address_in(31 downto 2);
byte_we_var := "0000";
end if;
end if;
end if;
if nullify_op = '1' and pause_in = '0' then
opcode_next := ZERO; --NOP after beql
end if;
if reset_in = '1' then
mem_state_reg <= STATE_ADDR;
opcode_reg <= ZERO;
next_opcode_reg <= ZERO;
address_reg <= ZERO(31 downto 2);
byte_we_reg <= "0000";
elsif rising_edge(clk) then
if pause_in = '0' then
address_reg <= address_var;
byte_we_reg <= byte_we_var;
mem_state_reg <= mem_state_next;
opcode_reg <= opcode_next;
if mem_state_reg = STATE_ADDR then
next_opcode_reg <= data_r;
end if;
end if;
end if;
opcode_out <= opcode_reg;
data_read <= data_read_var;
pause_out <= pause_var;
address_next <= address_var;
byte_we_next <= byte_we_var;
address <= address_reg;
byte_we <= byte_we_reg;
data_w <= data_write_var;
end process; --data_proc
end; --architecture logic
|
---------------------------------------------------------------------
-- TITLE: Memory Controller
-- AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 1/31/01
-- FILENAME: mem_ctrl.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- Memory controller for the Plasma CPU.
-- Supports Big or Little Endian mode.
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.mlite_pack.all;
entity mem_ctrl is
port(clk : in std_logic;
reset_in : in std_logic;
pause_in : in std_logic;
nullify_op : in std_logic;
address_pc : in std_logic_vector(31 downto 2);
opcode_out : out std_logic_vector(31 downto 0);
address_in : in std_logic_vector(31 downto 0);
mem_source : in mem_source_type;
data_write : in std_logic_vector(31 downto 0);
data_read : out std_logic_vector(31 downto 0);
pause_out : out std_logic;
address_next : out std_logic_vector(31 downto 2);
byte_we_next : out std_logic_vector(3 downto 0);
address : out std_logic_vector(31 downto 2);
byte_we : out std_logic_vector(3 downto 0);
data_w : out std_logic_vector(31 downto 0);
data_r : in std_logic_vector(31 downto 0));
end; --entity mem_ctrl
architecture logic of mem_ctrl is
--"00" = big_endian; "11" = little_endian
constant ENDIAN_MODE : std_logic_vector(1 downto 0) := "00";
signal opcode_reg : std_logic_vector(31 downto 0);
signal next_opcode_reg : std_logic_vector(31 downto 0);
signal address_reg : std_logic_vector(31 downto 2);
signal byte_we_reg : std_logic_vector(3 downto 0);
signal mem_state_reg : std_logic;
constant STATE_ADDR : std_logic := '0';
constant STATE_ACCESS : std_logic := '1';
begin
mem_proc: process(clk, reset_in, pause_in, nullify_op,
address_pc, address_in, mem_source, data_write,
data_r, opcode_reg, next_opcode_reg, mem_state_reg,
address_reg, byte_we_reg)
variable address_var : std_logic_vector(31 downto 2);
variable data_read_var : std_logic_vector(31 downto 0);
variable data_write_var : std_logic_vector(31 downto 0);
variable opcode_next : std_logic_vector(31 downto 0);
variable byte_we_var : std_logic_vector(3 downto 0);
variable mem_state_next : std_logic;
variable pause_var : std_logic;
variable bits : std_logic_vector(1 downto 0);
begin
byte_we_var := "0000";
pause_var := '0';
data_read_var := ZERO;
data_write_var := ZERO;
mem_state_next := mem_state_reg;
opcode_next := opcode_reg;
case mem_source is
when MEM_READ32 =>
data_read_var := data_r;
when MEM_READ16 | MEM_READ16S =>
if address_in(1) = ENDIAN_MODE(1) then
data_read_var(15 downto 0) := data_r(31 downto 16);
else
data_read_var(15 downto 0) := data_r(15 downto 0);
end if;
if mem_source = MEM_READ16 or data_read_var(15) = '0' then
data_read_var(31 downto 16) := ZERO(31 downto 16);
else
data_read_var(31 downto 16) := ONES(31 downto 16);
end if;
when MEM_READ8 | MEM_READ8S =>
bits := address_in(1 downto 0) xor ENDIAN_MODE;
case bits is
when "00" => data_read_var(7 downto 0) := data_r(31 downto 24);
when "01" => data_read_var(7 downto 0) := data_r(23 downto 16);
when "10" => data_read_var(7 downto 0) := data_r(15 downto 8);
when others => data_read_var(7 downto 0) := data_r(7 downto 0);
end case;
if mem_source = MEM_READ8 or data_read_var(7) = '0' then
data_read_var(31 downto 8) := ZERO(31 downto 8);
else
data_read_var(31 downto 8) := ONES(31 downto 8);
end if;
when MEM_WRITE32 =>
data_write_var := data_write;
byte_we_var := "1111";
when MEM_WRITE16 =>
data_write_var := data_write(15 downto 0) & data_write(15 downto 0);
if address_in(1) = ENDIAN_MODE(1) then
byte_we_var := "1100";
else
byte_we_var := "0011";
end if;
when MEM_WRITE8 =>
data_write_var := data_write(7 downto 0) & data_write(7 downto 0) &
data_write(7 downto 0) & data_write(7 downto 0);
bits := address_in(1 downto 0) xor ENDIAN_MODE;
case bits is
when "00" =>
byte_we_var := "1000";
when "01" =>
byte_we_var := "0100";
when "10" =>
byte_we_var := "0010";
when others =>
byte_we_var := "0001";
end case;
when others =>
end case;
if mem_source = MEM_FETCH then --opcode fetch
address_var := address_pc;
opcode_next := data_r;
mem_state_next := STATE_ADDR;
else
if mem_state_reg = STATE_ADDR then
if pause_in = '0' then
address_var := address_in(31 downto 2);
mem_state_next := STATE_ACCESS;
pause_var := '1';
else
address_var := address_pc;
byte_we_var := "0000";
end if;
else --STATE_ACCESS
if pause_in = '0' then
address_var := address_pc;
opcode_next := next_opcode_reg;
mem_state_next := STATE_ADDR;
byte_we_var := "0000";
else
address_var := address_in(31 downto 2);
byte_we_var := "0000";
end if;
end if;
end if;
if nullify_op = '1' and pause_in = '0' then
opcode_next := ZERO; --NOP after beql
end if;
if reset_in = '1' then
mem_state_reg <= STATE_ADDR;
opcode_reg <= ZERO;
next_opcode_reg <= ZERO;
address_reg <= ZERO(31 downto 2);
byte_we_reg <= "0000";
elsif rising_edge(clk) then
if pause_in = '0' then
address_reg <= address_var;
byte_we_reg <= byte_we_var;
mem_state_reg <= mem_state_next;
opcode_reg <= opcode_next;
if mem_state_reg = STATE_ADDR then
next_opcode_reg <= data_r;
end if;
end if;
end if;
opcode_out <= opcode_reg;
data_read <= data_read_var;
pause_out <= pause_var;
address_next <= address_var;
byte_we_next <= byte_we_var;
address <= address_reg;
byte_we <= byte_we_reg;
data_w <= data_write_var;
end process; --data_proc
end; --architecture logic
|
---------------------------------------------------------------------
-- TITLE: Memory Controller
-- AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 1/31/01
-- FILENAME: mem_ctrl.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- Memory controller for the Plasma CPU.
-- Supports Big or Little Endian mode.
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.mlite_pack.all;
entity mem_ctrl is
port(clk : in std_logic;
reset_in : in std_logic;
pause_in : in std_logic;
nullify_op : in std_logic;
address_pc : in std_logic_vector(31 downto 2);
opcode_out : out std_logic_vector(31 downto 0);
address_in : in std_logic_vector(31 downto 0);
mem_source : in mem_source_type;
data_write : in std_logic_vector(31 downto 0);
data_read : out std_logic_vector(31 downto 0);
pause_out : out std_logic;
address_next : out std_logic_vector(31 downto 2);
byte_we_next : out std_logic_vector(3 downto 0);
address : out std_logic_vector(31 downto 2);
byte_we : out std_logic_vector(3 downto 0);
data_w : out std_logic_vector(31 downto 0);
data_r : in std_logic_vector(31 downto 0));
end; --entity mem_ctrl
architecture logic of mem_ctrl is
--"00" = big_endian; "11" = little_endian
constant ENDIAN_MODE : std_logic_vector(1 downto 0) := "00";
signal opcode_reg : std_logic_vector(31 downto 0);
signal next_opcode_reg : std_logic_vector(31 downto 0);
signal address_reg : std_logic_vector(31 downto 2);
signal byte_we_reg : std_logic_vector(3 downto 0);
signal mem_state_reg : std_logic;
constant STATE_ADDR : std_logic := '0';
constant STATE_ACCESS : std_logic := '1';
begin
mem_proc: process(clk, reset_in, pause_in, nullify_op,
address_pc, address_in, mem_source, data_write,
data_r, opcode_reg, next_opcode_reg, mem_state_reg,
address_reg, byte_we_reg)
variable address_var : std_logic_vector(31 downto 2);
variable data_read_var : std_logic_vector(31 downto 0);
variable data_write_var : std_logic_vector(31 downto 0);
variable opcode_next : std_logic_vector(31 downto 0);
variable byte_we_var : std_logic_vector(3 downto 0);
variable mem_state_next : std_logic;
variable pause_var : std_logic;
variable bits : std_logic_vector(1 downto 0);
begin
byte_we_var := "0000";
pause_var := '0';
data_read_var := ZERO;
data_write_var := ZERO;
mem_state_next := mem_state_reg;
opcode_next := opcode_reg;
case mem_source is
when MEM_READ32 =>
data_read_var := data_r;
when MEM_READ16 | MEM_READ16S =>
if address_in(1) = ENDIAN_MODE(1) then
data_read_var(15 downto 0) := data_r(31 downto 16);
else
data_read_var(15 downto 0) := data_r(15 downto 0);
end if;
if mem_source = MEM_READ16 or data_read_var(15) = '0' then
data_read_var(31 downto 16) := ZERO(31 downto 16);
else
data_read_var(31 downto 16) := ONES(31 downto 16);
end if;
when MEM_READ8 | MEM_READ8S =>
bits := address_in(1 downto 0) xor ENDIAN_MODE;
case bits is
when "00" => data_read_var(7 downto 0) := data_r(31 downto 24);
when "01" => data_read_var(7 downto 0) := data_r(23 downto 16);
when "10" => data_read_var(7 downto 0) := data_r(15 downto 8);
when others => data_read_var(7 downto 0) := data_r(7 downto 0);
end case;
if mem_source = MEM_READ8 or data_read_var(7) = '0' then
data_read_var(31 downto 8) := ZERO(31 downto 8);
else
data_read_var(31 downto 8) := ONES(31 downto 8);
end if;
when MEM_WRITE32 =>
data_write_var := data_write;
byte_we_var := "1111";
when MEM_WRITE16 =>
data_write_var := data_write(15 downto 0) & data_write(15 downto 0);
if address_in(1) = ENDIAN_MODE(1) then
byte_we_var := "1100";
else
byte_we_var := "0011";
end if;
when MEM_WRITE8 =>
data_write_var := data_write(7 downto 0) & data_write(7 downto 0) &
data_write(7 downto 0) & data_write(7 downto 0);
bits := address_in(1 downto 0) xor ENDIAN_MODE;
case bits is
when "00" =>
byte_we_var := "1000";
when "01" =>
byte_we_var := "0100";
when "10" =>
byte_we_var := "0010";
when others =>
byte_we_var := "0001";
end case;
when others =>
end case;
if mem_source = MEM_FETCH then --opcode fetch
address_var := address_pc;
opcode_next := data_r;
mem_state_next := STATE_ADDR;
else
if mem_state_reg = STATE_ADDR then
if pause_in = '0' then
address_var := address_in(31 downto 2);
mem_state_next := STATE_ACCESS;
pause_var := '1';
else
address_var := address_pc;
byte_we_var := "0000";
end if;
else --STATE_ACCESS
if pause_in = '0' then
address_var := address_pc;
opcode_next := next_opcode_reg;
mem_state_next := STATE_ADDR;
byte_we_var := "0000";
else
address_var := address_in(31 downto 2);
byte_we_var := "0000";
end if;
end if;
end if;
if nullify_op = '1' and pause_in = '0' then
opcode_next := ZERO; --NOP after beql
end if;
if reset_in = '1' then
mem_state_reg <= STATE_ADDR;
opcode_reg <= ZERO;
next_opcode_reg <= ZERO;
address_reg <= ZERO(31 downto 2);
byte_we_reg <= "0000";
elsif rising_edge(clk) then
if pause_in = '0' then
address_reg <= address_var;
byte_we_reg <= byte_we_var;
mem_state_reg <= mem_state_next;
opcode_reg <= opcode_next;
if mem_state_reg = STATE_ADDR then
next_opcode_reg <= data_r;
end if;
end if;
end if;
opcode_out <= opcode_reg;
data_read <= data_read_var;
pause_out <= pause_var;
address_next <= address_var;
byte_we_next <= byte_we_var;
address <= address_reg;
byte_we <= byte_we_reg;
data_w <= data_write_var;
end process; --data_proc
end; --architecture logic
|
---------------------------------------------------------------------
-- TITLE: Memory Controller
-- AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 1/31/01
-- FILENAME: mem_ctrl.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- Memory controller for the Plasma CPU.
-- Supports Big or Little Endian mode.
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.mlite_pack.all;
entity mem_ctrl is
port(clk : in std_logic;
reset_in : in std_logic;
pause_in : in std_logic;
nullify_op : in std_logic;
address_pc : in std_logic_vector(31 downto 2);
opcode_out : out std_logic_vector(31 downto 0);
address_in : in std_logic_vector(31 downto 0);
mem_source : in mem_source_type;
data_write : in std_logic_vector(31 downto 0);
data_read : out std_logic_vector(31 downto 0);
pause_out : out std_logic;
address_next : out std_logic_vector(31 downto 2);
byte_we_next : out std_logic_vector(3 downto 0);
address : out std_logic_vector(31 downto 2);
byte_we : out std_logic_vector(3 downto 0);
data_w : out std_logic_vector(31 downto 0);
data_r : in std_logic_vector(31 downto 0));
end; --entity mem_ctrl
architecture logic of mem_ctrl is
--"00" = big_endian; "11" = little_endian
constant ENDIAN_MODE : std_logic_vector(1 downto 0) := "00";
signal opcode_reg : std_logic_vector(31 downto 0);
signal next_opcode_reg : std_logic_vector(31 downto 0);
signal address_reg : std_logic_vector(31 downto 2);
signal byte_we_reg : std_logic_vector(3 downto 0);
signal mem_state_reg : std_logic;
constant STATE_ADDR : std_logic := '0';
constant STATE_ACCESS : std_logic := '1';
begin
mem_proc: process(clk, reset_in, pause_in, nullify_op,
address_pc, address_in, mem_source, data_write,
data_r, opcode_reg, next_opcode_reg, mem_state_reg,
address_reg, byte_we_reg)
variable address_var : std_logic_vector(31 downto 2);
variable data_read_var : std_logic_vector(31 downto 0);
variable data_write_var : std_logic_vector(31 downto 0);
variable opcode_next : std_logic_vector(31 downto 0);
variable byte_we_var : std_logic_vector(3 downto 0);
variable mem_state_next : std_logic;
variable pause_var : std_logic;
variable bits : std_logic_vector(1 downto 0);
begin
byte_we_var := "0000";
pause_var := '0';
data_read_var := ZERO;
data_write_var := ZERO;
mem_state_next := mem_state_reg;
opcode_next := opcode_reg;
case mem_source is
when MEM_READ32 =>
data_read_var := data_r;
when MEM_READ16 | MEM_READ16S =>
if address_in(1) = ENDIAN_MODE(1) then
data_read_var(15 downto 0) := data_r(31 downto 16);
else
data_read_var(15 downto 0) := data_r(15 downto 0);
end if;
if mem_source = MEM_READ16 or data_read_var(15) = '0' then
data_read_var(31 downto 16) := ZERO(31 downto 16);
else
data_read_var(31 downto 16) := ONES(31 downto 16);
end if;
when MEM_READ8 | MEM_READ8S =>
bits := address_in(1 downto 0) xor ENDIAN_MODE;
case bits is
when "00" => data_read_var(7 downto 0) := data_r(31 downto 24);
when "01" => data_read_var(7 downto 0) := data_r(23 downto 16);
when "10" => data_read_var(7 downto 0) := data_r(15 downto 8);
when others => data_read_var(7 downto 0) := data_r(7 downto 0);
end case;
if mem_source = MEM_READ8 or data_read_var(7) = '0' then
data_read_var(31 downto 8) := ZERO(31 downto 8);
else
data_read_var(31 downto 8) := ONES(31 downto 8);
end if;
when MEM_WRITE32 =>
data_write_var := data_write;
byte_we_var := "1111";
when MEM_WRITE16 =>
data_write_var := data_write(15 downto 0) & data_write(15 downto 0);
if address_in(1) = ENDIAN_MODE(1) then
byte_we_var := "1100";
else
byte_we_var := "0011";
end if;
when MEM_WRITE8 =>
data_write_var := data_write(7 downto 0) & data_write(7 downto 0) &
data_write(7 downto 0) & data_write(7 downto 0);
bits := address_in(1 downto 0) xor ENDIAN_MODE;
case bits is
when "00" =>
byte_we_var := "1000";
when "01" =>
byte_we_var := "0100";
when "10" =>
byte_we_var := "0010";
when others =>
byte_we_var := "0001";
end case;
when others =>
end case;
if mem_source = MEM_FETCH then --opcode fetch
address_var := address_pc;
opcode_next := data_r;
mem_state_next := STATE_ADDR;
else
if mem_state_reg = STATE_ADDR then
if pause_in = '0' then
address_var := address_in(31 downto 2);
mem_state_next := STATE_ACCESS;
pause_var := '1';
else
address_var := address_pc;
byte_we_var := "0000";
end if;
else --STATE_ACCESS
if pause_in = '0' then
address_var := address_pc;
opcode_next := next_opcode_reg;
mem_state_next := STATE_ADDR;
byte_we_var := "0000";
else
address_var := address_in(31 downto 2);
byte_we_var := "0000";
end if;
end if;
end if;
if nullify_op = '1' and pause_in = '0' then
opcode_next := ZERO; --NOP after beql
end if;
if reset_in = '1' then
mem_state_reg <= STATE_ADDR;
opcode_reg <= ZERO;
next_opcode_reg <= ZERO;
address_reg <= ZERO(31 downto 2);
byte_we_reg <= "0000";
elsif rising_edge(clk) then
if pause_in = '0' then
address_reg <= address_var;
byte_we_reg <= byte_we_var;
mem_state_reg <= mem_state_next;
opcode_reg <= opcode_next;
if mem_state_reg = STATE_ADDR then
next_opcode_reg <= data_r;
end if;
end if;
end if;
opcode_out <= opcode_reg;
data_read <= data_read_var;
pause_out <= pause_var;
address_next <= address_var;
byte_we_next <= byte_we_var;
address <= address_reg;
byte_we <= byte_we_reg;
data_w <= data_write_var;
end process; --data_proc
end; --architecture logic
|
-------------------------------------------------------------------------------
--
-- (C) COPYRIGHT 2010 Gideon's Logic Architectures'
--
-------------------------------------------------------------------------------
--
-- Author: Gideon Zweijtzer (gideon.zweijtzer (at) gmail.com)
--
-- Note that this file is copyrighted, and is not supposed to be used in other
-- projects without written permission from the author.
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.my_math_pkg.all;
entity sid_filter is
generic (
g_divider : natural := 221 );
port (
clock : in std_logic;
reset : in std_logic;
enable : in std_logic;
filt_co : in unsigned(10 downto 0);
filt_res : in unsigned(3 downto 0);
valid_in : in std_logic := '0';
error_out : out std_logic;
input : in signed(17 downto 0);
high_pass : out signed(17 downto 0);
band_pass : out signed(17 downto 0);
low_pass : out signed(17 downto 0);
valid_out : out std_logic );
end sid_filter;
architecture dsvf of sid_filter is
signal filter_q : signed(17 downto 0);
signal filter_f : signed(17 downto 0);
signal input_sc : signed(17 downto 0);
signal filt_ram : std_logic_vector(15 downto 0);
signal xa : signed(17 downto 0);
signal xb : signed(17 downto 0);
signal sum_b : signed(17 downto 0);
signal sub_a : signed(17 downto 0);
signal sub_b : signed(17 downto 0);
signal x_reg : signed(17 downto 0) := (others => '0');
signal bp_reg : signed(17 downto 0);
signal hp_reg : signed(17 downto 0);
signal lp_reg : signed(17 downto 0);
signal temp_reg : signed(17 downto 0);
signal error : std_logic := '0';
signal divider : integer range 0 to g_divider-1;
signal instruction : std_logic_vector(7 downto 0);
type t_byte_array is array(natural range <>) of std_logic_vector(7 downto 0);
constant c_program : t_byte_array := (X"80", X"12", X"81", X"4C", X"82", X"20");
type t_word_array is array(1023 downto 0) of signed(15 downto 0);
constant coef : t_word_array :=
(
X"fff6", X"ffe5", X"ffd4", X"ffc3", X"ffb2", X"ffa0", X"ff8f", X"ff7e",
X"ff6d", X"ff5c", X"ff4a", X"ff39", X"ff28", X"ff17", X"ff06", X"fef4",
X"fee3", X"fed2", X"fec1", X"feb0", X"fe9e", X"fe8d", X"fe7c", X"fe6b",
X"fe5a", X"fe48", X"fe37", X"fe26", X"fe15", X"fe04", X"fdf2", X"fde1",
X"fdd0", X"fdbf", X"fdae", X"fd9c", X"fd8b", X"fd7a", X"fd69", X"fd58",
X"fd46", X"fd35", X"fd24", X"fd13", X"fd02", X"fcf0", X"fcdf", X"fcce",
X"fcbd", X"fcac", X"fc9a", X"fc89", X"fc78", X"fc67", X"fc56", X"fc44",
X"fc33", X"fc22", X"fc11", X"fc00", X"fbee", X"fbdd", X"fbcc", X"fbbb",
X"fb99", X"fb76", X"fb54", X"fb32", X"fb10", X"faee", X"facc", X"faaa",
X"fa88", X"fa65", X"fa43", X"fa21", X"f9ff", X"f9dd", X"f9bb", X"f999",
X"f976", X"f954", X"f932", X"f910", X"f8ee", X"f8cc", X"f8aa", X"f888",
X"f865", X"f843", X"f821", X"f7ff", X"f7dd", X"f7bb", X"f799", X"f776",
X"f754", X"f732", X"f710", X"f6ee", X"f6cc", X"f6aa", X"f688", X"f665",
X"f643", X"f621", X"f5ff", X"f5dd", X"f5bb", X"f599", X"f576", X"f554",
X"f532", X"f510", X"f4ee", X"f4cc", X"f4aa", X"f488", X"f465", X"f443",
X"f421", X"f3ff", X"f3dd", X"f3bb", X"f399", X"f376", X"f354", X"f332",
X"f2f4", X"f2b5", X"f276", X"f238", X"f1f9", X"f1bb", X"f17c", X"f13e",
X"f0ff", X"f0c0", X"f082", X"f043", X"f005", X"efc6", X"ef88", X"ef49",
X"ef0a", X"eecc", X"ee8d", X"ee4f", X"ee10", X"edd2", X"ed93", X"ed54",
X"ed16", X"ecd7", X"ec99", X"ec5a", X"ec1b", X"ebdd", X"eb9e", X"eb60",
X"eb21", X"eae3", X"eaa4", X"ea65", X"ea27", X"e9e8", X"e9aa", X"e96b",
X"e92d", X"e8ee", X"e8af", X"e871", X"e832", X"e7f4", X"e7b5", X"e777",
X"e738", X"e6f9", X"e6bb", X"e67c", X"e63e", X"e5ff", X"e5c0", X"e582",
X"e543", X"e505", X"e4c6", X"e488", X"e449", X"e40a", X"e3cc", X"e38d",
X"e338", X"e2e3", X"e28d", X"e238", X"e1e3", X"e18d", X"e138", X"e0e3",
X"e08d", X"e038", X"dfe3", X"df8d", X"df38", X"dee3", X"de8d", X"de38",
X"dde3", X"dd8d", X"dd38", X"dce3", X"dc8d", X"dc38", X"dbe3", X"db8d",
X"db38", X"dae3", X"da8d", X"da38", X"d9e3", X"d98d", X"d938", X"d8e3",
X"d88d", X"d838", X"d7e3", X"d78d", X"d738", X"d6e3", X"d68d", X"d638",
X"d5e3", X"d58d", X"d538", X"d4e3", X"d48d", X"d438", X"d3e3", X"d38d",
X"d338", X"d2e3", X"d28d", X"d238", X"d1e3", X"d18d", X"d138", X"d0e3",
X"d08d", X"d038", X"cfe3", X"cf8d", X"cf38", X"cee3", X"ce8d", X"ce38",
X"cdaa", X"cd1c", X"cc8d", X"cbff", X"cb71", X"cae3", X"ca54", X"c9c6",
X"c938", X"c8aa", X"c81c", X"c78d", X"c6ff", X"c671", X"c5e3", X"c554",
X"c4c6", X"c438", X"c3aa", X"c31c", X"c28d", X"c1ff", X"c171", X"c0e3",
X"c054", X"bfc6", X"bf38", X"beaa", X"be1c", X"bd8d", X"bcff", X"bc71",
X"bbe3", X"bb54", X"bac6", X"ba38", X"b9aa", X"b91c", X"b88d", X"b7ff",
X"b771", X"b6e3", X"b654", X"b5c6", X"b538", X"b4aa", X"b41c", X"b38d",
X"b2ff", X"b271", X"b1e3", X"b154", X"b0c6", X"b038", X"afaa", X"af1c",
X"ae8d", X"adff", X"ad71", X"ace3", X"ac54", X"abc6", X"ab38", X"aaaa",
X"aa1c", X"a98d", X"a8ff", X"a871", X"a7e3", X"a755", X"a6c6", X"a638",
X"a5aa", X"a51c", X"a48d", X"a3ff", X"a371", X"a2e3", X"a255", X"a1c6",
X"a138", X"a0aa", X"a01c", X"9f8d", X"9eff", X"9e71", X"9de3", X"9d55",
X"9cc6", X"9c38", X"9baa", X"9b1c", X"9a8d", X"99ff", X"9971", X"98e3",
X"9855", X"97c6", X"9738", X"96aa", X"961c", X"958d", X"94ff", X"9471",
X"93e3", X"9355", X"92c6", X"9238", X"91aa", X"911c", X"908d", X"8fff",
X"8f71", X"8ee3", X"8e55", X"8dc6", X"8d38", X"8caa", X"8c1c", X"8b8d",
X"8aff", X"8a71", X"89e3", X"8955", X"88c6", X"8838", X"87aa", X"871c",
X"8699", X"8616", X"8593", X"8510", X"848d", X"840b", X"8388", X"8305",
X"8282", X"81ff", X"817c", X"80fa", X"8077", X"7ff4", X"7f71", X"7eee",
X"7e6b", X"7de8", X"7d66", X"7ce3", X"7c60", X"7bdd", X"7b5a", X"7ad7",
X"7a55", X"79d2", X"794f", X"78cc", X"7849", X"77c6", X"7744", X"76c1",
X"763e", X"75bb", X"7538", X"74b5", X"7432", X"73b0", X"732d", X"72aa",
X"7227", X"71a4", X"7121", X"709f", X"701c", X"6f99", X"6f16", X"6e93",
X"6e10", X"6d8e", X"6d0b", X"6c88", X"6c05", X"6b82", X"6aff", X"6a7c",
X"69fa", X"6977", X"68f4", X"6871", X"67ee", X"676b", X"66e9", X"6666",
X"65dd", X"6555", X"64cc", X"6444", X"63bb", X"6333", X"62aa", X"6221",
X"6199", X"6110", X"6088", X"5fff", X"5f77", X"5eee", X"5e66", X"5ddd",
X"5d55", X"5ccc", X"5c44", X"5bbb", X"5b33", X"5aaa", X"5a21", X"5999",
X"5910", X"5888", X"57ff", X"5777", X"56ee", X"5666", X"55dd", X"5555",
X"54b5", X"5416", X"5377", X"52d8", X"5238", X"5199", X"50fa", X"505a",
X"4fbb", X"4f1c", X"4e7d", X"4ddd", X"4d3e", X"4c9f", X"4bff", X"4b60",
X"4ac8", X"4a31", X"4999", X"4901", X"486a", X"47d2", X"473a", X"46a2",
X"460b", X"4573", X"44db", X"4444", X"438e", X"42d8", X"4222", X"416b",
X"54b9", X"5381", X"5248", X"5110", X"4fff", X"4eee", X"4ddd", X"4ccc",
X"4c16", X"4b60", X"4aaa", X"49f4", X"493e", X"4888", X"47d2", X"471c",
X"467d", X"45dd", X"453e", X"449f", X"43ff", X"4360", X"42c1", X"4222",
X"4182", X"40e3", X"4044", X"3fa4", X"3f05", X"3e66", X"3dc6", X"3d27",
X"3caa", X"3c2d", X"3bb0", X"3b33", X"3ab5", X"3a38", X"39bb", X"393e",
X"38c1", X"3844", X"37c7", X"3749", X"36cc", X"364f", X"35d2", X"3555",
X"34d8", X"345a", X"33dd", X"3360", X"32e3", X"3266", X"31e9", X"316b",
X"30ee", X"3071", X"2ff4", X"2f77", X"2efa", X"2e7d", X"2dff", X"2d82",
X"2d1c", X"2cb5", X"2c4f", X"2be9", X"2b82", X"2b1c", X"2ab5", X"2a4f",
X"29e9", X"2982", X"291c", X"28b5", X"284f", X"27e9", X"2782", X"271c",
X"26b5", X"264f", X"25e9", X"2582", X"251c", X"24b5", X"244f", X"23e9",
X"2382", X"231c", X"22b5", X"224f", X"21e9", X"2182", X"211c", X"20b5",
X"2066", X"2016", X"1fc7", X"1f77", X"1f27", X"1ed8", X"1e88", X"1e38",
X"1de9", X"1d99", X"1d49", X"1cfa", X"1caa", X"1c5a", X"1c0b", X"1bbb",
X"1b6c", X"1b1c", X"1acc", X"1a7d", X"1a2d", X"19dd", X"198e", X"193e",
X"18ee", X"189f", X"184f", X"17ff", X"17b0", X"1760", X"1711", X"16c1",
X"1692", X"1664", X"1635", X"1606", X"15d8", X"15a9", X"157a", X"154c",
X"151d", X"14ee", X"14c0", X"1491", X"1462", X"1434", X"1405", X"13d7",
X"13a8", X"1379", X"134b", X"131c", X"12ed", X"12bf", X"1290", X"1261",
X"1233", X"1204", X"11d5", X"11a7", X"1178", X"1149", X"111b", X"10ec",
X"10bd", X"108f", X"1060", X"1032", X"1003", X"0fd4", X"0fa6", X"0f77",
X"0f48", X"0f1a", X"0eeb", X"0ebc", X"0e8e", X"0e5f", X"0e30", X"0e02",
X"0dd3", X"0da4", X"0d76", X"0d47", X"0d19", X"0cea", X"0cbb", X"0c8d",
X"0c5e", X"0c2f", X"0c01", X"0bd2", X"0ba3", X"0b75", X"0b46", X"0b17",
X"0b03", X"0aee", X"0ada", X"0ac5", X"0ab1", X"0a9c", X"0a88", X"0a74",
X"0a5f", X"0a4b", X"0a36", X"0a22", X"0a0d", X"09f9", X"09e4", X"09d0",
X"09bb", X"09a7", X"0992", X"097e", X"0969", X"0955", X"0940", X"092c",
X"0917", X"0903", X"08ee", X"08da", X"08c5", X"08b1", X"089c", X"0888",
X"0874", X"085f", X"084b", X"0836", X"0822", X"080d", X"07f9", X"07e4",
X"07d0", X"07bb", X"07a7", X"0792", X"077e", X"0769", X"0755", X"0740",
X"072c", X"0717", X"0703", X"06ee", X"06da", X"06c5", X"06b1", X"069d",
X"0688", X"0674", X"065f", X"064b", X"0636", X"0622", X"060d", X"05f9",
X"05f2", X"05eb", X"05e4", X"05dd", X"05d7", X"05d0", X"05c9", X"05c2",
X"05bb", X"05b4", X"05ae", X"05a7", X"05a0", X"0599", X"0592", X"058b",
X"0585", X"057e", X"0577", X"0570", X"0569", X"0562", X"055c", X"0555",
X"054e", X"0547", X"0540", X"053a", X"0533", X"052c", X"0525", X"051e",
X"0517", X"0511", X"050a", X"0503", X"04fc", X"04f5", X"04ee", X"04e8",
X"04e1", X"04da", X"04d3", X"04cc", X"04c5", X"04bf", X"04b8", X"04b1",
X"04aa", X"04a3", X"049d", X"0496", X"048f", X"0488", X"0481", X"047a",
X"0474", X"046d", X"0466", X"045f", X"0458", X"0451", X"044b", X"0444",
X"0441", X"043e", X"043b", X"0438", X"0436", X"0433", X"0430", X"042d",
X"042a", X"0427", X"0424", X"0422", X"041f", X"041c", X"0419", X"0416",
X"0413", X"0411", X"040e", X"040b", X"0408", X"0405", X"0402", X"03ff",
X"03fd", X"03fa", X"03f7", X"03f4", X"03f1", X"03ee", X"03ec", X"03e9",
X"03e6", X"03e3", X"03e0", X"03dd", X"03db", X"03d8", X"03d5", X"03d2",
X"03cf", X"03cc", X"03c9", X"03c7", X"03c4", X"03c1", X"03be", X"03bb",
X"03b8", X"03b6", X"03b3", X"03b0", X"03ad", X"03aa", X"03a7", X"03a4",
X"03a2", X"039f", X"039c", X"0399", X"0396", X"0393", X"0391", X"038e",
X"038d", X"038b", X"038a", X"0389", X"0388", X"0387", X"0386", X"0385",
X"0383", X"0382", X"0381", X"0380", X"037f", X"037e", X"037d", X"037c",
X"037a", X"0379", X"0378", X"0377", X"0376", X"0375", X"0374", X"0372",
X"0371", X"0370", X"036f", X"036e", X"036d", X"036c", X"036a", X"0369",
X"0368", X"0367", X"0366", X"0365", X"0364", X"0362", X"0361", X"0360",
X"035f", X"035e", X"035d", X"035c", X"035b", X"0359", X"0358", X"0357",
X"0356", X"0355", X"0354", X"0353", X"0351", X"0350", X"034f", X"034e",
X"034d", X"034c", X"034b", X"0349", X"0348", X"0347", X"0346", X"0345",
X"0344", X"0344", X"0343", X"0343", X"0342", X"0341", X"0341", X"0340",
X"0340", X"033f", X"033f", X"033e", X"033e", X"033d", X"033c", X"033c",
X"033b", X"033b", X"033a", X"033a", X"0339", X"0338", X"0338", X"0337",
X"0337", X"0336", X"0336", X"0335", X"0334", X"0334", X"0333", X"0333",
X"0332", X"0332", X"0331", X"0330", X"0330", X"032f", X"032f", X"032e",
X"032e", X"032d", X"032c", X"032c", X"032b", X"032b", X"032a", X"032a",
X"0329", X"0328", X"0328", X"0327", X"0327", X"0326", X"0326", X"0325",
X"0324", X"0324", X"0323", X"0323", X"0322", X"0322", X"0321", X"0320"
);
alias xa_select : std_logic is instruction(0);
alias xb_select : std_logic is instruction(1);
alias sub_a_sel : std_logic is instruction(2);
alias sub_b_sel : std_logic is instruction(3);
alias sum_to_lp : std_logic is instruction(4);
alias sum_to_bp : std_logic is instruction(5);
alias sub_to_hp : std_logic is instruction(6);
alias mult_enable : std_logic is instruction(7);
begin
-- Derive the actual 'f' and 'q' parameters
i_q_table: entity work.Q_table
port map (
Q_reg => filt_res,
filter_q => filter_q ); -- 2.16 format
process(clock)
begin
if rising_edge(clock) then
if(enable = '1') then
filter_f <= "00" & coef(to_integer(filt_co(10 downto 1)));
else
filter_f <= "001111111111111111";
end if;
end if;
end process;
--input_sc <= input;
input_sc <= shift_right(input, 1);
-- operations to execute the filter:
-- bp_f = f * bp_reg
-- q_contrib = q * bp_reg
-- lp = bp_f + lp_reg
-- temp = input - lp
-- hp = temp - q_contrib
-- hp_f = f * hp
-- bp = hp_f + bp_reg
-- bp_reg = bp
-- lp_reg = lp
-- x_reg = f * bp_reg -- 10000000 -- 80
-- lp_reg = x_reg + lp_reg -- 00010010 -- 12
-- q_contrib = q * bp_reg -- 10000001 -- 81
-- temp = input - lp -- 00000000 -- 00 (can be merged with previous!)
-- hp_reg = temp - q_contrib -- 01001100 -- 4C
-- x_reg = f * hp_reg -- 10000010 -- 82
-- bp_reg = x_reg + bp_reg -- 00100000 -- 20
-- now perform the arithmetic
xa <= filter_f when xa_select='0' else filter_q;
xb <= bp_reg when xb_select='0' else hp_reg;
sum_b <= bp_reg when xb_select='0' else lp_reg;
sub_a <= input_sc when sub_a_sel='0' else temp_reg;
sub_b <= lp_reg when sub_b_sel='0' else x_reg;
process(clock)
variable x_result : signed(35 downto 0);
variable sum_result : signed(17 downto 0);
variable sub_result : signed(17 downto 0);
begin
if rising_edge(clock) then
x_result := xa * xb;
if mult_enable='1' then
x_reg <= x_result(33 downto 16);
if (x_result(35 downto 33) /= "000") and (x_result(35 downto 33) /= "111") then
error <= not error;
end if;
end if;
sum_result := sum_limit(x_reg, sum_b);
temp_reg <= sum_result;
if sum_to_lp='1' then
lp_reg <= sum_result;
end if;
if sum_to_bp='1' then
bp_reg <= sum_result;
end if;
sub_result := sub_limit(sub_a, sub_b);
temp_reg <= sub_result;
if sub_to_hp='1' then
hp_reg <= sub_result;
end if;
-- control part
instruction <= (others => '0');
if reset='1' then
hp_reg <= (others => '0');
lp_reg <= (others => '0');
bp_reg <= (others => '0');
divider <= 0;
elsif divider = g_divider-1 then
divider <= 0;
else
divider <= divider + 1;
if divider < c_program'length then
instruction <= c_program(divider);
end if;
end if;
if divider = c_program'length then
valid_out <= '1';
else
valid_out <= '0';
end if;
end if;
end process;
high_pass <= hp_reg;
band_pass <= bp_reg;
low_pass <= lp_reg;
error_out <= error;
end dsvf;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.mem_bus_pkg.all;
-- This module performs the memory operations that are instructed
-- by the nano_cpu. This controller copies data to or from a
-- designated BRAM, and notifies the nano_cpu that the transfer
-- is complete.
entity usb_memory_ctrl is
generic (
g_tag : std_logic_vector(7 downto 0) := X"55" );
port (
clock : in std_logic;
reset : in std_logic;
-- cmd interface
cmd_addr : in std_logic_vector(3 downto 0);
cmd_valid : in std_logic;
cmd_write : in std_logic;
cmd_wdata : in std_logic_vector(15 downto 0);
cmd_ack : out std_logic;
cmd_done : out std_logic;
cmd_ready : out std_logic;
-- BRAM interface
ram_addr : out std_logic_vector(10 downto 2);
ram_en : out std_logic;
ram_we : out std_logic_vector(3 downto 0);
ram_wdata : out std_logic_vector(31 downto 0);
ram_rdata : in std_logic_vector(31 downto 0);
-- memory interface
mem_req : out t_mem_req_32;
mem_resp : in t_mem_resp_32 );
end entity;
architecture gideon of usb_memory_ctrl is
type t_state is (idle, reading, writing, init);
signal state : t_state;
signal mem_addr_r : unsigned(25 downto 0) := (others => '0');
signal mem_addr_i : unsigned(25 downto 2) := (others => '0');
signal ram_addr_i : unsigned(8 downto 2) := (others => '0');
signal mreq : std_logic := '0';
signal rwn : std_logic := '1';
signal addr_do_load : std_logic := '0';
signal new_addr : std_logic := '0';
signal addr_do_inc : std_logic := '0';
signal rem_do_load : std_logic;
signal rem_do_dec : std_logic;
signal remain_is_0 : std_logic;
signal remain_is_1 : std_logic;
signal buffer_idx : std_logic_vector(10 downto 9) := "00";
signal ram_we_i : std_logic_vector(3 downto 0);
signal ram_wnext : std_logic;
signal rdata_valid : std_logic;
signal first_req : std_logic;
signal last_req : std_logic;
begin
mem_req.tag(7) <= last_req;
mem_req.tag(6) <= first_req;
mem_req.tag(5 downto 0) <= g_tag(5 downto 0);
mem_req.request <= mreq;
mem_req.address <= mem_addr_i & mem_addr_r(1 downto 0);
mem_req.read_writen <= rwn;
mem_req.data <= ram_rdata;
mem_req.byte_en <= "1111";
-- pop from fifo when we process the access
cmd_ack <= '1' when (state = idle) and (cmd_valid='1') else '0';
process(buffer_idx, state, mreq, mem_resp, ram_addr_i)
begin
ram_addr <= buffer_idx & std_logic_vector(ram_addr_i);
ram_en <= '0';
-- for writing to memory, we enable the BRAM only when we are going to set
-- the request, such that the data and the request comes at the same time
case state is
when writing =>
if (mem_resp.rack='1' and mem_resp.rack_tag(5 downto 0) = g_tag(5 downto 0)) or (mreq = '0') then
ram_en <= '1';
end if;
when others =>
null;
end case;
-- for reading from memory, it doesn't matter in which state we are:
if ram_we_i /= "0000" then
ram_en <= '1';
end if;
end process;
ram_we <= ram_we_i;
process(clock)
begin
if rising_edge(clock) then
rem_do_dec <= '0';
case state is
when idle =>
rwn <= '1';
if cmd_valid='1' then
if cmd_write='1' then
cmd_done <= '0';
case cmd_addr is
when X"0" =>
mem_addr_r(15 downto 0) <= unsigned(cmd_wdata(15 downto 0));
new_addr <= '1';
when X"1" =>
mem_addr_r(25 downto 16) <= unsigned(cmd_wdata(9 downto 0));
new_addr <= '1';
when X"2" =>
rwn <= '0';
state <= init;
when X"3" =>
state <= init;
when X"4" =>
buffer_idx <= cmd_wdata(15 downto 14);
when others =>
null;
end case;
end if;
end if;
when init =>
new_addr <= '0';
ram_addr_i <= (others => '0');
if rwn='1' then
state <= reading;
else
state <= writing;
end if;
when reading =>
rwn <= '1';
if (mem_resp.rack='1' and mem_resp.rack_tag(5 downto 0) = g_tag(5 downto 0)) or (mreq = '0') then
if remain_is_0 = '1' then
state <= idle;
cmd_done <= '1';
mreq <= '0';
else
first_req <= not mreq;
last_req <= remain_is_1;
mreq <= '1';
rem_do_dec <= '1';
end if;
end if;
when writing =>
rwn <= '0';
if (mem_resp.rack='1' and mem_resp.rack_tag(5 downto 0) = g_tag(5 downto 0)) or (mreq = '0') then
ram_addr_i <= ram_addr_i + 1;
if remain_is_0 = '1' then
state <= idle;
cmd_done <= '1';
mreq <= '0';
else
first_req <= not mreq;
last_req <= remain_is_1;
mreq <= '1';
rem_do_dec <= '1';
end if;
end if;
when others =>
null;
end case;
if ram_wnext = '1' then
ram_addr_i <= ram_addr_i + 1;
end if;
if reset='1' then
state <= idle;
mreq <= '0';
cmd_done <= '0';
new_addr <= '0';
first_req <= '0';
end if;
end if;
end process;
cmd_ready <= '1' when (state = idle) else '0';
addr_do_load <= new_addr when (state = init) else '0';
addr_do_inc <= '1' when (mem_resp.rack='1' and mem_resp.rack_tag(5 downto 0) = g_tag(5 downto 0)) else '0';
i_addr: entity work.mem_addr_counter
port map (
clock => clock,
load_value => mem_addr_r(25 downto 2),
do_load => addr_do_load,
do_inc => addr_do_inc,
address => mem_addr_i );
rem_do_load <= '1' when cmd_valid='1' and cmd_write='1' and cmd_addr(3 downto 1)="001" else '0';
i_rem: entity work.mem_remain_counter
port map (
clock => clock,
load_value => unsigned(cmd_wdata(9 downto 2)),
do_load => rem_do_load,
do_dec => rem_do_dec,
remain => open,
remain_is_1 => remain_is_1,
remain_is_0 => remain_is_0 );
rdata_valid <= '1' when mem_resp.dack_tag(5 downto 0) = g_tag(5 downto 0) else '0';
i_align: entity work.align_read_to_bram
port map (
clock => clock,
rdata => mem_resp.data,
rdata_valid => rdata_valid,
first_word => mem_resp.dack_tag(6),
last_word => mem_resp.dack_tag(7),
offset => mem_addr_r(1 downto 0),
wdata => ram_wdata,
wmask => ram_we_i,
wnext => ram_wnext );
end architecture;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1826.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s01b00x00p08n01i01826ent IS
type small_int is range 0 to 7;
END c07s01b00x00p08n01i01826ent;
ARCHITECTURE c07s01b00x00p08n01i01826arch OF c07s01b00x00p08n01i01826ent IS
signal s_int : small_int := c07s01b00x00p08n01i01826ent; --entity name illegal here
BEGIN
TESTING : PROCESS
BEGIN
wait for 5 ns;
assert FALSE
report "***FAILED TEST: c07s01b00x00p08n01i01826 - Entity name are not permitted as primaries in an initialization expression."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s01b00x00p08n01i01826arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1826.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s01b00x00p08n01i01826ent IS
type small_int is range 0 to 7;
END c07s01b00x00p08n01i01826ent;
ARCHITECTURE c07s01b00x00p08n01i01826arch OF c07s01b00x00p08n01i01826ent IS
signal s_int : small_int := c07s01b00x00p08n01i01826ent; --entity name illegal here
BEGIN
TESTING : PROCESS
BEGIN
wait for 5 ns;
assert FALSE
report "***FAILED TEST: c07s01b00x00p08n01i01826 - Entity name are not permitted as primaries in an initialization expression."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s01b00x00p08n01i01826arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1826.vhd,v 1.2 2001-10-26 16:30:13 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s01b00x00p08n01i01826ent IS
type small_int is range 0 to 7;
END c07s01b00x00p08n01i01826ent;
ARCHITECTURE c07s01b00x00p08n01i01826arch OF c07s01b00x00p08n01i01826ent IS
signal s_int : small_int := c07s01b00x00p08n01i01826ent; --entity name illegal here
BEGIN
TESTING : PROCESS
BEGIN
wait for 5 ns;
assert FALSE
report "***FAILED TEST: c07s01b00x00p08n01i01826 - Entity name are not permitted as primaries in an initialization expression."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s01b00x00p08n01i01826arch;
|
-- -------------------------------------------------------------
--
-- Entity Declaration for ent_b
--
-- Generated
-- by: wig
-- on: Tue Nov 29 13:29:43 2005
-- cmd: /cygdrive/h/work/eclipse/MIX/mix_0.pl -strip -nodelta ../sigport.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: ent_b-e.vhd,v 1.3 2005/11/30 14:04:01 wig Exp $
-- $Date: 2005/11/30 14:04:01 $
-- $Log: ent_b-e.vhd,v $
-- Revision 1.3 2005/11/30 14:04:01 wig
-- Updated testcase references
--
--
-- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.71 2005/11/22 11:00:47 wig Exp
--
-- Generator: mix_0.pl Version: Revision: 1.42 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/enty
--
--
-- Start of Generated Entity ent_b
--
entity ent_b is
-- Generics:
-- No Generated Generics for Entity ent_b
-- Generated Port Declaration:
port(
-- Generated Port for Entity ent_b
port_b_1 : in std_ulogic; -- Will create p_mix_sig_1_go port
port_b_3 : in std_ulogic; -- Interhierachy link, will create p_mix_sig_3_go
port_b_4 : out std_ulogic; -- Interhierachy link, will create p_mix_sig_4_gi
port_b_5_1 : in std_ulogic; -- Bus, single bits go to outside, will create p_mix_sig_5_2_2_go __I_AUTO_REDUCED_BUS2SIGNAL
port_b_5_2 : in std_ulogic; -- Bus, single bits go to outside, will create P_MIX_sound_alarm_test5_1_1_GO __I_AUTO_REDUCED_BUS2SIGNAL
port_b_6i : in std_ulogic_vector(3 downto 0); -- Conflicting definition
port_b_6o : out std_ulogic_vector(3 downto 0); -- Conflicting definition
sig_07 : in std_ulogic_vector(5 downto 0); -- Conflicting definition, IN false!
sig_08 : in std_ulogic_vector(8 downto 2) -- VHDL intermediate needed (port name)
-- End of Generated Port for Entity ent_b
);
end ent_b;
--
-- End of Generated Entity ent_b
--
--
--!End of Entity/ies
-- --------------------------------------------------------------
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity counter_1_6 is
port(clk_50m,roll:in bit;
out_count:inout std_logic_vector(3 downto 0));
end counter_1_6;
architecture count of counter_1_6 is
signal count:std_logic_vector(3 downto 0):="0000";
begin
process(clk_50m,roll,count)
begin
if roll='1' then
if clk_50m'event and clk_50m='0' then
if count>"0100" then
count<="0001";
else count<=count+1;
end if;
end if;
else out_count<=count;
end if;
end process;
end count; |
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
------------------------------------------------------------
-------------------------------------------------------------------------------
-- Filename: axi_dma_s2mm_sm.vhd
-- Description: This entity contains the S2MM DMA Controller State Machine
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library unisim;
use unisim.vcomponents.all;
library axi_dma_v7_1_8;
use axi_dma_v7_1_8.axi_dma_pkg.all;
library lib_pkg_v1_0_2;
use lib_pkg_v1_0_2.lib_pkg.clog2;
-------------------------------------------------------------------------------
entity axi_dma_s2mm_sm is
generic (
C_M_AXI_S2MM_ADDR_WIDTH : integer range 32 to 64 := 32;
-- Master AXI Memory Map Address Width for S2MM Write Port
C_SG_INCLUDE_STSCNTRL_STRM : integer range 0 to 1 := 1;
-- Include or Exclude AXI Status and AXI Control Streams
-- 0 = Exclude Status and Control Streams
-- 1 = Include Status and Control Streams
C_SG_USE_STSAPP_LENGTH : integer range 0 to 1 := 1;
-- Enable or Disable use of Status Stream Rx Length. Only valid
-- if C_SG_INCLUDE_STSCNTRL_STRM = 1
-- 0 = Don't use Rx Length
-- 1 = Use Rx Length
C_SG_LENGTH_WIDTH : integer range 8 to 23 := 14;
-- Width of Buffer Length, Transferred Bytes, and BTT fields
C_SG_INCLUDE_DESC_QUEUE : integer range 0 to 1 := 0;
-- Include or Exclude Scatter Gather Descriptor Queuing
-- 0 = Exclude SG Descriptor Queuing
-- 1 = Include SG Descriptor Queuing
C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0;
C_MICRO_DMA : integer range 0 to 1 := 0;
C_PRMY_CMDFIFO_DEPTH : integer range 1 to 16 := 1
-- Depth of DataMover command FIFO
);
port (
m_axi_sg_aclk : in std_logic ; --
m_axi_sg_aresetn : in std_logic ; --
--
s2mm_stop : in std_logic ; --
--
-- S2MM Control and Status --
s2mm_run_stop : in std_logic ; --
s2mm_keyhole : in std_logic ; --
s2mm_ftch_idle : in std_logic ; --
s2mm_desc_flush : in std_logic ; --
s2mm_cmnd_idle : out std_logic ; --
s2mm_sts_idle : out std_logic ; --
s2mm_eof_set : out std_logic ; --
s2mm_eof_micro : in std_logic ; --
s2mm_sof_micro : in std_logic ; --
--
-- S2MM Descriptor Fetch Request --
desc_fetch_req : out std_logic ; --
desc_fetch_done : in std_logic ; --
desc_update_done : in std_logic ; --
updt_pending : in std_logic ;
desc_available : in std_logic ; --
--
-- S2MM Status Stream RX Length --
s2mm_rxlength_valid : in std_logic ; --
s2mm_rxlength_clr : out std_logic ; --
s2mm_rxlength : in std_logic_vector --
(C_SG_LENGTH_WIDTH - 1 downto 0) ; --
--
-- DataMover Command --
s2mm_cmnd_wr : out std_logic ; --
s2mm_cmnd_data : out std_logic_vector --
((C_M_AXI_S2MM_ADDR_WIDTH-32+2*32+CMD_BASE_WIDTH+46)-1 downto 0); --
s2mm_cmnd_pending : in std_logic ; --
--
-- Descriptor Fields --
s2mm_desc_info : in std_logic_vector --
(31 downto 0); --
s2mm_desc_baddress : in std_logic_vector --
(C_M_AXI_S2MM_ADDR_WIDTH-1 downto 0); --
s2mm_desc_blength : in std_logic_vector --
(BUFFER_LENGTH_WIDTH-1 downto 0); --
s2mm_desc_blength_v : in std_logic_vector --
(BUFFER_LENGTH_WIDTH-1 downto 0); --
s2mm_desc_blength_s : in std_logic_vector --
(BUFFER_LENGTH_WIDTH-1 downto 0) --
);
end axi_dma_s2mm_sm;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_dma_s2mm_sm is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
-- DataMover Commmand TAG
constant S2MM_CMD_TAG : std_logic_vector(2 downto 0) := (others => '0');
-- DataMover Command Destination Stream Offset
constant S2MM_CMD_DSA : std_logic_vector(5 downto 0) := (others => '0');
-- DataMover Cmnd Reserved Bits
constant S2MM_CMD_RSVD : std_logic_vector(
DATAMOVER_CMD_RSVMSB_BOFST + C_M_AXI_S2MM_ADDR_WIDTH downto
DATAMOVER_CMD_RSVLSB_BOFST + C_M_AXI_S2MM_ADDR_WIDTH)
:= (others => '0');
-- Queued commands counter width
constant COUNTER_WIDTH : integer := clog2(C_PRMY_CMDFIFO_DEPTH+1);
-- Queued commands zero count
constant ZERO_COUNT : std_logic_vector(COUNTER_WIDTH - 1 downto 0)
:= (others => '0');
-- Zero buffer length error - compare value
constant ZERO_LENGTH : std_logic_vector(C_SG_LENGTH_WIDTH-1 downto 0)
:= (others => '0');
constant ZERO_BUFFER : std_logic_vector(BUFFER_LENGTH_WIDTH-1 downto 0)
:= (others => '0');
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
-- State Machine Signals
signal desc_fetch_req_cmb : std_logic := '0';
signal write_cmnd_cmb : std_logic := '0';
signal s2mm_rxlength_clr_cmb : std_logic := '0';
signal rxlength : std_logic_vector(C_SG_LENGTH_WIDTH-1 downto 0) := (others => '0');
signal s2mm_rxlength_set : std_logic := '0';
signal blength_grtr_rxlength : std_logic := '0';
signal rxlength_fetched : std_logic := '0';
signal cmnds_queued : std_logic_vector(COUNTER_WIDTH - 1 downto 0) := (others => '0');
signal cmnds_queued_shift : std_logic_vector(C_PRMY_CMDFIFO_DEPTH - 1 downto 0) := (others => '0');
signal count_incr : std_logic := '0';
signal count_decr : std_logic := '0';
signal desc_fetch_done_d1 : std_logic := '0';
signal zero_length_error : std_logic := '0';
signal s2mm_eof_set_i : std_logic := '0';
signal queue_more : std_logic := '0';
signal burst_type : std_logic;
signal eof_micro : std_logic;
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
EN_MICRO_DMA : if C_MICRO_DMA = 1 generate
begin
eof_micro <= s2mm_eof_micro;
end generate EN_MICRO_DMA;
NO_MICRO_DMA : if C_MICRO_DMA = 0 generate
begin
eof_micro <= '0';
end generate NO_MICRO_DMA;
s2mm_eof_set <= s2mm_eof_set_i;
burst_type <= '1' and (not s2mm_keyhole);
-- A 0 s2mm_keyhole means incremental burst
-- a 1 s2mm_keyhole means fixed burst
-------------------------------------------------------------------------------
-- Not using rx length from status stream - (indeterminate length mode)
-------------------------------------------------------------------------------
GEN_SM_FOR_NO_LENGTH : if (C_SG_USE_STSAPP_LENGTH = 0 or C_SG_INCLUDE_STSCNTRL_STRM = 0 or C_ENABLE_MULTI_CHANNEL = 1) generate
type SG_S2MM_STATE_TYPE is (
IDLE,
FETCH_DESCRIPTOR,
-- EXECUTE_XFER,
WAIT_STATUS
);
signal s2mm_cs : SG_S2MM_STATE_TYPE;
signal s2mm_ns : SG_S2MM_STATE_TYPE;
begin
-- For no status stream or not using length in status app field then eof set is
-- generated from datamover status (see axi_dma_s2mm_cmdsts_if.vhd)
s2mm_eof_set_i <= '0';
-------------------------------------------------------------------------------
-- S2MM Transfer State Machine
-------------------------------------------------------------------------------
S2MM_MACHINE : process(s2mm_cs,
s2mm_run_stop,
desc_available,
desc_fetch_done,
desc_update_done,
s2mm_cmnd_pending,
s2mm_stop,
s2mm_desc_flush,
updt_pending
-- queue_more
)
begin
-- Default signal assignment
desc_fetch_req_cmb <= '0';
write_cmnd_cmb <= '0';
s2mm_cmnd_idle <= '0';
s2mm_ns <= s2mm_cs;
case s2mm_cs is
-------------------------------------------------------------------
when IDLE =>
-- fetch descriptor if desc available, not stopped and running
-- if (updt_pending = '1') then
-- s2mm_ns <= WAIT_STATUS;
if(s2mm_run_stop = '1' and desc_available = '1'
-- and s2mm_stop = '0' and queue_more = '1' and updt_pending = '0')then
and s2mm_stop = '0' and updt_pending = '0')then
if (C_SG_INCLUDE_DESC_QUEUE = 1) then
s2mm_ns <= FETCH_DESCRIPTOR;
desc_fetch_req_cmb <= '1';
else
s2mm_ns <= WAIT_STATUS;
write_cmnd_cmb <= '1';
end if;
else
s2mm_cmnd_idle <= '1';
s2mm_ns <= IDLE;
end if;
-------------------------------------------------------------------
when FETCH_DESCRIPTOR =>
-- exit if error or descriptor flushed
if(s2mm_desc_flush = '1' or s2mm_stop = '1')then
s2mm_ns <= IDLE;
-- wait until fetch complete then execute
-- elsif(desc_fetch_done = '1')then
-- desc_fetch_req_cmb <= '0';
-- s2mm_ns <= EXECUTE_XFER;
elsif (s2mm_cmnd_pending = '0')then
desc_fetch_req_cmb <= '0';
if (updt_pending = '0') then
if(C_SG_INCLUDE_DESC_QUEUE = 1)then
s2mm_ns <= IDLE;
write_cmnd_cmb <= '1';
else
-- coverage off
s2mm_ns <= WAIT_STATUS;
-- coverage on
end if;
end if;
else
s2mm_ns <= FETCH_DESCRIPTOR;
end if;
-------------------------------------------------------------------
-- when EXECUTE_XFER =>
-- -- if error exit
-- if(s2mm_stop = '1')then
-- s2mm_ns <= IDLE;
-- -- Write another command if there is not one already pending
-- elsif(s2mm_cmnd_pending = '0')then
-- if (updt_pending = '0') then
-- write_cmnd_cmb <= '1';
-- end if;
-- if(C_SG_INCLUDE_DESC_QUEUE = 1)then
-- s2mm_ns <= IDLE;
-- else
-- s2mm_ns <= WAIT_STATUS;
-- end if;
-- else
-- s2mm_ns <= EXECUTE_XFER;
-- end if;
-------------------------------------------------------------------
when WAIT_STATUS =>
-- for no Q wait until desc updated
if(desc_update_done = '1' or s2mm_stop = '1')then
s2mm_ns <= IDLE;
else
s2mm_ns <= WAIT_STATUS;
end if;
-------------------------------------------------------------------
-- coverage off
when others =>
s2mm_ns <= IDLE;
-- coverage on
end case;
end process S2MM_MACHINE;
-------------------------------------------------------------------------------
-- Register State Machine Statues
-------------------------------------------------------------------------------
REGISTER_STATE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
s2mm_cs <= IDLE;
else
s2mm_cs <= s2mm_ns;
end if;
end if;
end process REGISTER_STATE;
-------------------------------------------------------------------------------
-- Register State Machine Signalse
-------------------------------------------------------------------------------
-- SM_SIG_REGISTER : process(m_axi_sg_aclk)
-- begin
-- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- if(m_axi_sg_aresetn = '0')then
-- desc_fetch_req <= '0' ;
-- else
-- if (C_SG_INCLUDE_DESC_QUEUE = 0) then
-- desc_fetch_req <= '1';
-- else
-- desc_fetch_req <= desc_fetch_req_cmb ;
-- end if;
-- end if;
-- end if;
-- end process SM_SIG_REGISTER;
desc_fetch_req <= '1' when (C_SG_INCLUDE_DESC_QUEUE = 0) else
desc_fetch_req_cmb ;
-------------------------------------------------------------------------------
-- Build DataMover command
-------------------------------------------------------------------------------
-- If Bytes To Transfer (BTT) width less than 23, need to add pad
GEN_CMD_BTT_LESS_23 : if C_SG_LENGTH_WIDTH < 23 generate
constant PAD_VALUE : std_logic_vector(22 - C_SG_LENGTH_WIDTH downto 0)
:= (others => '0');
begin
-- When command by sm, drive command to s2mm_cmdsts_if
GEN_DATAMOVER_CMND : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
s2mm_cmnd_wr <= '0';
-- s2mm_cmnd_data <= (others => '0');
-- Fetch SM issued a command write
elsif(write_cmnd_cmb = '1')then
s2mm_cmnd_wr <= '1';
-- s2mm_cmnd_data <= s2mm_desc_info
-- & s2mm_desc_blength_v
-- & s2mm_desc_blength_s
-- & S2MM_CMD_RSVD
-- & "0000" -- Cat IOC to CMD TAG
-- & s2mm_desc_baddress
-- & '1' -- Always reset DRE
-- & '0' -- For Indeterminate BTT mode do not set EOF
-- & S2MM_CMD_DSA
-- & burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697
-- & PAD_VALUE
-- & s2mm_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0);
else
s2mm_cmnd_wr <= '0';
end if;
end if;
end process GEN_DATAMOVER_CMND;
s2mm_cmnd_data <= s2mm_desc_info
& s2mm_desc_blength_v
& s2mm_desc_blength_s
& S2MM_CMD_RSVD
& "00" & eof_micro & eof_micro --00" -- Cat IOC to CMD TAG
& s2mm_desc_baddress
& '1' -- Always reset DRE
& eof_micro --'0' -- For Indeterminate BTT mode do not set EOF
& S2MM_CMD_DSA
& burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697
& PAD_VALUE
& s2mm_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0);
end generate GEN_CMD_BTT_LESS_23;
-- If Bytes To Transfer (BTT) width equal 23, no required pad
GEN_CMD_BTT_EQL_23 : if C_SG_LENGTH_WIDTH = 23 generate
begin
-- When command by sm, drive command to s2mm_cmdsts_if
GEN_DATAMOVER_CMND : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
s2mm_cmnd_wr <= '0';
-- s2mm_cmnd_data <= (others => '0');
-- Fetch SM issued a command write
elsif(write_cmnd_cmb = '1')then
s2mm_cmnd_wr <= '1';
-- s2mm_cmnd_data <= s2mm_desc_info
-- & s2mm_desc_blength_v
-- & s2mm_desc_blength_s
-- & S2MM_CMD_RSVD
-- & "0000" -- Cat IOC to CMD TAG
-- & s2mm_desc_baddress
-- & '1' -- Always reset DRE
-- & '0' -- For indeterminate BTT mode do not set EOF
-- & S2MM_CMD_DSA
-- & burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697
-- & s2mm_desc_blength;
else
s2mm_cmnd_wr <= '0';
end if;
end if;
end process GEN_DATAMOVER_CMND;
s2mm_cmnd_data <= s2mm_desc_info
& s2mm_desc_blength_v
& s2mm_desc_blength_s
& S2MM_CMD_RSVD
& "00" & eof_micro & eof_micro -- "0000" -- Cat IOC to CMD TAG
& s2mm_desc_baddress
& '1' -- Always reset DRE
& eof_micro -- For indeterminate BTT mode do not set EOF
& S2MM_CMD_DSA
& burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697
& s2mm_desc_blength;
end generate GEN_CMD_BTT_EQL_23;
-- Drive unused output to zero
s2mm_rxlength_clr <= '0';
end generate GEN_SM_FOR_NO_LENGTH;
-------------------------------------------------------------------------------
-- Generate state machine and support logic for Using RX Length from Status
-- Stream
-------------------------------------------------------------------------------
-- this would not hold good for MCDMA
GEN_SM_FOR_LENGTH : if (C_SG_USE_STSAPP_LENGTH = 1 and C_SG_INCLUDE_STSCNTRL_STRM = 1 and C_ENABLE_MULTI_CHANNEL = 0) generate
type SG_S2MM_STATE_TYPE is (
IDLE,
FETCH_DESCRIPTOR,
GET_RXLENGTH,
CMPR_LENGTH,
EXECUTE_XFER,
WAIT_STATUS
);
signal s2mm_cs : SG_S2MM_STATE_TYPE;
signal s2mm_ns : SG_S2MM_STATE_TYPE;
begin
-------------------------------------------------------------------------------
-- S2MM Transfer State Machine
-------------------------------------------------------------------------------
S2MM_MACHINE : process(s2mm_cs,
s2mm_run_stop,
desc_available,
desc_update_done,
-- desc_fetch_done,
updt_pending,
s2mm_rxlength_valid,
rxlength_fetched,
s2mm_cmnd_pending,
zero_length_error,
s2mm_stop,
s2mm_desc_flush
-- queue_more
)
begin
-- Default signal assignment
desc_fetch_req_cmb <= '0';
s2mm_rxlength_clr_cmb <= '0';
write_cmnd_cmb <= '0';
s2mm_cmnd_idle <= '0';
s2mm_rxlength_set <= '0';
--rxlength_fetched_clr <= '0';
s2mm_ns <= s2mm_cs;
case s2mm_cs is
-------------------------------------------------------------------
when IDLE =>
if(s2mm_run_stop = '1' and desc_available = '1'
-- and s2mm_stop = '0' and queue_more = '1' and updt_pending = '0')then
and s2mm_stop = '0' and updt_pending = '0')then
if (C_SG_INCLUDE_DESC_QUEUE = 0) then
if(rxlength_fetched = '0')then
s2mm_ns <= GET_RXLENGTH;
else
s2mm_ns <= CMPR_LENGTH;
end if;
else
s2mm_ns <= FETCH_DESCRIPTOR;
desc_fetch_req_cmb <= '1';
end if;
else
s2mm_cmnd_idle <= '1';
s2mm_ns <= IDLE; --FETCH_DESCRIPTOR;
end if;
-------------------------------------------------------------------
when FETCH_DESCRIPTOR =>
desc_fetch_req_cmb <= '0';
-- exit if error or descriptor flushed
if(s2mm_desc_flush = '1')then
s2mm_ns <= IDLE;
-- Descriptor fetch complete
else --if(desc_fetch_done = '1')then
-- desc_fetch_req_cmb <= '0';
if(rxlength_fetched = '0')then
s2mm_ns <= GET_RXLENGTH;
else
s2mm_ns <= CMPR_LENGTH;
end if;
-- else
-- desc_fetch_req_cmb <= '1';
end if;
-------------------------------------------------------------------
WHEN GET_RXLENGTH =>
if(s2mm_stop = '1')then
s2mm_ns <= IDLE;
-- Buffer length zero, do not compare lengths, execute
-- command to force datamover to issue interror
elsif(zero_length_error = '1')then
s2mm_ns <= EXECUTE_XFER;
elsif(s2mm_rxlength_valid = '1')then
s2mm_rxlength_set <= '1';
s2mm_rxlength_clr_cmb <= '1';
s2mm_ns <= CMPR_LENGTH;
else
s2mm_ns <= GET_RXLENGTH;
end if;
-------------------------------------------------------------------
WHEN CMPR_LENGTH =>
s2mm_ns <= EXECUTE_XFER;
-------------------------------------------------------------------
when EXECUTE_XFER =>
if(s2mm_stop = '1')then
s2mm_ns <= IDLE;
-- write new command if one is not already pending
elsif(s2mm_cmnd_pending = '0')then
write_cmnd_cmb <= '1';
-- If descriptor queuing enabled then
-- do NOT need to wait for status
if(C_SG_INCLUDE_DESC_QUEUE = 1)then
s2mm_ns <= IDLE;
-- No queuing therefore must wait for
-- status before issuing next command
else
s2mm_ns <= WAIT_STATUS;
end if;
else
s2mm_ns <= EXECUTE_XFER;
end if;
-------------------------------------------------------------------
-- coverage off
when WAIT_STATUS =>
if(desc_update_done = '1' or s2mm_stop = '1')then
s2mm_ns <= IDLE;
else
s2mm_ns <= WAIT_STATUS;
end if;
-- coverage on
-------------------------------------------------------------------
-- coverage off
when others =>
s2mm_ns <= IDLE;
-- coverage on
end case;
end process S2MM_MACHINE;
-------------------------------------------------------------------------------
-- Register state machine states
-------------------------------------------------------------------------------
REGISTER_STATE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
s2mm_cs <= IDLE;
else
s2mm_cs <= s2mm_ns;
end if;
end if;
end process REGISTER_STATE;
-------------------------------------------------------------------------------
-- Register state machine signals
-------------------------------------------------------------------------------
SM_SIG_REGISTER : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
desc_fetch_req <= '0' ;
s2mm_rxlength_clr <= '0' ;
else
if (C_SG_INCLUDE_DESC_QUEUE = 0) then
desc_fetch_req <= '1';
else
desc_fetch_req <= desc_fetch_req_cmb ;
end if;
s2mm_rxlength_clr <= s2mm_rxlength_clr_cmb;
end if;
end if;
end process SM_SIG_REGISTER;
-------------------------------------------------------------------------------
-- Check for a ZERO value in descriptor buffer length. If there is
-- then flag an error and skip waiting for valid rxlength. cmnd will
-- get written to datamover with BTT=0 and datamover will flag dmaint error
-- which will be logged in desc, reset required to clear error
-------------------------------------------------------------------------------
REG_ALIGN_DONE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
desc_fetch_done_d1 <= '0';
else
desc_fetch_done_d1 <= desc_fetch_done;
end if;
end if;
end process REG_ALIGN_DONE;
-------------------------------------------------------------------------------
-- Zero length error detection - for determinate mode, detect early to prevent
-- rxlength calcuation from first taking place. This will force a 0 BTT
-- command to be issued to the datamover causing an internal error.
-------------------------------------------------------------------------------
REG_ZERO_LNGTH_ERR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
zero_length_error <= '0';
elsif(desc_fetch_done_d1 = '1'
and s2mm_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0) = ZERO_LENGTH)then
zero_length_error <= '1';
end if;
end if;
end process REG_ZERO_LNGTH_ERR;
-------------------------------------------------------------------------------
-- Capture/Hold receive length from status stream. Also decrement length
-- based on if received length is greater than descriptor buffer size. (i.e. is
-- the case where multiple descriptors/buffers are used to describe one packet)
-------------------------------------------------------------------------------
REG_RXLENGTH : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
rxlength <= (others => '0');
-- If command register rxlength from status stream fifo
elsif(s2mm_rxlength_set = '1')then
rxlength <= s2mm_rxlength;
-- On command write if current desc buffer size not greater
-- than current rxlength then decrement rxlength in preperations
-- for subsequent commands
elsif(write_cmnd_cmb = '1' and blength_grtr_rxlength = '0')then
rxlength <= std_logic_vector(unsigned(rxlength(C_SG_LENGTH_WIDTH-1 downto 0))
- unsigned(s2mm_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0)));
end if;
end if;
end process REG_RXLENGTH;
-------------------------------------------------------------------------------
-- Calculate if Descriptor Buffer Length is 'Greater Than' or 'Equal To'
-- Received Length value
-------------------------------------------------------------------------------
REG_BLENGTH_GRTR_RXLNGTH : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
blength_grtr_rxlength <= '0';
elsif(s2mm_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0) >= rxlength)then
blength_grtr_rxlength <= '1';
else
blength_grtr_rxlength <= '0';
end if;
end if;
end process REG_BLENGTH_GRTR_RXLNGTH;
-------------------------------------------------------------------------------
-- On command assert rxlength fetched flag indicating length grabbed from
-- status stream fifo
-------------------------------------------------------------------------------
RXLENGTH_FTCHED_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or s2mm_eof_set_i = '1')then
rxlength_fetched <= '0';
elsif(s2mm_rxlength_set = '1')then
rxlength_fetched <= '1';
end if;
end if;
end process RXLENGTH_FTCHED_PROCESS;
-------------------------------------------------------------------------------
-- Build DataMover command
-------------------------------------------------------------------------------
-- If Bytes To Transfer (BTT) width less than 23, need to add pad
GEN_CMD_BTT_LESS_23 : if C_SG_LENGTH_WIDTH < 23 generate
constant PAD_VALUE : std_logic_vector(22 - C_SG_LENGTH_WIDTH downto 0)
:= (others => '0');
begin
-- When command by sm, drive command to s2mm_cmdsts_if
GEN_DATAMOVER_CMND : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
s2mm_cmnd_wr <= '0';
s2mm_cmnd_data <= (others => '0');
s2mm_eof_set_i <= '0';
-- Current Desc Buffer will NOT hold entire rxlength of data therefore
-- set EOF = based on Desc.EOF and pass buffer length for BTT
elsif(write_cmnd_cmb = '1' and blength_grtr_rxlength = '0')then
s2mm_cmnd_wr <= '1';
s2mm_cmnd_data <= s2mm_desc_info
& ZERO_BUFFER
& ZERO_BUFFER
& S2MM_CMD_RSVD
-- Command Tag
& '0'
& '0'
& '0' -- Cat. EOF=0 to CMD Tag
& '0' -- Cat. IOC to CMD TAG
-- Command
& s2mm_desc_baddress
& '1' -- Always reset DRE
& '0' -- Not End of Frame
& S2MM_CMD_DSA
& burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697
& PAD_VALUE
& s2mm_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0);
s2mm_eof_set_i <= '0';
-- Current Desc Buffer will hold entire rxlength of data therefore
-- set EOF = 1 and pass rxlength for BTT
--
-- Note: change to mode where EOF generates IOC interrupt as
-- opposed to a IOC bit in the descriptor negated need for an
-- EOF and IOC tag. Given time, these two bits could be combined
-- into 1. Associated logic in SG engine would also need to be
-- modified as well as in s2mm_sg_if.
elsif(write_cmnd_cmb = '1' and blength_grtr_rxlength = '1')then
s2mm_cmnd_wr <= '1';
s2mm_cmnd_data <= s2mm_desc_info
& ZERO_BUFFER
& ZERO_BUFFER
& S2MM_CMD_RSVD
-- Command Tag
& '0'
& '0'
& '1' -- Cat. EOF=1 to CMD Tag
& '1' -- Cat. IOC to CMD TAG
-- Command
& s2mm_desc_baddress
& '1' -- Always reset DRE
& '1' -- Set EOF=1
& S2MM_CMD_DSA
& burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697
& PAD_VALUE
& rxlength;
s2mm_eof_set_i <= '1';
else
-- s2mm_cmnd_data <= (others => '0');
s2mm_cmnd_wr <= '0';
s2mm_eof_set_i <= '0';
end if;
end if;
end process GEN_DATAMOVER_CMND;
end generate GEN_CMD_BTT_LESS_23;
-- If Bytes To Transfer (BTT) width equal 23, no required pad
GEN_CMD_BTT_EQL_23 : if C_SG_LENGTH_WIDTH = 23 generate
begin
-- When command by sm, drive command to s2mm_cmdsts_if
GEN_DATAMOVER_CMND : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
s2mm_cmnd_wr <= '0';
s2mm_cmnd_data <= (others => '0');
s2mm_eof_set_i <= '0';
-- Current Desc Buffer will NOT hold entire rxlength of data therefore
-- set EOF = based on Desc.EOF and pass buffer length for BTT
elsif(write_cmnd_cmb = '1' and blength_grtr_rxlength = '0')then
s2mm_cmnd_wr <= '1';
s2mm_cmnd_data <= s2mm_desc_info
& ZERO_BUFFER
& ZERO_BUFFER
& S2MM_CMD_RSVD
--& S2MM_CMD_TAG & s2mm_desc_ioc -- Cat IOC to CMD TAG
-- Command Tag
& '0'
& '0'
& '0' -- Cat. EOF='0' to CMD Tag
& '0' -- Cat. IOC='0' to CMD TAG
-- Command
& s2mm_desc_baddress
& '1' -- Always reset DRE
& '0' -- Not End of Frame
& S2MM_CMD_DSA
& burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697
& s2mm_desc_blength;
s2mm_eof_set_i <= '0';
-- Current Desc Buffer will hold entire rxlength of data therefore
-- set EOF = 1 and pass rxlength for BTT
--
-- Note: change to mode where EOF generates IOC interrupt as
-- opposed to a IOC bit in the descriptor negated need for an
-- EOF and IOC tag. Given time, these two bits could be combined
-- into 1. Associated logic in SG engine would also need to be
-- modified as well as in s2mm_sg_if.
elsif(write_cmnd_cmb = '1' and blength_grtr_rxlength = '1')then
s2mm_cmnd_wr <= '1';
s2mm_cmnd_data <= s2mm_desc_info
& ZERO_BUFFER
& ZERO_BUFFER
& S2MM_CMD_RSVD
--& S2MM_CMD_TAG & s2mm_desc_ioc -- Cat IOC to CMD TAG
-- Command Tag
& '0'
& '0'
& '1' -- Cat. EOF='1' to CMD Tag
& '1' -- Cat. IOC='1' to CMD TAG
-- Command
& s2mm_desc_baddress
& '1' -- Always reset DRE
& '1' -- End of Frame
& S2MM_CMD_DSA
& burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697
& rxlength;
s2mm_eof_set_i <= '1';
else
-- s2mm_cmnd_data <= (others => '0');
s2mm_cmnd_wr <= '0';
s2mm_eof_set_i <= '0';
end if;
end if;
end process GEN_DATAMOVER_CMND;
end generate GEN_CMD_BTT_EQL_23;
end generate GEN_SM_FOR_LENGTH;
-------------------------------------------------------------------------------
-- Counter for keepting track of pending commands/status in primary datamover
-- Use this to determine if primary datamover for s2mm is Idle.
-------------------------------------------------------------------------------
-- Increment queue count for each command written if not occuring at
-- same time a status from DM being updated to SG engine
count_incr <= '1' when write_cmnd_cmb = '1' and desc_update_done = '0'
else '0';
-- Decrement queue count for each status update to SG engine if not occuring
-- at same time as command being written to DM
count_decr <= '1' when write_cmnd_cmb = '0' and desc_update_done = '1'
else '0';
-- keep track of number queue commands
--CMD2STS_COUNTER : process(m_axi_sg_aclk)
-- begin
-- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- if(m_axi_sg_aresetn = '0' or s2mm_stop = '1')then
-- cmnds_queued <= (others => '0');
-- elsif(count_incr = '1')then
-- cmnds_queued <= std_logic_vector(unsigned(cmnds_queued(COUNTER_WIDTH - 1 downto 0)) + 1);
-- elsif(count_decr = '1')then
-- cmnds_queued <= std_logic_vector(unsigned(cmnds_queued(COUNTER_WIDTH - 1 downto 0)) - 1);
-- end if;
-- end if;
-- end process CMD2STS_COUNTER;
QUEUE_COUNT : if C_SG_INCLUDE_DESC_QUEUE = 1 generate
begin
CMD2STS_COUNTER1 : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or s2mm_stop = '1')then
cmnds_queued_shift <= (others => '0');
elsif(count_incr = '1')then
cmnds_queued_shift <= cmnds_queued_shift (2 downto 0) & '1';
elsif(count_decr = '1')then
cmnds_queued_shift <= '0' & cmnds_queued_shift (3 downto 1);
end if;
end if;
end process CMD2STS_COUNTER1;
end generate QUEUE_COUNT;
NOQUEUE_COUNT : if C_SG_INCLUDE_DESC_QUEUE = 0 generate
begin
CMD2STS_COUNTER1 : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or s2mm_stop = '1')then
cmnds_queued_shift (0) <= '0';
elsif(count_incr = '1')then
cmnds_queued_shift (0) <= '1';
elsif(count_decr = '1')then
cmnds_queued_shift (0) <= '0';
end if;
end if;
end process CMD2STS_COUNTER1;
end generate NOQUEUE_COUNT;
-- indicate idle when no more queued commands
--s2mm_sts_idle <= '1' when cmnds_queued_shift = "0000"
-- else '0';
s2mm_sts_idle <= not cmnds_queued_shift(0);
-------------------------------------------------------------------------------
-- Queue only the amount of commands that can be queued on descriptor update
-- else lock up can occur. Note datamover command fifo depth is set to number
-- of descriptors to queue.
-------------------------------------------------------------------------------
--QUEUE_MORE_PROCESS : process(m_axi_sg_aclk)
-- begin
-- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- if(m_axi_sg_aresetn = '0')then
-- queue_more <= '0';
-- elsif(cmnds_queued < std_logic_vector(to_unsigned(C_PRMY_CMDFIFO_DEPTH,COUNTER_WIDTH)))then
-- queue_more <= '1';
-- else
-- queue_more <= '0';
-- end if;
-- end if;
-- end process QUEUE_MORE_PROCESS;
QUEUE_MORE_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
queue_more <= '0';
-- elsif(cmnds_queued < std_logic_vector(to_unsigned(C_PRMY_CMDFIFO_DEPTH,COUNTER_WIDTH)))then
-- queue_more <= '1';
else
queue_more <= not (cmnds_queued_shift (C_PRMY_CMDFIFO_DEPTH-1)); --'0';
end if;
end if;
end process QUEUE_MORE_PROCESS;
end implementation;
|
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
------------------------------------------------------------
-------------------------------------------------------------------------------
-- Filename: axi_dma_s2mm_sm.vhd
-- Description: This entity contains the S2MM DMA Controller State Machine
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library unisim;
use unisim.vcomponents.all;
library axi_dma_v7_1_8;
use axi_dma_v7_1_8.axi_dma_pkg.all;
library lib_pkg_v1_0_2;
use lib_pkg_v1_0_2.lib_pkg.clog2;
-------------------------------------------------------------------------------
entity axi_dma_s2mm_sm is
generic (
C_M_AXI_S2MM_ADDR_WIDTH : integer range 32 to 64 := 32;
-- Master AXI Memory Map Address Width for S2MM Write Port
C_SG_INCLUDE_STSCNTRL_STRM : integer range 0 to 1 := 1;
-- Include or Exclude AXI Status and AXI Control Streams
-- 0 = Exclude Status and Control Streams
-- 1 = Include Status and Control Streams
C_SG_USE_STSAPP_LENGTH : integer range 0 to 1 := 1;
-- Enable or Disable use of Status Stream Rx Length. Only valid
-- if C_SG_INCLUDE_STSCNTRL_STRM = 1
-- 0 = Don't use Rx Length
-- 1 = Use Rx Length
C_SG_LENGTH_WIDTH : integer range 8 to 23 := 14;
-- Width of Buffer Length, Transferred Bytes, and BTT fields
C_SG_INCLUDE_DESC_QUEUE : integer range 0 to 1 := 0;
-- Include or Exclude Scatter Gather Descriptor Queuing
-- 0 = Exclude SG Descriptor Queuing
-- 1 = Include SG Descriptor Queuing
C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0;
C_MICRO_DMA : integer range 0 to 1 := 0;
C_PRMY_CMDFIFO_DEPTH : integer range 1 to 16 := 1
-- Depth of DataMover command FIFO
);
port (
m_axi_sg_aclk : in std_logic ; --
m_axi_sg_aresetn : in std_logic ; --
--
s2mm_stop : in std_logic ; --
--
-- S2MM Control and Status --
s2mm_run_stop : in std_logic ; --
s2mm_keyhole : in std_logic ; --
s2mm_ftch_idle : in std_logic ; --
s2mm_desc_flush : in std_logic ; --
s2mm_cmnd_idle : out std_logic ; --
s2mm_sts_idle : out std_logic ; --
s2mm_eof_set : out std_logic ; --
s2mm_eof_micro : in std_logic ; --
s2mm_sof_micro : in std_logic ; --
--
-- S2MM Descriptor Fetch Request --
desc_fetch_req : out std_logic ; --
desc_fetch_done : in std_logic ; --
desc_update_done : in std_logic ; --
updt_pending : in std_logic ;
desc_available : in std_logic ; --
--
-- S2MM Status Stream RX Length --
s2mm_rxlength_valid : in std_logic ; --
s2mm_rxlength_clr : out std_logic ; --
s2mm_rxlength : in std_logic_vector --
(C_SG_LENGTH_WIDTH - 1 downto 0) ; --
--
-- DataMover Command --
s2mm_cmnd_wr : out std_logic ; --
s2mm_cmnd_data : out std_logic_vector --
((C_M_AXI_S2MM_ADDR_WIDTH-32+2*32+CMD_BASE_WIDTH+46)-1 downto 0); --
s2mm_cmnd_pending : in std_logic ; --
--
-- Descriptor Fields --
s2mm_desc_info : in std_logic_vector --
(31 downto 0); --
s2mm_desc_baddress : in std_logic_vector --
(C_M_AXI_S2MM_ADDR_WIDTH-1 downto 0); --
s2mm_desc_blength : in std_logic_vector --
(BUFFER_LENGTH_WIDTH-1 downto 0); --
s2mm_desc_blength_v : in std_logic_vector --
(BUFFER_LENGTH_WIDTH-1 downto 0); --
s2mm_desc_blength_s : in std_logic_vector --
(BUFFER_LENGTH_WIDTH-1 downto 0) --
);
end axi_dma_s2mm_sm;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_dma_s2mm_sm is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
-- DataMover Commmand TAG
constant S2MM_CMD_TAG : std_logic_vector(2 downto 0) := (others => '0');
-- DataMover Command Destination Stream Offset
constant S2MM_CMD_DSA : std_logic_vector(5 downto 0) := (others => '0');
-- DataMover Cmnd Reserved Bits
constant S2MM_CMD_RSVD : std_logic_vector(
DATAMOVER_CMD_RSVMSB_BOFST + C_M_AXI_S2MM_ADDR_WIDTH downto
DATAMOVER_CMD_RSVLSB_BOFST + C_M_AXI_S2MM_ADDR_WIDTH)
:= (others => '0');
-- Queued commands counter width
constant COUNTER_WIDTH : integer := clog2(C_PRMY_CMDFIFO_DEPTH+1);
-- Queued commands zero count
constant ZERO_COUNT : std_logic_vector(COUNTER_WIDTH - 1 downto 0)
:= (others => '0');
-- Zero buffer length error - compare value
constant ZERO_LENGTH : std_logic_vector(C_SG_LENGTH_WIDTH-1 downto 0)
:= (others => '0');
constant ZERO_BUFFER : std_logic_vector(BUFFER_LENGTH_WIDTH-1 downto 0)
:= (others => '0');
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
-- State Machine Signals
signal desc_fetch_req_cmb : std_logic := '0';
signal write_cmnd_cmb : std_logic := '0';
signal s2mm_rxlength_clr_cmb : std_logic := '0';
signal rxlength : std_logic_vector(C_SG_LENGTH_WIDTH-1 downto 0) := (others => '0');
signal s2mm_rxlength_set : std_logic := '0';
signal blength_grtr_rxlength : std_logic := '0';
signal rxlength_fetched : std_logic := '0';
signal cmnds_queued : std_logic_vector(COUNTER_WIDTH - 1 downto 0) := (others => '0');
signal cmnds_queued_shift : std_logic_vector(C_PRMY_CMDFIFO_DEPTH - 1 downto 0) := (others => '0');
signal count_incr : std_logic := '0';
signal count_decr : std_logic := '0';
signal desc_fetch_done_d1 : std_logic := '0';
signal zero_length_error : std_logic := '0';
signal s2mm_eof_set_i : std_logic := '0';
signal queue_more : std_logic := '0';
signal burst_type : std_logic;
signal eof_micro : std_logic;
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
EN_MICRO_DMA : if C_MICRO_DMA = 1 generate
begin
eof_micro <= s2mm_eof_micro;
end generate EN_MICRO_DMA;
NO_MICRO_DMA : if C_MICRO_DMA = 0 generate
begin
eof_micro <= '0';
end generate NO_MICRO_DMA;
s2mm_eof_set <= s2mm_eof_set_i;
burst_type <= '1' and (not s2mm_keyhole);
-- A 0 s2mm_keyhole means incremental burst
-- a 1 s2mm_keyhole means fixed burst
-------------------------------------------------------------------------------
-- Not using rx length from status stream - (indeterminate length mode)
-------------------------------------------------------------------------------
GEN_SM_FOR_NO_LENGTH : if (C_SG_USE_STSAPP_LENGTH = 0 or C_SG_INCLUDE_STSCNTRL_STRM = 0 or C_ENABLE_MULTI_CHANNEL = 1) generate
type SG_S2MM_STATE_TYPE is (
IDLE,
FETCH_DESCRIPTOR,
-- EXECUTE_XFER,
WAIT_STATUS
);
signal s2mm_cs : SG_S2MM_STATE_TYPE;
signal s2mm_ns : SG_S2MM_STATE_TYPE;
begin
-- For no status stream or not using length in status app field then eof set is
-- generated from datamover status (see axi_dma_s2mm_cmdsts_if.vhd)
s2mm_eof_set_i <= '0';
-------------------------------------------------------------------------------
-- S2MM Transfer State Machine
-------------------------------------------------------------------------------
S2MM_MACHINE : process(s2mm_cs,
s2mm_run_stop,
desc_available,
desc_fetch_done,
desc_update_done,
s2mm_cmnd_pending,
s2mm_stop,
s2mm_desc_flush,
updt_pending
-- queue_more
)
begin
-- Default signal assignment
desc_fetch_req_cmb <= '0';
write_cmnd_cmb <= '0';
s2mm_cmnd_idle <= '0';
s2mm_ns <= s2mm_cs;
case s2mm_cs is
-------------------------------------------------------------------
when IDLE =>
-- fetch descriptor if desc available, not stopped and running
-- if (updt_pending = '1') then
-- s2mm_ns <= WAIT_STATUS;
if(s2mm_run_stop = '1' and desc_available = '1'
-- and s2mm_stop = '0' and queue_more = '1' and updt_pending = '0')then
and s2mm_stop = '0' and updt_pending = '0')then
if (C_SG_INCLUDE_DESC_QUEUE = 1) then
s2mm_ns <= FETCH_DESCRIPTOR;
desc_fetch_req_cmb <= '1';
else
s2mm_ns <= WAIT_STATUS;
write_cmnd_cmb <= '1';
end if;
else
s2mm_cmnd_idle <= '1';
s2mm_ns <= IDLE;
end if;
-------------------------------------------------------------------
when FETCH_DESCRIPTOR =>
-- exit if error or descriptor flushed
if(s2mm_desc_flush = '1' or s2mm_stop = '1')then
s2mm_ns <= IDLE;
-- wait until fetch complete then execute
-- elsif(desc_fetch_done = '1')then
-- desc_fetch_req_cmb <= '0';
-- s2mm_ns <= EXECUTE_XFER;
elsif (s2mm_cmnd_pending = '0')then
desc_fetch_req_cmb <= '0';
if (updt_pending = '0') then
if(C_SG_INCLUDE_DESC_QUEUE = 1)then
s2mm_ns <= IDLE;
write_cmnd_cmb <= '1';
else
-- coverage off
s2mm_ns <= WAIT_STATUS;
-- coverage on
end if;
end if;
else
s2mm_ns <= FETCH_DESCRIPTOR;
end if;
-------------------------------------------------------------------
-- when EXECUTE_XFER =>
-- -- if error exit
-- if(s2mm_stop = '1')then
-- s2mm_ns <= IDLE;
-- -- Write another command if there is not one already pending
-- elsif(s2mm_cmnd_pending = '0')then
-- if (updt_pending = '0') then
-- write_cmnd_cmb <= '1';
-- end if;
-- if(C_SG_INCLUDE_DESC_QUEUE = 1)then
-- s2mm_ns <= IDLE;
-- else
-- s2mm_ns <= WAIT_STATUS;
-- end if;
-- else
-- s2mm_ns <= EXECUTE_XFER;
-- end if;
-------------------------------------------------------------------
when WAIT_STATUS =>
-- for no Q wait until desc updated
if(desc_update_done = '1' or s2mm_stop = '1')then
s2mm_ns <= IDLE;
else
s2mm_ns <= WAIT_STATUS;
end if;
-------------------------------------------------------------------
-- coverage off
when others =>
s2mm_ns <= IDLE;
-- coverage on
end case;
end process S2MM_MACHINE;
-------------------------------------------------------------------------------
-- Register State Machine Statues
-------------------------------------------------------------------------------
REGISTER_STATE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
s2mm_cs <= IDLE;
else
s2mm_cs <= s2mm_ns;
end if;
end if;
end process REGISTER_STATE;
-------------------------------------------------------------------------------
-- Register State Machine Signalse
-------------------------------------------------------------------------------
-- SM_SIG_REGISTER : process(m_axi_sg_aclk)
-- begin
-- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- if(m_axi_sg_aresetn = '0')then
-- desc_fetch_req <= '0' ;
-- else
-- if (C_SG_INCLUDE_DESC_QUEUE = 0) then
-- desc_fetch_req <= '1';
-- else
-- desc_fetch_req <= desc_fetch_req_cmb ;
-- end if;
-- end if;
-- end if;
-- end process SM_SIG_REGISTER;
desc_fetch_req <= '1' when (C_SG_INCLUDE_DESC_QUEUE = 0) else
desc_fetch_req_cmb ;
-------------------------------------------------------------------------------
-- Build DataMover command
-------------------------------------------------------------------------------
-- If Bytes To Transfer (BTT) width less than 23, need to add pad
GEN_CMD_BTT_LESS_23 : if C_SG_LENGTH_WIDTH < 23 generate
constant PAD_VALUE : std_logic_vector(22 - C_SG_LENGTH_WIDTH downto 0)
:= (others => '0');
begin
-- When command by sm, drive command to s2mm_cmdsts_if
GEN_DATAMOVER_CMND : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
s2mm_cmnd_wr <= '0';
-- s2mm_cmnd_data <= (others => '0');
-- Fetch SM issued a command write
elsif(write_cmnd_cmb = '1')then
s2mm_cmnd_wr <= '1';
-- s2mm_cmnd_data <= s2mm_desc_info
-- & s2mm_desc_blength_v
-- & s2mm_desc_blength_s
-- & S2MM_CMD_RSVD
-- & "0000" -- Cat IOC to CMD TAG
-- & s2mm_desc_baddress
-- & '1' -- Always reset DRE
-- & '0' -- For Indeterminate BTT mode do not set EOF
-- & S2MM_CMD_DSA
-- & burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697
-- & PAD_VALUE
-- & s2mm_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0);
else
s2mm_cmnd_wr <= '0';
end if;
end if;
end process GEN_DATAMOVER_CMND;
s2mm_cmnd_data <= s2mm_desc_info
& s2mm_desc_blength_v
& s2mm_desc_blength_s
& S2MM_CMD_RSVD
& "00" & eof_micro & eof_micro --00" -- Cat IOC to CMD TAG
& s2mm_desc_baddress
& '1' -- Always reset DRE
& eof_micro --'0' -- For Indeterminate BTT mode do not set EOF
& S2MM_CMD_DSA
& burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697
& PAD_VALUE
& s2mm_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0);
end generate GEN_CMD_BTT_LESS_23;
-- If Bytes To Transfer (BTT) width equal 23, no required pad
GEN_CMD_BTT_EQL_23 : if C_SG_LENGTH_WIDTH = 23 generate
begin
-- When command by sm, drive command to s2mm_cmdsts_if
GEN_DATAMOVER_CMND : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
s2mm_cmnd_wr <= '0';
-- s2mm_cmnd_data <= (others => '0');
-- Fetch SM issued a command write
elsif(write_cmnd_cmb = '1')then
s2mm_cmnd_wr <= '1';
-- s2mm_cmnd_data <= s2mm_desc_info
-- & s2mm_desc_blength_v
-- & s2mm_desc_blength_s
-- & S2MM_CMD_RSVD
-- & "0000" -- Cat IOC to CMD TAG
-- & s2mm_desc_baddress
-- & '1' -- Always reset DRE
-- & '0' -- For indeterminate BTT mode do not set EOF
-- & S2MM_CMD_DSA
-- & burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697
-- & s2mm_desc_blength;
else
s2mm_cmnd_wr <= '0';
end if;
end if;
end process GEN_DATAMOVER_CMND;
s2mm_cmnd_data <= s2mm_desc_info
& s2mm_desc_blength_v
& s2mm_desc_blength_s
& S2MM_CMD_RSVD
& "00" & eof_micro & eof_micro -- "0000" -- Cat IOC to CMD TAG
& s2mm_desc_baddress
& '1' -- Always reset DRE
& eof_micro -- For indeterminate BTT mode do not set EOF
& S2MM_CMD_DSA
& burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697
& s2mm_desc_blength;
end generate GEN_CMD_BTT_EQL_23;
-- Drive unused output to zero
s2mm_rxlength_clr <= '0';
end generate GEN_SM_FOR_NO_LENGTH;
-------------------------------------------------------------------------------
-- Generate state machine and support logic for Using RX Length from Status
-- Stream
-------------------------------------------------------------------------------
-- this would not hold good for MCDMA
GEN_SM_FOR_LENGTH : if (C_SG_USE_STSAPP_LENGTH = 1 and C_SG_INCLUDE_STSCNTRL_STRM = 1 and C_ENABLE_MULTI_CHANNEL = 0) generate
type SG_S2MM_STATE_TYPE is (
IDLE,
FETCH_DESCRIPTOR,
GET_RXLENGTH,
CMPR_LENGTH,
EXECUTE_XFER,
WAIT_STATUS
);
signal s2mm_cs : SG_S2MM_STATE_TYPE;
signal s2mm_ns : SG_S2MM_STATE_TYPE;
begin
-------------------------------------------------------------------------------
-- S2MM Transfer State Machine
-------------------------------------------------------------------------------
S2MM_MACHINE : process(s2mm_cs,
s2mm_run_stop,
desc_available,
desc_update_done,
-- desc_fetch_done,
updt_pending,
s2mm_rxlength_valid,
rxlength_fetched,
s2mm_cmnd_pending,
zero_length_error,
s2mm_stop,
s2mm_desc_flush
-- queue_more
)
begin
-- Default signal assignment
desc_fetch_req_cmb <= '0';
s2mm_rxlength_clr_cmb <= '0';
write_cmnd_cmb <= '0';
s2mm_cmnd_idle <= '0';
s2mm_rxlength_set <= '0';
--rxlength_fetched_clr <= '0';
s2mm_ns <= s2mm_cs;
case s2mm_cs is
-------------------------------------------------------------------
when IDLE =>
if(s2mm_run_stop = '1' and desc_available = '1'
-- and s2mm_stop = '0' and queue_more = '1' and updt_pending = '0')then
and s2mm_stop = '0' and updt_pending = '0')then
if (C_SG_INCLUDE_DESC_QUEUE = 0) then
if(rxlength_fetched = '0')then
s2mm_ns <= GET_RXLENGTH;
else
s2mm_ns <= CMPR_LENGTH;
end if;
else
s2mm_ns <= FETCH_DESCRIPTOR;
desc_fetch_req_cmb <= '1';
end if;
else
s2mm_cmnd_idle <= '1';
s2mm_ns <= IDLE; --FETCH_DESCRIPTOR;
end if;
-------------------------------------------------------------------
when FETCH_DESCRIPTOR =>
desc_fetch_req_cmb <= '0';
-- exit if error or descriptor flushed
if(s2mm_desc_flush = '1')then
s2mm_ns <= IDLE;
-- Descriptor fetch complete
else --if(desc_fetch_done = '1')then
-- desc_fetch_req_cmb <= '0';
if(rxlength_fetched = '0')then
s2mm_ns <= GET_RXLENGTH;
else
s2mm_ns <= CMPR_LENGTH;
end if;
-- else
-- desc_fetch_req_cmb <= '1';
end if;
-------------------------------------------------------------------
WHEN GET_RXLENGTH =>
if(s2mm_stop = '1')then
s2mm_ns <= IDLE;
-- Buffer length zero, do not compare lengths, execute
-- command to force datamover to issue interror
elsif(zero_length_error = '1')then
s2mm_ns <= EXECUTE_XFER;
elsif(s2mm_rxlength_valid = '1')then
s2mm_rxlength_set <= '1';
s2mm_rxlength_clr_cmb <= '1';
s2mm_ns <= CMPR_LENGTH;
else
s2mm_ns <= GET_RXLENGTH;
end if;
-------------------------------------------------------------------
WHEN CMPR_LENGTH =>
s2mm_ns <= EXECUTE_XFER;
-------------------------------------------------------------------
when EXECUTE_XFER =>
if(s2mm_stop = '1')then
s2mm_ns <= IDLE;
-- write new command if one is not already pending
elsif(s2mm_cmnd_pending = '0')then
write_cmnd_cmb <= '1';
-- If descriptor queuing enabled then
-- do NOT need to wait for status
if(C_SG_INCLUDE_DESC_QUEUE = 1)then
s2mm_ns <= IDLE;
-- No queuing therefore must wait for
-- status before issuing next command
else
s2mm_ns <= WAIT_STATUS;
end if;
else
s2mm_ns <= EXECUTE_XFER;
end if;
-------------------------------------------------------------------
-- coverage off
when WAIT_STATUS =>
if(desc_update_done = '1' or s2mm_stop = '1')then
s2mm_ns <= IDLE;
else
s2mm_ns <= WAIT_STATUS;
end if;
-- coverage on
-------------------------------------------------------------------
-- coverage off
when others =>
s2mm_ns <= IDLE;
-- coverage on
end case;
end process S2MM_MACHINE;
-------------------------------------------------------------------------------
-- Register state machine states
-------------------------------------------------------------------------------
REGISTER_STATE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
s2mm_cs <= IDLE;
else
s2mm_cs <= s2mm_ns;
end if;
end if;
end process REGISTER_STATE;
-------------------------------------------------------------------------------
-- Register state machine signals
-------------------------------------------------------------------------------
SM_SIG_REGISTER : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
desc_fetch_req <= '0' ;
s2mm_rxlength_clr <= '0' ;
else
if (C_SG_INCLUDE_DESC_QUEUE = 0) then
desc_fetch_req <= '1';
else
desc_fetch_req <= desc_fetch_req_cmb ;
end if;
s2mm_rxlength_clr <= s2mm_rxlength_clr_cmb;
end if;
end if;
end process SM_SIG_REGISTER;
-------------------------------------------------------------------------------
-- Check for a ZERO value in descriptor buffer length. If there is
-- then flag an error and skip waiting for valid rxlength. cmnd will
-- get written to datamover with BTT=0 and datamover will flag dmaint error
-- which will be logged in desc, reset required to clear error
-------------------------------------------------------------------------------
REG_ALIGN_DONE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
desc_fetch_done_d1 <= '0';
else
desc_fetch_done_d1 <= desc_fetch_done;
end if;
end if;
end process REG_ALIGN_DONE;
-------------------------------------------------------------------------------
-- Zero length error detection - for determinate mode, detect early to prevent
-- rxlength calcuation from first taking place. This will force a 0 BTT
-- command to be issued to the datamover causing an internal error.
-------------------------------------------------------------------------------
REG_ZERO_LNGTH_ERR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
zero_length_error <= '0';
elsif(desc_fetch_done_d1 = '1'
and s2mm_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0) = ZERO_LENGTH)then
zero_length_error <= '1';
end if;
end if;
end process REG_ZERO_LNGTH_ERR;
-------------------------------------------------------------------------------
-- Capture/Hold receive length from status stream. Also decrement length
-- based on if received length is greater than descriptor buffer size. (i.e. is
-- the case where multiple descriptors/buffers are used to describe one packet)
-------------------------------------------------------------------------------
REG_RXLENGTH : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
rxlength <= (others => '0');
-- If command register rxlength from status stream fifo
elsif(s2mm_rxlength_set = '1')then
rxlength <= s2mm_rxlength;
-- On command write if current desc buffer size not greater
-- than current rxlength then decrement rxlength in preperations
-- for subsequent commands
elsif(write_cmnd_cmb = '1' and blength_grtr_rxlength = '0')then
rxlength <= std_logic_vector(unsigned(rxlength(C_SG_LENGTH_WIDTH-1 downto 0))
- unsigned(s2mm_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0)));
end if;
end if;
end process REG_RXLENGTH;
-------------------------------------------------------------------------------
-- Calculate if Descriptor Buffer Length is 'Greater Than' or 'Equal To'
-- Received Length value
-------------------------------------------------------------------------------
REG_BLENGTH_GRTR_RXLNGTH : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
blength_grtr_rxlength <= '0';
elsif(s2mm_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0) >= rxlength)then
blength_grtr_rxlength <= '1';
else
blength_grtr_rxlength <= '0';
end if;
end if;
end process REG_BLENGTH_GRTR_RXLNGTH;
-------------------------------------------------------------------------------
-- On command assert rxlength fetched flag indicating length grabbed from
-- status stream fifo
-------------------------------------------------------------------------------
RXLENGTH_FTCHED_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or s2mm_eof_set_i = '1')then
rxlength_fetched <= '0';
elsif(s2mm_rxlength_set = '1')then
rxlength_fetched <= '1';
end if;
end if;
end process RXLENGTH_FTCHED_PROCESS;
-------------------------------------------------------------------------------
-- Build DataMover command
-------------------------------------------------------------------------------
-- If Bytes To Transfer (BTT) width less than 23, need to add pad
GEN_CMD_BTT_LESS_23 : if C_SG_LENGTH_WIDTH < 23 generate
constant PAD_VALUE : std_logic_vector(22 - C_SG_LENGTH_WIDTH downto 0)
:= (others => '0');
begin
-- When command by sm, drive command to s2mm_cmdsts_if
GEN_DATAMOVER_CMND : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
s2mm_cmnd_wr <= '0';
s2mm_cmnd_data <= (others => '0');
s2mm_eof_set_i <= '0';
-- Current Desc Buffer will NOT hold entire rxlength of data therefore
-- set EOF = based on Desc.EOF and pass buffer length for BTT
elsif(write_cmnd_cmb = '1' and blength_grtr_rxlength = '0')then
s2mm_cmnd_wr <= '1';
s2mm_cmnd_data <= s2mm_desc_info
& ZERO_BUFFER
& ZERO_BUFFER
& S2MM_CMD_RSVD
-- Command Tag
& '0'
& '0'
& '0' -- Cat. EOF=0 to CMD Tag
& '0' -- Cat. IOC to CMD TAG
-- Command
& s2mm_desc_baddress
& '1' -- Always reset DRE
& '0' -- Not End of Frame
& S2MM_CMD_DSA
& burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697
& PAD_VALUE
& s2mm_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0);
s2mm_eof_set_i <= '0';
-- Current Desc Buffer will hold entire rxlength of data therefore
-- set EOF = 1 and pass rxlength for BTT
--
-- Note: change to mode where EOF generates IOC interrupt as
-- opposed to a IOC bit in the descriptor negated need for an
-- EOF and IOC tag. Given time, these two bits could be combined
-- into 1. Associated logic in SG engine would also need to be
-- modified as well as in s2mm_sg_if.
elsif(write_cmnd_cmb = '1' and blength_grtr_rxlength = '1')then
s2mm_cmnd_wr <= '1';
s2mm_cmnd_data <= s2mm_desc_info
& ZERO_BUFFER
& ZERO_BUFFER
& S2MM_CMD_RSVD
-- Command Tag
& '0'
& '0'
& '1' -- Cat. EOF=1 to CMD Tag
& '1' -- Cat. IOC to CMD TAG
-- Command
& s2mm_desc_baddress
& '1' -- Always reset DRE
& '1' -- Set EOF=1
& S2MM_CMD_DSA
& burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697
& PAD_VALUE
& rxlength;
s2mm_eof_set_i <= '1';
else
-- s2mm_cmnd_data <= (others => '0');
s2mm_cmnd_wr <= '0';
s2mm_eof_set_i <= '0';
end if;
end if;
end process GEN_DATAMOVER_CMND;
end generate GEN_CMD_BTT_LESS_23;
-- If Bytes To Transfer (BTT) width equal 23, no required pad
GEN_CMD_BTT_EQL_23 : if C_SG_LENGTH_WIDTH = 23 generate
begin
-- When command by sm, drive command to s2mm_cmdsts_if
GEN_DATAMOVER_CMND : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
s2mm_cmnd_wr <= '0';
s2mm_cmnd_data <= (others => '0');
s2mm_eof_set_i <= '0';
-- Current Desc Buffer will NOT hold entire rxlength of data therefore
-- set EOF = based on Desc.EOF and pass buffer length for BTT
elsif(write_cmnd_cmb = '1' and blength_grtr_rxlength = '0')then
s2mm_cmnd_wr <= '1';
s2mm_cmnd_data <= s2mm_desc_info
& ZERO_BUFFER
& ZERO_BUFFER
& S2MM_CMD_RSVD
--& S2MM_CMD_TAG & s2mm_desc_ioc -- Cat IOC to CMD TAG
-- Command Tag
& '0'
& '0'
& '0' -- Cat. EOF='0' to CMD Tag
& '0' -- Cat. IOC='0' to CMD TAG
-- Command
& s2mm_desc_baddress
& '1' -- Always reset DRE
& '0' -- Not End of Frame
& S2MM_CMD_DSA
& burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697
& s2mm_desc_blength;
s2mm_eof_set_i <= '0';
-- Current Desc Buffer will hold entire rxlength of data therefore
-- set EOF = 1 and pass rxlength for BTT
--
-- Note: change to mode where EOF generates IOC interrupt as
-- opposed to a IOC bit in the descriptor negated need for an
-- EOF and IOC tag. Given time, these two bits could be combined
-- into 1. Associated logic in SG engine would also need to be
-- modified as well as in s2mm_sg_if.
elsif(write_cmnd_cmb = '1' and blength_grtr_rxlength = '1')then
s2mm_cmnd_wr <= '1';
s2mm_cmnd_data <= s2mm_desc_info
& ZERO_BUFFER
& ZERO_BUFFER
& S2MM_CMD_RSVD
--& S2MM_CMD_TAG & s2mm_desc_ioc -- Cat IOC to CMD TAG
-- Command Tag
& '0'
& '0'
& '1' -- Cat. EOF='1' to CMD Tag
& '1' -- Cat. IOC='1' to CMD TAG
-- Command
& s2mm_desc_baddress
& '1' -- Always reset DRE
& '1' -- End of Frame
& S2MM_CMD_DSA
& burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697
& rxlength;
s2mm_eof_set_i <= '1';
else
-- s2mm_cmnd_data <= (others => '0');
s2mm_cmnd_wr <= '0';
s2mm_eof_set_i <= '0';
end if;
end if;
end process GEN_DATAMOVER_CMND;
end generate GEN_CMD_BTT_EQL_23;
end generate GEN_SM_FOR_LENGTH;
-------------------------------------------------------------------------------
-- Counter for keepting track of pending commands/status in primary datamover
-- Use this to determine if primary datamover for s2mm is Idle.
-------------------------------------------------------------------------------
-- Increment queue count for each command written if not occuring at
-- same time a status from DM being updated to SG engine
count_incr <= '1' when write_cmnd_cmb = '1' and desc_update_done = '0'
else '0';
-- Decrement queue count for each status update to SG engine if not occuring
-- at same time as command being written to DM
count_decr <= '1' when write_cmnd_cmb = '0' and desc_update_done = '1'
else '0';
-- keep track of number queue commands
--CMD2STS_COUNTER : process(m_axi_sg_aclk)
-- begin
-- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- if(m_axi_sg_aresetn = '0' or s2mm_stop = '1')then
-- cmnds_queued <= (others => '0');
-- elsif(count_incr = '1')then
-- cmnds_queued <= std_logic_vector(unsigned(cmnds_queued(COUNTER_WIDTH - 1 downto 0)) + 1);
-- elsif(count_decr = '1')then
-- cmnds_queued <= std_logic_vector(unsigned(cmnds_queued(COUNTER_WIDTH - 1 downto 0)) - 1);
-- end if;
-- end if;
-- end process CMD2STS_COUNTER;
QUEUE_COUNT : if C_SG_INCLUDE_DESC_QUEUE = 1 generate
begin
CMD2STS_COUNTER1 : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or s2mm_stop = '1')then
cmnds_queued_shift <= (others => '0');
elsif(count_incr = '1')then
cmnds_queued_shift <= cmnds_queued_shift (2 downto 0) & '1';
elsif(count_decr = '1')then
cmnds_queued_shift <= '0' & cmnds_queued_shift (3 downto 1);
end if;
end if;
end process CMD2STS_COUNTER1;
end generate QUEUE_COUNT;
NOQUEUE_COUNT : if C_SG_INCLUDE_DESC_QUEUE = 0 generate
begin
CMD2STS_COUNTER1 : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or s2mm_stop = '1')then
cmnds_queued_shift (0) <= '0';
elsif(count_incr = '1')then
cmnds_queued_shift (0) <= '1';
elsif(count_decr = '1')then
cmnds_queued_shift (0) <= '0';
end if;
end if;
end process CMD2STS_COUNTER1;
end generate NOQUEUE_COUNT;
-- indicate idle when no more queued commands
--s2mm_sts_idle <= '1' when cmnds_queued_shift = "0000"
-- else '0';
s2mm_sts_idle <= not cmnds_queued_shift(0);
-------------------------------------------------------------------------------
-- Queue only the amount of commands that can be queued on descriptor update
-- else lock up can occur. Note datamover command fifo depth is set to number
-- of descriptors to queue.
-------------------------------------------------------------------------------
--QUEUE_MORE_PROCESS : process(m_axi_sg_aclk)
-- begin
-- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- if(m_axi_sg_aresetn = '0')then
-- queue_more <= '0';
-- elsif(cmnds_queued < std_logic_vector(to_unsigned(C_PRMY_CMDFIFO_DEPTH,COUNTER_WIDTH)))then
-- queue_more <= '1';
-- else
-- queue_more <= '0';
-- end if;
-- end if;
-- end process QUEUE_MORE_PROCESS;
QUEUE_MORE_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
queue_more <= '0';
-- elsif(cmnds_queued < std_logic_vector(to_unsigned(C_PRMY_CMDFIFO_DEPTH,COUNTER_WIDTH)))then
-- queue_more <= '1';
else
queue_more <= not (cmnds_queued_shift (C_PRMY_CMDFIFO_DEPTH-1)); --'0';
end if;
end if;
end process QUEUE_MORE_PROCESS;
end implementation;
|
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
------------------------------------------------------------
-------------------------------------------------------------------------------
-- Filename: axi_dma_s2mm_sm.vhd
-- Description: This entity contains the S2MM DMA Controller State Machine
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library unisim;
use unisim.vcomponents.all;
library axi_dma_v7_1_8;
use axi_dma_v7_1_8.axi_dma_pkg.all;
library lib_pkg_v1_0_2;
use lib_pkg_v1_0_2.lib_pkg.clog2;
-------------------------------------------------------------------------------
entity axi_dma_s2mm_sm is
generic (
C_M_AXI_S2MM_ADDR_WIDTH : integer range 32 to 64 := 32;
-- Master AXI Memory Map Address Width for S2MM Write Port
C_SG_INCLUDE_STSCNTRL_STRM : integer range 0 to 1 := 1;
-- Include or Exclude AXI Status and AXI Control Streams
-- 0 = Exclude Status and Control Streams
-- 1 = Include Status and Control Streams
C_SG_USE_STSAPP_LENGTH : integer range 0 to 1 := 1;
-- Enable or Disable use of Status Stream Rx Length. Only valid
-- if C_SG_INCLUDE_STSCNTRL_STRM = 1
-- 0 = Don't use Rx Length
-- 1 = Use Rx Length
C_SG_LENGTH_WIDTH : integer range 8 to 23 := 14;
-- Width of Buffer Length, Transferred Bytes, and BTT fields
C_SG_INCLUDE_DESC_QUEUE : integer range 0 to 1 := 0;
-- Include or Exclude Scatter Gather Descriptor Queuing
-- 0 = Exclude SG Descriptor Queuing
-- 1 = Include SG Descriptor Queuing
C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0;
C_MICRO_DMA : integer range 0 to 1 := 0;
C_PRMY_CMDFIFO_DEPTH : integer range 1 to 16 := 1
-- Depth of DataMover command FIFO
);
port (
m_axi_sg_aclk : in std_logic ; --
m_axi_sg_aresetn : in std_logic ; --
--
s2mm_stop : in std_logic ; --
--
-- S2MM Control and Status --
s2mm_run_stop : in std_logic ; --
s2mm_keyhole : in std_logic ; --
s2mm_ftch_idle : in std_logic ; --
s2mm_desc_flush : in std_logic ; --
s2mm_cmnd_idle : out std_logic ; --
s2mm_sts_idle : out std_logic ; --
s2mm_eof_set : out std_logic ; --
s2mm_eof_micro : in std_logic ; --
s2mm_sof_micro : in std_logic ; --
--
-- S2MM Descriptor Fetch Request --
desc_fetch_req : out std_logic ; --
desc_fetch_done : in std_logic ; --
desc_update_done : in std_logic ; --
updt_pending : in std_logic ;
desc_available : in std_logic ; --
--
-- S2MM Status Stream RX Length --
s2mm_rxlength_valid : in std_logic ; --
s2mm_rxlength_clr : out std_logic ; --
s2mm_rxlength : in std_logic_vector --
(C_SG_LENGTH_WIDTH - 1 downto 0) ; --
--
-- DataMover Command --
s2mm_cmnd_wr : out std_logic ; --
s2mm_cmnd_data : out std_logic_vector --
((C_M_AXI_S2MM_ADDR_WIDTH-32+2*32+CMD_BASE_WIDTH+46)-1 downto 0); --
s2mm_cmnd_pending : in std_logic ; --
--
-- Descriptor Fields --
s2mm_desc_info : in std_logic_vector --
(31 downto 0); --
s2mm_desc_baddress : in std_logic_vector --
(C_M_AXI_S2MM_ADDR_WIDTH-1 downto 0); --
s2mm_desc_blength : in std_logic_vector --
(BUFFER_LENGTH_WIDTH-1 downto 0); --
s2mm_desc_blength_v : in std_logic_vector --
(BUFFER_LENGTH_WIDTH-1 downto 0); --
s2mm_desc_blength_s : in std_logic_vector --
(BUFFER_LENGTH_WIDTH-1 downto 0) --
);
end axi_dma_s2mm_sm;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_dma_s2mm_sm is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
-- DataMover Commmand TAG
constant S2MM_CMD_TAG : std_logic_vector(2 downto 0) := (others => '0');
-- DataMover Command Destination Stream Offset
constant S2MM_CMD_DSA : std_logic_vector(5 downto 0) := (others => '0');
-- DataMover Cmnd Reserved Bits
constant S2MM_CMD_RSVD : std_logic_vector(
DATAMOVER_CMD_RSVMSB_BOFST + C_M_AXI_S2MM_ADDR_WIDTH downto
DATAMOVER_CMD_RSVLSB_BOFST + C_M_AXI_S2MM_ADDR_WIDTH)
:= (others => '0');
-- Queued commands counter width
constant COUNTER_WIDTH : integer := clog2(C_PRMY_CMDFIFO_DEPTH+1);
-- Queued commands zero count
constant ZERO_COUNT : std_logic_vector(COUNTER_WIDTH - 1 downto 0)
:= (others => '0');
-- Zero buffer length error - compare value
constant ZERO_LENGTH : std_logic_vector(C_SG_LENGTH_WIDTH-1 downto 0)
:= (others => '0');
constant ZERO_BUFFER : std_logic_vector(BUFFER_LENGTH_WIDTH-1 downto 0)
:= (others => '0');
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
-- State Machine Signals
signal desc_fetch_req_cmb : std_logic := '0';
signal write_cmnd_cmb : std_logic := '0';
signal s2mm_rxlength_clr_cmb : std_logic := '0';
signal rxlength : std_logic_vector(C_SG_LENGTH_WIDTH-1 downto 0) := (others => '0');
signal s2mm_rxlength_set : std_logic := '0';
signal blength_grtr_rxlength : std_logic := '0';
signal rxlength_fetched : std_logic := '0';
signal cmnds_queued : std_logic_vector(COUNTER_WIDTH - 1 downto 0) := (others => '0');
signal cmnds_queued_shift : std_logic_vector(C_PRMY_CMDFIFO_DEPTH - 1 downto 0) := (others => '0');
signal count_incr : std_logic := '0';
signal count_decr : std_logic := '0';
signal desc_fetch_done_d1 : std_logic := '0';
signal zero_length_error : std_logic := '0';
signal s2mm_eof_set_i : std_logic := '0';
signal queue_more : std_logic := '0';
signal burst_type : std_logic;
signal eof_micro : std_logic;
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
EN_MICRO_DMA : if C_MICRO_DMA = 1 generate
begin
eof_micro <= s2mm_eof_micro;
end generate EN_MICRO_DMA;
NO_MICRO_DMA : if C_MICRO_DMA = 0 generate
begin
eof_micro <= '0';
end generate NO_MICRO_DMA;
s2mm_eof_set <= s2mm_eof_set_i;
burst_type <= '1' and (not s2mm_keyhole);
-- A 0 s2mm_keyhole means incremental burst
-- a 1 s2mm_keyhole means fixed burst
-------------------------------------------------------------------------------
-- Not using rx length from status stream - (indeterminate length mode)
-------------------------------------------------------------------------------
GEN_SM_FOR_NO_LENGTH : if (C_SG_USE_STSAPP_LENGTH = 0 or C_SG_INCLUDE_STSCNTRL_STRM = 0 or C_ENABLE_MULTI_CHANNEL = 1) generate
type SG_S2MM_STATE_TYPE is (
IDLE,
FETCH_DESCRIPTOR,
-- EXECUTE_XFER,
WAIT_STATUS
);
signal s2mm_cs : SG_S2MM_STATE_TYPE;
signal s2mm_ns : SG_S2MM_STATE_TYPE;
begin
-- For no status stream or not using length in status app field then eof set is
-- generated from datamover status (see axi_dma_s2mm_cmdsts_if.vhd)
s2mm_eof_set_i <= '0';
-------------------------------------------------------------------------------
-- S2MM Transfer State Machine
-------------------------------------------------------------------------------
S2MM_MACHINE : process(s2mm_cs,
s2mm_run_stop,
desc_available,
desc_fetch_done,
desc_update_done,
s2mm_cmnd_pending,
s2mm_stop,
s2mm_desc_flush,
updt_pending
-- queue_more
)
begin
-- Default signal assignment
desc_fetch_req_cmb <= '0';
write_cmnd_cmb <= '0';
s2mm_cmnd_idle <= '0';
s2mm_ns <= s2mm_cs;
case s2mm_cs is
-------------------------------------------------------------------
when IDLE =>
-- fetch descriptor if desc available, not stopped and running
-- if (updt_pending = '1') then
-- s2mm_ns <= WAIT_STATUS;
if(s2mm_run_stop = '1' and desc_available = '1'
-- and s2mm_stop = '0' and queue_more = '1' and updt_pending = '0')then
and s2mm_stop = '0' and updt_pending = '0')then
if (C_SG_INCLUDE_DESC_QUEUE = 1) then
s2mm_ns <= FETCH_DESCRIPTOR;
desc_fetch_req_cmb <= '1';
else
s2mm_ns <= WAIT_STATUS;
write_cmnd_cmb <= '1';
end if;
else
s2mm_cmnd_idle <= '1';
s2mm_ns <= IDLE;
end if;
-------------------------------------------------------------------
when FETCH_DESCRIPTOR =>
-- exit if error or descriptor flushed
if(s2mm_desc_flush = '1' or s2mm_stop = '1')then
s2mm_ns <= IDLE;
-- wait until fetch complete then execute
-- elsif(desc_fetch_done = '1')then
-- desc_fetch_req_cmb <= '0';
-- s2mm_ns <= EXECUTE_XFER;
elsif (s2mm_cmnd_pending = '0')then
desc_fetch_req_cmb <= '0';
if (updt_pending = '0') then
if(C_SG_INCLUDE_DESC_QUEUE = 1)then
s2mm_ns <= IDLE;
write_cmnd_cmb <= '1';
else
-- coverage off
s2mm_ns <= WAIT_STATUS;
-- coverage on
end if;
end if;
else
s2mm_ns <= FETCH_DESCRIPTOR;
end if;
-------------------------------------------------------------------
-- when EXECUTE_XFER =>
-- -- if error exit
-- if(s2mm_stop = '1')then
-- s2mm_ns <= IDLE;
-- -- Write another command if there is not one already pending
-- elsif(s2mm_cmnd_pending = '0')then
-- if (updt_pending = '0') then
-- write_cmnd_cmb <= '1';
-- end if;
-- if(C_SG_INCLUDE_DESC_QUEUE = 1)then
-- s2mm_ns <= IDLE;
-- else
-- s2mm_ns <= WAIT_STATUS;
-- end if;
-- else
-- s2mm_ns <= EXECUTE_XFER;
-- end if;
-------------------------------------------------------------------
when WAIT_STATUS =>
-- for no Q wait until desc updated
if(desc_update_done = '1' or s2mm_stop = '1')then
s2mm_ns <= IDLE;
else
s2mm_ns <= WAIT_STATUS;
end if;
-------------------------------------------------------------------
-- coverage off
when others =>
s2mm_ns <= IDLE;
-- coverage on
end case;
end process S2MM_MACHINE;
-------------------------------------------------------------------------------
-- Register State Machine Statues
-------------------------------------------------------------------------------
REGISTER_STATE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
s2mm_cs <= IDLE;
else
s2mm_cs <= s2mm_ns;
end if;
end if;
end process REGISTER_STATE;
-------------------------------------------------------------------------------
-- Register State Machine Signalse
-------------------------------------------------------------------------------
-- SM_SIG_REGISTER : process(m_axi_sg_aclk)
-- begin
-- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- if(m_axi_sg_aresetn = '0')then
-- desc_fetch_req <= '0' ;
-- else
-- if (C_SG_INCLUDE_DESC_QUEUE = 0) then
-- desc_fetch_req <= '1';
-- else
-- desc_fetch_req <= desc_fetch_req_cmb ;
-- end if;
-- end if;
-- end if;
-- end process SM_SIG_REGISTER;
desc_fetch_req <= '1' when (C_SG_INCLUDE_DESC_QUEUE = 0) else
desc_fetch_req_cmb ;
-------------------------------------------------------------------------------
-- Build DataMover command
-------------------------------------------------------------------------------
-- If Bytes To Transfer (BTT) width less than 23, need to add pad
GEN_CMD_BTT_LESS_23 : if C_SG_LENGTH_WIDTH < 23 generate
constant PAD_VALUE : std_logic_vector(22 - C_SG_LENGTH_WIDTH downto 0)
:= (others => '0');
begin
-- When command by sm, drive command to s2mm_cmdsts_if
GEN_DATAMOVER_CMND : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
s2mm_cmnd_wr <= '0';
-- s2mm_cmnd_data <= (others => '0');
-- Fetch SM issued a command write
elsif(write_cmnd_cmb = '1')then
s2mm_cmnd_wr <= '1';
-- s2mm_cmnd_data <= s2mm_desc_info
-- & s2mm_desc_blength_v
-- & s2mm_desc_blength_s
-- & S2MM_CMD_RSVD
-- & "0000" -- Cat IOC to CMD TAG
-- & s2mm_desc_baddress
-- & '1' -- Always reset DRE
-- & '0' -- For Indeterminate BTT mode do not set EOF
-- & S2MM_CMD_DSA
-- & burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697
-- & PAD_VALUE
-- & s2mm_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0);
else
s2mm_cmnd_wr <= '0';
end if;
end if;
end process GEN_DATAMOVER_CMND;
s2mm_cmnd_data <= s2mm_desc_info
& s2mm_desc_blength_v
& s2mm_desc_blength_s
& S2MM_CMD_RSVD
& "00" & eof_micro & eof_micro --00" -- Cat IOC to CMD TAG
& s2mm_desc_baddress
& '1' -- Always reset DRE
& eof_micro --'0' -- For Indeterminate BTT mode do not set EOF
& S2MM_CMD_DSA
& burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697
& PAD_VALUE
& s2mm_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0);
end generate GEN_CMD_BTT_LESS_23;
-- If Bytes To Transfer (BTT) width equal 23, no required pad
GEN_CMD_BTT_EQL_23 : if C_SG_LENGTH_WIDTH = 23 generate
begin
-- When command by sm, drive command to s2mm_cmdsts_if
GEN_DATAMOVER_CMND : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
s2mm_cmnd_wr <= '0';
-- s2mm_cmnd_data <= (others => '0');
-- Fetch SM issued a command write
elsif(write_cmnd_cmb = '1')then
s2mm_cmnd_wr <= '1';
-- s2mm_cmnd_data <= s2mm_desc_info
-- & s2mm_desc_blength_v
-- & s2mm_desc_blength_s
-- & S2MM_CMD_RSVD
-- & "0000" -- Cat IOC to CMD TAG
-- & s2mm_desc_baddress
-- & '1' -- Always reset DRE
-- & '0' -- For indeterminate BTT mode do not set EOF
-- & S2MM_CMD_DSA
-- & burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697
-- & s2mm_desc_blength;
else
s2mm_cmnd_wr <= '0';
end if;
end if;
end process GEN_DATAMOVER_CMND;
s2mm_cmnd_data <= s2mm_desc_info
& s2mm_desc_blength_v
& s2mm_desc_blength_s
& S2MM_CMD_RSVD
& "00" & eof_micro & eof_micro -- "0000" -- Cat IOC to CMD TAG
& s2mm_desc_baddress
& '1' -- Always reset DRE
& eof_micro -- For indeterminate BTT mode do not set EOF
& S2MM_CMD_DSA
& burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697
& s2mm_desc_blength;
end generate GEN_CMD_BTT_EQL_23;
-- Drive unused output to zero
s2mm_rxlength_clr <= '0';
end generate GEN_SM_FOR_NO_LENGTH;
-------------------------------------------------------------------------------
-- Generate state machine and support logic for Using RX Length from Status
-- Stream
-------------------------------------------------------------------------------
-- this would not hold good for MCDMA
GEN_SM_FOR_LENGTH : if (C_SG_USE_STSAPP_LENGTH = 1 and C_SG_INCLUDE_STSCNTRL_STRM = 1 and C_ENABLE_MULTI_CHANNEL = 0) generate
type SG_S2MM_STATE_TYPE is (
IDLE,
FETCH_DESCRIPTOR,
GET_RXLENGTH,
CMPR_LENGTH,
EXECUTE_XFER,
WAIT_STATUS
);
signal s2mm_cs : SG_S2MM_STATE_TYPE;
signal s2mm_ns : SG_S2MM_STATE_TYPE;
begin
-------------------------------------------------------------------------------
-- S2MM Transfer State Machine
-------------------------------------------------------------------------------
S2MM_MACHINE : process(s2mm_cs,
s2mm_run_stop,
desc_available,
desc_update_done,
-- desc_fetch_done,
updt_pending,
s2mm_rxlength_valid,
rxlength_fetched,
s2mm_cmnd_pending,
zero_length_error,
s2mm_stop,
s2mm_desc_flush
-- queue_more
)
begin
-- Default signal assignment
desc_fetch_req_cmb <= '0';
s2mm_rxlength_clr_cmb <= '0';
write_cmnd_cmb <= '0';
s2mm_cmnd_idle <= '0';
s2mm_rxlength_set <= '0';
--rxlength_fetched_clr <= '0';
s2mm_ns <= s2mm_cs;
case s2mm_cs is
-------------------------------------------------------------------
when IDLE =>
if(s2mm_run_stop = '1' and desc_available = '1'
-- and s2mm_stop = '0' and queue_more = '1' and updt_pending = '0')then
and s2mm_stop = '0' and updt_pending = '0')then
if (C_SG_INCLUDE_DESC_QUEUE = 0) then
if(rxlength_fetched = '0')then
s2mm_ns <= GET_RXLENGTH;
else
s2mm_ns <= CMPR_LENGTH;
end if;
else
s2mm_ns <= FETCH_DESCRIPTOR;
desc_fetch_req_cmb <= '1';
end if;
else
s2mm_cmnd_idle <= '1';
s2mm_ns <= IDLE; --FETCH_DESCRIPTOR;
end if;
-------------------------------------------------------------------
when FETCH_DESCRIPTOR =>
desc_fetch_req_cmb <= '0';
-- exit if error or descriptor flushed
if(s2mm_desc_flush = '1')then
s2mm_ns <= IDLE;
-- Descriptor fetch complete
else --if(desc_fetch_done = '1')then
-- desc_fetch_req_cmb <= '0';
if(rxlength_fetched = '0')then
s2mm_ns <= GET_RXLENGTH;
else
s2mm_ns <= CMPR_LENGTH;
end if;
-- else
-- desc_fetch_req_cmb <= '1';
end if;
-------------------------------------------------------------------
WHEN GET_RXLENGTH =>
if(s2mm_stop = '1')then
s2mm_ns <= IDLE;
-- Buffer length zero, do not compare lengths, execute
-- command to force datamover to issue interror
elsif(zero_length_error = '1')then
s2mm_ns <= EXECUTE_XFER;
elsif(s2mm_rxlength_valid = '1')then
s2mm_rxlength_set <= '1';
s2mm_rxlength_clr_cmb <= '1';
s2mm_ns <= CMPR_LENGTH;
else
s2mm_ns <= GET_RXLENGTH;
end if;
-------------------------------------------------------------------
WHEN CMPR_LENGTH =>
s2mm_ns <= EXECUTE_XFER;
-------------------------------------------------------------------
when EXECUTE_XFER =>
if(s2mm_stop = '1')then
s2mm_ns <= IDLE;
-- write new command if one is not already pending
elsif(s2mm_cmnd_pending = '0')then
write_cmnd_cmb <= '1';
-- If descriptor queuing enabled then
-- do NOT need to wait for status
if(C_SG_INCLUDE_DESC_QUEUE = 1)then
s2mm_ns <= IDLE;
-- No queuing therefore must wait for
-- status before issuing next command
else
s2mm_ns <= WAIT_STATUS;
end if;
else
s2mm_ns <= EXECUTE_XFER;
end if;
-------------------------------------------------------------------
-- coverage off
when WAIT_STATUS =>
if(desc_update_done = '1' or s2mm_stop = '1')then
s2mm_ns <= IDLE;
else
s2mm_ns <= WAIT_STATUS;
end if;
-- coverage on
-------------------------------------------------------------------
-- coverage off
when others =>
s2mm_ns <= IDLE;
-- coverage on
end case;
end process S2MM_MACHINE;
-------------------------------------------------------------------------------
-- Register state machine states
-------------------------------------------------------------------------------
REGISTER_STATE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
s2mm_cs <= IDLE;
else
s2mm_cs <= s2mm_ns;
end if;
end if;
end process REGISTER_STATE;
-------------------------------------------------------------------------------
-- Register state machine signals
-------------------------------------------------------------------------------
SM_SIG_REGISTER : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
desc_fetch_req <= '0' ;
s2mm_rxlength_clr <= '0' ;
else
if (C_SG_INCLUDE_DESC_QUEUE = 0) then
desc_fetch_req <= '1';
else
desc_fetch_req <= desc_fetch_req_cmb ;
end if;
s2mm_rxlength_clr <= s2mm_rxlength_clr_cmb;
end if;
end if;
end process SM_SIG_REGISTER;
-------------------------------------------------------------------------------
-- Check for a ZERO value in descriptor buffer length. If there is
-- then flag an error and skip waiting for valid rxlength. cmnd will
-- get written to datamover with BTT=0 and datamover will flag dmaint error
-- which will be logged in desc, reset required to clear error
-------------------------------------------------------------------------------
REG_ALIGN_DONE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
desc_fetch_done_d1 <= '0';
else
desc_fetch_done_d1 <= desc_fetch_done;
end if;
end if;
end process REG_ALIGN_DONE;
-------------------------------------------------------------------------------
-- Zero length error detection - for determinate mode, detect early to prevent
-- rxlength calcuation from first taking place. This will force a 0 BTT
-- command to be issued to the datamover causing an internal error.
-------------------------------------------------------------------------------
REG_ZERO_LNGTH_ERR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
zero_length_error <= '0';
elsif(desc_fetch_done_d1 = '1'
and s2mm_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0) = ZERO_LENGTH)then
zero_length_error <= '1';
end if;
end if;
end process REG_ZERO_LNGTH_ERR;
-------------------------------------------------------------------------------
-- Capture/Hold receive length from status stream. Also decrement length
-- based on if received length is greater than descriptor buffer size. (i.e. is
-- the case where multiple descriptors/buffers are used to describe one packet)
-------------------------------------------------------------------------------
REG_RXLENGTH : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
rxlength <= (others => '0');
-- If command register rxlength from status stream fifo
elsif(s2mm_rxlength_set = '1')then
rxlength <= s2mm_rxlength;
-- On command write if current desc buffer size not greater
-- than current rxlength then decrement rxlength in preperations
-- for subsequent commands
elsif(write_cmnd_cmb = '1' and blength_grtr_rxlength = '0')then
rxlength <= std_logic_vector(unsigned(rxlength(C_SG_LENGTH_WIDTH-1 downto 0))
- unsigned(s2mm_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0)));
end if;
end if;
end process REG_RXLENGTH;
-------------------------------------------------------------------------------
-- Calculate if Descriptor Buffer Length is 'Greater Than' or 'Equal To'
-- Received Length value
-------------------------------------------------------------------------------
REG_BLENGTH_GRTR_RXLNGTH : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
blength_grtr_rxlength <= '0';
elsif(s2mm_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0) >= rxlength)then
blength_grtr_rxlength <= '1';
else
blength_grtr_rxlength <= '0';
end if;
end if;
end process REG_BLENGTH_GRTR_RXLNGTH;
-------------------------------------------------------------------------------
-- On command assert rxlength fetched flag indicating length grabbed from
-- status stream fifo
-------------------------------------------------------------------------------
RXLENGTH_FTCHED_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or s2mm_eof_set_i = '1')then
rxlength_fetched <= '0';
elsif(s2mm_rxlength_set = '1')then
rxlength_fetched <= '1';
end if;
end if;
end process RXLENGTH_FTCHED_PROCESS;
-------------------------------------------------------------------------------
-- Build DataMover command
-------------------------------------------------------------------------------
-- If Bytes To Transfer (BTT) width less than 23, need to add pad
GEN_CMD_BTT_LESS_23 : if C_SG_LENGTH_WIDTH < 23 generate
constant PAD_VALUE : std_logic_vector(22 - C_SG_LENGTH_WIDTH downto 0)
:= (others => '0');
begin
-- When command by sm, drive command to s2mm_cmdsts_if
GEN_DATAMOVER_CMND : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
s2mm_cmnd_wr <= '0';
s2mm_cmnd_data <= (others => '0');
s2mm_eof_set_i <= '0';
-- Current Desc Buffer will NOT hold entire rxlength of data therefore
-- set EOF = based on Desc.EOF and pass buffer length for BTT
elsif(write_cmnd_cmb = '1' and blength_grtr_rxlength = '0')then
s2mm_cmnd_wr <= '1';
s2mm_cmnd_data <= s2mm_desc_info
& ZERO_BUFFER
& ZERO_BUFFER
& S2MM_CMD_RSVD
-- Command Tag
& '0'
& '0'
& '0' -- Cat. EOF=0 to CMD Tag
& '0' -- Cat. IOC to CMD TAG
-- Command
& s2mm_desc_baddress
& '1' -- Always reset DRE
& '0' -- Not End of Frame
& S2MM_CMD_DSA
& burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697
& PAD_VALUE
& s2mm_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0);
s2mm_eof_set_i <= '0';
-- Current Desc Buffer will hold entire rxlength of data therefore
-- set EOF = 1 and pass rxlength for BTT
--
-- Note: change to mode where EOF generates IOC interrupt as
-- opposed to a IOC bit in the descriptor negated need for an
-- EOF and IOC tag. Given time, these two bits could be combined
-- into 1. Associated logic in SG engine would also need to be
-- modified as well as in s2mm_sg_if.
elsif(write_cmnd_cmb = '1' and blength_grtr_rxlength = '1')then
s2mm_cmnd_wr <= '1';
s2mm_cmnd_data <= s2mm_desc_info
& ZERO_BUFFER
& ZERO_BUFFER
& S2MM_CMD_RSVD
-- Command Tag
& '0'
& '0'
& '1' -- Cat. EOF=1 to CMD Tag
& '1' -- Cat. IOC to CMD TAG
-- Command
& s2mm_desc_baddress
& '1' -- Always reset DRE
& '1' -- Set EOF=1
& S2MM_CMD_DSA
& burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697
& PAD_VALUE
& rxlength;
s2mm_eof_set_i <= '1';
else
-- s2mm_cmnd_data <= (others => '0');
s2mm_cmnd_wr <= '0';
s2mm_eof_set_i <= '0';
end if;
end if;
end process GEN_DATAMOVER_CMND;
end generate GEN_CMD_BTT_LESS_23;
-- If Bytes To Transfer (BTT) width equal 23, no required pad
GEN_CMD_BTT_EQL_23 : if C_SG_LENGTH_WIDTH = 23 generate
begin
-- When command by sm, drive command to s2mm_cmdsts_if
GEN_DATAMOVER_CMND : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
s2mm_cmnd_wr <= '0';
s2mm_cmnd_data <= (others => '0');
s2mm_eof_set_i <= '0';
-- Current Desc Buffer will NOT hold entire rxlength of data therefore
-- set EOF = based on Desc.EOF and pass buffer length for BTT
elsif(write_cmnd_cmb = '1' and blength_grtr_rxlength = '0')then
s2mm_cmnd_wr <= '1';
s2mm_cmnd_data <= s2mm_desc_info
& ZERO_BUFFER
& ZERO_BUFFER
& S2MM_CMD_RSVD
--& S2MM_CMD_TAG & s2mm_desc_ioc -- Cat IOC to CMD TAG
-- Command Tag
& '0'
& '0'
& '0' -- Cat. EOF='0' to CMD Tag
& '0' -- Cat. IOC='0' to CMD TAG
-- Command
& s2mm_desc_baddress
& '1' -- Always reset DRE
& '0' -- Not End of Frame
& S2MM_CMD_DSA
& burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697
& s2mm_desc_blength;
s2mm_eof_set_i <= '0';
-- Current Desc Buffer will hold entire rxlength of data therefore
-- set EOF = 1 and pass rxlength for BTT
--
-- Note: change to mode where EOF generates IOC interrupt as
-- opposed to a IOC bit in the descriptor negated need for an
-- EOF and IOC tag. Given time, these two bits could be combined
-- into 1. Associated logic in SG engine would also need to be
-- modified as well as in s2mm_sg_if.
elsif(write_cmnd_cmb = '1' and blength_grtr_rxlength = '1')then
s2mm_cmnd_wr <= '1';
s2mm_cmnd_data <= s2mm_desc_info
& ZERO_BUFFER
& ZERO_BUFFER
& S2MM_CMD_RSVD
--& S2MM_CMD_TAG & s2mm_desc_ioc -- Cat IOC to CMD TAG
-- Command Tag
& '0'
& '0'
& '1' -- Cat. EOF='1' to CMD Tag
& '1' -- Cat. IOC='1' to CMD TAG
-- Command
& s2mm_desc_baddress
& '1' -- Always reset DRE
& '1' -- End of Frame
& S2MM_CMD_DSA
& burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697
& rxlength;
s2mm_eof_set_i <= '1';
else
-- s2mm_cmnd_data <= (others => '0');
s2mm_cmnd_wr <= '0';
s2mm_eof_set_i <= '0';
end if;
end if;
end process GEN_DATAMOVER_CMND;
end generate GEN_CMD_BTT_EQL_23;
end generate GEN_SM_FOR_LENGTH;
-------------------------------------------------------------------------------
-- Counter for keepting track of pending commands/status in primary datamover
-- Use this to determine if primary datamover for s2mm is Idle.
-------------------------------------------------------------------------------
-- Increment queue count for each command written if not occuring at
-- same time a status from DM being updated to SG engine
count_incr <= '1' when write_cmnd_cmb = '1' and desc_update_done = '0'
else '0';
-- Decrement queue count for each status update to SG engine if not occuring
-- at same time as command being written to DM
count_decr <= '1' when write_cmnd_cmb = '0' and desc_update_done = '1'
else '0';
-- keep track of number queue commands
--CMD2STS_COUNTER : process(m_axi_sg_aclk)
-- begin
-- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- if(m_axi_sg_aresetn = '0' or s2mm_stop = '1')then
-- cmnds_queued <= (others => '0');
-- elsif(count_incr = '1')then
-- cmnds_queued <= std_logic_vector(unsigned(cmnds_queued(COUNTER_WIDTH - 1 downto 0)) + 1);
-- elsif(count_decr = '1')then
-- cmnds_queued <= std_logic_vector(unsigned(cmnds_queued(COUNTER_WIDTH - 1 downto 0)) - 1);
-- end if;
-- end if;
-- end process CMD2STS_COUNTER;
QUEUE_COUNT : if C_SG_INCLUDE_DESC_QUEUE = 1 generate
begin
CMD2STS_COUNTER1 : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or s2mm_stop = '1')then
cmnds_queued_shift <= (others => '0');
elsif(count_incr = '1')then
cmnds_queued_shift <= cmnds_queued_shift (2 downto 0) & '1';
elsif(count_decr = '1')then
cmnds_queued_shift <= '0' & cmnds_queued_shift (3 downto 1);
end if;
end if;
end process CMD2STS_COUNTER1;
end generate QUEUE_COUNT;
NOQUEUE_COUNT : if C_SG_INCLUDE_DESC_QUEUE = 0 generate
begin
CMD2STS_COUNTER1 : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or s2mm_stop = '1')then
cmnds_queued_shift (0) <= '0';
elsif(count_incr = '1')then
cmnds_queued_shift (0) <= '1';
elsif(count_decr = '1')then
cmnds_queued_shift (0) <= '0';
end if;
end if;
end process CMD2STS_COUNTER1;
end generate NOQUEUE_COUNT;
-- indicate idle when no more queued commands
--s2mm_sts_idle <= '1' when cmnds_queued_shift = "0000"
-- else '0';
s2mm_sts_idle <= not cmnds_queued_shift(0);
-------------------------------------------------------------------------------
-- Queue only the amount of commands that can be queued on descriptor update
-- else lock up can occur. Note datamover command fifo depth is set to number
-- of descriptors to queue.
-------------------------------------------------------------------------------
--QUEUE_MORE_PROCESS : process(m_axi_sg_aclk)
-- begin
-- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- if(m_axi_sg_aresetn = '0')then
-- queue_more <= '0';
-- elsif(cmnds_queued < std_logic_vector(to_unsigned(C_PRMY_CMDFIFO_DEPTH,COUNTER_WIDTH)))then
-- queue_more <= '1';
-- else
-- queue_more <= '0';
-- end if;
-- end if;
-- end process QUEUE_MORE_PROCESS;
QUEUE_MORE_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
queue_more <= '0';
-- elsif(cmnds_queued < std_logic_vector(to_unsigned(C_PRMY_CMDFIFO_DEPTH,COUNTER_WIDTH)))then
-- queue_more <= '1';
else
queue_more <= not (cmnds_queued_shift (C_PRMY_CMDFIFO_DEPTH-1)); --'0';
end if;
end if;
end process QUEUE_MORE_PROCESS;
end implementation;
|
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
------------------------------------------------------------
-------------------------------------------------------------------------------
-- Filename: axi_dma_s2mm_sm.vhd
-- Description: This entity contains the S2MM DMA Controller State Machine
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library unisim;
use unisim.vcomponents.all;
library axi_dma_v7_1_8;
use axi_dma_v7_1_8.axi_dma_pkg.all;
library lib_pkg_v1_0_2;
use lib_pkg_v1_0_2.lib_pkg.clog2;
-------------------------------------------------------------------------------
entity axi_dma_s2mm_sm is
generic (
C_M_AXI_S2MM_ADDR_WIDTH : integer range 32 to 64 := 32;
-- Master AXI Memory Map Address Width for S2MM Write Port
C_SG_INCLUDE_STSCNTRL_STRM : integer range 0 to 1 := 1;
-- Include or Exclude AXI Status and AXI Control Streams
-- 0 = Exclude Status and Control Streams
-- 1 = Include Status and Control Streams
C_SG_USE_STSAPP_LENGTH : integer range 0 to 1 := 1;
-- Enable or Disable use of Status Stream Rx Length. Only valid
-- if C_SG_INCLUDE_STSCNTRL_STRM = 1
-- 0 = Don't use Rx Length
-- 1 = Use Rx Length
C_SG_LENGTH_WIDTH : integer range 8 to 23 := 14;
-- Width of Buffer Length, Transferred Bytes, and BTT fields
C_SG_INCLUDE_DESC_QUEUE : integer range 0 to 1 := 0;
-- Include or Exclude Scatter Gather Descriptor Queuing
-- 0 = Exclude SG Descriptor Queuing
-- 1 = Include SG Descriptor Queuing
C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0;
C_MICRO_DMA : integer range 0 to 1 := 0;
C_PRMY_CMDFIFO_DEPTH : integer range 1 to 16 := 1
-- Depth of DataMover command FIFO
);
port (
m_axi_sg_aclk : in std_logic ; --
m_axi_sg_aresetn : in std_logic ; --
--
s2mm_stop : in std_logic ; --
--
-- S2MM Control and Status --
s2mm_run_stop : in std_logic ; --
s2mm_keyhole : in std_logic ; --
s2mm_ftch_idle : in std_logic ; --
s2mm_desc_flush : in std_logic ; --
s2mm_cmnd_idle : out std_logic ; --
s2mm_sts_idle : out std_logic ; --
s2mm_eof_set : out std_logic ; --
s2mm_eof_micro : in std_logic ; --
s2mm_sof_micro : in std_logic ; --
--
-- S2MM Descriptor Fetch Request --
desc_fetch_req : out std_logic ; --
desc_fetch_done : in std_logic ; --
desc_update_done : in std_logic ; --
updt_pending : in std_logic ;
desc_available : in std_logic ; --
--
-- S2MM Status Stream RX Length --
s2mm_rxlength_valid : in std_logic ; --
s2mm_rxlength_clr : out std_logic ; --
s2mm_rxlength : in std_logic_vector --
(C_SG_LENGTH_WIDTH - 1 downto 0) ; --
--
-- DataMover Command --
s2mm_cmnd_wr : out std_logic ; --
s2mm_cmnd_data : out std_logic_vector --
((C_M_AXI_S2MM_ADDR_WIDTH-32+2*32+CMD_BASE_WIDTH+46)-1 downto 0); --
s2mm_cmnd_pending : in std_logic ; --
--
-- Descriptor Fields --
s2mm_desc_info : in std_logic_vector --
(31 downto 0); --
s2mm_desc_baddress : in std_logic_vector --
(C_M_AXI_S2MM_ADDR_WIDTH-1 downto 0); --
s2mm_desc_blength : in std_logic_vector --
(BUFFER_LENGTH_WIDTH-1 downto 0); --
s2mm_desc_blength_v : in std_logic_vector --
(BUFFER_LENGTH_WIDTH-1 downto 0); --
s2mm_desc_blength_s : in std_logic_vector --
(BUFFER_LENGTH_WIDTH-1 downto 0) --
);
end axi_dma_s2mm_sm;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_dma_s2mm_sm is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
-- DataMover Commmand TAG
constant S2MM_CMD_TAG : std_logic_vector(2 downto 0) := (others => '0');
-- DataMover Command Destination Stream Offset
constant S2MM_CMD_DSA : std_logic_vector(5 downto 0) := (others => '0');
-- DataMover Cmnd Reserved Bits
constant S2MM_CMD_RSVD : std_logic_vector(
DATAMOVER_CMD_RSVMSB_BOFST + C_M_AXI_S2MM_ADDR_WIDTH downto
DATAMOVER_CMD_RSVLSB_BOFST + C_M_AXI_S2MM_ADDR_WIDTH)
:= (others => '0');
-- Queued commands counter width
constant COUNTER_WIDTH : integer := clog2(C_PRMY_CMDFIFO_DEPTH+1);
-- Queued commands zero count
constant ZERO_COUNT : std_logic_vector(COUNTER_WIDTH - 1 downto 0)
:= (others => '0');
-- Zero buffer length error - compare value
constant ZERO_LENGTH : std_logic_vector(C_SG_LENGTH_WIDTH-1 downto 0)
:= (others => '0');
constant ZERO_BUFFER : std_logic_vector(BUFFER_LENGTH_WIDTH-1 downto 0)
:= (others => '0');
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
-- State Machine Signals
signal desc_fetch_req_cmb : std_logic := '0';
signal write_cmnd_cmb : std_logic := '0';
signal s2mm_rxlength_clr_cmb : std_logic := '0';
signal rxlength : std_logic_vector(C_SG_LENGTH_WIDTH-1 downto 0) := (others => '0');
signal s2mm_rxlength_set : std_logic := '0';
signal blength_grtr_rxlength : std_logic := '0';
signal rxlength_fetched : std_logic := '0';
signal cmnds_queued : std_logic_vector(COUNTER_WIDTH - 1 downto 0) := (others => '0');
signal cmnds_queued_shift : std_logic_vector(C_PRMY_CMDFIFO_DEPTH - 1 downto 0) := (others => '0');
signal count_incr : std_logic := '0';
signal count_decr : std_logic := '0';
signal desc_fetch_done_d1 : std_logic := '0';
signal zero_length_error : std_logic := '0';
signal s2mm_eof_set_i : std_logic := '0';
signal queue_more : std_logic := '0';
signal burst_type : std_logic;
signal eof_micro : std_logic;
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
EN_MICRO_DMA : if C_MICRO_DMA = 1 generate
begin
eof_micro <= s2mm_eof_micro;
end generate EN_MICRO_DMA;
NO_MICRO_DMA : if C_MICRO_DMA = 0 generate
begin
eof_micro <= '0';
end generate NO_MICRO_DMA;
s2mm_eof_set <= s2mm_eof_set_i;
burst_type <= '1' and (not s2mm_keyhole);
-- A 0 s2mm_keyhole means incremental burst
-- a 1 s2mm_keyhole means fixed burst
-------------------------------------------------------------------------------
-- Not using rx length from status stream - (indeterminate length mode)
-------------------------------------------------------------------------------
GEN_SM_FOR_NO_LENGTH : if (C_SG_USE_STSAPP_LENGTH = 0 or C_SG_INCLUDE_STSCNTRL_STRM = 0 or C_ENABLE_MULTI_CHANNEL = 1) generate
type SG_S2MM_STATE_TYPE is (
IDLE,
FETCH_DESCRIPTOR,
-- EXECUTE_XFER,
WAIT_STATUS
);
signal s2mm_cs : SG_S2MM_STATE_TYPE;
signal s2mm_ns : SG_S2MM_STATE_TYPE;
begin
-- For no status stream or not using length in status app field then eof set is
-- generated from datamover status (see axi_dma_s2mm_cmdsts_if.vhd)
s2mm_eof_set_i <= '0';
-------------------------------------------------------------------------------
-- S2MM Transfer State Machine
-------------------------------------------------------------------------------
S2MM_MACHINE : process(s2mm_cs,
s2mm_run_stop,
desc_available,
desc_fetch_done,
desc_update_done,
s2mm_cmnd_pending,
s2mm_stop,
s2mm_desc_flush,
updt_pending
-- queue_more
)
begin
-- Default signal assignment
desc_fetch_req_cmb <= '0';
write_cmnd_cmb <= '0';
s2mm_cmnd_idle <= '0';
s2mm_ns <= s2mm_cs;
case s2mm_cs is
-------------------------------------------------------------------
when IDLE =>
-- fetch descriptor if desc available, not stopped and running
-- if (updt_pending = '1') then
-- s2mm_ns <= WAIT_STATUS;
if(s2mm_run_stop = '1' and desc_available = '1'
-- and s2mm_stop = '0' and queue_more = '1' and updt_pending = '0')then
and s2mm_stop = '0' and updt_pending = '0')then
if (C_SG_INCLUDE_DESC_QUEUE = 1) then
s2mm_ns <= FETCH_DESCRIPTOR;
desc_fetch_req_cmb <= '1';
else
s2mm_ns <= WAIT_STATUS;
write_cmnd_cmb <= '1';
end if;
else
s2mm_cmnd_idle <= '1';
s2mm_ns <= IDLE;
end if;
-------------------------------------------------------------------
when FETCH_DESCRIPTOR =>
-- exit if error or descriptor flushed
if(s2mm_desc_flush = '1' or s2mm_stop = '1')then
s2mm_ns <= IDLE;
-- wait until fetch complete then execute
-- elsif(desc_fetch_done = '1')then
-- desc_fetch_req_cmb <= '0';
-- s2mm_ns <= EXECUTE_XFER;
elsif (s2mm_cmnd_pending = '0')then
desc_fetch_req_cmb <= '0';
if (updt_pending = '0') then
if(C_SG_INCLUDE_DESC_QUEUE = 1)then
s2mm_ns <= IDLE;
write_cmnd_cmb <= '1';
else
-- coverage off
s2mm_ns <= WAIT_STATUS;
-- coverage on
end if;
end if;
else
s2mm_ns <= FETCH_DESCRIPTOR;
end if;
-------------------------------------------------------------------
-- when EXECUTE_XFER =>
-- -- if error exit
-- if(s2mm_stop = '1')then
-- s2mm_ns <= IDLE;
-- -- Write another command if there is not one already pending
-- elsif(s2mm_cmnd_pending = '0')then
-- if (updt_pending = '0') then
-- write_cmnd_cmb <= '1';
-- end if;
-- if(C_SG_INCLUDE_DESC_QUEUE = 1)then
-- s2mm_ns <= IDLE;
-- else
-- s2mm_ns <= WAIT_STATUS;
-- end if;
-- else
-- s2mm_ns <= EXECUTE_XFER;
-- end if;
-------------------------------------------------------------------
when WAIT_STATUS =>
-- for no Q wait until desc updated
if(desc_update_done = '1' or s2mm_stop = '1')then
s2mm_ns <= IDLE;
else
s2mm_ns <= WAIT_STATUS;
end if;
-------------------------------------------------------------------
-- coverage off
when others =>
s2mm_ns <= IDLE;
-- coverage on
end case;
end process S2MM_MACHINE;
-------------------------------------------------------------------------------
-- Register State Machine Statues
-------------------------------------------------------------------------------
REGISTER_STATE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
s2mm_cs <= IDLE;
else
s2mm_cs <= s2mm_ns;
end if;
end if;
end process REGISTER_STATE;
-------------------------------------------------------------------------------
-- Register State Machine Signalse
-------------------------------------------------------------------------------
-- SM_SIG_REGISTER : process(m_axi_sg_aclk)
-- begin
-- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- if(m_axi_sg_aresetn = '0')then
-- desc_fetch_req <= '0' ;
-- else
-- if (C_SG_INCLUDE_DESC_QUEUE = 0) then
-- desc_fetch_req <= '1';
-- else
-- desc_fetch_req <= desc_fetch_req_cmb ;
-- end if;
-- end if;
-- end if;
-- end process SM_SIG_REGISTER;
desc_fetch_req <= '1' when (C_SG_INCLUDE_DESC_QUEUE = 0) else
desc_fetch_req_cmb ;
-------------------------------------------------------------------------------
-- Build DataMover command
-------------------------------------------------------------------------------
-- If Bytes To Transfer (BTT) width less than 23, need to add pad
GEN_CMD_BTT_LESS_23 : if C_SG_LENGTH_WIDTH < 23 generate
constant PAD_VALUE : std_logic_vector(22 - C_SG_LENGTH_WIDTH downto 0)
:= (others => '0');
begin
-- When command by sm, drive command to s2mm_cmdsts_if
GEN_DATAMOVER_CMND : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
s2mm_cmnd_wr <= '0';
-- s2mm_cmnd_data <= (others => '0');
-- Fetch SM issued a command write
elsif(write_cmnd_cmb = '1')then
s2mm_cmnd_wr <= '1';
-- s2mm_cmnd_data <= s2mm_desc_info
-- & s2mm_desc_blength_v
-- & s2mm_desc_blength_s
-- & S2MM_CMD_RSVD
-- & "0000" -- Cat IOC to CMD TAG
-- & s2mm_desc_baddress
-- & '1' -- Always reset DRE
-- & '0' -- For Indeterminate BTT mode do not set EOF
-- & S2MM_CMD_DSA
-- & burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697
-- & PAD_VALUE
-- & s2mm_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0);
else
s2mm_cmnd_wr <= '0';
end if;
end if;
end process GEN_DATAMOVER_CMND;
s2mm_cmnd_data <= s2mm_desc_info
& s2mm_desc_blength_v
& s2mm_desc_blength_s
& S2MM_CMD_RSVD
& "00" & eof_micro & eof_micro --00" -- Cat IOC to CMD TAG
& s2mm_desc_baddress
& '1' -- Always reset DRE
& eof_micro --'0' -- For Indeterminate BTT mode do not set EOF
& S2MM_CMD_DSA
& burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697
& PAD_VALUE
& s2mm_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0);
end generate GEN_CMD_BTT_LESS_23;
-- If Bytes To Transfer (BTT) width equal 23, no required pad
GEN_CMD_BTT_EQL_23 : if C_SG_LENGTH_WIDTH = 23 generate
begin
-- When command by sm, drive command to s2mm_cmdsts_if
GEN_DATAMOVER_CMND : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
s2mm_cmnd_wr <= '0';
-- s2mm_cmnd_data <= (others => '0');
-- Fetch SM issued a command write
elsif(write_cmnd_cmb = '1')then
s2mm_cmnd_wr <= '1';
-- s2mm_cmnd_data <= s2mm_desc_info
-- & s2mm_desc_blength_v
-- & s2mm_desc_blength_s
-- & S2MM_CMD_RSVD
-- & "0000" -- Cat IOC to CMD TAG
-- & s2mm_desc_baddress
-- & '1' -- Always reset DRE
-- & '0' -- For indeterminate BTT mode do not set EOF
-- & S2MM_CMD_DSA
-- & burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697
-- & s2mm_desc_blength;
else
s2mm_cmnd_wr <= '0';
end if;
end if;
end process GEN_DATAMOVER_CMND;
s2mm_cmnd_data <= s2mm_desc_info
& s2mm_desc_blength_v
& s2mm_desc_blength_s
& S2MM_CMD_RSVD
& "00" & eof_micro & eof_micro -- "0000" -- Cat IOC to CMD TAG
& s2mm_desc_baddress
& '1' -- Always reset DRE
& eof_micro -- For indeterminate BTT mode do not set EOF
& S2MM_CMD_DSA
& burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697
& s2mm_desc_blength;
end generate GEN_CMD_BTT_EQL_23;
-- Drive unused output to zero
s2mm_rxlength_clr <= '0';
end generate GEN_SM_FOR_NO_LENGTH;
-------------------------------------------------------------------------------
-- Generate state machine and support logic for Using RX Length from Status
-- Stream
-------------------------------------------------------------------------------
-- this would not hold good for MCDMA
GEN_SM_FOR_LENGTH : if (C_SG_USE_STSAPP_LENGTH = 1 and C_SG_INCLUDE_STSCNTRL_STRM = 1 and C_ENABLE_MULTI_CHANNEL = 0) generate
type SG_S2MM_STATE_TYPE is (
IDLE,
FETCH_DESCRIPTOR,
GET_RXLENGTH,
CMPR_LENGTH,
EXECUTE_XFER,
WAIT_STATUS
);
signal s2mm_cs : SG_S2MM_STATE_TYPE;
signal s2mm_ns : SG_S2MM_STATE_TYPE;
begin
-------------------------------------------------------------------------------
-- S2MM Transfer State Machine
-------------------------------------------------------------------------------
S2MM_MACHINE : process(s2mm_cs,
s2mm_run_stop,
desc_available,
desc_update_done,
-- desc_fetch_done,
updt_pending,
s2mm_rxlength_valid,
rxlength_fetched,
s2mm_cmnd_pending,
zero_length_error,
s2mm_stop,
s2mm_desc_flush
-- queue_more
)
begin
-- Default signal assignment
desc_fetch_req_cmb <= '0';
s2mm_rxlength_clr_cmb <= '0';
write_cmnd_cmb <= '0';
s2mm_cmnd_idle <= '0';
s2mm_rxlength_set <= '0';
--rxlength_fetched_clr <= '0';
s2mm_ns <= s2mm_cs;
case s2mm_cs is
-------------------------------------------------------------------
when IDLE =>
if(s2mm_run_stop = '1' and desc_available = '1'
-- and s2mm_stop = '0' and queue_more = '1' and updt_pending = '0')then
and s2mm_stop = '0' and updt_pending = '0')then
if (C_SG_INCLUDE_DESC_QUEUE = 0) then
if(rxlength_fetched = '0')then
s2mm_ns <= GET_RXLENGTH;
else
s2mm_ns <= CMPR_LENGTH;
end if;
else
s2mm_ns <= FETCH_DESCRIPTOR;
desc_fetch_req_cmb <= '1';
end if;
else
s2mm_cmnd_idle <= '1';
s2mm_ns <= IDLE; --FETCH_DESCRIPTOR;
end if;
-------------------------------------------------------------------
when FETCH_DESCRIPTOR =>
desc_fetch_req_cmb <= '0';
-- exit if error or descriptor flushed
if(s2mm_desc_flush = '1')then
s2mm_ns <= IDLE;
-- Descriptor fetch complete
else --if(desc_fetch_done = '1')then
-- desc_fetch_req_cmb <= '0';
if(rxlength_fetched = '0')then
s2mm_ns <= GET_RXLENGTH;
else
s2mm_ns <= CMPR_LENGTH;
end if;
-- else
-- desc_fetch_req_cmb <= '1';
end if;
-------------------------------------------------------------------
WHEN GET_RXLENGTH =>
if(s2mm_stop = '1')then
s2mm_ns <= IDLE;
-- Buffer length zero, do not compare lengths, execute
-- command to force datamover to issue interror
elsif(zero_length_error = '1')then
s2mm_ns <= EXECUTE_XFER;
elsif(s2mm_rxlength_valid = '1')then
s2mm_rxlength_set <= '1';
s2mm_rxlength_clr_cmb <= '1';
s2mm_ns <= CMPR_LENGTH;
else
s2mm_ns <= GET_RXLENGTH;
end if;
-------------------------------------------------------------------
WHEN CMPR_LENGTH =>
s2mm_ns <= EXECUTE_XFER;
-------------------------------------------------------------------
when EXECUTE_XFER =>
if(s2mm_stop = '1')then
s2mm_ns <= IDLE;
-- write new command if one is not already pending
elsif(s2mm_cmnd_pending = '0')then
write_cmnd_cmb <= '1';
-- If descriptor queuing enabled then
-- do NOT need to wait for status
if(C_SG_INCLUDE_DESC_QUEUE = 1)then
s2mm_ns <= IDLE;
-- No queuing therefore must wait for
-- status before issuing next command
else
s2mm_ns <= WAIT_STATUS;
end if;
else
s2mm_ns <= EXECUTE_XFER;
end if;
-------------------------------------------------------------------
-- coverage off
when WAIT_STATUS =>
if(desc_update_done = '1' or s2mm_stop = '1')then
s2mm_ns <= IDLE;
else
s2mm_ns <= WAIT_STATUS;
end if;
-- coverage on
-------------------------------------------------------------------
-- coverage off
when others =>
s2mm_ns <= IDLE;
-- coverage on
end case;
end process S2MM_MACHINE;
-------------------------------------------------------------------------------
-- Register state machine states
-------------------------------------------------------------------------------
REGISTER_STATE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
s2mm_cs <= IDLE;
else
s2mm_cs <= s2mm_ns;
end if;
end if;
end process REGISTER_STATE;
-------------------------------------------------------------------------------
-- Register state machine signals
-------------------------------------------------------------------------------
SM_SIG_REGISTER : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
desc_fetch_req <= '0' ;
s2mm_rxlength_clr <= '0' ;
else
if (C_SG_INCLUDE_DESC_QUEUE = 0) then
desc_fetch_req <= '1';
else
desc_fetch_req <= desc_fetch_req_cmb ;
end if;
s2mm_rxlength_clr <= s2mm_rxlength_clr_cmb;
end if;
end if;
end process SM_SIG_REGISTER;
-------------------------------------------------------------------------------
-- Check for a ZERO value in descriptor buffer length. If there is
-- then flag an error and skip waiting for valid rxlength. cmnd will
-- get written to datamover with BTT=0 and datamover will flag dmaint error
-- which will be logged in desc, reset required to clear error
-------------------------------------------------------------------------------
REG_ALIGN_DONE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
desc_fetch_done_d1 <= '0';
else
desc_fetch_done_d1 <= desc_fetch_done;
end if;
end if;
end process REG_ALIGN_DONE;
-------------------------------------------------------------------------------
-- Zero length error detection - for determinate mode, detect early to prevent
-- rxlength calcuation from first taking place. This will force a 0 BTT
-- command to be issued to the datamover causing an internal error.
-------------------------------------------------------------------------------
REG_ZERO_LNGTH_ERR : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
zero_length_error <= '0';
elsif(desc_fetch_done_d1 = '1'
and s2mm_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0) = ZERO_LENGTH)then
zero_length_error <= '1';
end if;
end if;
end process REG_ZERO_LNGTH_ERR;
-------------------------------------------------------------------------------
-- Capture/Hold receive length from status stream. Also decrement length
-- based on if received length is greater than descriptor buffer size. (i.e. is
-- the case where multiple descriptors/buffers are used to describe one packet)
-------------------------------------------------------------------------------
REG_RXLENGTH : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
rxlength <= (others => '0');
-- If command register rxlength from status stream fifo
elsif(s2mm_rxlength_set = '1')then
rxlength <= s2mm_rxlength;
-- On command write if current desc buffer size not greater
-- than current rxlength then decrement rxlength in preperations
-- for subsequent commands
elsif(write_cmnd_cmb = '1' and blength_grtr_rxlength = '0')then
rxlength <= std_logic_vector(unsigned(rxlength(C_SG_LENGTH_WIDTH-1 downto 0))
- unsigned(s2mm_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0)));
end if;
end if;
end process REG_RXLENGTH;
-------------------------------------------------------------------------------
-- Calculate if Descriptor Buffer Length is 'Greater Than' or 'Equal To'
-- Received Length value
-------------------------------------------------------------------------------
REG_BLENGTH_GRTR_RXLNGTH : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
blength_grtr_rxlength <= '0';
elsif(s2mm_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0) >= rxlength)then
blength_grtr_rxlength <= '1';
else
blength_grtr_rxlength <= '0';
end if;
end if;
end process REG_BLENGTH_GRTR_RXLNGTH;
-------------------------------------------------------------------------------
-- On command assert rxlength fetched flag indicating length grabbed from
-- status stream fifo
-------------------------------------------------------------------------------
RXLENGTH_FTCHED_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or s2mm_eof_set_i = '1')then
rxlength_fetched <= '0';
elsif(s2mm_rxlength_set = '1')then
rxlength_fetched <= '1';
end if;
end if;
end process RXLENGTH_FTCHED_PROCESS;
-------------------------------------------------------------------------------
-- Build DataMover command
-------------------------------------------------------------------------------
-- If Bytes To Transfer (BTT) width less than 23, need to add pad
GEN_CMD_BTT_LESS_23 : if C_SG_LENGTH_WIDTH < 23 generate
constant PAD_VALUE : std_logic_vector(22 - C_SG_LENGTH_WIDTH downto 0)
:= (others => '0');
begin
-- When command by sm, drive command to s2mm_cmdsts_if
GEN_DATAMOVER_CMND : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
s2mm_cmnd_wr <= '0';
s2mm_cmnd_data <= (others => '0');
s2mm_eof_set_i <= '0';
-- Current Desc Buffer will NOT hold entire rxlength of data therefore
-- set EOF = based on Desc.EOF and pass buffer length for BTT
elsif(write_cmnd_cmb = '1' and blength_grtr_rxlength = '0')then
s2mm_cmnd_wr <= '1';
s2mm_cmnd_data <= s2mm_desc_info
& ZERO_BUFFER
& ZERO_BUFFER
& S2MM_CMD_RSVD
-- Command Tag
& '0'
& '0'
& '0' -- Cat. EOF=0 to CMD Tag
& '0' -- Cat. IOC to CMD TAG
-- Command
& s2mm_desc_baddress
& '1' -- Always reset DRE
& '0' -- Not End of Frame
& S2MM_CMD_DSA
& burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697
& PAD_VALUE
& s2mm_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0);
s2mm_eof_set_i <= '0';
-- Current Desc Buffer will hold entire rxlength of data therefore
-- set EOF = 1 and pass rxlength for BTT
--
-- Note: change to mode where EOF generates IOC interrupt as
-- opposed to a IOC bit in the descriptor negated need for an
-- EOF and IOC tag. Given time, these two bits could be combined
-- into 1. Associated logic in SG engine would also need to be
-- modified as well as in s2mm_sg_if.
elsif(write_cmnd_cmb = '1' and blength_grtr_rxlength = '1')then
s2mm_cmnd_wr <= '1';
s2mm_cmnd_data <= s2mm_desc_info
& ZERO_BUFFER
& ZERO_BUFFER
& S2MM_CMD_RSVD
-- Command Tag
& '0'
& '0'
& '1' -- Cat. EOF=1 to CMD Tag
& '1' -- Cat. IOC to CMD TAG
-- Command
& s2mm_desc_baddress
& '1' -- Always reset DRE
& '1' -- Set EOF=1
& S2MM_CMD_DSA
& burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697
& PAD_VALUE
& rxlength;
s2mm_eof_set_i <= '1';
else
-- s2mm_cmnd_data <= (others => '0');
s2mm_cmnd_wr <= '0';
s2mm_eof_set_i <= '0';
end if;
end if;
end process GEN_DATAMOVER_CMND;
end generate GEN_CMD_BTT_LESS_23;
-- If Bytes To Transfer (BTT) width equal 23, no required pad
GEN_CMD_BTT_EQL_23 : if C_SG_LENGTH_WIDTH = 23 generate
begin
-- When command by sm, drive command to s2mm_cmdsts_if
GEN_DATAMOVER_CMND : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
s2mm_cmnd_wr <= '0';
s2mm_cmnd_data <= (others => '0');
s2mm_eof_set_i <= '0';
-- Current Desc Buffer will NOT hold entire rxlength of data therefore
-- set EOF = based on Desc.EOF and pass buffer length for BTT
elsif(write_cmnd_cmb = '1' and blength_grtr_rxlength = '0')then
s2mm_cmnd_wr <= '1';
s2mm_cmnd_data <= s2mm_desc_info
& ZERO_BUFFER
& ZERO_BUFFER
& S2MM_CMD_RSVD
--& S2MM_CMD_TAG & s2mm_desc_ioc -- Cat IOC to CMD TAG
-- Command Tag
& '0'
& '0'
& '0' -- Cat. EOF='0' to CMD Tag
& '0' -- Cat. IOC='0' to CMD TAG
-- Command
& s2mm_desc_baddress
& '1' -- Always reset DRE
& '0' -- Not End of Frame
& S2MM_CMD_DSA
& burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697
& s2mm_desc_blength;
s2mm_eof_set_i <= '0';
-- Current Desc Buffer will hold entire rxlength of data therefore
-- set EOF = 1 and pass rxlength for BTT
--
-- Note: change to mode where EOF generates IOC interrupt as
-- opposed to a IOC bit in the descriptor negated need for an
-- EOF and IOC tag. Given time, these two bits could be combined
-- into 1. Associated logic in SG engine would also need to be
-- modified as well as in s2mm_sg_if.
elsif(write_cmnd_cmb = '1' and blength_grtr_rxlength = '1')then
s2mm_cmnd_wr <= '1';
s2mm_cmnd_data <= s2mm_desc_info
& ZERO_BUFFER
& ZERO_BUFFER
& S2MM_CMD_RSVD
--& S2MM_CMD_TAG & s2mm_desc_ioc -- Cat IOC to CMD TAG
-- Command Tag
& '0'
& '0'
& '1' -- Cat. EOF='1' to CMD Tag
& '1' -- Cat. IOC='1' to CMD TAG
-- Command
& s2mm_desc_baddress
& '1' -- Always reset DRE
& '1' -- End of Frame
& S2MM_CMD_DSA
& burst_type -- Key Hole '1' -- s2mm_desc_type -- IR# 545697
& rxlength;
s2mm_eof_set_i <= '1';
else
-- s2mm_cmnd_data <= (others => '0');
s2mm_cmnd_wr <= '0';
s2mm_eof_set_i <= '0';
end if;
end if;
end process GEN_DATAMOVER_CMND;
end generate GEN_CMD_BTT_EQL_23;
end generate GEN_SM_FOR_LENGTH;
-------------------------------------------------------------------------------
-- Counter for keepting track of pending commands/status in primary datamover
-- Use this to determine if primary datamover for s2mm is Idle.
-------------------------------------------------------------------------------
-- Increment queue count for each command written if not occuring at
-- same time a status from DM being updated to SG engine
count_incr <= '1' when write_cmnd_cmb = '1' and desc_update_done = '0'
else '0';
-- Decrement queue count for each status update to SG engine if not occuring
-- at same time as command being written to DM
count_decr <= '1' when write_cmnd_cmb = '0' and desc_update_done = '1'
else '0';
-- keep track of number queue commands
--CMD2STS_COUNTER : process(m_axi_sg_aclk)
-- begin
-- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- if(m_axi_sg_aresetn = '0' or s2mm_stop = '1')then
-- cmnds_queued <= (others => '0');
-- elsif(count_incr = '1')then
-- cmnds_queued <= std_logic_vector(unsigned(cmnds_queued(COUNTER_WIDTH - 1 downto 0)) + 1);
-- elsif(count_decr = '1')then
-- cmnds_queued <= std_logic_vector(unsigned(cmnds_queued(COUNTER_WIDTH - 1 downto 0)) - 1);
-- end if;
-- end if;
-- end process CMD2STS_COUNTER;
QUEUE_COUNT : if C_SG_INCLUDE_DESC_QUEUE = 1 generate
begin
CMD2STS_COUNTER1 : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or s2mm_stop = '1')then
cmnds_queued_shift <= (others => '0');
elsif(count_incr = '1')then
cmnds_queued_shift <= cmnds_queued_shift (2 downto 0) & '1';
elsif(count_decr = '1')then
cmnds_queued_shift <= '0' & cmnds_queued_shift (3 downto 1);
end if;
end if;
end process CMD2STS_COUNTER1;
end generate QUEUE_COUNT;
NOQUEUE_COUNT : if C_SG_INCLUDE_DESC_QUEUE = 0 generate
begin
CMD2STS_COUNTER1 : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0' or s2mm_stop = '1')then
cmnds_queued_shift (0) <= '0';
elsif(count_incr = '1')then
cmnds_queued_shift (0) <= '1';
elsif(count_decr = '1')then
cmnds_queued_shift (0) <= '0';
end if;
end if;
end process CMD2STS_COUNTER1;
end generate NOQUEUE_COUNT;
-- indicate idle when no more queued commands
--s2mm_sts_idle <= '1' when cmnds_queued_shift = "0000"
-- else '0';
s2mm_sts_idle <= not cmnds_queued_shift(0);
-------------------------------------------------------------------------------
-- Queue only the amount of commands that can be queued on descriptor update
-- else lock up can occur. Note datamover command fifo depth is set to number
-- of descriptors to queue.
-------------------------------------------------------------------------------
--QUEUE_MORE_PROCESS : process(m_axi_sg_aclk)
-- begin
-- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- if(m_axi_sg_aresetn = '0')then
-- queue_more <= '0';
-- elsif(cmnds_queued < std_logic_vector(to_unsigned(C_PRMY_CMDFIFO_DEPTH,COUNTER_WIDTH)))then
-- queue_more <= '1';
-- else
-- queue_more <= '0';
-- end if;
-- end if;
-- end process QUEUE_MORE_PROCESS;
QUEUE_MORE_PROCESS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
queue_more <= '0';
-- elsif(cmnds_queued < std_logic_vector(to_unsigned(C_PRMY_CMDFIFO_DEPTH,COUNTER_WIDTH)))then
-- queue_more <= '1';
else
queue_more <= not (cmnds_queued_shift (C_PRMY_CMDFIFO_DEPTH-1)); --'0';
end if;
end if;
end process QUEUE_MORE_PROCESS;
end implementation;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 01:52:46 06/02/2011
-- Design Name:
-- Module Name: sha256_e0 - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity sha256_e0 is
Port ( d : in STD_LOGIC_VECTOR (31 downto 0);
q : out STD_LOGIC_VECTOR (31 downto 0));
end sha256_e0;
architecture Behavioral of sha256_e0 is
begin
q <= (d(1 downto 0) & d(31 downto 2)) xor (d(12 downto 0) & d(31 downto 13)) xor (d(21 downto 0) & d(31 downto 22));
end Behavioral;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 01:52:46 06/02/2011
-- Design Name:
-- Module Name: sha256_e0 - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity sha256_e0 is
Port ( d : in STD_LOGIC_VECTOR (31 downto 0);
q : out STD_LOGIC_VECTOR (31 downto 0));
end sha256_e0;
architecture Behavioral of sha256_e0 is
begin
q <= (d(1 downto 0) & d(31 downto 2)) xor (d(12 downto 0) & d(31 downto 13)) xor (d(21 downto 0) & d(31 downto 22));
end Behavioral;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 01:52:46 06/02/2011
-- Design Name:
-- Module Name: sha256_e0 - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity sha256_e0 is
Port ( d : in STD_LOGIC_VECTOR (31 downto 0);
q : out STD_LOGIC_VECTOR (31 downto 0));
end sha256_e0;
architecture Behavioral of sha256_e0 is
begin
q <= (d(1 downto 0) & d(31 downto 2)) xor (d(12 downto 0) & d(31 downto 13)) xor (d(21 downto 0) & d(31 downto 22));
end Behavioral;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 01:52:46 06/02/2011
-- Design Name:
-- Module Name: sha256_e0 - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity sha256_e0 is
Port ( d : in STD_LOGIC_VECTOR (31 downto 0);
q : out STD_LOGIC_VECTOR (31 downto 0));
end sha256_e0;
architecture Behavioral of sha256_e0 is
begin
q <= (d(1 downto 0) & d(31 downto 2)) xor (d(12 downto 0) & d(31 downto 13)) xor (d(21 downto 0) & d(31 downto 22));
end Behavioral;
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:user:vga_split_controller:1.0
-- IP Revision: 4
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY system_vga_split_controller_0_0 IS
PORT (
rgb_0 : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
rgb_1 : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
clock : IN STD_LOGIC;
hsync : IN STD_LOGIC;
rgb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0)
);
END system_vga_split_controller_0_0;
ARCHITECTURE system_vga_split_controller_0_0_arch OF system_vga_split_controller_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_vga_split_controller_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT vga_split_controller IS
GENERIC (
HALF_ROW : INTEGER
);
PORT (
rgb_0 : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
rgb_1 : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
clock : IN STD_LOGIC;
hsync : IN STD_LOGIC;
rgb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0)
);
END COMPONENT vga_split_controller;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF system_vga_split_controller_0_0_arch: ARCHITECTURE IS "vga_split_controller,Vivado 2016.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF system_vga_split_controller_0_0_arch : ARCHITECTURE IS "system_vga_split_controller_0_0,vga_split_controller,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF system_vga_split_controller_0_0_arch: ARCHITECTURE IS "system_vga_split_controller_0_0,vga_split_controller,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=user,x_ipName=vga_split_controller,x_ipVersion=1.0,x_ipCoreRevision=4,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,HALF_ROW=320}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF clock: SIGNAL IS "xilinx.com:signal:clock:1.0 clock CLK";
BEGIN
U0 : vga_split_controller
GENERIC MAP (
HALF_ROW => 320
)
PORT MAP (
rgb_0 => rgb_0,
rgb_1 => rgb_1,
clock => clock,
hsync => hsync,
rgb => rgb
);
END system_vga_split_controller_0_0_arch;
|
-- NEED RESULT: ENT00010: Unassociated composite generics with static subtypes take on default expression failed
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00010
--
-- AUTHOR:
--
-- A. Wilmot
--
-- TEST OBJECTIVES:
--
-- 1.1.1.1 (1)
--
-- DESIGN UNIT ORDERING:
--
-- ENT00010(ARCH00010)
-- ENT00010_Test_Bench(ARCH00010_Test_Bench)
--
-- REVISION HISTORY:
--
-- 26-JUN-1987 - initial revision
--
-- NOTES:
--
-- self-checking
-- automatically generated
--
use WORK.STANDARD_TYPES.all ;
entity ENT00010 is
generic (
i_bit_vector_1, i_bit_vector_2 : bit_vector
:= c_st_bit_vector_1 ;
i_string_1, i_string_2 : string
:= c_st_string_1 ;
i_t_rec1_1, i_t_rec1_2 : t_rec1
:= c_st_rec1_1 ;
i_st_rec1_1, i_st_rec1_2 : st_rec1
:= c_st_rec1_1 ;
i_t_rec2_1, i_t_rec2_2 : t_rec2
:= c_st_rec2_1 ;
i_st_rec2_1, i_st_rec2_2 : st_rec2
:= c_st_rec2_1 ;
i_t_rec3_1, i_t_rec3_2 : t_rec3
:= c_st_rec3_1 ;
i_st_rec3_1, i_st_rec3_2 : st_rec3
:= c_st_rec3_1 ;
i_t_arr1_1, i_t_arr1_2 : t_arr1
:= c_st_arr1_1 ;
i_st_arr1_1, i_st_arr1_2 : st_arr1
:= c_st_arr1_1 ;
i_t_arr2_1, i_t_arr2_2 : t_arr2
:= c_st_arr2_1 ;
i_st_arr2_1, i_st_arr2_2 : st_arr2
:= c_st_arr2_1 ;
i_t_arr3_1, i_t_arr3_2 : t_arr3
:= c_st_arr3_1 ;
i_st_arr3_1, i_st_arr3_2 : st_arr3
:= c_st_arr3_1
) ;
begin
end ENT00010 ;
--
architecture ARCH00010 of ENT00010 is
begin
process
variable correct : boolean := true ;
begin
correct := correct and i_bit_vector_1 = c_st_bit_vector_1
and i_bit_vector_2 = c_st_bit_vector_1 ;
correct := correct and i_string_1 = c_st_string_1
and i_string_2 = c_st_string_1 ;
correct := correct and i_t_rec1_1 = c_st_rec1_1
and i_t_rec1_2 = c_st_rec1_1 ;
correct := correct and i_st_rec1_1 = c_st_rec1_1
and i_st_rec1_2 = c_st_rec1_1 ;
correct := correct and i_t_rec2_1 = c_st_rec2_1
and i_t_rec2_2 = c_st_rec2_1 ;
correct := correct and i_st_rec2_1 = c_st_rec2_1
and i_st_rec2_2 = c_st_rec2_1 ;
correct := correct and i_t_rec3_1 = c_st_rec3_1
and i_t_rec3_2 = c_st_rec3_1 ;
correct := correct and i_st_rec3_1 = c_st_rec3_1
and i_st_rec3_2 = c_st_rec3_1 ;
correct := correct and i_t_arr1_1 = c_st_arr1_1
and i_t_arr1_2 = c_st_arr1_1 ;
correct := correct and i_st_arr1_1 = c_st_arr1_1
and i_st_arr1_2 = c_st_arr1_1 ;
correct := correct and i_t_arr2_1 = c_st_arr2_1
and i_t_arr2_2 = c_st_arr2_1 ;
correct := correct and i_st_arr2_1 = c_st_arr2_1
and i_st_arr2_2 = c_st_arr2_1 ;
correct := correct and i_t_arr3_1 = c_st_arr3_1
and i_t_arr3_2 = c_st_arr3_1 ;
correct := correct and i_st_arr3_1 = c_st_arr3_1
and i_st_arr3_2 = c_st_arr3_1 ;
test_report ( "ENT00010" ,
"Unassociated composite generics with static subtypes" &
" take on default expression" ,
correct) ;
wait ;
end process ;
end ARCH00010 ;
--
entity ENT00010_Test_Bench is
end ENT00010_Test_Bench ;
--
architecture ARCH00010_Test_Bench of ENT00010_Test_Bench is
begin
L1:
block
component UUT
end component ;
for CIS1 : UUT use entity WORK.ENT00010 ( ARCH00010 ) ;
begin
CIS1 : UUT ;
end block L1 ;
end ARCH00010_Test_Bench ;
|
----------------------------------------------------------------------------------
-- Company: Trenz Electronic GmbH
-- Engineer: Antti Lukats
--
-- Create Date: 13.11.2013 09:58:03
-- Design Name:
-- Module Name: SC0720 - Behavioral
-- Project Name:
-- Target Devices: TE0720 SoM
-- Tool Versions: 2014.4
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.4
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity SC0720 is Port (
--
-- External I2C
--
ext_sda_i : in std_logic;
ext_sda_o : out std_logic;
ext_sda_t : out std_logic;
ext_scl_i : in std_logic;
ext_scl_o : out std_logic;
ext_scl_t : out std_logic;
--
--
--
PHY_LED0: out std_logic;
PHY_LED1: out std_logic;
PHY_LED2: out std_logic;
--
-- Connect to same name PL pin
--
PL_pin_K16 : in std_logic; -- PUDC
PL_pin_K19 : in std_logic; -- XCLK
PL_pin_L16 : out std_logic; -- X1 SCL out
PL_pin_M15 : in std_logic; -- X2
PL_pin_N15 : in std_logic; -- X3
PL_pin_P16 : in std_logic; -- X4
PL_pin_P22 : in std_logic; -- X5 SDA in
PL_pin_K20 : out std_logic; -- X6
PL_pin_N22 : out std_logic; -- X7 SDA out
--
-- Connect to EMIO I2C1
--
sda_i : out std_logic;
sda_o : in std_logic;
sda_t : in std_logic;
scl_i : out std_logic;
scl_o : in std_logic;
scl_t : in std_logic
);
end SC0720;
architecture Behavioral of SC0720 is
signal sda: std_logic;
signal scl: std_logic;
begin
PL_pin_K20 <= '0'; -- TE0720-00 compat!
-- I2C bus merger
ext_sda_o <= sda_o;
ext_sda_t <= sda_t;
ext_scl_t <= scl_t;
-- SDA readback from SC to I2C core
sda_i <= PL_pin_P22 and ext_sda_i;
-- SDA/SCL pass through to SC
PL_pin_N22 <= sda;
PL_pin_L16 <= scl;
-- internal signals
sda <= sda_o or sda_t;
scl <= scl_o or scl_t;
-- SCL feedback to I2C core
scl_i <= scl;
--
--
--
PHY_LED0 <= PL_pin_M15;
PHY_LED1 <= PL_pin_N15;
PHY_LED2 <= PL_pin_P16;
end Behavioral;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc343.vhd,v 1.2 2001-10-26 16:29:53 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c03s02b01x00p09n03i00343ent IS
END c03s02b01x00p09n03i00343ent;
ARCHITECTURE c03s02b01x00p09n03i00343arch OF c03s02b01x00p09n03i00343ent IS
type M1 is array (1 to 4) of BIT;
signal X1 : M1;
BEGIN
TESTING: PROCESS
BEGIN
X1(1) <= '0' after 10 ns;
X1(2) <= '1' after 20 ns;
X1(3) <= '1' after 30 ns;
X1(4) <= '0' after 40 ns; -- No_failure_here
wait for 50 ns;
assert NOT(X1(4)='0' and X1(3)='1' and X1(2)='1' and X1(1)='0')
report "***PASSED TEST: c03s02b01x00p09n03i00343"
severity NOTE;
assert (X1(4)='0' and X1(3)='1' and X1(2)='1' and X1(1)='0')
report "***FAILED TEST: c03s02b01x00p09n03i00343 - The values in the given index range are not the values that belong to the corresponding range."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s02b01x00p09n03i00343arch;
|
Subsets and Splits