content
stringlengths 1
1.04M
⌀ |
---|
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity D15_C2 is
port(
clk : in STD_LOGIC;
seg : out STD_LOGIC_VECTOR(7 downto 0)
);
end D15_C2;
architecture D15_C2 of D15_C2 is
begin
process(clk)
variable dem:integer range 0 to 4;
begin
if (rising_edge(clk)) then
if (dem=4) then dem:=0;
else dem:=dem+1;
end if;
end if;
case dem is
when 0=> seg <="00000000";
when 1=> seg <="00011000";
when 2=> seg <="00111100";
when 3=> seg <="01111110";
when 4=> seg <="11111111";
when others=> seg <="XXXXXXXX";
end case;
end process;
end D15_C2;
-- clk=5Mhz; |
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
AEtMIowO8U68h/kYliSxunoyjvaRR/+vqCKDlrnxb0enBNyPwWMMS8pLetEm2IXlGCnk7glFt0/7
a2e+f3DfYA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
L76kot/29UeaD63BCgyo9eapacBNBpF20SDjBpR1Kq6xoZodwYuVcdUQ1Uv2xzzjel8jhakDyEDN
HB1Qse7IZYZWjy/b6LIGu1GTP1bFxZFX7ewPvFt/Z4sfazLcdcx8pucIYUNVT4ztNS6XMdeLnVcS
/zllOSmI52rMXp+Q4YI=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ACO7hgxpYYv0TmZUjIHr8E8C48t15aZR9yv30d83GrXnwpmQzZjbIrMnrbEd9CAM12BQ3Yn9qVOR
JmFTf2WgrDixJExd9+ah7CpGlTjcN5r8++IDma/Pe9Fb3+8gk6WoWz5T9RKMh+mkaGkklshzffDW
Cc5aaPBOdJJA10zISomH2xHBVkVPR/wx5xqn8tQPdAZCrXO2bE2Tr2J0jPgtAvFWB9P9CcSkzi0i
X4kuvPZliNsmqxpo1oGNNs1xRArx/c2ZFU1W2dm2sZOkhG1/lzZAa9ZqjssEWjQz61t7SIREUIfL
6eYP5w1zwklUnFdyBTjESc8s88fL4Jc0wrIkfg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
lcTtrBB7UTnKEF+g4CtzGXysBbtQY1ggGdP8qjI6LFx1c1YGWmIlam8lJ3g3jMguWg82hgqmYRXk
xWx7Plnaiw0L1vJ395ENTcozhpOa5yJejpHLrfwCtp0uCpBx1u6qLzQy7Ox8XWOYsHxXa0+MNR7l
/J4BJ1Cjk8bPFqBsXJ8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
jIeUWa6+YQNK9F0u3N4PvVy5ndjp3FA6RlqoST75BDRlSLZNeIiAFUYR4k2kgVBf5wcyIYeqF9kd
f+qptwc08TVG6c8j260RE2Q8b8fQSzOrvH6CFt4xP2RmSsEGD0RWhioXo+49SudXfFc/rE9YDpOO
ekOgANnsuVAsBH2OXVUvSqLcHMlbbk+R1DtRzmiARH41OxmLsNnkI4txT1rOjr5OOAR0zuKEG/N/
V8wG7VPgO7aieOA436++wkkXKd+iNs6GuqjCyokL4XX1osXaW7SNdVfchNEgrtrOMAaf+S9HSUjX
FOG26fzS1bB6AaCG8dbVF3O/+ul13m2q2eDlEA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 33008)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
AEtMIowO8U68h/kYliSxunoyjvaRR/+vqCKDlrnxb0enBNyPwWMMS8pLetEm2IXlGCnk7glFt0/7
a2e+f3DfYA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
L76kot/29UeaD63BCgyo9eapacBNBpF20SDjBpR1Kq6xoZodwYuVcdUQ1Uv2xzzjel8jhakDyEDN
HB1Qse7IZYZWjy/b6LIGu1GTP1bFxZFX7ewPvFt/Z4sfazLcdcx8pucIYUNVT4ztNS6XMdeLnVcS
/zllOSmI52rMXp+Q4YI=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ACO7hgxpYYv0TmZUjIHr8E8C48t15aZR9yv30d83GrXnwpmQzZjbIrMnrbEd9CAM12BQ3Yn9qVOR
JmFTf2WgrDixJExd9+ah7CpGlTjcN5r8++IDma/Pe9Fb3+8gk6WoWz5T9RKMh+mkaGkklshzffDW
Cc5aaPBOdJJA10zISomH2xHBVkVPR/wx5xqn8tQPdAZCrXO2bE2Tr2J0jPgtAvFWB9P9CcSkzi0i
X4kuvPZliNsmqxpo1oGNNs1xRArx/c2ZFU1W2dm2sZOkhG1/lzZAa9ZqjssEWjQz61t7SIREUIfL
6eYP5w1zwklUnFdyBTjESc8s88fL4Jc0wrIkfg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
lcTtrBB7UTnKEF+g4CtzGXysBbtQY1ggGdP8qjI6LFx1c1YGWmIlam8lJ3g3jMguWg82hgqmYRXk
xWx7Plnaiw0L1vJ395ENTcozhpOa5yJejpHLrfwCtp0uCpBx1u6qLzQy7Ox8XWOYsHxXa0+MNR7l
/J4BJ1Cjk8bPFqBsXJ8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
jIeUWa6+YQNK9F0u3N4PvVy5ndjp3FA6RlqoST75BDRlSLZNeIiAFUYR4k2kgVBf5wcyIYeqF9kd
f+qptwc08TVG6c8j260RE2Q8b8fQSzOrvH6CFt4xP2RmSsEGD0RWhioXo+49SudXfFc/rE9YDpOO
ekOgANnsuVAsBH2OXVUvSqLcHMlbbk+R1DtRzmiARH41OxmLsNnkI4txT1rOjr5OOAR0zuKEG/N/
V8wG7VPgO7aieOA436++wkkXKd+iNs6GuqjCyokL4XX1osXaW7SNdVfchNEgrtrOMAaf+S9HSUjX
FOG26fzS1bB6AaCG8dbVF3O/+ul13m2q2eDlEA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 33008)
`protect data_block
aCZNyfvD8/8h/7o65GMmrTLP7Ew811jf7oXCd/B9jap47P6GiBER9xBNowZIQmoTdZC2qbevSAEr
5LA0HhQ6GTdmj1f/Pz1lcmnzYh/XsTG8v30MxHCC76w+xWPFhVvoo00m31YYhFkG6cTTbHMBT9QQ
+pgb9+RkEbaItnUibDTX2wuUQec+wAuBiwmKVJ+QVvJGkR0dU67zkxs76JDEa0DPvBHF7+NxyYhX
EpnnRXNYHeJ7jkmvYnGunqIniNTponUX9uaoscHuhKuqU+zL6TQZDQC0p//c9wSzazfxR3g4WsPh
dNTmyn+D9gTtRA+dSNe2d0KWdHTsVzodXbU/ep54FrG7+Vh6KMU7s63mHhAySksrFMnXPoZLxxjA
KM23J044bIc7CBXXQRDcf5lbP/sUDXz6xt+nl2zL4+ec65pBSwsWjJY9OtJPHDRU08FPA7+onT7C
4uO3u125xtHKx447mhUMAJ1xRNS16lB5JMvgNIxDSR9CfpHdHDVIUGxr9iNjwHBODt5naEjrW875
cYhXQ/6zu5GEeS7Z/7IBl7xI3nC5bUaYbEa0xmcMdjV3GrpPvTCcGBRYpSd75q9pIkMu5UMCwIfF
5bgR710seCZQdM2AJYU4RHWPPIMd2LxAKb6jPsOd0B94agv5abfIlZu1pOJILqO3z5SisdGoyS9v
xEC9UTuPJ9eI3UTnJKFomo1lPLJAeR+r09sYlos9sX3He4YOh3M/9Yk9X82myemKP8ChmArX4eWX
Od/dCv/DLqtdQ9RzAWxZwASrfxHiRW+KM88GoNFRHvb9BbVF/nn25JTajbaCe979bxzQr/rvKuEV
1M2/FLA5QhVRwdyKPtbzMbw6gHGBOsLLDoJwPcM7cdSvB6t/gYh70UJC+Dat5PQGbkqrbO9uOFJs
Dza+MkE2zh772mAlWpRM8Okk7EAyhJtq9GbYfOw8E1/NhjUcBqvplVySGuqaOuDWWuUr+0/9lS8n
qgB+9Aya+VN6qvoXqYdh6AricBtSFDZcWm0W8n+rogQjFM5IPQSe5NnexmZfu1udu+mbMKE3v7ip
I1AF1CoPr6FMTxG6Ej8Dhx8IAhmNZ0LQD8bO5V6ZUAA25RAjpXugUnXw2Sx9oh+J5bo2E12KQizK
exI2Kc3fzUsFgZHt71COmgq/Ed6XX0VMKdX5i8OU6hNFEj61OYGlMEBDrUHa+UTVXKah+bmF8kOM
suF1/afhp3D3G3yWaRvgkxhiJ7vS2sZPNzyTzPAL8mRQ/LoIHrfgdpXCLQgG4wMFdZVTlEGLRrxP
JdjTd4wYWjjvYzbBb69byRuU/kNF9FKHM455eFdEVVsmMQn7KaODq0bV1Ikl6851bt/tPLIxnsoj
ZnVBrUQSrEd5zyiyRe39e5SUnfDoWgpeJH0gYHA/Og88HtcDE5FBRqQnUQf4ekj4mjPp0PejU7GY
ArFbIBkDzGybTIuOOImPFGcrPJSnCqDEMO21DesQnVJP7D3w/YdA6qOg8h3+m4fIvw/61/d3yDkV
9DJZ4+oCq09DM5Sd51YWv/YD3ftBo54ggp/s2yIa0RmGG+22ytbgeQSUZE0VF4Fk/CH4uGjcc7sZ
/Cy3jjAdmfkI+42Ja50HtJZiUScrJERnRCCx8ukKoCThFKzn5TNHnAuh1MMZETo51U1t/EF4gC/Q
g1NEkz/QPB0whDwwU8reZyZX2+Hpq2b5RFwLjcgM0gjUpLiTbo3zUy/aB/P5dXcqAzVj3gpmOG/j
elLmvKHs7tFUJq01o1BxzeCnwNs8rtk96LaOik83udpgBAJMr2qY3jogWHBpeuLSrQFK5KD7uNSN
82guWoYoKlVS2aPxVSBYN1TbC+d8gwh9tIa1uQD50K4vBldP80rP610SKpBChAKPbb4DSaaLHNo+
7++QVkPMdAb6hy/9V/3DNza862iBVDRjez+6DZZUS/W6VTKBG4IHXOfix4NqIEoTdTpKhX036hEl
35jGRYePIO7xXRO2bL8Q8exDi4L/Iq6vjE3Jnnze2izNFdGPGDmm3OT9TAxtATYHSd9Ghw9LjUdh
vnQjk/8cZEHikhacB63CCWRzZIDX4upySMpoagnbgiuC1hrbryP1+J5LB+QgYa+c4F0mdSa8D345
Onpbc279ZWjrZuXo6wjdsUMwHHi9OJxG3rinHPi4DcF9c/HLwi3btUFuP6+4QOVXyq4Qo5XluC6Y
758O6+hltff/MGj0c3hY4wntrNw5m+mkdunD2FAH7H7rfkA73FCHJY79TIu0ntuqFz3vOyE4FehI
EeInkvFkQuJw5Ra29QCq/i58GTmCzTDb8QO0imreu2H2+vcDgGdzq3wmDuDYbNeqKWNgM3FCDDua
oHKqsNptazLVEg0eCFQxpK7yo+nusdTwsnL1d9kHHVJv0XT+7dOkARE0+GT+vXnOKbRqjyMqG3Ar
EcXCyOv3cy5NkznlXfOLUW53TptkO9/oNptT9xFVEFLXki5sqhR7EfXFgLBVhuwD8a++sj8Cjzup
DxErXpGJtXWpgasrM1Z7yfmylJfRK65KcveWfhc0Xz+L/Yzg17yIb37huwHPg5Ul3VNOmRGR3jBY
shXlbWxlpLbCWhCwYA6YFFDxUnEM4aL0Awac7S81oYcvDIdDzr+f9p1A0VLPzQvXN7q/XKOjnFjE
dKOxDYRL9u7PNf5z1TtM5nFWPmrIRMLtbEk3QDOOzRg9Rvh4Cu/N7P8e+1STesle3QlZ7ifLA5hH
KUvqZ/JMdTlzkUHFPqJnshCHT77PqYWUzNkyK1HZYpE+ss0+U8c7o37luO8EkXTbl+/rdhNcPwbP
Y3yfw8dPRFjvByO84s84sMAvP/yi+T1FgB6lzt/G5Zm4rfBTqeld4YQneR/bITJX1I7ITWILl3vv
6q0y28pkbtHNfG8PuusOsDLY8KF0S0y7495+zmt6Fm0WBGMA4JspYGvgAve9CqEkY7bgROeh21O2
P+FMc+yHBxKEhmre665zE51R911Qrz3hjETEkPfsg1pCssAJrrUI/N///CUuHVz0oRp2ARVSXVXm
WobTNS9Zt3CH41JG2dlVTQd/KUo6UEXntRUewoLHv4ygCIs8PlAo2HjmO4g54a3yfhS8etQXSJ1R
yaG5yclC/OE/h0IWchmTLq4cZJJ+7DHm49bFeSTlhqj8TjoGTRHz76jlVL3hxV+jM8Xxz3ItpNKW
J5H0lTO3YZpRL10bFPM6hza/4zNdIjWv48rshOZP340UHaozY0cgEWC0Nz1EN9lTMJ9iovG6oYe+
wSV6LqqR98VkE2AT43mrxd9w/tYdlrAkRnCYSpQ83bQ9yCK/MWY2c7Tqm16Q+t5tUyJ1goxwCLey
uxl4JoD81awqGmqG7kWbol5DWRBodc95dJEQTAfUXJbu/XDHf8FEtJpdu0NmO0zRKLyUNq88d8HF
Jha/dCsx0DGSnY2sH19nzVx2zr0YqWVcnqmhICtxNyEdOw5Zz1JJ8L4YOmNtkh5S01OkDrk3bUwU
hNYz3LyTJluWt0hwyxnwzM98T1Yk6cbUUEGl5iSKc71caXLpxgMzkDCPLHHhyrqEx8jjDMYfM6OC
yIKzBlVSCYaP/ZkmGiCQOekF5fakN8d7b+LFI0KTbvYoIuDj5oMrCoZdXoi1/3kPHjLDi12Ya5v5
4tpyKTb+zOcLThKzqddGK75x4QHTGLai51ttodtt+pjyMfjdWKyoqYtUL5N481lGyqp34Z9w02DW
FqOVFuOtDU7s79/C3pcM1umRLHI3mhUa9lQnvsiphwf61uabNDBtTM+AbMoRghDlRzhM8yf6iB2q
qx5NcFbwdOKQYih1K6CL6rhi9Kmp8DqV5T0WIPA0RmL8avcaCqzfzUDHyXS3fSj+QI4usRQCWTxN
HDEO43lthn6sTaQZCnO0gcatENXTUjweis4O8+/BdgpkQrrp7j/L4T6GlbqBp50wzwOULdBQFKJZ
TcROTbk3z+CvyE0FLDty+B+loVqVlpp0ewCuyDXeoH+KIgSpCaSUr/piqAERe2nB7a90m+/jVdRG
cGqs3cSGMfsfEIuLzxy0wKPlj6YJJbsIxtRWnpLaR+jONSfsEFZXHC+OU6L30hiMZ31hInaYCwch
2eqENIV3AVEq1bCYzIHOuS9HNAgx1M2oAYY0bGB/r5he4GFFJ13jMPc8mraGnMnrgBjBlrl9XzCF
NnleurOPjyeMOi4sGReraaDXJRDWluXPtBR/Mz+8RzXLqPgvV5LlgdMvnivh5RPL/phjmH1WJ3uI
0s1RAYSGUvVOQditT4WIAAbgZa1D68//yzPu56+5C7XlrCaSqgTh/5XJo40wrFULKUXpYAUYgKKm
PlAm95Ch+Nesdn1PlvVr/2w6YmKCN6oOzqF8w9ULkOzFR/NlrOvvI5iZHWZCykv1OAEeI3BxKOS4
14KfNgXshWGJFac9ig7xiR7/7m6KLoIdK0hvWpkVVgU2ZRtKxl76yGMiScK0X1BydtL5OYod40eW
+yR2q2/EARpZE1no7yqbCEZy1BuTGYFeP4vP9biL0R7CXcqZAKhi/0t38Y9R+VfFX5P9MyhYbrf3
3Sa+6PiWekajNYqmU6tpq6T+B2gQpCOkDrmx9emRu16X0yvA+p3S/O3wwYz8Pk/ZGXjDC5RkqNtz
WpHOdcPj0FVlrX7ny+pnusecVlk1m9v2500EIzKHiAtI3XlWXMIHtEL/VvHCzFUgtGyvLwwwu2Fn
ATr35vB694JP1DHEMuaF586rRXoclGMJmXtq5c3QIctzPw51rWNbKPljnLW+1pCts5I4wepK1mOy
F466rY3hF+vp+7US70ytvzt07MBegJY8Q3mvqAVWZfpLiwvtOT9khE0AZB1ZOKuwNHZv50lwv73F
fNZgZ0az4DK3N3GHcsr9RQz3qqGhbqwDpCCNH6beK2Qmji5c2u8BLDi+jr+04bpG9pt/YP/qrkRs
TuTWUCF4uw2tkja+uo8+t/hI2GQIllz/Idw2mXWrvWYpHuOeKycMebxAGDNk00hawF+Za1eeJwLd
AzrCZeDeryEWHy+l4wCjQ+HbqCaMdBXxXskfy4znDQWut6KWxZ5sXWsZNtHcD5XR0eFii/1dcQDi
sCRou7/c4DWhKv4ZrXwd2HyN9zrtEZM5grEVLv1m6KzPyr7wOsNEp3SzKUkinqt4EtaJLbrDw0Y6
Xih3Hj84J124AgtsUjk6QBoYYEz2UypFKu5lCNVm2Zt7h6KS1I/KT3rMREMTAcuSvd8KKL6JXLKC
JUdCOxwIlIjO2lX9EtSu5ycgl0KnNzbuTbNKY2j/1T7fu//NOwfSu5vtxUN7oIRf8K1RUF5XzjHV
CIVeDzvHQOj9VK7+J8tZu6Qs6/ObjG2JvxD0B4egP0kG+70uz6/O+XUNXWKg0/ouG59X7hxexXNg
2093G+S4Q87gDmzlJVoKZndVK5dgR+LtjmVF5O387POYHaaEkl32k5PFLvw75cwDbKFN5BANy8qa
I3LgEB/gp2MTPtL5JvjRGzdaMeLJheT0dmk5gnmF0lbF9EPkFLEA02Q8frQmyzwwnFu6BpQoEIQY
gVqTeDKORZMePZUPT2FNURuKxtPWmaTO2RNZV/G9zFBtcK+2LdcwTQo/twBRwfGiV9MuD9TZiAm0
eDnN9WObt3DDeWVWvi/XOqPmoD+mXS3IskR02j079dpjDAA8CcgvVoZM2AF+jtxFX1PeKUfTC2i9
A3s+7HRl3SLzfEmic1GpjAHSQC1058drgR5OEaBMLJ/b8NJdZmmgj1f5Fc2xEYp/rwXIYARYhjH0
XuI1fBsGbkVSm0ObLFBK7sxHs6tPISVNDhTni3FviSieH10tBdBXfyuv/P8GushuUDbpjriZ/QYY
kbzEZkMC+VfvPv5W0wd+cx0wIlzj0xnJO/4bgCbC8dDXjg0CbStgSSPMI4ct3OXFAaUGHrbDrStx
9h+R3VLg/LRvRgiaeazEYczWA9xYlVZUcD7vzHupvTBUIjyb0/Fgzl0I70WQ0DbzcgmGMRCjq4So
q4FeTgeDoqG9FetSHSkJg16zelPzrKPllpe+6l2uFPNEcT1xmNBchi2KOudarhgGiwZj0f50HoXd
G6edqToC/Sk+q6PMskbqzlgJtCSxa0RumIFtikVB1r76x/dhjAeu3z+rrxCTD9zEDFCQYxaAtG2Z
TJ2wFUdgOWvljtW/KI2plD85Qqa/e1qUi+nzfszc1EghNRlEtISitxmnSWNkwlaS4MMf2gudyoMu
eZrKbEI83yKkjX6VBa92XxQ/x1V54nblUhHtA9LKf1/r4FJWisR0723I4HT2oj0Zin0Oll50U7Op
pS+pl+Fd5sFmHZlxWkbqpXvUcAWlhndVNMFhtmronEt2npbrqq4bpMxO/6Z/chAGee3VKWu9auDQ
vYwUr68dO3z4Q2WjX7CGJfxaXw34EIIu14AlqS3c/TZxH0c7mOmg1zJg9/LKfiedos4hmtSRlzBO
JPHg1gWfSkZKTwurgytbiX6FVfoE4akGdDh/uVcjjJhG64E3BlmJG9Fi8wV6JTcqazZWDRGaUoEo
QFD4QgD3xl46w/Qax0193vwM6jHJ52xsHMr+6y+ESHV4Ff83ou/CKcCFhw+k1KgNbZl0K2dW+eaM
U83rLU1KGTailkHxyDv7pvjh5e1yi9pufX+W/lWN2w3ol9jxQTTfzJH/ErmNy53pS0pxn+JGlQfB
Ndbq/QwFEgnodByc9kM+kzV4356z+L7D1OdjEbQXBlh+uOjUwL2hu3alOR1/VjjfSvXg2Vqlhoaf
JGipLwKOxKsYbmi28mOF9aVzlEMYEWnUhORfp7uYjLa9nv2hwIlYxYz93fV+bdygaUsNIRyMPUn4
yC6EJApsbil2I1CC7K35CzKyAA87EOg46KGMrCAairPfPiuVGy4y/HmaYFk3jWhQow6QoQaomQV5
aJAd+ylMyBS8oa+v5XSHaY8i9hg6boCXnvkM45qrHEIp0NtQoqi6oS0dRk/x5XB3Qtoy81/N8xcl
EJmtuFe3fyCFGZUaJW942pvhRkqnMVyjD54AuIo+pSn9s8ArttoPk9dN3rAGmnnUx/9duM8fh67i
g4v/sMfkF4YuGyN5zDorRo9C07EqCX0MXuiaVlXRId6eHIhBNZ/wyZxz4p+W7TZmwLXVIr98aI9a
JaasmTXbvmynXhedeQSJOL/In6w9NfJdcE3fziXnj8Fyw1TTuIw0IGEPpJIWag02ngbBWZvkL7N9
5b+KGSZctkvErvblzjav2hYILA3etmzUH1WHnQTS7h7RaGkzhTlgq7wG+HxUMvPqD7j6z+pdyjZI
kSV/mHPpNUKTp61odJ+fGyMwcG+/GiDkUBiIG90pnjrOfuyGbVEuOXQ6zVO+J5WqlShlNM81kgN6
JOgQdiZoMqYq31DYnFaIK4gr6QaA2HdD+Hwaubuex6lj44JAeMH8VviH4TW0xu6LstyOEUpVDERW
GmG6icwlfSNkgvLaY7S2nOLjig4HUVYK0k/ofDYOxdhBZWGWl5Xuc1SMYObDVnESkSUV1P3PWJLA
VZcqPEsHigV6Sa9QQeDTLTNwTqlsqgwaJcWBthMtAmtz0oNF3xw2ndwg46WvIlT0ZzT7DUaKPwE1
6VBlTkepD5SP/YyCCp80rJwVsTq+SzXqIU6YB5QsZQM5dbHak6p6PyoDlUd7sDGTvaiHJWc3edZ7
yqDe72lNvrqiQw5FkcEOmH1FCoIvdRq8TDaMhjPvnxT9au3X1Rr1QA1JutpsjYJGLCJmfKYK4keQ
CN6eAACoRgKgJtOmJFtMSm38uSg9C49jod9OupAncRXX2U/RV7G9McIgPgZx2UAebuJeppjRD8lQ
U4hO/IYxFg3c72roevDBqo9fZBLPA8/kHMNzslg5w8SAymlo/tAQ+7yp241qTT0sI6cp0Xh3lpTE
TJ/D6y+l4sTgkrMaPk5r5uAfsEjIN8pN+k/urT+sdX2uzK86QJZkUm+XWjC6C+ulfBaUvXt9q2v9
LqWyLZ/NDy7kzONA6hKuOaD0d8qe6wHPr0xV2FbP3IILs143dDsejYt2SfhVyBmXy4OeHn/GP/lk
JLKO/yIqoe1d1vJwEi0ieoCxJt9eXXUcJZx+J3DILNXx8F5+VsubK28g8LdlHkFzIj1y05crCdrR
2JCOdyHQt0a9Ltqr7vJ7vbfFUW/lPPCOw0gR1ZFgT4RFAVdFiEVg1di8oaN4OreHUxvlTovFXUIA
LgQADSPWrKkFDZqYNkzFmbFpxNh76E5MkT4ZFz6MDRdAYACoi8B4/9Y7J7TbXbUtklEx2h4l+ioT
N6+jf970OocELq9KLq4d9m38r0ZQmzWUtXZIoc3Go7Q957auDtFYGqCgkIVuBUCGf3L1MZOlO/DX
LU8bZUjKlF8RzXJhv6wRV25feX9rJeEaJJDz0XQerfReWhjTNkqK9D/wLr6yLYm+kc5qwVMTOP7A
E3A4v9GnlJ8oUylD+u+/aqd+ClAZ97fY3KLR0+FN5MlJYKf0oID4ZP2Nz62a80Y0Czwk5HA3e7Gg
93pcruoBTUHECvOrT3cbNN2RZiZfndzyRCNZP7GJpSyAmIMuZgT4+dB9kng1J5rsPv2L4XLk9dFI
F/tocuY9QWJLSaWoAbmGi0LU7yxG0eIBzvw7cVVWlgpgFjcor9+PZyV06r1zxryL2rC1MTgga/W4
C47IyT/g/0fhZIrDAHAkqqpnWlVXOJC8W73zHTKKS16H3FpKCnhxCV/oredgKaD+mMQ5eoJRv+yo
6rWc0JPoghwk8phOpKyzlgvj2kZ4Dx35aWjfc2X/0Znt3RkKoVJlglUxMdkB2+tUcQ/uK/C4Hf9D
ESvRAgMDyvIU9JUXRNjPmEZTJQc7Ugqc2h3uhqbXNLJG1devepsKm2EJbHL0PKrnGWfyXYAFeKAS
U9llFW5ECKdqTuIHLjSU1Kvi5nsqH+7R11chY2GqZQuPHKCv8qF3SZ+m+sw9cFZK8JEdDzRETimw
rn/2cm1zMxbsQj4QHI68S2QvB747CxkcolLIKION2wd/jPyJSETD8JBwTbDblOesiY4R7bByELeS
xuCzEG6pFqZH7AAol9tVgPlSt78bfTe+go13w47J/mvS36RwnDr7r9XcHvJB2qXHkg9LK4E1fJRr
nM5BUIFWSLmJsQraMqc4gj7KUP0983UkzQ3yYuF5CKobwqhtifJVzWMlVrQUnZ1s62XgCLi171La
yOZBW9oRtoAbmHjTpcuyQLu0AFgZoqGM5pxH8iIagW68hCLYYEd3JpJqKCHo04+W0CQ15BgKlgZW
iAe48hyDi7hhno465PfRicFcjZF/UYCye9kPcNvzTFyUig31oHvqobVfz01hfvFywd9FSS21j/gh
PKa/8M6AwZ93oRGDQp9XrikkEFa6vrq4wmN5vs35b64TED3QATvemT12tu5Lr+GjYHlEAiAplD3b
ZH+EqPN27fmSvyUmCpn+lIPYlkyGmv7XoIetdzxAo8SjIrxbmhz++cWYkleE/SyW9r/3DU794XQ9
oJarGV2ujqtqrglEmas3kyF5YV0o2cxefiRhch84Lv+BEyFZqSoarFt96k7iEZ5EwYEbix8fLzCt
9kD2o20wXQs7ZhYJE7/roRbTov5Y42VeD2cI1AF4Z4E/OOd1gOzfnb/Tos5CUv1K4uIQ3DB+I+pU
9QjMTKJ2O7gsOUGAnwJOILAbL9CihqpsUlgeiIEKLVNysSSrf6uQcQc81wVwbfrLu5sjlYpQjiTy
TNkT2exeHf4PLkF+Szo1BQRXTf5+XKezOXSRfZ6KAHmxwj/HMzsMhyC5r/VWTpv7toYarSM0jBVF
z8ItUgrldtKcUYQ98DVfw2+EXygXjF3WXtaQ8UoBbPtfeNB6KwDVS61Y/OW+W4smAc7jZWNLMts8
kGQlexEh0xFlP+EwZCi80DhlnPpW/0Gce535EsqqRD55OF2/JiCrMyPV4A7hoFw/AVcJcGDFms13
2sGrdWho5RwqJo9x6EBjsBMZaL+Du3DMhV3tO+3W5eLkOT7jxZRDvbU6LB62ETqZMBXiwuqROgK3
6atLXejPnGY3wMHmsaNZYPb2vB8ESUgybQ4sJTJ0EUwVZ5TMyOsrauOa9IgNH2FDGM6NoyfLfca2
z5DZorXGOWHNEIkxPVT3iKlnjqxiWta3YFgtdwcML4YnhLeNTb7b6+jer91+h7Yiw7bepcyXZj9X
jxSt4v6n9NI26U6b2Yj1Lb7fNmXzjT9h0E+H0PjMVzf0fpNDFz5IhW5+hcZrHeggoT7NSlptgLLp
Av+fAS+IcoiIEQ00QBWbdZSSU7Zxe2ivA7rPEA7g+a7pYux9iNS1cto9CQxM462mLT54NXfqS+dG
AxeGXe4GuVLuCj8GtsM2D2o1/6WP96Vv9CXDxFjRT+IKD27iVGuuUL4g20rkRGlA6yH4TVGv7b5m
HwbYtCJVLfDvNZLsbC23waDTiD0FqZueoKL3NfCZhxNHWWQQ1fXff+wr1iy54+BpELDzfh/4FLhq
xkiRi81Amtf/RrYClKbtJhpXDHvMloC3shYE5A71prWuAepBHWsG4fXdbJzu0yLCMxDHiKawUSLM
t3ocZdXafK0O2EocOH9ceOiqTGrIAyKeGO1+aES0mMfBvcRG9QJYBhK0PYdeYaGQFZ4cEMSQ1Vv5
+RD/2ZUcOi0YQmZZsYi+fmsDJ46LCUYe5brcQkVl+WiEkuBYjsnmN8tSdn6MA/cRUuEFO5NwH3B/
it162VWRLDiUKMJU1PDEfxLNV6MbanQ9WWKrD2/k0rzNOMBwHyM7bcnMiLUiEbAkl6FVdBM3fDEd
ggTW7znaTzH0+V0jNWRP0Wuuo1PQGE6AnuH213JPAYEspcDJfe7SxQQwmYa50jmaeyV6sq9FgR1l
0WV+y60irPZkpy2XDpcuyqadqT1Y4BDvSgOVj1PLjlfZBD7Ajygfj8SaRZMf22Z1Yru2W4TkyhfO
YOxXzHs/2ONNb+X7qTJkV9A3Zm+EWUaBCz45WV5o/HKlkvJT35o55Y/6xFhR6llzAk4agGeMDNiY
+iVheqy4dHOdRTga/+LjrU0CKMmE8BZKWoxPyJ0s36BQE3GSAAOskiHZMfueutccdfgj4uP9RnuZ
bvqFc4u2I6n0r9IXDKeCs+plUvcx8BEnq2BvvS5gHkA4shEdKGiFL6GjiuNTp3lZ9ad6dGlwvGc7
FyFt5IgICrCKSC0ZJqww1hFkUhPogDkirK5SunVSn3dzHFhfvyf+GU0MO9v0gb0wOnDOSCj4NQQX
JCT9oLD9UDVHtm7DzsLvWhMF72sksEFlmFTadQqljQkVWcnhd0C8aKwjvz0m66Os0opDSRqeoy19
cyR+3SSkfRVoK0j+yMSGkmKAY/HXkz+MEN0YR39Yl1AdufY+cdqlsN9yEXSMpS4e7m5fL0BFQDDx
JfCBSgjZjMmfMy92ytTBRsyPmtdKs94UvDLlZC0iyrIM8m4Kdpr+qGj81A8NWsP4nVwfTNsAr1fE
ZZZ2lMviGF4KmMNvnh5omBSkGeAS1wJd2jEpVsLQH7oIWxMFxM3g57xO5DsDCCPWTKMs77trQMwk
vbEga83a/AxvWClsaPd6UDZkC64Jo7QPIr7pc537yvuTrfnWl5hfJvDgXmA6YGcoKeG9NAFZdso6
iw4XdhWTTpcJRZirtDrNaBU2PxVdnMBRWMLEtndk3evwxsFxAU1FZsl9kEMWQ6PWh0+8nWvpsISP
1ENv0L6+ECPtXwK/Jj/3hz8zbHGBEjlO3X5BwFQ/ewaYqsHt6kGgoXndP2D5bdbORS0Ia7kX5Ik6
Owatapc+CJhYvA2fQoMBzAwLbNlm3gr1XW8hGB3heodrAkxnQifdehRPs2MftNxRGSY4BpZ+gnGD
AM5pfddpIGKRljH6w20/8+Rm/lXqeAwH1h9aY88zriuDe1jl3GVT2mGiZFESaLFgeWmEt+ZeSzIa
5s9ORegc1NWFbrB4+nCABPjAtH/ouO68av8LuVaOsQkIsneZGcJO76a6ehlg5g9CayoxLqYqIkiP
TyWLvpvRgs2g18M3d7IArBEMWPFYdwamS8asTe35YhTwrwh/7fBhVSiNhNmwW7ENkD5TQwyh+3pV
ZelUyorwpWfedh/htcQozC2vXVlMSMmZVlzdyaSKIuEz/7PVKKalwprROg9yNYnV5O1OpIifHdHz
bbpPQXvhQGiGcA6jHkklZZGxDBaTGJtA0HjyTfNHtQS4tIQ+3YmnbxvxT0FbgbFczAu4mFpo+HV9
SoAoBRjRtT5tsMsl//zKl8jjZMw8AmnM9JfEuAGOknTYVFvn2W9xDvuqaD1RBISluWvzrdFVv31o
BKmMnvKC2serzAwc2h0H58C4sR96+SA735qO6TdhfN3LVP+3cyy1+PySr2h3w87u+5iWV9n7/0/9
+ghXbqXaHAWhTYejsBPaBtOYVDhEAfXi9eGflcariU6o91LqdOo3p/hd7yZxnmr9W6lSBZR/3zjX
8fF+UfI5HPxpFiQLnZ5AvYB5ZquozFr/GOMhXjZGZCaO8xusYyO0i90vcyuRsFqSjkyvPXU5oksW
2JzNAMuqm6aGeDTnwqfIoH/oR8kboKVQ1++0rShP9qgBIwFKgEraoUYIDPeCUCUxIoMoDMnfBdvh
thF+iYNpkajVtwtQHfIhBZZqB4FWWYdzy7dmIujRCx8CmdpJSNnBYuo8NfxdgLjONNwZoYObEGCY
r52/jcWxa9fPEk9cC8ULUKXYjHUsUwG5k4cxOb0jKs5BEVP/mfzRzaMH3E8+OIBtYP0wbhDqTU2K
72EFW2AtDxGy5cxaNbgpuKmWjrdUl4dvahn85re49Ih1mJXZgHHf7MoBOpX4GLSwjlR1yJiytnaF
zbNsHQF2D8Oc0lChDXMUQNwsNg5H+YCljKenXBlMeIer1dMk0hKhdOhEe2KP4tf17tDJq4pDgD49
84cl987Ii/B/OgCoLMpf7w7yN31AacrDv4Me2tmIwtJgMcjj/fsnnQD2YJQ4/yepJZKe8e/Q4p05
3NMGFu8S1IDerOTBlbdqYBkHWYEDFHkE6uh6Rv33Rcd7aRVVSNPj5JBtnLqIzNj1b7vZ6/+Rh6gU
HvcF1rVV5TmQorSZCJzgU0nMv7TPjY521QmpwMdPbWrPyUnc3K5R5W57SEOvVEN2XsEdekTT2AbJ
F3QhlXKh+4MATMekiUSbLk/5qin42cnPuZLlvfdgJIwxQ94Sl0yiZNFQIDj/iAKcqOU2NNreem2H
kkuyeB93Z5E7Go0X3HwLC6ZJisZuPCd8s9IA9pI2EiAdRREyrkn8o8YW4r8QEj7pb9NhSxEz/CfN
9MXGp+/vymn5lbJJbUNyWegwNaIxgDNv47PGVQe+yCQH+egLE0if0b5xNL/lLQQumJfbtlSXJqS5
uMdiioOD0s9hfJ7Q9GYHsFn4DGqSj7xP/8VcH+HrS/6d6TqI6bBAslb8L2ey44W2OI8usH2OygPC
QRNQetZG6XY0h0iwHJ3b22VpKERiEIvnlfwtqeWYxoSyjbk2Pe90lXfxBfjVCAE8PiBAQeVu165z
aDqnYWEY7/oN4lTpZwwNsm5j3jeVGpMDMj5VlaKl4xEkOhAsjqk2DtQW9KoUOlUtc4J9doMAmkYd
eeg2+ZClt7WVpZfpVbqi60uy8sVl8H9deA2v17mUZDWMAlHVVdbsNL8S4lOdVVzrPQ4YxpbaMIRB
J3RjmVpoNAf2UlM4UPr1t6hbBeyRJso0G9ayY5K4STVyxYoE4i0JYVX5zXRSWb5Q+aTe/dCOp9ad
IaYXBI9ifiXacdPBKuSDOP2asj68VdJmQseRcARvmq1o/Rc0pNIKr5zo3s2hJUuq7o9pv/Jst5ly
dsOL+PzQXpotsDECHQyXL/8Ji8uWqWrdj59VROKMPzV53n8i/KyyvoFpcnFtgGRpAO5yoQRk3Pxb
MZWiDQDM5tOTT9dryv+AF4fIjQP+PA8my0yCabzfbh6Suge1//591FjVHK1QyINXNrmz9IPck+um
DDfkT4Zwn1I50Emsvp3XcXSqJHL9+LjxXyi2vVoAl2Ko2BRQXUWEKmTY1YS+780xucZG1eySodke
TSq5qmxhMWr0VKDn+SYenUilsrwSQy3mLYW170KddTrSBC7hRF7QKIjx+xh/LE4teve6pIevsyPR
rIt+xDjEnqCMiLeLjpIUq5Mq2ACMtrBWC1qPupxI7535Y6HrA7L/nAPYV6aCkclP3yb+Ox2qxaX5
L1WU33mZ1U3GKD5x6JtwKISfh1lrGxtOtNskcLAAMt7BmEY1F/94kkGIY0cBiJlpjxxR9s3PDuMQ
cGe7MOMpPBf8I60QvhmjZtGjD/Ed0pnC/QDyDadupjju0cH4XcItBg1iwwmZXXgY+XmbzQqddAIc
KornC2bFXKCgLXb92nm1SGPo341qLxFFcf/R/Du4BYnNs3hkQn/VWDulZzxvnv+bVSNRU8+GxocC
In1l+ESuJZrvb0jbDiONy8dF6RcWhQXkYzhB0GzQOJOkWw4R1Uhb9Rt58bwwjUDRz3tmECLID0KM
QtONkm+1NvOYl/CN5qAIPIdm48wU0C43DQjZccGjK2VekGy/Egm6os8KZUTfvz+cSVzvSzLAaT+P
HRYi7aVgFk9Y/ZZz14diSXkn93JEciDmFpACz9YyFZSGx3JLH7jbm55fWSunNQ3+BzWf2B+RaS6M
FGxklXmv6knT5outDheFalgDJP+2ley6fOwJDd+ynBWfIgq+PoN7MucIlyhAuxHyHPnS0Lepzd9z
c4eYDI6iZBdEoXGsmpt1nBxIaND6aCwvGgbwTzYlZk52a00pO3gJpmcMGdvLMCHOGWiVJoebQJhR
eLSgqx9F8Cd4HmYzBct8ICbJCyY7nyK9dMhMAyMgIHPKgielQOW5EKpVEfS5vMEjgTHjuSHy7QzE
VEiyhzwrcUeUT6zUua+oAIsux5YSCf/WJeYnD78HDwEAohpM6F1Fi9WV0xsAWfi0QMcrSGBHP9/a
BMMxmWEO7YNGQ+zFNor4e+fb17WzrPtiXb5s0+bE24TdxowKSS9sL/MTSfHvc4eFSDuIYBWYSMfP
1dUFNBpsgqim7+/OBl06mszUMs6AlBTLLVRGLou5tq/03JMb5DS/q0gTzLk6mLLthkbDtImq+zBO
J6/ACd2EJMbcQ0i2WgnI7DnKZ+kjfbpumrp09LLL6jIF0BjHjtIMwzCEl4w9sQ6DVlLLdfGhyTXw
55IN4+3vqNNkNsnNg9LmPvRnoIH5ag/h2q7bOvolcckx9suNgWUQYTzONcP1nSSQR+KfT9lMc+Qr
Hxx7JHcaeaZDpmFiLxF+fi9mWQyfNYcTi/YRQHdDYpQWNx8gE5bS1jehUuRNs5e+ZdEOBpMjvHQc
ZAmzhT5d3ZWnSsN8aiVxG4H/o6e+hpu5MjjoCX3JQJ0krfxri/vbbLw93UHST+y+wmDm36j0AtJD
jEWn0Wfn4qckQU7LrQkRR8ApwU9E3rviLjinXOoo/oYcqxS39NuPKJIn67rAlt9JYSPL4ryuTvyz
G74a+Ya9PzgKGDxJwQVTXZQAYWEcFeQi5nzdSoyoVsvNlWgJaodrC7E7HeYAQtFt2LC+wT4ULSdp
uO6bKSPWvznfSRQL7Rc9DEgkfb7NUPCerg52oE1lygRErv7QImuC7+kXYP11z4BsHh+IEU9P2DSZ
h63X2DVo7H3inVsRzM7LdNwYfourwV7+9Bz49rSEpoeGLYLzGTy+3B3lcencduHnGxSj2ZqHF41K
5XeWo0uGcv8nkLwV1i7pBZKVl+ro89XnJsI2dat1ypPrLla6wuujEEWsIgcvPkYxvYJlzib214pn
L4qXva/0LDVj5PRbXJpcQGXON7Z/fhOOoselNwa9iVGVNtyLbtyb00s39bQfoqCkmitUG65Mqclv
yXfno+q5Z/VD50mtf8HlVk+Fe2iiD23b4zbeFeoSu8JT5pJbF04reLKgq9B4szQFxrAc2e7dzNDl
Pb5+FUic5xZtQjEp+hfK77586L9+XyMR82mqMIY0fv1jALo5NvEH4z6lAEN7jE7MiW8sTqw/DXRs
Hjl6muheNuT2BxfrYOTgu5ZqgPLpFTmhLGMJhyJSAfxGl0paHNEJmaygH/JXTrKQlV74PCsB32qW
cb8/2o+3JvQL3/RXkVFNfOgbCuviPn4dB6y/iaUAZS8pqMgxdvdUwxX6xZYfwCRXs8d2ocBsjE45
PnBo2v7W+QkQJNHxIpgDnkLiXXC35GTDG4Qp7nAZiJWjm4Dw35nIH3Kj+f7G1P9yXmjAW4w2VgKL
sL1+j0vEMgLt5cz3erRNdWrxgE1pjLpyvDY3DN+uCyim4LLgT/pCiAc6cZP4xcFwOhcyJNuSFOfk
BbAvPVbP5nTjW9DTV8u6RV4ndbGFh3C3mk6wKiw/ngIRmn6aeX2F63ZCsB40gZRvB8sI34O/F8Ln
utN7pBZaPlVdukdPjZnTh2jTrSxn7QSkEIDDlYakbfSqhIa7UCx4zKJIV0/RODkVY896YEbnofs0
/jQYsVY1rGNMkJjTRjQoRS495i60bmKIbfxjyULaNabtxhwZo1jjGe4peqW5skOpb3sxB2il9eQa
q883bGN19CYv4fT8x45F7nP+tf5TuNXSzE0IJoFq7QYNRt540AcGn/34A8NyDkeaPU1biCFYcjvG
3vnxeSlUb4q23lGbNR6Ud8RGLfBoG+d6B38Jc9UhFkJeRLgQqozgNYMxWtiW5kwOEiSqjPIUOfzQ
m/7gbNwfVyzZh4xHbQSDDwe7vci1OvJNXBYGJbQ/ReEi2J3xBf4/EAnqv5gNU8Av3DkW4LQ0FjZc
cPJxB3+xs9nfe3XfjbAVF0dP45Mi8+Gy0nU9mYNImZAfzNxiiYgtm3ZF7G7eTA8P/snKmwtErlhv
FdrFYiZLzhL5QONn4mC78VUsUqZei8L+gR6O6Qi40CseCZ4IteEx7GnMtnEbgaWz6tRfFhWpHCNF
+BgOkSjCWciucI+5UJoj1qpcmGKc+WdoE79klZikvb3dqOhpwn+rp0W4B4JLUU8WoCwjUX1Omgs0
FBnogbXTSEGq1VBsFguPOVjLQ5Ei4VsucdLSY0zEqjKj+s0mIaUyKRYPZQAo6z3j0DZ653czzCt9
k7qo8FpRJPzbEVktbGoR5VWH0PpTkMO6rodiI18WgVGtGR/ChBGC6A1CY9mjNCfsi7+0Y6IEjJpJ
4V6mfHySN8furLuhAaxUE2T5E9Hbrlt7+ZaPuDChbE5PBGm593jikoViL7cKFZdQW/9Ua7YppDDY
2avXe4BqpZ8UfpV4LAY6JVk4oOttBiLb4DRlBVUuKnpNpIN92PnN0srIA6Muf8m8ANih3VtzCO++
uDdB4dvt5U5QlG3+LFAsSlZEIR8+WePFSwi4DJcO/q8RtNl8SLtGfIE7JS534kyYV2YDSy8LntWD
jb/Pa8w37P3U8/SQXfNNsY1vlBFgy0hxtSbKaqJbWz/BPnBkJaKpDLGaOp/QXAUxg7CZE4eqYv5a
XJXl+2rwPnJZPy/Djby5vFTBWIuT1FTWXvbytKXWFBvEhWrot/0UtSKGfVeANUjelJWMgPicBjfH
aXZ/rSUpd36Nasp/37wx/0YEBkITUOu9god3khaHSUqlmYL+0G4QQ2xCIU2db0AmK0DYhdaOBmXq
hDl9efT2mUDqUp80jJpIeZoTagfKjpXT5UYblwBVtjUZDYTVn7dITZ/yV33j2lTDwxbHL4fo4GBY
am7vzVfDYvqcopuuvIszy4QNV9TU6tfOLPaZ+uSZtNtAoxAbIpuUNaV4+O8Z0S24UIIhvFiv39pJ
jdTe+RGH78G4PryGSuYsGOyZ+cwYCTjOj27doxl3P5S/AxQeQB6iVJSS+l6KIfBu3EXVaDztZzHf
cS3aCZdJZft5qV6OzFNFvcjLpQoV2tbcF/58tYx8kAtexOPHeTVDeaNnSRBj9oKcigFYNd+1FYL0
htJHYkGPBwUISw9CfK25m7GAJet0fP9L4dwXijrsIh10YniWga2c0nxSB8zGAcei+foogzP4qVK7
QcYzvzO/OEuK3QEpkn3B+zsjK5Cwqs0UC2kw7aEwX1rF2L36ejEkEF98GpewWLXDsiVIlP3/B8n7
Hu4d03b0/uQApVos2vexuoJv/dl8r9h+ym9PaBrmtX1QKy97M0SrHPst0HM9vgWjCBzts3FNh5LB
mVGmvLQodmTITUSTn+QoX+F0noK0qzJh6xzknHHY6NNlH8ll96vVk12KSvvL8BIoPjT40ff4VIoU
4z9Xbg1UX3fhm/OV035piQwDpNsQ/wudW6SykAQAovQz1ogC4P86ra4EkalCjLORPj/FYUDa5MfB
VuYymN6KHHUqiyg2cISwjKTj9Np920gdJI24wJmbB158BALDXf7HRpqiD2CprS0J33RZNLKaH6AR
hRoLB6k6vsYTZqKc/l9tMkAmRxaWVVkWf8YpCeEZstVNUckZdti03mLbJaxIC81Rg4e51H+ZRA43
yS0zdScgq1qSnjDmu5ciwPKK3Tq9/Y5FFCOqDUcYtHT26KbIC0XNZ5I8PEJt/ZkRU/slJzvE/P64
LMZqlq4dgqWPZH8bObLWjvAnMG3AmfQJbbf8W3NOCnB8MtfDj4OWeubHDc0WpSERYWCLY/Bssg7G
0j2vNRJo0Uw97wvdmwWF+3roZ5lQRuKFfoPIRIa+dkP7HR604BpgeIYGwaZQJiI3E+UHLA8TSLQm
SopBehb8C5acapgROI7AVzA2vN067l28abh6X6JMrEG06DzNbU6ITXGuAZffzBl/W3DyT3l0u43Q
Pm4YldtG0Yy42T7il+g/+LEMZNcEFikrTjST0fOjbDb8lElCMBn3jSAMCModl8Tj0s30cN76on3v
V57YsGz25WlSE3OD5SQ9kkLM50knK+BRS5FsC2sQTtsfYlg0rw2QAEjfHp9IyqXm+BropKsrmn/k
ifybj5/Tyjkakt6S6j4R3Ll9zsoywUCHnO2WRwD8K2WWqmrLbmAzHGQ8FBVZTgSDvMjvNs2OvuS1
byC+mlOjTsIASi28PU0C4Jk6I4F19PgfaAkpwSyKkFD7NCVC2AVXnb92tCgJk2AXP375uIgmHkRA
bOrv5j5QVM8eg7rHqCIbHa1e8GSZbMIAWUuIysfzaBQWTOstYz1I8UcPs8o1lZiEb/SVrbQP84Xn
Mo+B8Ko+iQwnnVlWhT66xmbkrzMfgy86ETmO40pp5extr7RyLTh37ncCaGjL6oeMN1fygO61VXuJ
WxgSOsOhWVxhCReXi7YuG3JNNjLctEIyu3fSRmp92aiLapg1aSdYN1gXOdwNAyeMCjnmiBkpBUJV
EGGfb6RvMtL05tUhvi7YrfMKsCH98XB33Ouh6mJbXYnpNp/gtwdnn5uEkyoWM0GvlnOvsG9b8K97
7ljny1s/lbiRYDy6uoyVQio6ekhZPcxf+ROHsNuDd8n+DS9CYe+rWd/HvC3zibm9e7R0l/gGNixj
KGDdi1yxP6fPmcPfR9Cgjj/9ZpZMYhrIVraXsHnBm9ZRjsk1yLKK1vieavKhCmkJsWhOzCfN6TqI
ldQdmmUQlbMGsMoKPm7ykNkFJUvwWtXw3bqwbYjzO9BEv4AvVD36MJfjZBHI9dSQCcMWzEenZ5cd
X1fa8cj1HDOhOPv0/qj3jtnr3D5Yxa4P0VMay2GANEWjuyUv7I3ihH9pbqQ7v4QMBQ+fKR7mP9i6
i1af4IDfxSC03OW7pUox9w+CNw3hRXlFAsqZEjuq4vZSh4QKucDQypCyiT5KGquEB+fufesgxxv/
Hq8C2dTlQ9w3yCiidst5XFNbSAdKp8eOVqQZa//LD/bZ74ajFvk7e1+mEl+nHIG0+SVmXHHC4mLH
iDnv1G9V7jiamRrLeB3ALhSx7SeISwUDv+oBDpV/9aJgSe6uYVHw+BM4puimpbc0xYPbRhcxJkM8
KCYqGunNmzjsC00MnJG35f1eC2GpgUTfEuRym7sQFxhhRrjMTHclWlt/+CUEvZ7za6ynQ9ikCgqo
I1QrlcmsDL1gzGZE4VBx/oAJ0kXEdEsazOgp9SSlri9WMQW1mCbIvwuJYVdWavtbirpuiz1EOgCr
J60b+B+qKZlAi6iTPsEO7/37yynpM2LdRxcy/LXm9s9qZp8e0F+mzZo45PYV2+AaaCAytqABKNbf
9St7Xye9VYMVQMZVZ+3iMt+J0u+FtWbqVvTXhSmsE/oHnyZJV1JITeVMtB+ew3WBCAaS3exycudo
SQm7lFKs+Av3pRkzEwgPjiURnPEeHPIsMdO7pjloj4oBLYG2XTAzXFw1bl4yXvBwRe3PLlJWINoq
gHizydsCZP8LBtd6iTxcgsxYsvlhU/4sB04/qPf9oPLg5vbFii/uFF0lpTxwZpHdW6FKiDzZXght
QZ4J5fpdBWtLF9+aYk3A0wjumJfArfzzsdZIgPQt/HHTZwkBRx8L4SLznX8xj39CAIaylhXw4M9r
BND5y6/Dh89uMdB2H0atg8sd5+RxYaMhhNmes6hJSXFhYPfD1cI7XCpe77zUPSHlCtvU4GLVmnmX
R2zpx+auBXLRxkaLkzyv9pVXIh9SrNmvsztNd39bNwFa67Xcfll2JgYfL/ExaRVYjUvWzNtAznlN
Wmbf69BblRzR7kZjeJEfBsxPktEHtWL0P9/E9QK+JtHPIE9NNmrPojLP6Y/Vm0HEY3WpFQwdBU5I
X2zty0Bljbuf4tQ/RcjRK3AwnkZB3JtcSnCx2Rs3fFVRQ6K9u7E9EsfDb/H9pfecbqaRwCJUcRc2
/aIPBiejugqdwCkquR21FKbLxsrMQmPbBhIsqpw6yOTby39cOcclOFVOMu7nl0N7JD2stAoq4D+F
a5HgXIRyqmIGu3xyu6XdSxkWUM7afbGxd95zxm2FMY/L7WadR4r7i/Z3SfY4yLfw/yXt9D/rUX0G
jw9FKPa1dSayXVoO2jx53G0it2Gz6/MgrFJkzabshcExqntcOTOxoNSwSPqr6V7tlhcz12HewmQx
DFK4lJRioQfK/A90vskR4BGvtfTgWTJOAeLP8TZPWwq8xFYAAbmlcWSl9qlLniGNlFvTLOKqjxGo
DhIifK5y6nfxgmugdbJ5RMiwrMEevYNxlhpxAAoZaDF14tOAoT4jY85Ku4/tBt7BuxeQd7lB9jWA
wvHG+GiGjX6SEZMP1FvfGpNLhtkhuHCmb0NfR1L93vLGuMyyMQi8r5tb2DCuwEEDePWgiOe8GV5p
E5TBvQXqKSoASv6WnfdINMbehjLnuzPN1UmF+pCA+/lWsvmAlJMpNR0XdFX4xULh9HqmvSXPgEs8
BjISrFgI4+LRxoyIon1uECzCbt3C8+VLSjEAzxDp5fVXgyJmvFVf3i7ZtyDuRpWcS+440P5v41lv
sdC73GOGkFazDpHz2eMyEMILu78bE541eoFZ7Ojf61YXOgg7cERUZS4oYaXI9uae5MfK1AM2PLCS
l7VrZDSTH7pJf8pi5sShnmHo3OgEA3h8w7Ijatf43Zav/i9oVzWqq7M7hzPqPCs4tMURHZWRyIaF
RhzXhTWNqCgsq4F0Vrb0YFRVeAEeJ1P1IhWtqSilMhaQhsUW33DpMek04rrZSfMcjhESuaW+iRaA
kbSwT1zyD/XoTXPMKb2B9YHZ3lBtphPWypYk2PAv3PDgue0vuEBnRPs0fG8zi9mMzUZSdHbPkHCp
pZP2j149vpz15AxKP3mkPUTcPBrlTcghM8JLx5+jEIITsz/VKU0Xb8h4MoMd+F9yyPZ36UcFcb/Z
gKHsVl671v7fFbADGyzTRC6igbcSRZgicW9mPAmOx3/hiopEqL/HwAq4XHk8pPK6sVCrUl8O3BJB
zC+50h7MBX3pFJsmCsqvhJ+cXTchX06dfncGfMmY39qo4sXZ0LItCeOG+l7kSns65YrT42BjdtPY
xYuvvDha66e7ZTtpf7A7frHiPFnzyDxu2i6d3hDgJ/kotIxmjtHJrHNqcisxKyT0cTY5IjON5Yi+
pUJbeFkMckrDCz+WnNzpIoAT6n+p1agqmNqSC0CohXSGIne4lkaaYWW34i1UOizDEuNQJmRZsUbN
9A57VNU3kGCwt1P2iW5TwPl+FtwjPLt0SRECYja5gkSTKdBCbb10RPvnHSfKOhMBQnxLpN7ei0/Y
kaRKLzQkxVHn6IcODyMi/VBjRM3g5oYINvSfPWs+ttDbKtLlHKPyTlsbYiLIPHoPOGPB8ebipiLb
nBWKi7gJXUwCtxa6f77Mwuv2eEibGvP+QK6FOvpjJbV/OX2KMAoAEIc849A6DFglAo1+MNZGeI1l
ndfkwJr7AGZNzrMJ8i5yT6dYaVxQfV6ejqBOSQ+W/xeoEK5tPRyyECsm4MuuxPSKUrnYdl2o1zmz
EjWdrD+6lE+MGLv1Kol0ZgCZtmSvW9Z4f595a4WGmhSlnym06s4ruW15Z6zoBCNtMEyijCXAatYG
Q0RZ3oscdFQbtVVhXkDk0uTacbuUJZ+hMx0/HQKjgm/FeUnLBXyMTFnvbe/95C3AVm9UvhApTYUd
5PUxb4LqBSGsdsVwjVq6wSt/9sBAD+FicERFzVJX7lZs+OFySJFQx7ylk+eEkIzTJm0HUxJvl5NH
tKhFBgeDJVqlbjmWpUONMppZ37GZsBDY7OtRyiKkZcwtHkwvo9Go1BRS9Wj5MneNV9xeWaxV7JqO
IQb4CKaIwAZw6DAKwj00l06LN9kWO9WaE+OAMNtgAlWtYmr6W7o/NgVXEafChMGn3mef8rDTWdgj
nCgv1TyCq1Ep4nDPVhG2KwWdAIGKL/hajVM1ak/bKCrS+9Ifdadoy/h8cEn/5seb+1dAfVH2Vyvr
stS2IlJe4EDC+iLuL1btSeyh3fKcES4JMv3bpmsvtgaKWeYWgmLmYCQ8Cvv/O0fuiMhoJssft5bg
VI7egcM1nFyYLgCYmCovEhK7BCvyUI/3miQBdEBMIhyGk3D0j9WB4lWi1iczJamrEKLzRNky6LOu
f43UDOgea2BxmBZohGfCGRee1v9kv59ACgT2DBlG5joTRDz07WdrjUtVEDWQ/UxtFHTrUXknZZYj
QGPky2ljZ3JXi+v4zQCQ9/MgzzJPEzDbSXMp3w0DKQ8wreN4iG89kBtwhjSjT2SgO3KKvNosE7ni
VqOZfVCRcYRIzc1OU+CYDeiE19zmkRqbavbGhbtOy9rjLXxzS7O5taNL2IOVI/9dLSend8sa+HFN
8azRyDjgKY0CAGcvXOgg7WWPKOT07I+/ksC1YJGOjW6zxYKeenwpRajG/hN1D1aEQVFGU3IiQw+o
VRjB4A/JfTF+jYZVmrKPvdQ90eE9BgehcwweGd2Vn7f5CWMNl1dJS8bMBtcQYz6IEnHFgmNQz8fL
lS98o1P4rLzGa/khoqiG4KXYDGUht2j0B0vSC80HB45V84J1+MVuWRN3jS+FKLvsxJNELv8CgN5m
kVI3WhoJ4aJ1cbI5ejIyhFb+v2pucYdyuiZvKUNp1H6GGEflQ3yTRf1MIzx/jUpJivm9wIUWjJWi
YGGPlMdeSjHVMpUGEdkDRyTHptU1+Xw1+qXtVjoq4GMJ3fFAvnKx/2/vLauRxTLGAzZAjP8/nGxz
XJBCMF5lnmKNPPEhBH2DOBQjqBeWwYkZVi9KAwWbn8q1iJygnbfyR+S2zFZaP10v5Zisez1COwzY
7XeZzfGIlKFYm2WoXSHFaVzOQbpJVADEHqbaJ382Nn2biYTk7S5bTH6yZdeMz+xeFhU2JoBeGXfi
N1sGtbmtya17fz+ifco9H4UpCRBgNcaFHwE/Jd5NZ2/d/YRY0H8RSkYashggiV+IGcsEDiq7OM2H
Q8OD5uvlf62BsVNge4o9Hig1Oh/DY3cBCaLl62YMPA6JY/5KhpC55tOkc5atJqeICQRL9dmKG2hQ
UEDlCzyUa2jEt3Rlmr7H16eW7RGn7EzGac911wXABFD6+Wt3zoOdsnwbTDOopnPjvELWw8Rjn6ga
kbYm0cS323RLJb4jvz2WO30qqERmro2yLNas1w9A7Mode5yD7/zEq5NwIxpucecFGe8+H2g/29B1
j+wGMYKcMoTjLloTt1nGjunbZaVm/tydWh9/N/z5E+9MMEWDsCiXKV2ZQLRWgs/j9XtWwAVsFlwq
YmUZRs3+eGo9GLRFFFwEnaQL9khl4Ut4KY+o/SeZx++XUdRCOsGeg8PQKHWAYwrhG2Ys3PjlAbus
pJVFBjURb8HTcWft+Uwm43rZ4T76dXA3oi8xEYjH9bTVnPBLkRvxiSDg4qAOJnKTbyqnbkiSJW1R
7Klv7BTa4TnGngu2931/9OQTXqjsfUsyo7XivnU3cUY/fpdyAzg5zZFRRTLz0e6CoOlFjuqhhC3a
GsBELp3OCc1vE1/OF0E30KPLG9Gub2CbirzYs3h02f5dIxrVaubgQox3LOJrRgnxXkNT8e+uaQMk
jpnN/09JZQEPX8DvY82Mx4I07AKS4ULFYv9L665p22dPmUOvAQ0lOaqqSvisJXScDloGt2wDGo8S
55WjqJv2tqveUqmLfslSREsFw7sNr0PP8tuKtNFMlJqZp2auILB2PQsDEfn7sTYJyXAwbLVdq9ZI
UMMyfB2jViLwGIGCyjDYvf5xmFTwymNTNGTaaoj8AMLPNSnuXEOeWtFmDH/VSJBDJR1mT/o6+Fjh
plWLFZH5C+57roSUFWTUxU+4pNrJDF+6aJ6fJ3IukS80ZEHFJTOCSoK+YZHWRXZ5H8sfY2OMd8CQ
suuGCqLbdnhcQ7wXmwMHe/XXKuODa3kbHf/BuaSmX0qlJDYoExUSDW5a3UC1TYmq4NnNuc2O0Ghc
QKtC00I1ik91ZgQXnfgdag+fKjQet2b4xl0B9GlucZxcjkxPz5AJxDGF+i/ihU+/Pzz8x/laDTJh
ZZXPT5KN2H6l8UJn3wW/RbwCphZLxQHjhe3dEvs++CGfFKb899x7UrUZjXY1BE7pnwXWf3eYFmmt
8+znXEuJaQiBDjT0hn3Eq0Dxkf5pEdiQ/N1i3GoukUhR/UEliDJUfB0757cbQ7OIVlX0XWVBKi8O
6CNt+5yC9x2bXZFa142O2HNpgXTwHwXjwdubB5V0qrzHxJiluFzxg6fvUbjAnBgXLVbxKUb8p1R9
nMFZooJRs68GNIapCuuYLQeo9jb91BI1PGcCKy02l3afZaxDL+w4fASbLb88Dzig9EamZ0NreA5a
OEjov5DqJLm8gnYQBkxUKXJ/r6nmlhjzhFQi2kBkz928qSslx6PWSUGUmxsQzuTBq4a8YMxCFY00
GXfIJlYhZQ9Zcr9E/xCik8Ur0IgiD+rrUNxyx9Rh6LSJUMOBcKuGKACYQ9XyV3iRt7ootBUP1Bcb
z+zW95JLGRBEa1TKVyecVNM69PGIG1/MiI74vathDbdI1/RM1/+im0OhG42b6u73Cppv1RmpYzNd
OV8o+wfeKxNBVpSlsixKXGvjCgGz2o4qaNWaE7/zNe/9VhD4H9sWIukSmwLl5a8UrVtjwndR//I0
l5ZrqEW79z/9i9p37mMUrhqENPvjbBHCrCCmRHViB1eeKNixhct3nyDWZu7TKwgF5MyJ8q+ifRzf
UiMRmlRNppvPbrwSVhNGZ2v4CRUEb1wKjFBi5Qd43bj52cBms6JoTKAqmJUTIam7QhRUaj1CWz3P
jmrDz+fUSDH6GAwOc8cHoru69aL8LW4LVKOswIU8z1RtXrnf602g2QifzivZGm1hpWj2S500fHme
nz3eMYQA85VNlUYKwE+U14NqzOVj8/QIm44VCraTlfgHlFPMcTebvo3iyUyamitGgW1OzeThiuk1
DJJpBgLkVCjOZugZ4037+o8PWkxJ9tYht9AcKGHcnNJe02C5H0SJPXfTRbEru4wD5nwV9WKabste
5v+GSayfAPADByZgYzKNHWa0Ucgx9ilmExD6c/vaA8oYtlCjdRvtdMQfIxUafuOAOkDisjadGZXx
k33uiQkXnKfglII/Ogvtx+GWOWT1GnNF/bQim27CwgZOZG0BjlJZu2R+Cn08esuC5xr6//7OwBoF
fNcw/yGZGANJWTf8zpOMJcjsLv3kRuvcq4i6Qec/DQRd2XIPKthlHoruE502vU+5Wm5BUomZJ5NO
lpm2QBHVofW8N64MjXvmRhzJs6ZgZzrIBXMhAMOc6hx7NK2JeEuzT/ylF/AxteB/X/t8USKvXPRe
Jm1ww/dNHzg6HOQDT7ridefURHGRa8tMnacXnZNkppHIr11NVxVjxxcq1j8vc3YRjFMKipjvTWTO
iTKfYWhPoWtWZSyf7x/D4UBzYinucaO2KtmyadDqhMaj8olohMET7PphmNav52fCc3okpTJ6Chr3
88iTaCBGdK0ZvrXWHtYgqeddYzlHsyot4nT8SYI6JYK3FFj19ZIWTmTqiWpWoHO8uw9H3n/islWr
7NK6UBLazCisv/mdCNbYiWndUXuNGdDLUlKuvQlnWrumnOaPZsd6RF70gg/wdvW7BdQXhXr4FHUR
GKQegefZAsEZqxdUTt/rtoRj3+inGAsidN6rPH4wTLP3zhXUJCxkqPsjYs3TtFIH1WsHz/n+Vhzy
ccYuUr7suKjTMgvep3JMsYJ3xlmzmOo8CxDt+oyE6S8RXwM6x5RHVbAQfluzJ/CtznOzHpdT48wa
BMOKuJv2PJzIQ0O6qTmtOZ400ovYVwJZKzDyS8b7u2gNSPssPtr5gRskuD4n0NHW/4W/3FSlO3vN
WFFnGWmDTO2qWTTFiKy1WYsrOL2t3KN6jjL2swk6RLzN4Vtxet15ai+V46rqy+LRhfnDhzVXDx4A
SSuEA15uGBo+lZiFcKnhg4xJ5DA0S/Z96goZVCrQ7f2L3ZebFb2E5iTk6jtAt7BwteCXzwQUvICx
b6ZsX7ekyfPAkSHfCMcZ4R8cZhrPZp60oA6y+N9RuRj7wXtYkKLF6iR4MNj5hPqhTHimUcLDy/ln
ixUineNcyHxGAHHXrOpW08jwRlgputUxBlvtnEpK3/TgV4Vs4lq7nyvk/qD5NDeZP/l/YX5cHSRP
XHiBg2mu8IIPLVUunawogkWMGPkFlo0ng9iESV0rUovHd3Ot1wZvhm7bEc94LU7FLtKl2LSr4J+y
FijJ90Oj+GGBvL+G9b2LNqVruvjuwCCVSJUtaoU+pkMU7beeRmx6VWd2u44RagKQyIp2EIjvBnVl
zCQt0fSiOidtc3RdymwE3Op0vchZguOB/aHAreXp3fvBH5M9xJ7helJO//VWc/KzaPejYxCvYd/L
4J0ijokHWRAy932YWgh6G1g7XXPCDr1IIjmU00dvG+MS08GY0cDQ5kB2x0JRZj1tPVb1WYcgyx/d
WWKg1xpNpIsZfbrRiY9NimlevrByR+Dimr63mGnZLy/tMPB45zfkVI9tNdPqR/5XZpXE7+yCqsrr
zGAyrqfThToaEgS6VrgjGJFtoRljS0qCfjLfTFYm/Wy+rYgQ6mC5Q06XiPmTdgj7Ed5TH2UOiZxD
qsoTVlLc2utdsr0/TbBY29gS5bWfi7tUndR1NIxVhnsAZBtMky8+uXtAdJFOeoJd0bWJaVXvynPz
4i3/6imiJeL8Q10cmSurt7F3xnvWgHadJT5ndSHDurEaU6RiWZRjvKrvx/sX8oGMuGrCEGoDi8DM
/4R/v3+eEd1i1Ruyzn6WBH8/P9b9dIwoEU0MkLW/er3pEKYqH6twXcZGpczB8FxqIYafRpu9uxJu
CzR2TXBiHJcyE0Msiu60xvB1skNh+xB/zTh+ZprglguRGQSZsMl306c/n4pUkJYhZ8gnPrpZ0BBg
ACpX1tHHxtjGyJaBM0MYP7eR/9CEkJV7Xlyu3Rs5iN8jgJR821QD3DIfJVFEuBoVXhrP3EdePSfX
PiX4QwFJfWIpk2A5nGdylEwZaoOGJzU2wk3eGAxi2PW7uyo+1snzdzspifEFxnwVX9T51PHkpsH0
z7OGxi6onAN38G+Frnmzwl4pdJvce9I6E+zWrdIWgFN1gpB/FjxEFv/iwa6uSP+T0pPNoV+kA8c6
byZMAz5IlloetJhmOI1RnW5tl3Lzr7GMOjoBgSDjNi6D7gsHIVcE3fipgRz1MmV/06AamTngdj6g
OyFPxblghaTf0RRbU45+H2YSZwsp0AefkltP65g9394N9rSGczn/fYIT0DAGeSNKgMmWCSH+LINf
GFOau4Yen2NVBYV/cKW2/3MqoMZVzwjIr02jJ+wHK0GCmvCdPVp+c/TBnOumo4pn+v5rnkB+H7Ue
Zjhib7BToUvCEDNINN5dfd8KqtMVU3buRuryZWs7mCLcXD9Si2rsSZSwD8khnaaIta5cctI2PYtd
eeReZeS3VGBTUQsksEsAAgvmZ4N1GhdvSCPZW5/LyNYzDkG0woeniuWJrjcOsw8Xl1GUvKIdE+YU
TnhvPmNYwLSab6QSVfBaCuNIKsBLNmgVH0TQcbi6Z3w/m2/mCR0Pp9EqGXaxKAQkfA2kXn1URfc+
9hEYcLlzil7WtMHnN6ykPW4oLGaz8+shl4cc6uRnEcsPuOWyPbUovpH1HF1Y4zZMS16HBi8F3/4C
aG6BHRJzQwE1Ri6nwbyItWOyJMJejgomRfggcnp0FZJdJrvdMq9ic5do0HAyTUkqpBxlrsY6+IHh
HDo84EmWE/o7GfYUtuVzEoIY9o1AF4gps8FQf+pvK+1RuIVohta50Cwwk9j21Z/VAr5UFRlbi1sk
Wz7sp6sctvbZwSYw01/1JeIdPzjghelKKiIH4aWhm3UDBiBSgHCVzCnZGOWkAkSzDFrj9P3GVS12
Ex3j4ztVZl3LClyZoodgjFItDt+8SdJowPl7sWeDLBEp+Kw/mAitpV5hav0QDsNYKCgyNL/GWjxN
bjOPCKf1AkBgyxYkSpONQmKIiZvEUS5PtHQdDa+d1+E0iJJbT1UIdojRi6SkzLGzw7lLUKEB28am
ZsviPxj4PuARuHVD0t6trgLNB13zCFMLM61A1ietYiaU5i8vZTRL/CL9nvDs/t/DavFZQj1lmA3I
afOx5SLQFpLKu33ibC0V1UrnsBZ3NYWJ6TUIMIQ1z/mHlxTA1LgiaXSOBt6EWFfbKTg/kEqDtP2+
5PQANGsRZBESn3DdarJFrjJLqQfLoiaS1l78sgPOck/rcHJBznfk2m7EYf+ASv0ZePuGdrsiBxwj
yzWgnn9yQmcFVWvr0zwR8oqO08BvBKY2Av1ahFDacFfyl0a//EYoSUio3gJG2nduuUCxnOhj6AoZ
ipMCCS+xdiZbmh/PpB4dJk5zKj/b413t/ElTKV5fNAusb4NXGgOxCLTJioGDSdk7XFOlfNLgWRkm
GHw2ilnTSIG46ODI1YvnQyNrrZ03/FwXAkTftmAi4PrAoRfI9v+c5Yfl2k71BVYF6NhLsVorwzeP
t9SFVofVVTlXLaDkRVYhnRiJWox/BZJlT6pINp0Eg93kkUeZVAkCImubYyaa+GqigiCe9ToeyYdW
d5uMVpe/tq9PT/scm1+cQqWuoygBi/wuSnDvlpnMhRwl+B7oN94X3jB+2qPlnN+NL4MGVlzUBM2Z
q5yqIlmYxc34ANUngsEaC36fZKsfqjS9PoboJqFdirvEunokSZ6NOng5oVjeD9I1gxKQD+Sb703A
Xd7uKmoOlj9QoUSVyBbwY5+/2yfmAIKTiU5Vs48U2rZ7yl6wjgb9hziQ0b4ekceRmE7d58/KHxB5
AGDgBFmWnwfdHH048UDZ6o5cVMm0BPkzBUYmAExA7/WlnUFEwfR5VP+qB7nWvboh8RAvE8EKvOCm
r81NXfu159zyAwrqidPTHdoEsRRBIprAeIM+c76VUQEjvl7hNFZ45J7sBjpWNtHKW3UVPI83wrll
dXkCXvB1T1SATrAqkjCoA0lo3aMnS7I/0DhsX4LnwMIpxYVDTOYjz9pqMOOPQeB6J8jKITe3wEEu
2FfAZ+JxSTNFpTGd2D+/0ZxhYFz1KIIy1+FCNTcWjc921xxOd8WYSYQBmdnQmVTyINRXbLG9/mNe
hQ8qhB8+SzrcCNQfWGXxH8ryO/N7g94mSBU279ej+XwwOH3+5udwy9h3D0ySLrHaLEx3QW/gt8TO
qByDTA726EBffzD3BvoTz6R0WnuhBGrjiKLtNaGlQcbpmQcickDUhYEmyEcTUQyDXbaqyDoUpyzS
Ji+qNwlHPZip+Aak2igOWFSWAydAz7PL/CutKqnaDL1upQoJ09vqhq5p3KhZ++2+UopfZgs+c0Zt
iaoixsFYhW47WP1tdbC9HK3rHSD9vvdEc3DzFe3jgmZpoCVxW/RFsrntqz0MItXIjSJWYVMIFDRo
eATw0fBwUUUZiWYgeSGb0wuNG0VT/QzI1614c1hmzd0kNwKFghOED4sAqWCJl6q9WtbI+13VOYvH
7ZkC0RPYvPtN6Lw41BkzvQjNu3FqyPDt6zgGpRkmhd21zLQ/oCQSxvlY5PcYRzNbB9MWpJtLqlwv
St4pZ017MXRj/Ll9nN4mttmD3rXQhvvvh/qbqQKNJ2/INGM18t1ci3RPye/D/ode/BYqbGxiLhXu
JpXyUyD2HIsD4ZaHmcEaDX2uF0zkP2jP452NMI/3ktYzB9J2RLzE5RWq6PhirgBcJ4NE4vqhOqwJ
oqMwrW7J5lD8eo197vMTLf3cnbJqTtBP52mJcU/v2qlhRJovc6GxoLyoxMJ9vE1l2+8mdLcYyYLi
lZ7raF/timHl6KqGWEtOrh+z6ayMjNlwItAJo2OHQSdpSpzBO+I7wrZ3B2thK9uON4xONRUOb4Lf
djxdQmR0U0ccZ8vsVKP5JnPStte4nh5hjTH87x/nlipeV2eK6tIqwtmxVLnMDQkZ1lDNmO3vULpJ
dxbIW0q+N5mWyV6AP7IwOiHhthHkIFPiHabPIc3Gpjg8i+gLcLXc5SQl+ACOAAfbSo0rMvDA9yht
ZnTAB0YdkAqoOe2/Zvzz32rzlsNfSWvmZ4AK39HfA9/XxpQbvkq8L+mQuJgFrIGCZJqB/5jYIFnq
eCeU2u4agiVgA1z9wdw5abPeASi1IQMK1K5HFQ4Gfauhve+MCtp2mELx4vNF2SfeBrdMsf+IDsTq
3useiD7xIlyMbvJMIP1cLPyhUMCYrfm0vsmvcljTVwUShLPlqqSbpU8sA4OG5pE0stzH5MWUWNh6
knYNUzGfb9P7vN/xJ3M2rauchXONwlfvCZiELUSiT/Ckxhuf0OlS1BI+cwAT3AUfeZbKZCnunRGs
p4wtm7U/OEq2MnEYjaNHeUtsbZRjfwWBF0TEewj2LHmcnBNW844/wZI31cLh9AX927Wlsvh7Kn+/
VIcCQfxyQzMRL4FDeEQdpxRo27awq9fRd3uGmtbg3tqZt6UTNXXyHlraBLfFNRXBwW89FnZgeH98
dpGS2uUvCoj3VoBzjKeQTC9E202Op/3U2wipTW6oholnEOmo0LD0Yi7Vrd5KJhuNcdr0mgOIoRYw
iU5PQgBcve+I4sS/dfM57Tb9V7ZiUQUfcyD8F7GsJ8w7d2zG6zx8tfZzzULl0qlXng0ScxSFw81E
ir7kbf4417qO17XQ73kTIWjng8qh8y97C7bkjc9RToEfoiA5nXBkMNYPXtkYQXip7sq0EiFmMc43
V/vcsJm5ld5rFEslg30oJ2jwcSmPwzfMtuRocf81Z2ewi3e+Ks0V/41DapbGKp4vmV4Fl5ZZK4CE
/pmWudFuO4b+oX6VQHFXUWVQ17xJsTEouMcU+SpbA3h3URv3zJP7o+J7CwuavXGga9mek5SetN73
UXuQtZ0bNJfC8/e6nsRntQPzchmrUqOfUAl/Pc0uvvEq04LS2/gFqkWaN61dNKfqhkkRzerQz51I
juANh18tV8FlqTFbgGTT8VvX7hdE++uyH2AKoOfupv3hsJrRcact38UtXTsUm9gSjvS9gNhyuDhl
H3qQssLtVeiERIrPuPjc3oAYHOhAV+ftjzoDKE+m9c1k5H8L/NV8OlKimae9XF53QpimDJsdkcTf
PwUVAnU1N1rTnenGE54arPgt2wVor3iUGdNSEO8Dy9X21tn+huVgfrLMEfwIpJ3sta6F+BuMwLzm
gdK10muA2d0nSMRPTcwt5TMzkwVaAbpFWtXduLppHyxdwDbjLxJ6LuJWW/ctBpJoYAqNv58IXM5q
wD7cTJ1ZUjYAf2MOOFCa5Z+iqnMjaofBDGmhBzT8wiXkazrIpUDcLO1lH0wSDcUMqAoprepsCOho
WJ49td2SBtvBV7bakhN0iZVuaUqUOD6Ng0pDm/BVTFsbwPiv7hmfckaLqETNp1V0xSvPM0OC4fR5
w9UYQNDoGKSWZ8SVAKEvRG6YJUrfJZtGmIPhGkj0O4ndulpnE0MEV1RkKTfpYHUfes2hKO5zNTsq
DsLAKRe9kIpx9fv2dh4NLEY77XcAsKwZGwpLzTupCR17DWP+T5Q0wtYTVoSp88i4/ZL6sHTTqt5x
zOVSwhsEBhGRXMx37kXb7k9GTyaqVsVnyvTqg2VTxiEM+sTACgaJnbj4gEWcG2rKksBrlCYee/yE
hHYxv1VmxkKE5AG7WBxwO0Vg8ns8/Avc7jVa5wHkrOUtyfsAzNz8wxXTzEF0kBKci/sPMBI0iVZH
SCWVDwWYVKl/I7vcbsDlVBHfH2qtyjF7lSi5sW0Q09NNtB0sU00GKyg47VMQzJFCLIsUAyyEftTb
FXeXo0AJErjfe2lgfGSxxpjYsGPqgMVm7KTpH57LWKCxKQnnW+tydCR5zDQCSFkDEQx8Wtbu8Ufh
lDrL8mI8QFGB0K6+FJXEJzZmKk6OukWKGl++adDJVEK9PW5HmldJ74kPutnyU1qzIqJTxqpL8gVK
NhsXhIWpUWLmLEA72hsA0bSvZBELiNmfN3HgxHC6qxkGfFQu++JUXciB6gAKfcxBFZCrzYFWU/2M
TyIniLpGJRwPUq6JZbWyvxC/S0Z1tsz2qY3iqKZt5KG6oHn/3SOFIbGpgLp2N8B1TjbRu0jpEamB
nauLlmVcCv3ab1fkkP6oMf6yN/wIvYbR2oUEnJRg/aqlsYwIi8t0K/y1re/Awl5txS8og2wj7BhX
6lrHp1YAW8TE2bma8/1YvPW75Q/VUXoiG2zKH72KTvg9Z8C0WJsZiH5Az3LYASMg18JkJvfHp0vV
Awc3T+luO25wpAjPBMOU+DkfVbzHrjAxFz+u52xkqF6v3ZDulDWY2g3hUPFUlUnOcwyD0TiVyjGY
mxH/39pZWXub/rjx5Ka+1d5RVX8XfeGdhr9cUGiZqXian+CHrpM82wZ1TslxlKCZKj1duIj4h7je
/2SRw0n+wGDlzf4EoulGuhldUIwbNXZ2LGO2Uho6tGRGhrnTv7UsZ2TnFcnH7xzdZvxMvy+PQ73l
+b42SSqs+iV/EZjqvrdttN0JcqrFHcrdCIk1ZRJ1ori2rHjYb4qFfWvyqBxsnoNla8BTSgQBrpKc
v2rj7pqwbxTyMQFjY8N5nO83OsGkESuXlus3DqcMdC8dS0RdhmD2rkBgXxn37+QGTFQ02m7ZJTV0
QfTwjOYqvAaHIw3IAD17F58MsWwpu6IHnovJPINU/xR52alpgPpj0MOYIapVqzY7FnKmT8ZGNXgF
rqoG0KRlJAVB9Q3cwKe9Ylz/fGNj5ikrAgFuhLzQXpFogq9/mMfa7E6vSpKvoZartoukaaAAoYqr
19pnsDpuGaqCP0bwBMhH3jXfTYRcJEZYA0Fg0KH1QdYQLyM+YLtYIMzfRks13OQEY/TtlKUgHqcS
Z8bqKrZrTYI5kWA7HwixJUXSPlzZ9mSNGBvZLxYNis342tUG+DaIup9aRL94LnYPSPENfK4zUPnD
AHvgOJwKQTxIRNRuSL5pkejUiW/nXvcWDot8riGNHdRLs2pQw8E2vEDUBL0qPjT6kZlRZlVDi8uV
URJgT6vlsDqAcnQoz5ONQYrSIS6+ioTcJbKal9c36jry/WgIKwZSzX8RE3/mNXenwYtOES61RqXt
cJDNDX+z7kCqrX35D1ZkFb/Zlm38KSejFiiwxC0ON2mBkPKbQ3vb6MM5XPPlRCO2qUQglawVkhI7
ewkwLfRhoMuzt9m5unzjphsSqVwtfqojPAqJD81yy1DxWeGOPfWBQrU87xXaG1MlPRU0PBhrgB55
qJYZHgDxIUQ6j0pZzuGwCHZmOw3Z/wvuGigLsKc7EeBT+wnLYncC3nuA77S5u9bFFpVHBGS3h5GG
jwcxqG2Fbod/Aegbroft5mIHsYwoVNOBRz9I8VlVJN/XC8QKhVvbLPKC8qxro4IbuFVmH8Qis9ON
eTw4xC4pjqT5Xdsb+8QL7YZ2Ip6YPYLCZlFZADHnjRhTfutDJYWt9ePzB7zgVQvEqk0gfQQxCUil
LrAqAaNk3XDcTKQ65rZG6Zw7crB45RgUYXZDWyFCa6mcZyqTfyGSY1HRSgV1c8fZixv5l6KJUOzy
TlHSB/JTxe9F67ilTLQ7IGvx/HdjSvMuaAssuYmwMjWnloOjgJvnwAZWiq9KC8HVdBQ6O8NUaVPF
HzxLKbwsR2JHLtCvSJlxMYM1iEZHdiBG4AiGLQEkLPli1VhsF9WagmT4inyJXO4cp8Rph3DIoYjl
BmPpLHYHyYmXAYLefEcJK2Yj12kT03ke0jejKFr3Km0dlmDaCY35s95pLKKgCanPAIuREYr6fmd+
LvlTVYTXJctdZ6VGcx7nLDLTBMfCitcRvatAT6/JeQ4rR89DdzBaZBlERAhJVCysuhTsNEF6f38/
1amcsIgNhu6UCEbbIvUoKaZFzX9c/U6NtKGtBIHjFJ4UrnYXx8KZqrD8xNqb2BHo56i1DZ0HxG25
JZuISLhOyMABaij2My5OMnRSSmlAwL/9pXUvjT6fmHYeUATsfhQUg3BGcMO3Z03EZm9prCheOMqI
YDtwvC73BPfWK5M545jHykME3w2rnx7jCT2dr1sdWklHuCRWTmPCTcM/C62vfH6pliIzUcqTVd3j
xvJXjBzWrBemvMx+oa4eE3yJ7MTaZdihwj60nH+LPs7s7unxf6cAmxRNXliEg1BDo6w1WOwYUL2m
Qc4LNBmZOrVZ+SmY85w4PPXPG7IMtnFPgfWQum+g4FT5jlzTf8o8oiH/Mcz/uHP2ZEoQyCiAncSR
7Paoi7zrmgv04g2eSpHnUi24/t9QXIFK6KopNTTKEnZiEZLoDSncr/NB2k+p4htCyQMeQjvJW8u0
nM4bEQcPaHycAZgzFSU/KYomBZM5JgX2yGJbufOaNCTbLEHIRRRa5yToCsxECxp6QpwC8bREKs2F
9W24P/4WXY6xH1H3T/Ie8eu6pWf5K5d6f6yltD7Xq2VVToRLGjRyxGUgPpcqOBPenOBwknNHnbAf
1P7ZcVS7zSaC5+pgzMfoDD3Q/qgJ+y6qTQukYkYih/AMbnoHyuIqNUUOutkZyPJmHYFUoBI30W3g
bn1prNIDduVbR/9nXlHG8i/6/4kbVwc6VV+EsJxeAv6Hzz6BRUQmsyysMC3zIXUC0IxNc3W/5Vx1
WmNWRPDug06jYxzmK6+2kGD00q7SNFNTdXnyLwepU1V+/ifE7fCBHOwCt6d3pxkmKnvB8jYRIzoy
174VoZ0Nl0l02HY4HpaEnQPPQo9pBPKYh5Y0pNo/A0EDPLe3Q0Q+QVFf2K6nXllymVTwJFfBNlXq
TUJpyR2OG0jSVCBOqXQlIk7mH9ne+yTG6vM6M2Zplay9lB0Cb1ryIni9pacKEE65UYXo5z67tQPR
3/USMU8my6ecDDbleFBZQKzidyJs71tZN/CU9CCAdH6CDdnztPSE4KvA/7AnGyz92zK0AdabHNr9
6b72PEQYZdhbiOU2+th4aFiONhedx6afKyTUGEwmalDiSWYX6Kk4tJrAOyfGXHLRpaniZW95vcSe
bHPjppXO6YmiO1zW9qO6wTfa5rfLHbA/8aEQqlKYbFbkB7xWDsoqdbccx5S99xswqKDbLollgDmd
QwF9RLDEUeJpO2L37cK9g3gNmh9y780/Gyi6hxKha50Tp0UGCb2RpsVR/pSu+ztP65IyyoWwYo3C
3mdSI0vZSZY0swRHxUiePKVZu3yFfx7mEpLiuu4BPJ8fDXr2sBqSpm4aVuaObpnRYvP2pHXd5ka8
Ed/TZwOBEM1Bs0RYjLYG+wQgJukIpoeDh49ppmrHgB3yKSJtS7LwRauq8jqzusSNeOSr/hV4bDzz
/CXXzw0WnQg4l33mY7H7yyQYxq+QTaK+KsbCnFzc7y7a/gc7vXRD5TkYeaIge2qPtUFnwxGoV3Fe
JOrZoKS7pMgcBQgKkGLw5zOIhCCbUQuk2yy/Do58VAOkvVC+whSk165HpYN+9GTHxR8LauY54fd2
weVK9XAvANy0AdfDAcLXKQJ1iMfKUpP2e2wmEKcnRaEucrfOvj0mpQpJYbe0JV50J008pWQe25DA
UrGHFqnEpiUgIshQE2mGYDbkSc/mCx7e5uceK6LXwn0rnDiw6c1A2YpMBOnUodCmGWlCZqL9RByT
4VsIK+4Z1BhHw1yjF3q7PvxP/l5kpQbv1+/TTFkp3gXeBE+OLPqrij2Ig41/JKYz3O7GoSk/huK4
fikhhMRFAGm1cxuUuZgOC0zUhzvMnk5OJTuu/AZfrJj9AOeDiC59Gg1AEFcCaYmXz/ArKv1hoVH+
y/txK8C0Z82cYl73pv64bpAFUtjWZ4l/6/QnmUIBu+kQsUeOxB0S2yn3/hgfyoEWktHj17oWKPTt
O9oN5mLtvzjP3jVj/oSJDcN2ikrX0MzMvXsAOaIl76cei4X4ij4lpGUyt0YdFCHKT0hNTZT1XJYx
VDF5kzsIqEzyxPSPUC1eJiFiQkDR2sdPYxYawZVfOkrR68IlAIHMXmwofyxF9+b96v9ETuirGNr/
Ev9ObG2UELiHVzx12wkW7pLQ5u54IiTfhBFWjinylag9Z9K1YhszQZqTOqjZZDZAJ6V7Du7qa21J
Ug0R17M1eQ9JbQSB6qej2eAMj77wvFtRWFpkx7PnjLxbBbr33mWPRzwNXOEUNYEhMKYWFoi7GByx
QAmSReTAiCjKCY7lUppDLcGw1qaVWisd86WN+Hlzt2RTjs8kfl1b5y1Lj3MrJOdE8GANIlcxACNL
YnJP1JU977ev/SjBnsSQlkOA7PWIoXxJpqGjLiNuhxbifQOx4RMgUpO4PsWDRewnQQRNK4YV/rCX
mHg3V0VB91nc/C3Vpxz0SlqrNjvKG+KcZu3YVirfL70mxU9x8VF0F6+lmuKpKorUe7b8Q8t0OYoZ
Aw5UYC3P5zuzpCGQ5WMaKj8h1hTuF/7yyhkQy9eB2m7GDeyFeAvtrUfnnujcfioRzwkO7emSPBcv
IOF3qqbBPyzLg3ESQH9r5wW6pK0kG62WAQO8P/sniWRSML1p8WwGycyEq+/syQ4wPNOuodw5EWTx
g673JgzIb4ttC4RqM61MkxS7YLIKlwUV93UgQamiX+0GVH3OhmcYSAmuKHvrofes1fm9XIWXcR9H
AMaXX4M8FCybHzcFO2J64AcYvlvbYpk4qyxFXi082Go/ZgkYRXkGYiBnZKwYM/BTVdlZLRVrrot6
BfyP1ys7RqsT0PUuntievfFrh5j5AtDiX58E7Yr7R7DfCV0Z8yn/RNYTzIYm/i644jXXY61rjUBz
TrdSSKzwC0rPR3/h/RPax2dOgyH0+z8oURbT0fPGynNhfDcKQA4VpbojGgYc4f8PMqSzSnhapYi7
+Jw3CxJNBDbHetgVZbuY42Vtr6bxdjgESA2Sczdcjw9aaO8M/bCiLL5amHqrQrWQ5MqVC5S1HeBO
qtgxT4aFHoOJlN4ksjEYStW+RsThno/OuBHbAax0yLoKoUE42dOLBzm6/89OoGRJnAuAAVUIKoXe
a5IpMYKxc5GuvvpiXACAMbWJxnyG2W9Gsd4TgJCOGPJG29luXrsTfHk87Bxb2J0dBYXHzDTrwe4Q
sAfYBp4VMsM3xwWVcwofj+GG3NAyxWu6qqT2fHd4uG02sWVJr5JxhKVedEiSu2OfrsHGulru9mp9
v/GpUZ8Nn3QdssCmcZ/AO5D1HOoSR1shLMlw5/dbXeESEnjUpS/sjJ29kcp4Da+5kYvLRkZIBR7o
KL6lGd2uKA5qo19L+29zJ9UnmyX+yNpZaQFwmpCgGDlz7gzwlc+0rg8l3a6MmLVg04TwhwX7yVFt
OeWYx4RgJCPnJFIMMH462me05iKQ3+D5ZVwssGDHiKwauvkeaCW44BCVLK/Fm4lTSCLSFIJdeiPj
dfbfqXVF9KR7LnpdHz/KBXiufPCMwvaY9AckjHBUDJXHvvIqiW0Sg1WhLe+QCW+J/2wet6EejU37
Wpn4HeNqe6oMh+HPCi7C2U05yaoCeC27OeZKOrt2hZEuE0Ui748gIQRpwfponxp0MxVisUkaW9YV
3SyHWRyiHnUSNmR5o1d5T5g9vHlxvTHF6XNZOh/Qr15yctnOmGDY7gfn0H79mdySPYutmcYrNDFj
/pDH5VI1iOFjcbhYuHw4tle5/srOUh2GtBQIh4oe2HO32sVdy8KXKQH6YRKTO4MS5Ytkmxae7yhQ
9YdZeXUJMaw2YddPsMs4yVldg5Xj5Gf58ew4UoBbmbYUveUasOkvwjCkJg7oBWiZ8i7xUG/4PbRU
PS9cKfA63d7k1y70+PigKIZ+OabUln0O4W2d5M5B13J+9RURPF8gH9xr0o10sYJYgWT6M5RI/hNd
q+ri077qWX/4SQ7CK7gyFgEbL0xomwal5SneHh4rbRe0Tjg49XrxYuSrKR+HW7wgaP6e+HKuK+oY
HoegEr07ySqdmXzAUGTqQl+ooSmBAhwBgHY/C40MVV7p06WMdev/wF3FF5/ju1lK3A8QsNDaRKDY
EqfrEve5ry1p+F3s2S+HcaScLMnALupPb6ACs7tnpf5TwZ4ubCD3AWvoN69WAd3oU28CvnVpcaL7
sTxXAM4Z8L7/CtSgJNpdBbYR96o9YFn8K50W0ZR4VB0b5Wbtpqb0USMCMitgdQbJcJT4ceav7f4F
3vNIjbE7hlEKVIW/u9e7h/NTLV1IBTdRcwv6nzEjAQeYXtJf0DNcvKAX5onMIudolKHwYotnrbbQ
NGXg8TIe+jbgyBFTpjv1j6H0iWCw0BwAT3xexC3R8s3J9ZDIv36G6yjgnEWzwCmSGtBanIEpZCwu
8O0AV6ujQ6SUiUOkdvS1Y0uDuh0jomYjJ4gQUYtLtdRxUev/S0O1+Pd2bQMm+2QRPvj1zPsnJzNI
1/L1NIe/7tnwwwmzUXOKpaH0ajs1msPdis2kFgjElm0yKijDv+cVShsmYmfMeWIr8DFBjKxLwmO9
UQPmJuJfj1IOzqodVUwfsOjFZREKK7B/+PA5ul8BUil6YpExXQVLx9AM/RhgmB1s+mcPhI0cHLJQ
woDWBYUL2LhRD+WGGesoJ/AY+5WxcYYCP7AlVo+splbTXalxwhlFWuckIpbvIgmKqYCiQK5yqsHp
uBs7vkBpOPg6y7+d4MHZSYRtUzPYF1UUuIAw9rP/m2q228Pmwr+82vbzESdZXn2OcP8X3508vlKj
d2v8UIKcffk7pMBbayz4kbVyCVC2cNugry0zthD21lOSDCjq6hYM41ZxZ9rkfQrJIwgLBQMAJsa8
RwsqrsVzoXsy1SyXTMdplyWuEn7tujWYQwyfrZ3Nzh+lOPlkhkJeVl7ujYzJkgITMCiNr0Za8otD
Sq/dHRmd/hH0c1qXLe7l5CytDoWXwxLo5g5Zq13GZ9sW0TNFNQifl+n0M8xExfCID2dJtJhZZ9j8
WQD2RHSUtS+/iUR504Z8dvSUe9uBui1LMVwZbss4NQQcIQcs6W6RTdn7jaY8qmAlGHNUpNJYu5VG
p1qfccwbYkXCGepkjjg6SxN8gwAn83T1D71CMvFBoJXlwzmWMPsJEGqDQrQj6U0TD9rN1987S3GQ
ckLps/D1eYLyz8Uhj8e/dviR1A4FJBzRh2riIZnUAdh7MI/w54SYRtXXO48o3nM4P/fn5xGDAsKv
CFQ6v4kxjsIW4tOUQp3AZuFjzAZYM2t297gJnlQox0yvfYd3Z8eZUvSOXVGS/iIZw5McnUIphHah
mx7VBbp7VXO1OmrBGEK15rmwW8EMojFCb3HzUMZn2iaN2/mAKZVzRhYlYVXb+WS7/XmAKGNlRuyh
N18o+kr5hJC8pweBbL3gVSa/UI3ws4pwoDlunsdCLDmzeyTFfcSYchdQrpK9rt2NDK5WeaKfExqU
E06rYJLpRDjWDzAnTvUSWSX8RchhQveaCWowQz1kMpgptFq76mhKAsD8F2cqB8kU9wZP81lj3P5L
PpMpCDp/hUkLAc/zcH5o2vX4vnxk2Fspvj48PQvJlxP36nj+d1wLKEXh40e3Sie8zMroIRns+0pT
eXQnat85d8ciUHIM4S3Fganm0CTcSFJLXqNAn/OS2sPkIY7vGWJPa5vLNBmpFKC/Qyfl2VteE7Zz
siLf9q8a58SwUTupD6Un5WZNwZY+/vBOulxKXgC2nZGcvTl2ab5FIsG+M+uhjMKcRDOd1AICGSkb
CjLu14wiEBB8WyLioG0Nhk0EHc/uehzGZD4AeJuH0tnlT3Hk/NMrxUstYZg7rjren2/VMUhh+Hv8
E1p4pjUAB18g55/ryzS7OBqrNPOdN+v1T8bR8z1hxITu9UxYsTJhnpVmYa5itbuosN0c3cerlxVe
qPX4qCi5BXP+fsfLYKoa5lRnMScAp4n17H7NRYJLDXz5f265xcnkotUGmKJMTBvxlu6q/BrCLIoE
UBLevxVYKqO+9FtEXI6kGU/VGaztNtMUvOpElhQHb+eKgz5n55QA+nLAoXzthx/x9l7orpUtTQJz
O3rPlYEReaLpaDKEzilUaizWoPBsehgUSaX0VHx4oy1fs/rffHMv8/vD2jzJjdu4LcIZR3L5+k+W
pi+2Itvaw9E1tONiznZEIeyQl9ESbHCC1cXkow/YOeKoWPtmho9BbD9mg/s5vc9LGSos0G7d/L9h
IP22p80mtenSmu5fP9OoLWC1+xCZXQlIGmqJ6XfDkuuREiXjY3aij2XNnS1Cwq3+9XoFYAb/nPS9
1r+wQgM/BMcXAvOblhHpIhkouFqCbTEMEMVC4xF4RD1TbUxtXJaIpqojS+QZd7yvwimiU0g+qrW+
g78Le4TzBecTp8pWShWE6cn0EU29xb+EOpfe1HBfzbFyFSxgvTwNVkSU+GtiZyiQ8vCzU06xyTsR
DS5b907KCEHM1bmUv1b5p6oaagy6lPCTkJEjy5tiLoyCJ4EHEA5OHxeQ+Lvt9lczqnBIhZyduEPC
ShgDWKRUXG5OMtpQjd+sUJQ9EO2iWe0Wc8xSeGVqsgbJtxEg68Do+xuMwcFjEiXFdpbbKHdUaQKQ
MUrVJ4zAvOGjQi7hUPIEltV+eT4flEkEdymyLoZBWsm/zXUnCcjXppFseSDdRpyjuKHGEeRGTgqa
bBHjm086pORXNgVDtlNBH45Ru6it9eEUmvcrnOjwigEiFPM1kXfLUx0b70DrcOqiatr5NJ3x9KoW
NQB77CiSzpqT2MfVl1NV6KzkWTaOSShCx6ueuFHauAvwzhqLi3MQdXocL8itc2xyAtrHu3rjyWz5
dSqPXCwhARHT/C9r4T9HpSIaquqHDHTK5V3cqPe7vtFsiBTcpyPP9F11BtBnuZgJPSFqjGMY36JL
JVBDOel5633W0tI7CN51uAiKyjCqGL1CcaxYJeYvEE6J91M54lHwuZtuHktNl2XAbBjOy34W99km
9Xp0rwZNFjsT2T6vddxssaNlIktjNKDnV5dHj0h/K29luA9Q3sHvj8dy2wm8VjdYqr2DE1q7M8Um
HrGJkOOSEfKuQqaqXNsk/RftJyxOPlPqm4ed+PScLhjq/FvF39h/G4lRzkjuDcVh+LqW9F/Z+W2u
pcvYl2UkAW+yp30/EQU7QD4BGo+G5CUGYASpEiu1FuEiFqJkkpKIxvdIQW5PAr6RN4EpBHBo+082
I9IUCgg4DvXb3iCbg6G/pzzphgIPCstAnEkNiFSJ5mtdDmvklQbCnfHPrX4PCefIDHfjYOkvpaTh
ErZiwJrkYIp31R8Q4BjpZdsZu74F/2BcppHjFfeSNoF8sAGmtLmV6TAl5Y7sgd+eCQXzIDvE8Ot/
kDu70iv3s9aSjqBoi8xLkxwJV3/jo8ltUb4gZxd4MXcEyi9W50dZAEGSLq4LC/N6VH7A9j1zOWns
a9JNGtbR+/9yMIDWP2KKRoImfCo4RFz0jJ2Av1qghzq4CJrax7HTws+xmWi3GxzGLTrdo1GMkq0A
WGuMGyoLb2epb7/IKYgMUBG1hDRhjCVnV5fWiilcrMfIejIY3ngDJ3WfeP57Bjs75EbMDEr2SFtw
WsQ2HI2gznHpoE0M21DMMzBPNRTiVAUjvqkFTI2F3bqfGr3HIlNcnUcYaXu6gC4Tnr6Dn2hova81
xQ83czwfcx1KBngpNJb6j2Y4ZTQK3zyI484OgRC4+HCuL6jZqN8MLJ+pgMVeqcpdIfSW5PdlvKxp
PBc4xgxDQ96JyHlE3o/7IU+3JlovuLq+7EXRuRDCd4zVI6b7evwJjqYQlHiydoSM/Cl0FdtdDoZW
HcXICB3nffoFp1UWhcXaKIG+vWAoXIpYr4aTTVR1MzQi2SaD2se4ZqIOtaxs2KYLbIvIs+0Ql73O
gVvWAIY6tncEPLsB3jmxGXhb9W3T28aq+rz2D6iqI0/JhXbaKBwTwWFERtWCdk6TDzYOfgtlFrkZ
i1JWJv4Xkj+wgNcZmUm9gIYc2BpyIjkQNd3virdTx8pRpYwGESh8E3zgLoBuol4Sfo14G9Qnw008
t+Z+mD0eEX0Fb4vUSHnv/MF/XDwOd3aDbt6vvl7d7lEGi7DDSD5sRMnFdwWuIqiCe0XyyeJV1VEn
Fs2ypIiIbjeUIHkQq6IPdG56FqoVYwgg7XGwJfQ764MDXc15nBmcr+eXyvLVbTxO2HepmXtrp7Ib
luBifNcpxX7LuYe8dLke4oqpKUPWpUxqjwFzKE2cSpdC6ZexEHnHWLut8OGrvH6i5mLukF1deScE
TwxcL5ABLkS8ZNXTMJE9wjX9ZeJ8lIV1/orpJPUuyYxjBv+XfU7dV8rF8aZGoxhq8bqBt60lHDYG
L6KuYqlhjdlddxUyNrl939EmoIG+AHMIG5TBuw2ErwvG0g2OC7vkrVGGr3s81JuCD30Pssa5ouZI
x1P+VJETyopHH3Fy9CEhXmwhCmTcQc6LoqzTi1MyeXmmZ3WZ0zveJOZfvPSzL1AcG8dKvlgB/u/B
nGPlN96D3G7QNtwbXBXN5YBzca2bYt7njFjU8vaSvPWkU3POL6mHyeAgvkVqWyZpYkQ1v84I/Tk7
0a6tQ78EdvlONA0Y5CbrLrQqijDXxiUJtHKmxAS5yuqP0Gg9xPTt3HFACTf93uSNwFEb+tU7ycgq
16S5ZlsolN72PtXbkvEGlVsbHQraruEk/QBEobDdjOrVEo0Tsuo5Txw2haCy3ffGXkUVvNkM/lTY
T+3Rb/wXz7zPmv35n0rAYdC1DDP/6gxXT0cn/C60s9winws0ijes56Oy2YIH+Rp4yqdlsKJPiMjR
JKZzTyk/MJAsNa2ZymvEOZG4RElyi9VgW4sf5QdWJZpOXSQdAzdy4R26KPosBo+wncobDQxoGG+5
jljfPLiBSGZBpfTwvyEuHm8ZJ/7JuTGFpjX2reVwReLRoFW7BQIenx61jrQUC6cdAA1g6mGpP3y2
vjZlsiV3/vfDUddq0N2YKQ871S6O5aUNupu558q7NlWhsOMOzS4ETR9zs9pRmQT/th8CrfFeYVIr
Yu30j2reCD38GODdSw6X0uqmYwkQxcUGNBb42ofad9UXUxB77lyV9iZOzWaQC/IXhMdYdddDLlue
Xgdu5viPoQpY6jIXwLP2SHFQxiza6D5Yd5pSd0/WpGtLdcAGAAOxGB348bCVzFxUn3Im5NMO61tq
nWXgcH6CfvnafCKF4Ujl4JPhtkzu2/neBInxUlbFQIZm3iH7ZwCkgwdWWGNYP2Hr8q7mF4ITr1sl
dfNv/9L7VdvydRuI3+Dzyb9vd6qUtjNcNN2bFYjpOITVbY1ql02efMckhBDvffxce3cO0ykT1PWZ
6WhF/ec=
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
AEtMIowO8U68h/kYliSxunoyjvaRR/+vqCKDlrnxb0enBNyPwWMMS8pLetEm2IXlGCnk7glFt0/7
a2e+f3DfYA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
L76kot/29UeaD63BCgyo9eapacBNBpF20SDjBpR1Kq6xoZodwYuVcdUQ1Uv2xzzjel8jhakDyEDN
HB1Qse7IZYZWjy/b6LIGu1GTP1bFxZFX7ewPvFt/Z4sfazLcdcx8pucIYUNVT4ztNS6XMdeLnVcS
/zllOSmI52rMXp+Q4YI=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ACO7hgxpYYv0TmZUjIHr8E8C48t15aZR9yv30d83GrXnwpmQzZjbIrMnrbEd9CAM12BQ3Yn9qVOR
JmFTf2WgrDixJExd9+ah7CpGlTjcN5r8++IDma/Pe9Fb3+8gk6WoWz5T9RKMh+mkaGkklshzffDW
Cc5aaPBOdJJA10zISomH2xHBVkVPR/wx5xqn8tQPdAZCrXO2bE2Tr2J0jPgtAvFWB9P9CcSkzi0i
X4kuvPZliNsmqxpo1oGNNs1xRArx/c2ZFU1W2dm2sZOkhG1/lzZAa9ZqjssEWjQz61t7SIREUIfL
6eYP5w1zwklUnFdyBTjESc8s88fL4Jc0wrIkfg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
lcTtrBB7UTnKEF+g4CtzGXysBbtQY1ggGdP8qjI6LFx1c1YGWmIlam8lJ3g3jMguWg82hgqmYRXk
xWx7Plnaiw0L1vJ395ENTcozhpOa5yJejpHLrfwCtp0uCpBx1u6qLzQy7Ox8XWOYsHxXa0+MNR7l
/J4BJ1Cjk8bPFqBsXJ8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
jIeUWa6+YQNK9F0u3N4PvVy5ndjp3FA6RlqoST75BDRlSLZNeIiAFUYR4k2kgVBf5wcyIYeqF9kd
f+qptwc08TVG6c8j260RE2Q8b8fQSzOrvH6CFt4xP2RmSsEGD0RWhioXo+49SudXfFc/rE9YDpOO
ekOgANnsuVAsBH2OXVUvSqLcHMlbbk+R1DtRzmiARH41OxmLsNnkI4txT1rOjr5OOAR0zuKEG/N/
V8wG7VPgO7aieOA436++wkkXKd+iNs6GuqjCyokL4XX1osXaW7SNdVfchNEgrtrOMAaf+S9HSUjX
FOG26fzS1bB6AaCG8dbVF3O/+ul13m2q2eDlEA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 33008)
`protect data_block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`protect end_protected
|
package textio is
type line is access string;
end package;
use work.textio.all;
package PKG is
procedure SCAN(
variable TEXT_LINE : inout LINE;
TEXT_END : in integer;
START_POS : in integer;
FOUND : out boolean;
FOUND_LEN : out integer
);
end package;
use work.textio.all;
package body PKG is
procedure SCAN(
variable TEXT_LINE : inout LINE;
TEXT_END : in integer;
START_POS : in integer;
FOUND : out boolean;
FOUND_LEN : out integer
) is
variable len : integer;
variable char : character;
begin
len := 1;
for pos in START_POS+1 to text_end loop
char := text_line(pos);
case char is
when NUL|SOH|STX|ETX|EOT|ENQ|ACK|BEL|
BS |HT |LF |VT |FF |CR |SO |SI |
DLE|DC1|DC2|DC3|DC4|NAK|SYN|ETB|
CAN|EM |SUB|ESC|FSP|GSP|RSP|USP|DEL =>
exit;
when '['|']'|'{'|'}'|',' =>
for prev_pos in pos-1 downto START_POS loop
exit when (text_line(prev_pos) /= ' ');
len := len - 1;
end loop;
exit;
when ':'=>
for prev_pos in pos-1 downto START_POS loop
exit when (text_line(prev_pos) /= ' ');
len := len - 1;
end loop;
exit;
when '#' =>
for prev_pos in pos-1 downto START_POS loop
exit when (text_line(prev_pos) /= ' ');
len := len - 1;
end loop;
exit;
when others => null;
end case;
len := len + 1;
end loop;
FOUND := TRUE;
FOUND_LEN := len;
end procedure;
end package body;
use work.textio.all;
library WORK;
use WORK.PKG.all;
entity issue262 is
end issue262;
architecture MODEL of issue262 is
begin
process
variable text_line : LINE;
variable text_end : integer;
variable found : boolean;
variable found_len : integer;
begin
--write(text_line, string'("{A:1}"));
text_line := new string'("{A:1}");
text_end := 4;
SCAN(text_line, text_end, 1, found, found_len);
report boolean'image(found);
report integer'image(found_len);
assert found;
assert found_len = 2;
wait;
end process;
end MODEL;
|
package textio is
type line is access string;
end package;
use work.textio.all;
package PKG is
procedure SCAN(
variable TEXT_LINE : inout LINE;
TEXT_END : in integer;
START_POS : in integer;
FOUND : out boolean;
FOUND_LEN : out integer
);
end package;
use work.textio.all;
package body PKG is
procedure SCAN(
variable TEXT_LINE : inout LINE;
TEXT_END : in integer;
START_POS : in integer;
FOUND : out boolean;
FOUND_LEN : out integer
) is
variable len : integer;
variable char : character;
begin
len := 1;
for pos in START_POS+1 to text_end loop
char := text_line(pos);
case char is
when NUL|SOH|STX|ETX|EOT|ENQ|ACK|BEL|
BS |HT |LF |VT |FF |CR |SO |SI |
DLE|DC1|DC2|DC3|DC4|NAK|SYN|ETB|
CAN|EM |SUB|ESC|FSP|GSP|RSP|USP|DEL =>
exit;
when '['|']'|'{'|'}'|',' =>
for prev_pos in pos-1 downto START_POS loop
exit when (text_line(prev_pos) /= ' ');
len := len - 1;
end loop;
exit;
when ':'=>
for prev_pos in pos-1 downto START_POS loop
exit when (text_line(prev_pos) /= ' ');
len := len - 1;
end loop;
exit;
when '#' =>
for prev_pos in pos-1 downto START_POS loop
exit when (text_line(prev_pos) /= ' ');
len := len - 1;
end loop;
exit;
when others => null;
end case;
len := len + 1;
end loop;
FOUND := TRUE;
FOUND_LEN := len;
end procedure;
end package body;
use work.textio.all;
library WORK;
use WORK.PKG.all;
entity issue262 is
end issue262;
architecture MODEL of issue262 is
begin
process
variable text_line : LINE;
variable text_end : integer;
variable found : boolean;
variable found_len : integer;
begin
--write(text_line, string'("{A:1}"));
text_line := new string'("{A:1}");
text_end := 4;
SCAN(text_line, text_end, 1, found, found_len);
report boolean'image(found);
report integer'image(found_len);
assert found;
assert found_len = 2;
wait;
end process;
end MODEL;
|
package textio is
type line is access string;
end package;
use work.textio.all;
package PKG is
procedure SCAN(
variable TEXT_LINE : inout LINE;
TEXT_END : in integer;
START_POS : in integer;
FOUND : out boolean;
FOUND_LEN : out integer
);
end package;
use work.textio.all;
package body PKG is
procedure SCAN(
variable TEXT_LINE : inout LINE;
TEXT_END : in integer;
START_POS : in integer;
FOUND : out boolean;
FOUND_LEN : out integer
) is
variable len : integer;
variable char : character;
begin
len := 1;
for pos in START_POS+1 to text_end loop
char := text_line(pos);
case char is
when NUL|SOH|STX|ETX|EOT|ENQ|ACK|BEL|
BS |HT |LF |VT |FF |CR |SO |SI |
DLE|DC1|DC2|DC3|DC4|NAK|SYN|ETB|
CAN|EM |SUB|ESC|FSP|GSP|RSP|USP|DEL =>
exit;
when '['|']'|'{'|'}'|',' =>
for prev_pos in pos-1 downto START_POS loop
exit when (text_line(prev_pos) /= ' ');
len := len - 1;
end loop;
exit;
when ':'=>
for prev_pos in pos-1 downto START_POS loop
exit when (text_line(prev_pos) /= ' ');
len := len - 1;
end loop;
exit;
when '#' =>
for prev_pos in pos-1 downto START_POS loop
exit when (text_line(prev_pos) /= ' ');
len := len - 1;
end loop;
exit;
when others => null;
end case;
len := len + 1;
end loop;
FOUND := TRUE;
FOUND_LEN := len;
end procedure;
end package body;
use work.textio.all;
library WORK;
use WORK.PKG.all;
entity issue262 is
end issue262;
architecture MODEL of issue262 is
begin
process
variable text_line : LINE;
variable text_end : integer;
variable found : boolean;
variable found_len : integer;
begin
--write(text_line, string'("{A:1}"));
text_line := new string'("{A:1}");
text_end := 4;
SCAN(text_line, text_end, 1, found, found_len);
report boolean'image(found);
report integer'image(found_len);
assert found;
assert found_len = 2;
wait;
end process;
end MODEL;
|
--======================================================--
-- --
-- NORTHEASTERN UNIVERSITY --
-- DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING --
-- Reconfigurable & GPU Computing Laboratory --
-- --
-- AUTHOR | Pavle Belanovic --
-- -------------+------------------------------------ --
-- DATE | 20 June 2002 --
-- -------------+------------------------------------ --
-- REVISED BY | Haiqian Yu --
-- -------------+------------------------------------ --
-- DATE | 18 Jan. 2003 --
-- -------------+------------------------------------ --
-- REVISED BY | Jainik Kathiara --
-- -------------+------------------------------------ --
-- DATE | 21 Sept. 2010 --
-- -------------------------------------------------- --
-- REVISED BY | Xin Fang --
-- -------------------------------------------------- --
-- DATE | 25 Oct. 2012 --
--======================================================--
--******************************************************************************--
-- --
-- Copyright (C) 2014 --
-- --
-- This program is free software; you can redistribute it and/or --
-- modify it under the terms of the GNU General Public License --
-- as published by the Free Software Foundation; either version 3 --
-- of the License, or (at your option) any later version. --
-- --
-- This program is distributed in the hope that it will be useful, --
-- but WITHOUT ANY WARRANTY; without even the implied warranty of --
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the --
-- GNU General Public License for more details. --
-- --
-- You should have received a copy of the GNU General Public License --
-- along with this program. If not, see<http://www.gnu.org/licenses/>. --
-- --
--******************************************************************************--
--======================================================--
-- LIBRARIES --
--======================================================--
-- IEEE Libraries --
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
-- float
library fp_lib;
use fp_lib.float_pkg.all;
----------------------------------------------------------
-- Parameterized adder --
----------------------------------------------------------
entity parameterized_adder is
generic
(
bits : integer := 0
);
port
(
--inputs
A : in std_logic_vector(bits-1 downto 0);
B : in std_logic_vector(bits-1 downto 0);
CIN : in std_logic;
--outputs
S : out std_logic_vector(bits-1 downto 0) := (others=>'0');
COUT : out std_logic := '0'
);
end parameterized_adder;
----------------------------------------------------------
-- Haiqian's parameterized_adder --
-- Using operators --
----------------------------------------------------------
architecture parameterized_adder_arch of parameterized_adder is
-- --SIGNALS
signal carry : std_logic_vector(bits downto 0) := (others=>'0');
signal A_ext : std_logic_vector(bits downto 0);
signal B_ext : std_logic_vector(bits downto 0);
begin
A_ext(bits) <= '0';
b_ext(bits) <= '0';
A_ext(bits-1 downto 0) <= A;
b_ext(bits-1 downto 0) <= B;
carry <= A_ext + B_ext + CIN;
S <= carry(bits-1 downto 0);
COUT <= carry(bits);
end parameterized_adder_arch; -- end of architecture
|
--======================================================--
-- --
-- NORTHEASTERN UNIVERSITY --
-- DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING --
-- Reconfigurable & GPU Computing Laboratory --
-- --
-- AUTHOR | Pavle Belanovic --
-- -------------+------------------------------------ --
-- DATE | 20 June 2002 --
-- -------------+------------------------------------ --
-- REVISED BY | Haiqian Yu --
-- -------------+------------------------------------ --
-- DATE | 18 Jan. 2003 --
-- -------------+------------------------------------ --
-- REVISED BY | Jainik Kathiara --
-- -------------+------------------------------------ --
-- DATE | 21 Sept. 2010 --
-- -------------------------------------------------- --
-- REVISED BY | Xin Fang --
-- -------------------------------------------------- --
-- DATE | 25 Oct. 2012 --
--======================================================--
--******************************************************************************--
-- --
-- Copyright (C) 2014 --
-- --
-- This program is free software; you can redistribute it and/or --
-- modify it under the terms of the GNU General Public License --
-- as published by the Free Software Foundation; either version 3 --
-- of the License, or (at your option) any later version. --
-- --
-- This program is distributed in the hope that it will be useful, --
-- but WITHOUT ANY WARRANTY; without even the implied warranty of --
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the --
-- GNU General Public License for more details. --
-- --
-- You should have received a copy of the GNU General Public License --
-- along with this program. If not, see<http://www.gnu.org/licenses/>. --
-- --
--******************************************************************************--
--======================================================--
-- LIBRARIES --
--======================================================--
-- IEEE Libraries --
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
-- float
library fp_lib;
use fp_lib.float_pkg.all;
----------------------------------------------------------
-- Parameterized adder --
----------------------------------------------------------
entity parameterized_adder is
generic
(
bits : integer := 0
);
port
(
--inputs
A : in std_logic_vector(bits-1 downto 0);
B : in std_logic_vector(bits-1 downto 0);
CIN : in std_logic;
--outputs
S : out std_logic_vector(bits-1 downto 0) := (others=>'0');
COUT : out std_logic := '0'
);
end parameterized_adder;
----------------------------------------------------------
-- Haiqian's parameterized_adder --
-- Using operators --
----------------------------------------------------------
architecture parameterized_adder_arch of parameterized_adder is
-- --SIGNALS
signal carry : std_logic_vector(bits downto 0) := (others=>'0');
signal A_ext : std_logic_vector(bits downto 0);
signal B_ext : std_logic_vector(bits downto 0);
begin
A_ext(bits) <= '0';
b_ext(bits) <= '0';
A_ext(bits-1 downto 0) <= A;
b_ext(bits-1 downto 0) <= B;
carry <= A_ext + B_ext + CIN;
S <= carry(bits-1 downto 0);
COUT <= carry(bits);
end parameterized_adder_arch; -- end of architecture
|
--======================================================--
-- --
-- NORTHEASTERN UNIVERSITY --
-- DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING --
-- Reconfigurable & GPU Computing Laboratory --
-- --
-- AUTHOR | Pavle Belanovic --
-- -------------+------------------------------------ --
-- DATE | 20 June 2002 --
-- -------------+------------------------------------ --
-- REVISED BY | Haiqian Yu --
-- -------------+------------------------------------ --
-- DATE | 18 Jan. 2003 --
-- -------------+------------------------------------ --
-- REVISED BY | Jainik Kathiara --
-- -------------+------------------------------------ --
-- DATE | 21 Sept. 2010 --
-- -------------------------------------------------- --
-- REVISED BY | Xin Fang --
-- -------------------------------------------------- --
-- DATE | 25 Oct. 2012 --
--======================================================--
--******************************************************************************--
-- --
-- Copyright (C) 2014 --
-- --
-- This program is free software; you can redistribute it and/or --
-- modify it under the terms of the GNU General Public License --
-- as published by the Free Software Foundation; either version 3 --
-- of the License, or (at your option) any later version. --
-- --
-- This program is distributed in the hope that it will be useful, --
-- but WITHOUT ANY WARRANTY; without even the implied warranty of --
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the --
-- GNU General Public License for more details. --
-- --
-- You should have received a copy of the GNU General Public License --
-- along with this program. If not, see<http://www.gnu.org/licenses/>. --
-- --
--******************************************************************************--
--======================================================--
-- LIBRARIES --
--======================================================--
-- IEEE Libraries --
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
-- float
library fp_lib;
use fp_lib.float_pkg.all;
----------------------------------------------------------
-- Parameterized adder --
----------------------------------------------------------
entity parameterized_adder is
generic
(
bits : integer := 0
);
port
(
--inputs
A : in std_logic_vector(bits-1 downto 0);
B : in std_logic_vector(bits-1 downto 0);
CIN : in std_logic;
--outputs
S : out std_logic_vector(bits-1 downto 0) := (others=>'0');
COUT : out std_logic := '0'
);
end parameterized_adder;
----------------------------------------------------------
-- Haiqian's parameterized_adder --
-- Using operators --
----------------------------------------------------------
architecture parameterized_adder_arch of parameterized_adder is
-- --SIGNALS
signal carry : std_logic_vector(bits downto 0) := (others=>'0');
signal A_ext : std_logic_vector(bits downto 0);
signal B_ext : std_logic_vector(bits downto 0);
begin
A_ext(bits) <= '0';
b_ext(bits) <= '0';
A_ext(bits-1 downto 0) <= A;
b_ext(bits-1 downto 0) <= B;
carry <= A_ext + B_ext + CIN;
S <= carry(bits-1 downto 0);
COUT <= carry(bits);
end parameterized_adder_arch; -- end of architecture
|
--======================================================--
-- --
-- NORTHEASTERN UNIVERSITY --
-- DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING --
-- Reconfigurable & GPU Computing Laboratory --
-- --
-- AUTHOR | Pavle Belanovic --
-- -------------+------------------------------------ --
-- DATE | 20 June 2002 --
-- -------------+------------------------------------ --
-- REVISED BY | Haiqian Yu --
-- -------------+------------------------------------ --
-- DATE | 18 Jan. 2003 --
-- -------------+------------------------------------ --
-- REVISED BY | Jainik Kathiara --
-- -------------+------------------------------------ --
-- DATE | 21 Sept. 2010 --
-- -------------------------------------------------- --
-- REVISED BY | Xin Fang --
-- -------------------------------------------------- --
-- DATE | 25 Oct. 2012 --
--======================================================--
--******************************************************************************--
-- --
-- Copyright (C) 2014 --
-- --
-- This program is free software; you can redistribute it and/or --
-- modify it under the terms of the GNU General Public License --
-- as published by the Free Software Foundation; either version 3 --
-- of the License, or (at your option) any later version. --
-- --
-- This program is distributed in the hope that it will be useful, --
-- but WITHOUT ANY WARRANTY; without even the implied warranty of --
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the --
-- GNU General Public License for more details. --
-- --
-- You should have received a copy of the GNU General Public License --
-- along with this program. If not, see<http://www.gnu.org/licenses/>. --
-- --
--******************************************************************************--
--======================================================--
-- LIBRARIES --
--======================================================--
-- IEEE Libraries --
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
-- float
library fp_lib;
use fp_lib.float_pkg.all;
----------------------------------------------------------
-- Parameterized adder --
----------------------------------------------------------
entity parameterized_adder is
generic
(
bits : integer := 0
);
port
(
--inputs
A : in std_logic_vector(bits-1 downto 0);
B : in std_logic_vector(bits-1 downto 0);
CIN : in std_logic;
--outputs
S : out std_logic_vector(bits-1 downto 0) := (others=>'0');
COUT : out std_logic := '0'
);
end parameterized_adder;
----------------------------------------------------------
-- Haiqian's parameterized_adder --
-- Using operators --
----------------------------------------------------------
architecture parameterized_adder_arch of parameterized_adder is
-- --SIGNALS
signal carry : std_logic_vector(bits downto 0) := (others=>'0');
signal A_ext : std_logic_vector(bits downto 0);
signal B_ext : std_logic_vector(bits downto 0);
begin
A_ext(bits) <= '0';
b_ext(bits) <= '0';
A_ext(bits-1 downto 0) <= A;
b_ext(bits-1 downto 0) <= B;
carry <= A_ext + B_ext + CIN;
S <= carry(bits-1 downto 0);
COUT <= carry(bits);
end parameterized_adder_arch; -- end of architecture
|
--======================================================--
-- --
-- NORTHEASTERN UNIVERSITY --
-- DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING --
-- Reconfigurable & GPU Computing Laboratory --
-- --
-- AUTHOR | Pavle Belanovic --
-- -------------+------------------------------------ --
-- DATE | 20 June 2002 --
-- -------------+------------------------------------ --
-- REVISED BY | Haiqian Yu --
-- -------------+------------------------------------ --
-- DATE | 18 Jan. 2003 --
-- -------------+------------------------------------ --
-- REVISED BY | Jainik Kathiara --
-- -------------+------------------------------------ --
-- DATE | 21 Sept. 2010 --
-- -------------------------------------------------- --
-- REVISED BY | Xin Fang --
-- -------------------------------------------------- --
-- DATE | 25 Oct. 2012 --
--======================================================--
--******************************************************************************--
-- --
-- Copyright (C) 2014 --
-- --
-- This program is free software; you can redistribute it and/or --
-- modify it under the terms of the GNU General Public License --
-- as published by the Free Software Foundation; either version 3 --
-- of the License, or (at your option) any later version. --
-- --
-- This program is distributed in the hope that it will be useful, --
-- but WITHOUT ANY WARRANTY; without even the implied warranty of --
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the --
-- GNU General Public License for more details. --
-- --
-- You should have received a copy of the GNU General Public License --
-- along with this program. If not, see<http://www.gnu.org/licenses/>. --
-- --
--******************************************************************************--
--======================================================--
-- LIBRARIES --
--======================================================--
-- IEEE Libraries --
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
-- float
library fp_lib;
use fp_lib.float_pkg.all;
----------------------------------------------------------
-- Parameterized adder --
----------------------------------------------------------
entity parameterized_adder is
generic
(
bits : integer := 0
);
port
(
--inputs
A : in std_logic_vector(bits-1 downto 0);
B : in std_logic_vector(bits-1 downto 0);
CIN : in std_logic;
--outputs
S : out std_logic_vector(bits-1 downto 0) := (others=>'0');
COUT : out std_logic := '0'
);
end parameterized_adder;
----------------------------------------------------------
-- Haiqian's parameterized_adder --
-- Using operators --
----------------------------------------------------------
architecture parameterized_adder_arch of parameterized_adder is
-- --SIGNALS
signal carry : std_logic_vector(bits downto 0) := (others=>'0');
signal A_ext : std_logic_vector(bits downto 0);
signal B_ext : std_logic_vector(bits downto 0);
begin
A_ext(bits) <= '0';
b_ext(bits) <= '0';
A_ext(bits-1 downto 0) <= A;
b_ext(bits-1 downto 0) <= B;
carry <= A_ext + B_ext + CIN;
S <= carry(bits-1 downto 0);
COUT <= carry(bits);
end parameterized_adder_arch; -- end of architecture
|
--======================================================--
-- --
-- NORTHEASTERN UNIVERSITY --
-- DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING --
-- Reconfigurable & GPU Computing Laboratory --
-- --
-- AUTHOR | Pavle Belanovic --
-- -------------+------------------------------------ --
-- DATE | 20 June 2002 --
-- -------------+------------------------------------ --
-- REVISED BY | Haiqian Yu --
-- -------------+------------------------------------ --
-- DATE | 18 Jan. 2003 --
-- -------------+------------------------------------ --
-- REVISED BY | Jainik Kathiara --
-- -------------+------------------------------------ --
-- DATE | 21 Sept. 2010 --
-- -------------------------------------------------- --
-- REVISED BY | Xin Fang --
-- -------------------------------------------------- --
-- DATE | 25 Oct. 2012 --
--======================================================--
--******************************************************************************--
-- --
-- Copyright (C) 2014 --
-- --
-- This program is free software; you can redistribute it and/or --
-- modify it under the terms of the GNU General Public License --
-- as published by the Free Software Foundation; either version 3 --
-- of the License, or (at your option) any later version. --
-- --
-- This program is distributed in the hope that it will be useful, --
-- but WITHOUT ANY WARRANTY; without even the implied warranty of --
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the --
-- GNU General Public License for more details. --
-- --
-- You should have received a copy of the GNU General Public License --
-- along with this program. If not, see<http://www.gnu.org/licenses/>. --
-- --
--******************************************************************************--
--======================================================--
-- LIBRARIES --
--======================================================--
-- IEEE Libraries --
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
-- float
library fp_lib;
use fp_lib.float_pkg.all;
----------------------------------------------------------
-- Parameterized adder --
----------------------------------------------------------
entity parameterized_adder is
generic
(
bits : integer := 0
);
port
(
--inputs
A : in std_logic_vector(bits-1 downto 0);
B : in std_logic_vector(bits-1 downto 0);
CIN : in std_logic;
--outputs
S : out std_logic_vector(bits-1 downto 0) := (others=>'0');
COUT : out std_logic := '0'
);
end parameterized_adder;
----------------------------------------------------------
-- Haiqian's parameterized_adder --
-- Using operators --
----------------------------------------------------------
architecture parameterized_adder_arch of parameterized_adder is
-- --SIGNALS
signal carry : std_logic_vector(bits downto 0) := (others=>'0');
signal A_ext : std_logic_vector(bits downto 0);
signal B_ext : std_logic_vector(bits downto 0);
begin
A_ext(bits) <= '0';
b_ext(bits) <= '0';
A_ext(bits-1 downto 0) <= A;
b_ext(bits-1 downto 0) <= B;
carry <= A_ext + B_ext + CIN;
S <= carry(bits-1 downto 0);
COUT <= carry(bits);
end parameterized_adder_arch; -- end of architecture
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity dual_port_ram_tb is
end entity;
architecture dual_port_ram_tb_arq of dual_port_ram_tb is
signal data_in : std_logic_vector (0 downto 0) := (others => '0');
signal write_address : std_logic_vector (13 downto 0) := (others => '0');
signal write_enable : std_logic := '0';
signal ram_write_mask : std_logic_vector(7 downto 0) := (others => '0');
signal enable : std_logic := '0';
signal reset : std_logic := '0';
signal clk : std_logic := '0';
signal ram_read_mask : std_logic_vector(7 downto 0) := (others => '0');
signal read_address : std_logic_vector(13 downto 0) := (others => '0');
signal data_out : std_logic_vector (0 downto 0) := (others => '0');
component dual_port_ram is
port (
data_in : in std_logic_vector (0 downto 0) := (others => '0');
write_address : in std_logic_vector (13 downto 0) := (others => '0');
write_enable : in std_logic := '0';
ram_write_mask : in std_logic_vector(7 downto 0) := (others => '0');
enable : in std_logic := '0';
clk : in std_logic := '0';
reset : in std_logic := '0';
ram_read_mask : in std_logic_vector(7 downto 0) := (others => '0');
read_address : in std_logic_vector(13 downto 0) := (others => '0');
data_out : out std_logic_vector (0 downto 0) := (others => '0')
);
end component;
begin
dual_port_ram_0 : dual_port_ram
port map(
data_in => data_in,
write_address => write_address,
write_enable => write_enable,
ram_write_mask => ram_write_mask,
enable => enable,
reset => reset,
clk => clk,
ram_read_mask => ram_read_mask,
read_address => read_address,
data_out => data_out
);
process
type pattern_type is record
din : std_logic_vector(0 downto 0);
wa : std_logic_vector(13 downto 0);
wen : std_logic;
rwm : std_logic_vector(7 downto 0);
rrm : std_logic_vector(7 downto 0);
ra : std_logic_vector(13 downto 0);
dot : std_logic_vector(0 downto 0);
end record;
-- The patterns to apply.
type pattern_array is array (natural range <>) of pattern_type;
constant patterns : pattern_array := (
("1",
"00000000000001",
'1',
"00000001",
"00000000",
"00000000000000",
"0"),
("0",
"00000000000000",
'0',
"00000000",
"00000001",
"00000000000001",
"1"),
("1",
"00000001000000",
'1',
"00010000",
"00000000",
"00000000000000",
"0"),
("1",
"10000000000000",
'1',
"10000000",
"00000000",
"00000000000000",
"0"),
("0",
"00000000000000",
'0',
"00000000",
"00010000",
"00000001000000",
"1"),
("0",
"00000000000000",
'0',
"00000000",
"10000000",
"10000000000000",
"1"),
("1",
"00000000000001",
'1',
"00000001",
"00000000",
"00000000000000",
"0"),
("1",
"01110110100001",
'1',
"00100000",
"00000000",
"00000000000000",
"0"),
("0",
"00000000000000",
'0',
"00000000",
"00100000",
"01110110100001",
"1")
);
begin
clk <= '0';
enable <= '1';
reset <= '0';
for i in patterns'range loop
clk <= '0';
wait for 1 ns;
-- Set the inputs.
data_in <= patterns(i).din;
write_address <= patterns(i).wa;
write_enable <= patterns(i).wen;
ram_write_mask <= patterns(i).rwm;
ram_read_mask <= patterns(i).rrm;
read_address <= patterns(i).ra;
clk <= '1';
wait for 1 ns;
assert patterns(i).dot = data_out report "BAD SAVED VALUE, EXPECTED: " & std_logic'image(patterns(i).dot(0)) & " GOT: " & std_logic'image(data_out(0));
-- Check the outputs.
end loop;
assert false report "end of test" severity note;
wait;
end process;
end;
|
-- ==============================================================
-- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2014.1
-- Copyright (C) 2014 Xilinx Inc. All rights reserved.
--
-- ==============================================================
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity nfa_initials_buckets_if_ap_fifo_af_ram is
generic(
mem_style : string := "block";
dwidth : integer := 64;
awidth : integer := 6;
mem_size : integer := 64
);
port (
clk : in std_logic;
din : in std_logic_vector(dwidth-1 downto 0);
w_addr : in std_logic_vector(awidth-1 downto 0);
we : in std_logic;
r_addr : in std_logic_vector(awidth-1 downto 0);
dout : out std_logic_vector(dwidth-1 downto 0)
);
end entity;
architecture rtl of nfa_initials_buckets_if_ap_fifo_af_ram is
type mem_array is array (mem_size-1 downto 0) of std_logic_vector (dwidth-1 downto 0);
signal mem : mem_array;
attribute ram_style : string;
attribute ram_style of mem : signal is mem_style;
begin
p_memory_read: process (clk)
begin
if (clk = '1' and clk'event) then
if (we = '1') then
mem(CONV_INTEGER(w_addr)) <= din;
end if;
dout <= mem(CONV_INTEGER(r_addr));
end if;
end process;
end rtl;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity nfa_initials_buckets_if_ap_fifo_af is
generic (
MEM_STYLE : string := "block";
DATA_WIDTH : integer := 64;
ADDR_WIDTH : integer := 6;
DEPTH : integer := 64;
ALMOST_FULL_MARGIN : integer := 2);
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
if_empty_n : OUT STD_LOGIC;
if_read : IN STD_LOGIC;
if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0);
if_full_n : OUT STD_LOGIC;
if_write : IN STD_LOGIC;
if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0));
end entity;
architecture rtl of nfa_initials_buckets_if_ap_fifo_af is
component nfa_initials_buckets_if_ap_fifo_af_ram is
generic(
mem_style : string := "block";
dwidth : integer := 64;
awidth : integer := 6;
mem_size : integer := 64
);
port (
clk : in std_logic;
din : in std_logic_vector(dwidth-1 downto 0);
w_addr : in std_logic_vector(awidth-1 downto 0);
we : in std_logic;
r_addr : in std_logic_vector(awidth-1 downto 0);
dout : out std_logic_vector(dwidth-1 downto 0)
);
end component;
signal mInPtr, mOutPtr : STD_LOGIC_VECTOR(ADDR_WIDTH - 1 downto 0);
signal mInPtr_next, mOutPtr_next : STD_LOGIC_VECTOR(ADDR_WIDTH - 1 downto 0);
signal ram_raddr, ram_waddr : STD_LOGIC_VECTOR(ADDR_WIDTH - 1 downto 0);
signal ram_din, ram_dout : STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0);
signal conflict_buff : STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0);
signal conflict_buff_valid : STD_LOGIC;
signal ram_we : STD_LOGIC;
signal wordUsed : STD_LOGIC_VECTOR(ADDR_WIDTH downto 0);
signal internal_empty_n, internal_full_n: STD_LOGIC;
begin
if_empty_n <= internal_empty_n;
if_full_n <= internal_full_n;
ram_din <= if_din;
process (wordUsed, conflict_buff_valid, conflict_buff, ram_dout)
begin
if ( wordUsed = 1 and conflict_buff_valid = '1' ) then
if_dout <= conflict_buff;
else
if_dout <= ram_dout;
end if;
end process;
process (mOutPtr)
begin
if ( mOutPtr < DEPTH -1 ) then
mOutPtr_next <= mOutPtr + 1;
else
mOutPtr_next <= (others => '0');
end if;
end process;
process (mInPtr)
begin
if ( mInPtr < DEPTH -1 ) then
mInPtr_next <= mInPtr + 1;
else
mInPtr_next <= (others => '0');
end if;
end process;
process (clk, reset)
begin
if reset = '1' then
mInPtr <= (others => '0');
mOutPtr <= (others => '0');
wordUsed <= (others => '0');
internal_empty_n <= '0';
internal_full_n <= '1';
conflict_buff <= (others => '0');
conflict_buff_valid <= '0';
else
if clk'event and clk = '1' then
if if_read = '1' and internal_empty_n = '1' then
mOutPtr <= mOutPtr_next;
end if;
if (if_write = '1') then
mInPtr <= mInPtr_next;
end if;
if (if_read = '1' and internal_empty_n = '1' and if_write = '0') then
wordUsed <= wordUsed -1;
if (wordUsed = 1) then
internal_empty_n <= '0';
end if;
internal_full_n <= '1';
elsif (if_read = '0' or internal_empty_n = '0') and
(if_write = '1') then
wordUsed <= wordUsed +1;
internal_empty_n <= '1';
if (wordUsed + ALMOST_FULL_MARGIN = DEPTH -1) then
internal_full_n <= '0';
end if;
end if;
conflict_buff <= if_din;
conflict_buff_valid <= if_write and internal_full_n;
end if;
end if;
end process;
ram_waddr <= mInPtr;
ram_raddr <= mOutPtr_next when if_read = '1' and internal_empty_n = '1' else mOutPtr;
-- if a read occur on the following clock edge, prepare next read data in advance
ram_we <= if_write; -- caller should check almost_full signal
U_nfa_initials_buckets_if_ap_fifo_af_ram : nfa_initials_buckets_if_ap_fifo_af_ram
generic map (
mem_style => MEM_STYLE,
dwidth => DATA_WIDTH,
awidth => ADDR_WIDTH,
mem_size => DEPTH)
port map (
clk => clk,
din => ram_din,
w_addr => ram_waddr,
we => ram_we,
r_addr => ram_raddr,
dout => ram_dout);
end rtl;
|
-- ==============================================================
-- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2014.1
-- Copyright (C) 2014 Xilinx Inc. All rights reserved.
--
-- ==============================================================
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity nfa_initials_buckets_if_ap_fifo_af_ram is
generic(
mem_style : string := "block";
dwidth : integer := 64;
awidth : integer := 6;
mem_size : integer := 64
);
port (
clk : in std_logic;
din : in std_logic_vector(dwidth-1 downto 0);
w_addr : in std_logic_vector(awidth-1 downto 0);
we : in std_logic;
r_addr : in std_logic_vector(awidth-1 downto 0);
dout : out std_logic_vector(dwidth-1 downto 0)
);
end entity;
architecture rtl of nfa_initials_buckets_if_ap_fifo_af_ram is
type mem_array is array (mem_size-1 downto 0) of std_logic_vector (dwidth-1 downto 0);
signal mem : mem_array;
attribute ram_style : string;
attribute ram_style of mem : signal is mem_style;
begin
p_memory_read: process (clk)
begin
if (clk = '1' and clk'event) then
if (we = '1') then
mem(CONV_INTEGER(w_addr)) <= din;
end if;
dout <= mem(CONV_INTEGER(r_addr));
end if;
end process;
end rtl;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity nfa_initials_buckets_if_ap_fifo_af is
generic (
MEM_STYLE : string := "block";
DATA_WIDTH : integer := 64;
ADDR_WIDTH : integer := 6;
DEPTH : integer := 64;
ALMOST_FULL_MARGIN : integer := 2);
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
if_empty_n : OUT STD_LOGIC;
if_read : IN STD_LOGIC;
if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0);
if_full_n : OUT STD_LOGIC;
if_write : IN STD_LOGIC;
if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0));
end entity;
architecture rtl of nfa_initials_buckets_if_ap_fifo_af is
component nfa_initials_buckets_if_ap_fifo_af_ram is
generic(
mem_style : string := "block";
dwidth : integer := 64;
awidth : integer := 6;
mem_size : integer := 64
);
port (
clk : in std_logic;
din : in std_logic_vector(dwidth-1 downto 0);
w_addr : in std_logic_vector(awidth-1 downto 0);
we : in std_logic;
r_addr : in std_logic_vector(awidth-1 downto 0);
dout : out std_logic_vector(dwidth-1 downto 0)
);
end component;
signal mInPtr, mOutPtr : STD_LOGIC_VECTOR(ADDR_WIDTH - 1 downto 0);
signal mInPtr_next, mOutPtr_next : STD_LOGIC_VECTOR(ADDR_WIDTH - 1 downto 0);
signal ram_raddr, ram_waddr : STD_LOGIC_VECTOR(ADDR_WIDTH - 1 downto 0);
signal ram_din, ram_dout : STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0);
signal conflict_buff : STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0);
signal conflict_buff_valid : STD_LOGIC;
signal ram_we : STD_LOGIC;
signal wordUsed : STD_LOGIC_VECTOR(ADDR_WIDTH downto 0);
signal internal_empty_n, internal_full_n: STD_LOGIC;
begin
if_empty_n <= internal_empty_n;
if_full_n <= internal_full_n;
ram_din <= if_din;
process (wordUsed, conflict_buff_valid, conflict_buff, ram_dout)
begin
if ( wordUsed = 1 and conflict_buff_valid = '1' ) then
if_dout <= conflict_buff;
else
if_dout <= ram_dout;
end if;
end process;
process (mOutPtr)
begin
if ( mOutPtr < DEPTH -1 ) then
mOutPtr_next <= mOutPtr + 1;
else
mOutPtr_next <= (others => '0');
end if;
end process;
process (mInPtr)
begin
if ( mInPtr < DEPTH -1 ) then
mInPtr_next <= mInPtr + 1;
else
mInPtr_next <= (others => '0');
end if;
end process;
process (clk, reset)
begin
if reset = '1' then
mInPtr <= (others => '0');
mOutPtr <= (others => '0');
wordUsed <= (others => '0');
internal_empty_n <= '0';
internal_full_n <= '1';
conflict_buff <= (others => '0');
conflict_buff_valid <= '0';
else
if clk'event and clk = '1' then
if if_read = '1' and internal_empty_n = '1' then
mOutPtr <= mOutPtr_next;
end if;
if (if_write = '1') then
mInPtr <= mInPtr_next;
end if;
if (if_read = '1' and internal_empty_n = '1' and if_write = '0') then
wordUsed <= wordUsed -1;
if (wordUsed = 1) then
internal_empty_n <= '0';
end if;
internal_full_n <= '1';
elsif (if_read = '0' or internal_empty_n = '0') and
(if_write = '1') then
wordUsed <= wordUsed +1;
internal_empty_n <= '1';
if (wordUsed + ALMOST_FULL_MARGIN = DEPTH -1) then
internal_full_n <= '0';
end if;
end if;
conflict_buff <= if_din;
conflict_buff_valid <= if_write and internal_full_n;
end if;
end if;
end process;
ram_waddr <= mInPtr;
ram_raddr <= mOutPtr_next when if_read = '1' and internal_empty_n = '1' else mOutPtr;
-- if a read occur on the following clock edge, prepare next read data in advance
ram_we <= if_write; -- caller should check almost_full signal
U_nfa_initials_buckets_if_ap_fifo_af_ram : nfa_initials_buckets_if_ap_fifo_af_ram
generic map (
mem_style => MEM_STYLE,
dwidth => DATA_WIDTH,
awidth => ADDR_WIDTH,
mem_size => DEPTH)
port map (
clk => clk,
din => ram_din,
w_addr => ram_waddr,
we => ram_we,
r_addr => ram_raddr,
dout => ram_dout);
end rtl;
|
-- pass one token between two boards
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity CU is
Port ( OP : in STD_LOGIC_VECTOR (1 downto 0);
OP3 : in STD_LOGIC_VECTOR (5 downto 0);
ALUOP : out STD_LOGIC_VECTOR (5 downto 0));
end CU;
architecture Behavioral of CU is
begin
process(OP,OP3)
begin
case OP is
when "10"=>
case OP3 is --Instrucciones aritmetico logicas
when "000001"=>ALUOP<="000000"; --0. AND
when "000101"=>ALUOP<="000001"; --1. ANDN
when "000010"=>ALUOP<="000010"; --2. OR
when "000110"=>ALUOP<="000011"; --3. ORN
when "000011"=>ALUOP<="000100"; --4. XOR
when "000111"=>ALUOP<="000101"; --5. XNOR
when "000000"=>ALUOP<="000110"; --6. ADD
when "000100"=>ALUOP<="000111"; --7. SUB
when "100101"=>ALUOP<="001000"; --8. SLL
when "100110"=>ALUOP<="001001"; --9. SRL
when "100111"=>ALUOP<="001010"; --10. SRA
when "010001"=>ALUOP<="001011"; --11. ANDcc
when "010101"=>ALUOP<="001100"; --12. ANDNcc
when "010010"=>ALUOP<="001101"; --13. ORcc
when "010110"=>ALUOP<="001110"; --14. ORNcc
when "010011"=>ALUOP<="001111"; --15. XORcc
when "010111"=>ALUOP<="010000"; --16. XNORcc
when "010000"=>ALUOP<="010001"; --17. ADDcc
when "001000"=>ALUOP<="010010"; --18. ADDX
when "011000"=>ALUOP<="010011"; --19. ADDXcc
when "010100"=>ALUOP<="010100"; --20. SUBcc
when "001100"=>ALUOP<="010101"; --21. SUBX
when "011100"=>ALUOP<="010110"; --22. SUBXcc
when "111100"=>ALUOP<="010111"; --23. SAVE
when "111101"=>ALUOP<="011000"; --24. RESTORE
when others=> ALUOP<="111111"; --Instrucciones artimetico logicas no definidas
end case;
when others=>ALUOP<="111111"; --Otras instrucciones aun no definidas
end case;
end process;
end Behavioral; |
-------------------------------------------------------------------------------
-- axi_vdma_sgregister.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011, 2013 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_vdma_sgregister.vhd
--
-- Description: This entity encompasses the sg video register block and is
-- were video parameters are intiallly written on descriptor
-- fetch.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- axi_vdma.vhd
-- |- axi_vdma_pkg.vhd
-- |- axi_vdma_intrpt.vhd
-- |- axi_vdma_rst_module.vhd
-- | |- axi_vdma_reset.vhd (mm2s)
-- | | |- axi_vdma_cdc.vhd
-- | |- axi_vdma_reset.vhd (s2mm)
-- | | |- axi_vdma_cdc.vhd
-- |
-- |- axi_vdma_reg_if.vhd
-- | |- axi_vdma_lite_if.vhd
-- | |- axi_vdma_cdc.vhd (mm2s)
-- | |- axi_vdma_cdc.vhd (s2mm)
-- |
-- |- axi_vdma_sg_cdc.vhd (mm2s)
-- |- axi_vdma_vid_cdc.vhd (mm2s)
-- |- axi_vdma_fsync_gen.vhd (mm2s)
-- |- axi_vdma_sof_gen.vhd (mm2s)
-- |- axi_vdma_reg_module.vhd (mm2s)
-- | |- axi_vdma_register.vhd (mm2s)
-- | |- axi_vdma_regdirect.vhd (mm2s)
-- |- axi_vdma_mngr.vhd (mm2s)
-- | |- axi_vdma_sg_if.vhd (mm2s)
-- | |- axi_vdma_sm.vhd (mm2s)
-- | |- axi_vdma_cmdsts_if.vhd (mm2s)
-- | |- axi_vdma_vidreg_module.vhd (mm2s)
-- | | |- axi_vdma_sgregister.vhd (mm2s)
-- | | |- axi_vdma_vregister.vhd (mm2s)
-- | | |- axi_vdma_vaddrreg_mux.vhd (mm2s)
-- | | |- axi_vdma_blkmem.vhd (mm2s)
-- | |- axi_vdma_genlock_mngr.vhd (mm2s)
-- | |- axi_vdma_genlock_mux.vhd (mm2s)
-- | |- axi_vdma_greycoder.vhd (mm2s)
-- |- axi_vdma_mm2s_linebuf.vhd (mm2s)
-- | |- axi_vdma_sfifo_autord.vhd (mm2s)
-- | |- axi_vdma_afifo_autord.vhd (mm2s)
-- | |- axi_vdma_skid_buf.vhd (mm2s)
-- | |- axi_vdma_cdc.vhd (mm2s)
-- |
-- |- axi_vdma_sg_cdc.vhd (s2mm)
-- |- axi_vdma_vid_cdc.vhd (s2mm)
-- |- axi_vdma_fsync_gen.vhd (s2mm)
-- |- axi_vdma_sof_gen.vhd (s2mm)
-- |- axi_vdma_reg_module.vhd (s2mm)
-- | |- axi_vdma_register.vhd (s2mm)
-- | |- axi_vdma_regdirect.vhd (s2mm)
-- |- axi_vdma_mngr.vhd (s2mm)
-- | |- axi_vdma_sg_if.vhd (s2mm)
-- | |- axi_vdma_sm.vhd (s2mm)
-- | |- axi_vdma_cmdsts_if.vhd (s2mm)
-- | |- axi_vdma_vidreg_module.vhd (s2mm)
-- | | |- axi_vdma_sgregister.vhd (s2mm)
-- | | |- axi_vdma_vregister.vhd (s2mm)
-- | | |- axi_vdma_vaddrreg_mux.vhd (s2mm)
-- | | |- axi_vdma_blkmem.vhd (s2mm)
-- | |- axi_vdma_genlock_mngr.vhd (s2mm)
-- | |- axi_vdma_genlock_mux.vhd (s2mm)
-- | |- axi_vdma_greycoder.vhd (s2mm)
-- |- axi_vdma_s2mm_linebuf.vhd (s2mm)
-- | |- axi_vdma_sfifo_autord.vhd (s2mm)
-- | |- axi_vdma_afifo_autord.vhd (s2mm)
-- | |- axi_vdma_skid_buf.vhd (s2mm)
-- | |- axi_vdma_cdc.vhd (s2mm)
-- |
-- |- axi_datamover_v3_00_a.axi_datamover.vhd (FULL)
-- |- axi_sg_v3_00_a.axi_sg.vhd
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library lib_pkg_v1_0_2;
use lib_pkg_v1_0_2.lib_pkg.clog2;
use lib_pkg_v1_0_2.lib_pkg.max2;
--use proc_common_v4_0_2.family_support.all;
library unisim;
use unisim.vcomponents.all;
library axi_vdma_v6_2_8;
use axi_vdma_v6_2_8.axi_vdma_pkg.all;
-------------------------------------------------------------------------------
entity axi_vdma_sgregister is
generic(
C_NUM_FSTORES : integer range 1 to 32 := 1 ;
-- Number of Frame Stores
C_ADDR_WIDTH : integer range 32 to 32 := 32 ;
-- Start Address Width
C_SELECT_XPM : integer := 1;
C_FAMILY : string := "virtex7"
);
port (
prmry_aclk : in std_logic ; --
prmry_resetn : in std_logic ; --
--
-- Update Control --
video_parameter_updt : in std_logic ; --
video_parameter_valid : in std_logic ; --
video_reg_update : in std_logic ; --
dmasr_halt : in std_logic ; --
strt_addr_clr : in std_logic ; --
desc_data_wren : in std_logic ; --
frame_number : in std_logic_vector --
(FRAME_NUMBER_WIDTH-1 downto 0) ; --
ftch_complete : in std_logic ; --
ftch_complete_clr : in std_logic ; --
update_complete : out std_logic ; --
num_fstore_minus1 : in std_logic_vector --
(FRAME_NUMBER_WIDTH-1 downto 0) ; --
--
-- Video Start Address / Parameters In from Scatter Gather Engine --
desc_vsize : in std_logic_vector --
(VSIZE_DWIDTH-1 downto 0) ; --
desc_hsize : in std_logic_vector --
(HSIZE_DWIDTH-1 downto 0) ; --
desc_stride : in std_logic_vector --
(STRIDE_DWIDTH-1 downto 0) ; --
desc_frmdly : in std_logic_vector --
(FRMDLY_DWIDTH-1 downto 0) ; --
desc_strtaddress : in std_logic_vector --
(C_ADDR_WIDTH-1 downto 0) ; --
--
-- Video Start Address / Parameters Out to DMA Controller --
crnt_vsize : out std_logic_vector --
(VSIZE_DWIDTH-1 downto 0) ; --
crnt_hsize : out std_logic_vector --
(HSIZE_DWIDTH-1 downto 0) ; --
crnt_stride : out std_logic_vector --
(STRIDE_DWIDTH-1 downto 0) ; --
crnt_frmdly : out std_logic_vector --
(FRMDLY_DWIDTH-1 downto 0) ; --
crnt_start_address : out std_logic_vector --
(C_ADDR_WIDTH - 1 downto 0) --
);
end axi_vdma_sgregister;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_vdma_sgregister is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
constant STRT_ADDR_CNT_WIDTH : integer := max2(1,clog2(C_NUM_FSTORES));
-- CR607089
--constant STRT_ADDR_TC : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0)
-- := std_logic_vector(to_unsigned(C_NUM_FSTORES-1,STRT_ADDR_CNT_WIDTH));
--constant USE_LUTRAM : boolean := supported(C_FAMILY,u_RAM16X1S);
constant USE_LUTRAM : boolean := FALSE;
constant USE_BRAM : boolean := USE_LUTRAM=FALSE;
constant ADDRESS_1 : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0)
:= (others => '0');
constant ADDRESS_2 : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0)
:= std_logic_vector(to_unsigned(1,STRT_ADDR_CNT_WIDTH));
constant ADDRESS_3 : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0)
:= std_logic_vector(to_unsigned(2,STRT_ADDR_CNT_WIDTH));
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
signal strt_addr_count : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0) := (others => '0');
--signal s_h_wren : std_logic := '0';
--signal ram_address_incr : std_logic := '0';
signal vsize_sg : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := (others => '0');
signal hsize_sg : std_logic_vector(HSIZE_DWIDTH-1 downto 0) := (others => '0');
signal stride_sg : std_logic_vector(STRIDE_DWIDTH-1 downto 0) := (others => '0');
signal frmdly_sg : std_logic_vector(FRMDLY_DWIDTH-1 downto 0) := (others => '0');
signal start_address_sg : std_logic_vector(C_ADDR_WIDTH - 1 downto 0):= (others => '0');
signal start_address_out : std_logic_vector(C_ADDR_WIDTH - 1 downto 0):= (others => '0');
signal start_address1_sg : std_logic_vector(C_ADDR_WIDTH - 1 downto 0):= (others => '0');
signal start_address2_sg : std_logic_vector(C_ADDR_WIDTH - 1 downto 0):= (others => '0');
signal start_address3_sg : std_logic_vector(C_ADDR_WIDTH - 1 downto 0):= (others => '0');
signal start_address_addr1 : std_logic_vector(C_ADDR_WIDTH - 1 downto 0):= (others => '0');
signal start_address_addr2 : std_logic_vector(C_ADDR_WIDTH - 1 downto 0):= (others => '0');
signal start_address_addr3 : std_logic_vector(C_ADDR_WIDTH - 1 downto 0):= (others => '0');
signal update_complete_i : std_logic := '0';
signal ping_pong : std_logic := '0';
signal start_address_pong : std_logic_vector(C_ADDR_WIDTH - 1 downto 0):= (others => '0');
signal start_address_ping : std_logic_vector(C_ADDR_WIDTH - 1 downto 0):= (others => '0');
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
-------------------------------------------------------------------------------
-- VIDEO TRANSFER PARAMETERS - FROM SG ENGINE
-------------------------------------------------------------------------------
-- Vertical Size - Video Side
REG_VSIZE : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
vsize_sg <= (others => '0');
-- update video register
elsif(desc_data_wren='1' and video_parameter_updt = '1') then
vsize_sg <= desc_vsize;
end if;
end if;
end process REG_VSIZE;
-- Horizontal Size - Video Side
REG_HSIZE : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
hsize_sg <= (others => '0');
-- update video register
elsif(desc_data_wren='1' and video_parameter_updt = '1') then
hsize_sg <= desc_hsize;
end if;
end if;
end process REG_HSIZE;
-- Stride - Video Side
REG_STRIDE : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
stride_sg <= (others => '0');
-- update video register
elsif(desc_data_wren='1' and video_parameter_updt = '1') then
stride_sg <= desc_stride;
end if;
end if;
end process REG_STRIDE;
-- Frame Delay - Video Side
REG_FRMDLY : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0' or dmasr_halt = '1')then
frmdly_sg <= (others => '0');
-- update video register
elsif(desc_data_wren='1' and video_parameter_updt = '1') then
frmdly_sg <= desc_frmdly;
end if;
end if;
end process REG_FRMDLY;
-------------------------------------------------------------------------------
-- VIDEO START ADDRESSES - FROM SG ENGINE
-------------------------------------------------------------------------------
-- If more than one FSTORE then need counter to address
-- start_address_registers
GEN_STRTADDR_CNTR : if C_NUM_FSTORES /= 1 generate
begin
REG_DESC_CNTR : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
-- on reset or clear then reset start address count
if(prmry_resetn = '0' or strt_addr_clr = '1')then
strt_addr_count <= (others => '0');
-- on desc write and address count reached terminal count then reset
-- CR607089 - need to account for frame store setting
--elsif(desc_data_wren = '1' and strt_addr_count = STRT_ADDR_TC)then
--elsif(desc_data_wren = '1' and strt_addr_count = num_fstore_minus1)then
-- CR607433 - need to do comparison on only STRT_ADDR_CNT_WIDTH.
elsif(desc_data_wren = '1' and strt_addr_count = num_fstore_minus1(STRT_ADDR_CNT_WIDTH-1 downto 0))then
strt_addr_count <= (others => '0');
-- otherwise on each desc write increment the count
elsif(desc_data_wren = '1')then
strt_addr_count <= std_logic_vector(unsigned(strt_addr_count) + 1);
end if;
end if;
end process REG_DESC_CNTR;
end generate GEN_STRTADDR_CNTR;
-- No counter need for FSTORE = 1
GEN_NO_STRTADDR_CNTR : if C_NUM_FSTORES = 1 generate
begin
strt_addr_count <= (others => '0');
end generate GEN_NO_STRTADDR_CNTR;
-------------------------------------------------------------------------------
-- N0 LUT RAM
-- Do not use a LUT RAM is less than 4 frame stores are required.
-------------------------------------------------------------------------------
GEN_NO_RAM : if C_NUM_FSTORES < 4 generate
-- For 1 Frame Store
GEN_FSTORE1 : if C_NUM_FSTORES = 1 generate
begin
-----------------------------------------------------------------------
-- Holding registers for start address fetched via Scatter/Gather
-----------------------------------------------------------------------
-- Start Address Register 1 on SG Side
REG_START_ADDR1_SG : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
start_address1_sg <= (others => '0');
elsif(desc_data_wren='1') then
start_address1_sg <= desc_strtaddress;
end if;
end if;
end process REG_START_ADDR1_SG;
-----------------------------------------------------------------------
-- Sample and Hold for DMA Controller
-----------------------------------------------------------------------
-- Start Address Register 1 on SG Side
REG_START_ADDR1 : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
start_address_sg <= (others => '0');
elsif(video_reg_update='1') then
start_address_sg <= start_address1_sg;
end if;
end if;
end process REG_START_ADDR1;
end generate GEN_FSTORE1;
-- For 2 Frame Stores
GEN_FSTORE2 : if C_NUM_FSTORES = 2 generate
begin
-----------------------------------------------------------------------
-- Holding registers for start address fetched via Scatter/Gather
-----------------------------------------------------------------------
-- Start Address Register 1 on SG Side
REG_START_ADDR1_SG : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
start_address1_sg <= (others => '0');
elsif(desc_data_wren='1' and strt_addr_count = ADDRESS_1) then
start_address1_sg <= desc_strtaddress;
end if;
end if;
end process REG_START_ADDR1_SG;
-- Start Address Register 2 on SG Side
REG_START_ADDR2_SG : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
start_address2_sg <= (others => '0');
elsif(desc_data_wren='1' and strt_addr_count = ADDRESS_2) then
start_address2_sg <= desc_strtaddress;
end if;
end if;
end process REG_START_ADDR2_SG;
-----------------------------------------------------------------------
-- Sample and Hold for DMA Controller
-----------------------------------------------------------------------
-- Start Address Register 1 on SG Side
REG_START_ADDR1 : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
start_address_addr1 <= (others => '0');
elsif(video_reg_update='1') then
start_address_addr1 <= start_address1_sg;
end if;
end if;
end process REG_START_ADDR1;
-- Start Address Register 2 on SG Side
REG_START_ADDR2 : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
start_address_addr2 <= (others => '0');
elsif(video_reg_update='1') then
start_address_addr2 <= start_address2_sg;
end if;
end if;
end process REG_START_ADDR2;
START_ADDRESS_MUX : process(frame_number,
start_address_addr1,
start_address_addr2)
begin
case frame_number is
when "00000" =>
start_address_sg <= start_address_addr1;
when others =>
start_address_sg <= start_address_addr2;
end case;
end process START_ADDRESS_MUX;
end generate GEN_FSTORE2;
-- For 3 Frame Stores
GEN_FSTORE3 : if C_NUM_FSTORES = 3 generate
begin
-----------------------------------------------------------------------
-- Holding registers for start address fetched via Scatter/Gather
-----------------------------------------------------------------------
-- Start Address Register 1 on SG Side
REG_START_ADDR1_SG : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
start_address1_sg <= (others => '0');
elsif(desc_data_wren='1' and strt_addr_count = ADDRESS_1) then
start_address1_sg <= desc_strtaddress;
end if;
end if;
end process REG_START_ADDR1_SG;
-- Start Address Register 2 on SG Side
REG_START_ADDR2_SG : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
start_address2_sg <= (others => '0');
elsif(desc_data_wren='1' and strt_addr_count = ADDRESS_2) then
start_address2_sg <= desc_strtaddress;
end if;
end if;
end process REG_START_ADDR2_SG;
-- Start Address Register 3 on SG Side
REG_START_ADDR3_SG : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
start_address3_sg <= (others => '0');
elsif(desc_data_wren = '1' and strt_addr_count = ADDRESS_3) then
start_address3_sg <= desc_strtaddress;
end if;
end if;
end process REG_START_ADDR3_SG;
-----------------------------------------------------------------------
-- Sample and Hold for DMA Controller
-----------------------------------------------------------------------
-- Start Address Register 1 on SG Side
REG_START_ADDR1 : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
start_address_addr1 <= (others => '0');
elsif(video_reg_update='1') then
start_address_addr1 <= start_address1_sg;
end if;
end if;
end process REG_START_ADDR1;
-- Start Address Register 2 on SG Side
REG_START_ADDR2 : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
start_address_addr2 <= (others => '0');
elsif(video_reg_update='1') then
start_address_addr2 <= start_address2_sg;
end if;
end if;
end process REG_START_ADDR2;
-- Start Address Register 3 on SG Side
REG_START_ADDR3 : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
start_address_addr3 <= (others => '0');
elsif(video_reg_update='1') then
start_address_addr3 <= start_address3_sg;
end if;
end if;
end process REG_START_ADDR3;
START_ADDRESS_MUX : process(frame_number,
start_address_addr1,
start_address_addr2,
start_address_addr3)
begin
case frame_number is
when "00000" =>
start_address_sg <= start_address_addr1;
when "00001" =>
start_address_sg <= start_address_addr2;
when others =>
start_address_sg <= start_address_addr3;
end case;
end process START_ADDRESS_MUX;
end generate GEN_FSTORE3;
update_complete <= ftch_complete;
start_address_out <= start_address_sg;
end generate GEN_NO_RAM;
-------------------------------------------------------------------------------
-- LUT RAM
-- Use a lut RAM if the selected device supports LUT RAM's and the Address
-- width is within the bounds of a LUT RAM and if frame stores is greater
-- than 3. There is no resource savings for less frame stores.
-------------------------------------------------------------------------------
GEN_LUTRAM : if USE_LUTRAM and STRT_ADDR_CNT_WIDTH <= 4 and C_NUM_FSTORES > 3 generate
constant ZERO_ADDR : std_logic_vector(3 downto 0) := (others => '0');
signal addr : std_logic_vector(3 downto 0) := (others => '0');
signal copy_addr : std_logic_vector(3 downto 0) := (others => '0');
signal copy_wren : std_logic := '0';
--signal copyram_addr : std_logic_vector(3 downto 0) := (others => '0');
signal copy_wren_ping : std_logic := '0';
signal copy_wren_pong : std_logic := '0';
signal copyram_addr_ping : std_logic_vector(3 downto 0) := (others => '0');
signal copyram_addr_pong : std_logic_vector(3 downto 0) := (others => '0');
begin
-- Need to pad address up to 4 bits wide
GEN_ADDR_WIDTH_LESS_4 : if STRT_ADDR_CNT_WIDTH < 4 generate
constant ADDR_PAD_WIDTH : integer := 4 - STRT_ADDR_CNT_WIDTH;
constant ADDRESS_PAD : std_logic_vector
(ADDR_PAD_WIDTH-1 downto 0)
:=(others => '0');
begin
addr <= (ADDRESS_PAD & strt_addr_count) when copy_wren = '0'
else copy_addr;
end generate GEN_ADDR_WIDTH_LESS_4;
-- Do not need to pad address, already at 4 bits
GEN_ADDR_WIDTH_EQL_4 : if STRT_ADDR_CNT_WIDTH = 4 generate
begin
addr <= strt_addr_count when copy_wren = '0'
else copy_addr;
end generate GEN_ADDR_WIDTH_EQL_4;
-- Instantiate LUTRAM
GEN_BUFFER1 : for i in C_ADDR_WIDTH - 1 downto 0 generate
LUT_RAM : RAM16X1S
generic map
(
INIT => X"0000"
)
port map
(
WE => desc_data_wren ,
D => desc_strtaddress(i) ,
WCLK => prmry_aclk ,
A0 => addr(0) ,
A1 => addr(1) ,
A2 => addr(2) ,
A3 => addr(3) ,
O => start_address_sg(i)
);
end generate GEN_BUFFER1;
-- On completion of descriptor fetch, enable copying of
-- sg LUTRAM (buffer1) to output LUTRAM (ping or pong)
-- This copy of entire RAM is required because users may only
-- update 1 start address or all addresses and a full copy is
-- the simplest approach
COPY_COUNTER : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0' or ftch_complete_clr = '1' or dmasr_halt = '1')then
copy_addr <= (others => '1');
copy_wren <= '0';
update_complete_i <= '0';
-- done with copy, hold wren able clear asserts
elsif(copy_addr = ZERO_ADDR)then
copy_wren <= '0';
copy_addr <= (others => '0');
update_complete_i <= '1';
-- decrement address on copy
elsif(copy_wren = '1')then
copy_addr <= std_logic_vector(unsigned(copy_addr) - 1);
copy_wren <= '1';
update_complete_i <= '0';
-- all desc data fetched therefore start copy
elsif(ftch_complete = '1')then
copy_addr <= (others => '1');
copy_wren <= '1';
update_complete_i <= '0';
end if;
end if;
end process COPY_COUNTER;
-- Pass out for setting flags
update_complete <= update_complete_i;
copy_wren_ping <= copy_wren when (ping_pong = '1' and video_parameter_valid = '1')
or (update_complete_i = '0' and video_parameter_valid = '0')
else '0';
copyram_addr_ping <= copy_addr when ping_pong = '1'
or (video_parameter_valid = '0' and update_complete_i = '0')
else frame_number(3 downto 0);
copy_wren_pong <= copy_wren when (ping_pong = '0' and video_parameter_valid = '1')
or (update_complete_i = '0' and video_parameter_valid = '0')
else '0';
copyram_addr_pong <= copy_addr when ping_pong = '0'
or (video_parameter_valid = '0' and update_complete_i = '0')
else frame_number(3 downto 0);
-- Ping Pong control for selecting which LUTRAM the DMA controller
-- will fetch from and which one Scatter Gather will update.
PING_PONG_PROCESS : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0' or dmasr_halt = '1' or video_parameter_valid = '0')then
ping_pong <= '0';
elsif(update_complete_i = '1' and video_reg_update = '1')then
ping_pong <= not ping_pong;
end if;
end if;
end process PING_PONG_PROCESS;
-- Instantiate PING LUTRAM
GEN_BUFFER_PING : for i in C_ADDR_WIDTH - 1 downto 0 generate
LUT_RAM : RAM16X1S
generic map
(
INIT => X"0000"
)
port map
(
WE => copy_wren_ping ,
D => start_address_sg(i) ,
WCLK => prmry_aclk ,
A0 => copyram_addr_ping(0) ,
A1 => copyram_addr_ping(1) ,
A2 => copyram_addr_ping(2) ,
A3 => copyram_addr_ping(3) ,
O => start_address_ping(i)
);
end generate GEN_BUFFER_PING;
-- Instantiate PONG LUTRAM
GEN_BUFFER_PONG : for i in C_ADDR_WIDTH - 1 downto 0 generate
LUT_RAM : RAM16X1S
generic map
(
INIT => X"0000"
)
port map
(
WE => copy_wren_pong ,
D => start_address_sg(i) ,
WCLK => prmry_aclk ,
A0 => copyram_addr_pong(0) ,
A1 => copyram_addr_pong(1) ,
A2 => copyram_addr_pong(2) ,
A3 => copyram_addr_pong(3) ,
O => start_address_pong(i)
);
end generate GEN_BUFFER_PONG;
-- Feed start address from LUTRAM opposite from what is being
-- written to by scatter gather fetch and update.
start_address_out <= start_address_ping when ping_pong = '0'
else start_address_pong;
end generate GEN_LUTRAM;
-------------------------------------------------------------------------------
-- BRAM
-- Use a BRAM if LUT RAMS are NOT supported or the Address width is out
-- of the bounds of a LUT RAM.
-------------------------------------------------------------------------------
GEN_BRAM : if (USE_BRAM or STRT_ADDR_CNT_WIDTH > 4) and C_NUM_FSTORES > 3 generate
constant READ_ENABLED : std_logic := '1';
constant ZERO_ADDR : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0) := (others => '0');
--signal read_addr : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0) := (others => '0');
signal copy_addr : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0) := (others => '1');
signal copy_wren : std_logic := '0';
--signal copyram_addr : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0) := (others => '0');
signal copy_wren_ping : std_logic := '0';
signal copy_wren_pong : std_logic := '0';
signal copyram_addr_ping : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0) := (others => '0');
signal copyram_addr_pong : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0) := (others => '0');
signal copy_wren_ping_p : std_logic := '0';
signal copy_wren_pong_p : std_logic := '0';
signal copyram_addr_ping_p : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0) := (others => '0');
signal copyram_addr_pong_p : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0) := (others => '0');
begin
GEN_BUFFER1 : entity axi_vdma_v6_2_8.axi_vdma_blkmem
generic map(
C_DATA_WIDTH => C_ADDR_WIDTH ,
C_ADDR_WIDTH => STRT_ADDR_CNT_WIDTH ,
C_SELECT_XPM => C_SELECT_XPM,
C_FAMILY => C_FAMILY
)
port map(
Clk => prmry_aclk ,
Rst => prmry_resetn ,
-- Write Port signals
Wr_Enable => desc_data_wren ,
Wr_Req => desc_data_wren ,
Wr_Address => strt_addr_count ,
Wr_Data => desc_strtaddress ,
-- Read Port Signals
Rd_Enable => READ_ENABLED ,
Rd_Address => copy_addr ,
Rd_Data => start_address_sg
);
-- On completion of descriptor fetch, enable copying of
-- sg LUTRAM (buffer1) to output LUTRAM (ping or pong)
-- This copy of entire RAM is required because users may only
-- update 1 start address or all addresses and a full copy is
-- the simplest approach
COPY_COUNTER : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0' or ftch_complete_clr = '1' or dmasr_halt = '1')then
copy_addr <= (others => '1');
copy_wren <= '0';
update_complete_i <= '0';
-- done with copy, hold wren able clear asserts
elsif(copy_addr = ZERO_ADDR)then
copy_wren <= '0';
copy_addr <= (others => '0');
update_complete_i <= '1';
-- decrement address on copy
elsif(copy_wren = '1')then
copy_addr <= std_logic_vector(unsigned(copy_addr) - 1);
copy_wren <= '1';
update_complete_i <= '0';
-- all desc data fetched therefore start copy
elsif(ftch_complete = '1')then
copy_addr <= (others => '1');
copy_wren <= '1';
update_complete_i <= '0';
end if;
end if;
end process COPY_COUNTER;
-- Pass out for setting flags
update_complete <= update_complete_i;
copy_wren_ping_p <= copy_wren when (ping_pong = '1' and video_parameter_valid = '1')
or (update_complete_i = '0' and video_parameter_valid = '0')
else '0';
copyram_addr_ping_p <= copy_addr when ping_pong = '1'
or (video_parameter_valid = '0' and update_complete_i = '0')
else frame_number(STRT_ADDR_CNT_WIDTH-1 downto 0);
copy_wren_pong_p <= copy_wren when (ping_pong = '0' and video_parameter_valid = '1')
or (update_complete_i = '0' and video_parameter_valid = '0')
else '0';
copyram_addr_pong_p <= copy_addr when ping_pong = '0'
or (video_parameter_valid = '0' and update_complete_i = '0')
else frame_number(STRT_ADDR_CNT_WIDTH-1 downto 0);
-- Delaying Ping Pong Write and Address signals for BRAM
DELAY_PING_PONG : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
copy_wren_ping <= '0';
copy_wren_pong <= '0';
copyram_addr_ping <= (others => '0');
copyram_addr_pong <= (others => '0');
else
copy_wren_ping <= copy_wren_ping_p;
copy_wren_pong <= copy_wren_pong_p;
copyram_addr_ping <= copyram_addr_ping_p;
copyram_addr_pong <= copyram_addr_pong_p;
end if;
end if;
end process DELAY_PING_PONG;
-- Ping Pong control for selecting which LUTRAM the DMA controller
-- will fetch from and which one Scatter Gather will update.
PING_PONG_PROCESS : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0' or dmasr_halt = '1' or video_parameter_valid = '0')then
ping_pong <= '0';
elsif(update_complete_i = '1' and video_reg_update = '1')then
ping_pong <= not ping_pong;
end if;
end if;
end process PING_PONG_PROCESS;
GEN_BUFFER_PING : entity axi_vdma_v6_2_8.axi_vdma_blkmem
generic map(
C_DATA_WIDTH => C_ADDR_WIDTH ,
C_ADDR_WIDTH => STRT_ADDR_CNT_WIDTH ,
C_SELECT_XPM => C_SELECT_XPM,
C_FAMILY => C_FAMILY
)
port map(
Clk => prmry_aclk ,
Rst => prmry_resetn ,
-- Write Port signals
Wr_Enable => copy_wren_ping ,
Wr_Req => copy_wren_ping ,
Wr_Address => copyram_addr_ping ,
Wr_Data => start_address_sg ,
-- Read Port Signals
Rd_Enable => READ_ENABLED ,
Rd_Address => frame_number(STRT_ADDR_CNT_WIDTH-1 downto 0), -- CR625681
Rd_Data => start_address_ping
);
GEN_BUFFER_PONG : entity axi_vdma_v6_2_8.axi_vdma_blkmem
generic map(
C_DATA_WIDTH => C_ADDR_WIDTH ,
C_ADDR_WIDTH => STRT_ADDR_CNT_WIDTH ,
C_SELECT_XPM => C_SELECT_XPM,
C_FAMILY => C_FAMILY
)
port map(
Clk => prmry_aclk ,
Rst => prmry_resetn ,
-- Write Port signals
Wr_Enable => copy_wren_pong ,
Wr_Req => copy_wren_pong ,
Wr_Address => copyram_addr_pong ,
Wr_Data => start_address_sg ,
-- Read Port Signals
Rd_Enable => READ_ENABLED ,
Rd_Address => frame_number(STRT_ADDR_CNT_WIDTH-1 downto 0), -- CR625681
Rd_Data => start_address_pong
);
-- Feed start address from LUTRAM opposite from what is being
-- written to by scatter gather fetch and update.
start_address_out <= start_address_ping when ping_pong = '0'
else start_address_pong;
end generate GEN_BRAM;
-------------------------------------------------------------------------------
-- VIDEO DOUBLE REGISTER BLOCK FOR DMA CONTROLLER
-------------------------------------------------------------------------------
-- Vertical Size - Video Side
REG_VSIZE_OUT : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
crnt_vsize <= (others => '0');
-- update video register
elsif(video_reg_update='1') then
crnt_vsize <= vsize_sg;
end if;
end if;
end process REG_VSIZE_OUT;
-- Horizontal Size - Video Side
REG_HSIZE_OUT : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
crnt_hsize <= (others => '0');
-- update video register
elsif(video_reg_update='1') then
crnt_hsize <= hsize_sg;
end if;
end if;
end process REG_HSIZE_OUT;
-- Stride - Video Side
REG_STRIDE_OUT : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
crnt_stride <= (others => '0');
-- update video register
elsif(video_reg_update='1') then
crnt_stride <= stride_sg;
end if;
end if;
end process REG_STRIDE_OUT;
-- Frame Delay - Video Side
REG_FRMDLY_OUT : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0' or dmasr_halt = '1')then
crnt_frmdly <= (others => '0');
-- update video register
elsif(video_reg_update='1') then
crnt_frmdly <= frmdly_sg;
end if;
end if;
end process REG_FRMDLY_OUT;
-- Pipe line for fmax (dble to allow for adjustments later if need be)
REG_ADDR_OUT : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
crnt_start_address <= (others => '0');
else
crnt_start_address <= start_address_out;
end if;
end if;
end process REG_ADDR_OUT;
end implementation;
|
-------------------------------------------------------------------------------
-- axi_vdma_sgregister.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011, 2013 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_vdma_sgregister.vhd
--
-- Description: This entity encompasses the sg video register block and is
-- were video parameters are intiallly written on descriptor
-- fetch.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- axi_vdma.vhd
-- |- axi_vdma_pkg.vhd
-- |- axi_vdma_intrpt.vhd
-- |- axi_vdma_rst_module.vhd
-- | |- axi_vdma_reset.vhd (mm2s)
-- | | |- axi_vdma_cdc.vhd
-- | |- axi_vdma_reset.vhd (s2mm)
-- | | |- axi_vdma_cdc.vhd
-- |
-- |- axi_vdma_reg_if.vhd
-- | |- axi_vdma_lite_if.vhd
-- | |- axi_vdma_cdc.vhd (mm2s)
-- | |- axi_vdma_cdc.vhd (s2mm)
-- |
-- |- axi_vdma_sg_cdc.vhd (mm2s)
-- |- axi_vdma_vid_cdc.vhd (mm2s)
-- |- axi_vdma_fsync_gen.vhd (mm2s)
-- |- axi_vdma_sof_gen.vhd (mm2s)
-- |- axi_vdma_reg_module.vhd (mm2s)
-- | |- axi_vdma_register.vhd (mm2s)
-- | |- axi_vdma_regdirect.vhd (mm2s)
-- |- axi_vdma_mngr.vhd (mm2s)
-- | |- axi_vdma_sg_if.vhd (mm2s)
-- | |- axi_vdma_sm.vhd (mm2s)
-- | |- axi_vdma_cmdsts_if.vhd (mm2s)
-- | |- axi_vdma_vidreg_module.vhd (mm2s)
-- | | |- axi_vdma_sgregister.vhd (mm2s)
-- | | |- axi_vdma_vregister.vhd (mm2s)
-- | | |- axi_vdma_vaddrreg_mux.vhd (mm2s)
-- | | |- axi_vdma_blkmem.vhd (mm2s)
-- | |- axi_vdma_genlock_mngr.vhd (mm2s)
-- | |- axi_vdma_genlock_mux.vhd (mm2s)
-- | |- axi_vdma_greycoder.vhd (mm2s)
-- |- axi_vdma_mm2s_linebuf.vhd (mm2s)
-- | |- axi_vdma_sfifo_autord.vhd (mm2s)
-- | |- axi_vdma_afifo_autord.vhd (mm2s)
-- | |- axi_vdma_skid_buf.vhd (mm2s)
-- | |- axi_vdma_cdc.vhd (mm2s)
-- |
-- |- axi_vdma_sg_cdc.vhd (s2mm)
-- |- axi_vdma_vid_cdc.vhd (s2mm)
-- |- axi_vdma_fsync_gen.vhd (s2mm)
-- |- axi_vdma_sof_gen.vhd (s2mm)
-- |- axi_vdma_reg_module.vhd (s2mm)
-- | |- axi_vdma_register.vhd (s2mm)
-- | |- axi_vdma_regdirect.vhd (s2mm)
-- |- axi_vdma_mngr.vhd (s2mm)
-- | |- axi_vdma_sg_if.vhd (s2mm)
-- | |- axi_vdma_sm.vhd (s2mm)
-- | |- axi_vdma_cmdsts_if.vhd (s2mm)
-- | |- axi_vdma_vidreg_module.vhd (s2mm)
-- | | |- axi_vdma_sgregister.vhd (s2mm)
-- | | |- axi_vdma_vregister.vhd (s2mm)
-- | | |- axi_vdma_vaddrreg_mux.vhd (s2mm)
-- | | |- axi_vdma_blkmem.vhd (s2mm)
-- | |- axi_vdma_genlock_mngr.vhd (s2mm)
-- | |- axi_vdma_genlock_mux.vhd (s2mm)
-- | |- axi_vdma_greycoder.vhd (s2mm)
-- |- axi_vdma_s2mm_linebuf.vhd (s2mm)
-- | |- axi_vdma_sfifo_autord.vhd (s2mm)
-- | |- axi_vdma_afifo_autord.vhd (s2mm)
-- | |- axi_vdma_skid_buf.vhd (s2mm)
-- | |- axi_vdma_cdc.vhd (s2mm)
-- |
-- |- axi_datamover_v3_00_a.axi_datamover.vhd (FULL)
-- |- axi_sg_v3_00_a.axi_sg.vhd
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library lib_pkg_v1_0_2;
use lib_pkg_v1_0_2.lib_pkg.clog2;
use lib_pkg_v1_0_2.lib_pkg.max2;
--use proc_common_v4_0_2.family_support.all;
library unisim;
use unisim.vcomponents.all;
library axi_vdma_v6_2_8;
use axi_vdma_v6_2_8.axi_vdma_pkg.all;
-------------------------------------------------------------------------------
entity axi_vdma_sgregister is
generic(
C_NUM_FSTORES : integer range 1 to 32 := 1 ;
-- Number of Frame Stores
C_ADDR_WIDTH : integer range 32 to 32 := 32 ;
-- Start Address Width
C_SELECT_XPM : integer := 1;
C_FAMILY : string := "virtex7"
);
port (
prmry_aclk : in std_logic ; --
prmry_resetn : in std_logic ; --
--
-- Update Control --
video_parameter_updt : in std_logic ; --
video_parameter_valid : in std_logic ; --
video_reg_update : in std_logic ; --
dmasr_halt : in std_logic ; --
strt_addr_clr : in std_logic ; --
desc_data_wren : in std_logic ; --
frame_number : in std_logic_vector --
(FRAME_NUMBER_WIDTH-1 downto 0) ; --
ftch_complete : in std_logic ; --
ftch_complete_clr : in std_logic ; --
update_complete : out std_logic ; --
num_fstore_minus1 : in std_logic_vector --
(FRAME_NUMBER_WIDTH-1 downto 0) ; --
--
-- Video Start Address / Parameters In from Scatter Gather Engine --
desc_vsize : in std_logic_vector --
(VSIZE_DWIDTH-1 downto 0) ; --
desc_hsize : in std_logic_vector --
(HSIZE_DWIDTH-1 downto 0) ; --
desc_stride : in std_logic_vector --
(STRIDE_DWIDTH-1 downto 0) ; --
desc_frmdly : in std_logic_vector --
(FRMDLY_DWIDTH-1 downto 0) ; --
desc_strtaddress : in std_logic_vector --
(C_ADDR_WIDTH-1 downto 0) ; --
--
-- Video Start Address / Parameters Out to DMA Controller --
crnt_vsize : out std_logic_vector --
(VSIZE_DWIDTH-1 downto 0) ; --
crnt_hsize : out std_logic_vector --
(HSIZE_DWIDTH-1 downto 0) ; --
crnt_stride : out std_logic_vector --
(STRIDE_DWIDTH-1 downto 0) ; --
crnt_frmdly : out std_logic_vector --
(FRMDLY_DWIDTH-1 downto 0) ; --
crnt_start_address : out std_logic_vector --
(C_ADDR_WIDTH - 1 downto 0) --
);
end axi_vdma_sgregister;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_vdma_sgregister is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
constant STRT_ADDR_CNT_WIDTH : integer := max2(1,clog2(C_NUM_FSTORES));
-- CR607089
--constant STRT_ADDR_TC : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0)
-- := std_logic_vector(to_unsigned(C_NUM_FSTORES-1,STRT_ADDR_CNT_WIDTH));
--constant USE_LUTRAM : boolean := supported(C_FAMILY,u_RAM16X1S);
constant USE_LUTRAM : boolean := FALSE;
constant USE_BRAM : boolean := USE_LUTRAM=FALSE;
constant ADDRESS_1 : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0)
:= (others => '0');
constant ADDRESS_2 : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0)
:= std_logic_vector(to_unsigned(1,STRT_ADDR_CNT_WIDTH));
constant ADDRESS_3 : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0)
:= std_logic_vector(to_unsigned(2,STRT_ADDR_CNT_WIDTH));
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
signal strt_addr_count : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0) := (others => '0');
--signal s_h_wren : std_logic := '0';
--signal ram_address_incr : std_logic := '0';
signal vsize_sg : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := (others => '0');
signal hsize_sg : std_logic_vector(HSIZE_DWIDTH-1 downto 0) := (others => '0');
signal stride_sg : std_logic_vector(STRIDE_DWIDTH-1 downto 0) := (others => '0');
signal frmdly_sg : std_logic_vector(FRMDLY_DWIDTH-1 downto 0) := (others => '0');
signal start_address_sg : std_logic_vector(C_ADDR_WIDTH - 1 downto 0):= (others => '0');
signal start_address_out : std_logic_vector(C_ADDR_WIDTH - 1 downto 0):= (others => '0');
signal start_address1_sg : std_logic_vector(C_ADDR_WIDTH - 1 downto 0):= (others => '0');
signal start_address2_sg : std_logic_vector(C_ADDR_WIDTH - 1 downto 0):= (others => '0');
signal start_address3_sg : std_logic_vector(C_ADDR_WIDTH - 1 downto 0):= (others => '0');
signal start_address_addr1 : std_logic_vector(C_ADDR_WIDTH - 1 downto 0):= (others => '0');
signal start_address_addr2 : std_logic_vector(C_ADDR_WIDTH - 1 downto 0):= (others => '0');
signal start_address_addr3 : std_logic_vector(C_ADDR_WIDTH - 1 downto 0):= (others => '0');
signal update_complete_i : std_logic := '0';
signal ping_pong : std_logic := '0';
signal start_address_pong : std_logic_vector(C_ADDR_WIDTH - 1 downto 0):= (others => '0');
signal start_address_ping : std_logic_vector(C_ADDR_WIDTH - 1 downto 0):= (others => '0');
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
-------------------------------------------------------------------------------
-- VIDEO TRANSFER PARAMETERS - FROM SG ENGINE
-------------------------------------------------------------------------------
-- Vertical Size - Video Side
REG_VSIZE : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
vsize_sg <= (others => '0');
-- update video register
elsif(desc_data_wren='1' and video_parameter_updt = '1') then
vsize_sg <= desc_vsize;
end if;
end if;
end process REG_VSIZE;
-- Horizontal Size - Video Side
REG_HSIZE : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
hsize_sg <= (others => '0');
-- update video register
elsif(desc_data_wren='1' and video_parameter_updt = '1') then
hsize_sg <= desc_hsize;
end if;
end if;
end process REG_HSIZE;
-- Stride - Video Side
REG_STRIDE : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
stride_sg <= (others => '0');
-- update video register
elsif(desc_data_wren='1' and video_parameter_updt = '1') then
stride_sg <= desc_stride;
end if;
end if;
end process REG_STRIDE;
-- Frame Delay - Video Side
REG_FRMDLY : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0' or dmasr_halt = '1')then
frmdly_sg <= (others => '0');
-- update video register
elsif(desc_data_wren='1' and video_parameter_updt = '1') then
frmdly_sg <= desc_frmdly;
end if;
end if;
end process REG_FRMDLY;
-------------------------------------------------------------------------------
-- VIDEO START ADDRESSES - FROM SG ENGINE
-------------------------------------------------------------------------------
-- If more than one FSTORE then need counter to address
-- start_address_registers
GEN_STRTADDR_CNTR : if C_NUM_FSTORES /= 1 generate
begin
REG_DESC_CNTR : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
-- on reset or clear then reset start address count
if(prmry_resetn = '0' or strt_addr_clr = '1')then
strt_addr_count <= (others => '0');
-- on desc write and address count reached terminal count then reset
-- CR607089 - need to account for frame store setting
--elsif(desc_data_wren = '1' and strt_addr_count = STRT_ADDR_TC)then
--elsif(desc_data_wren = '1' and strt_addr_count = num_fstore_minus1)then
-- CR607433 - need to do comparison on only STRT_ADDR_CNT_WIDTH.
elsif(desc_data_wren = '1' and strt_addr_count = num_fstore_minus1(STRT_ADDR_CNT_WIDTH-1 downto 0))then
strt_addr_count <= (others => '0');
-- otherwise on each desc write increment the count
elsif(desc_data_wren = '1')then
strt_addr_count <= std_logic_vector(unsigned(strt_addr_count) + 1);
end if;
end if;
end process REG_DESC_CNTR;
end generate GEN_STRTADDR_CNTR;
-- No counter need for FSTORE = 1
GEN_NO_STRTADDR_CNTR : if C_NUM_FSTORES = 1 generate
begin
strt_addr_count <= (others => '0');
end generate GEN_NO_STRTADDR_CNTR;
-------------------------------------------------------------------------------
-- N0 LUT RAM
-- Do not use a LUT RAM is less than 4 frame stores are required.
-------------------------------------------------------------------------------
GEN_NO_RAM : if C_NUM_FSTORES < 4 generate
-- For 1 Frame Store
GEN_FSTORE1 : if C_NUM_FSTORES = 1 generate
begin
-----------------------------------------------------------------------
-- Holding registers for start address fetched via Scatter/Gather
-----------------------------------------------------------------------
-- Start Address Register 1 on SG Side
REG_START_ADDR1_SG : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
start_address1_sg <= (others => '0');
elsif(desc_data_wren='1') then
start_address1_sg <= desc_strtaddress;
end if;
end if;
end process REG_START_ADDR1_SG;
-----------------------------------------------------------------------
-- Sample and Hold for DMA Controller
-----------------------------------------------------------------------
-- Start Address Register 1 on SG Side
REG_START_ADDR1 : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
start_address_sg <= (others => '0');
elsif(video_reg_update='1') then
start_address_sg <= start_address1_sg;
end if;
end if;
end process REG_START_ADDR1;
end generate GEN_FSTORE1;
-- For 2 Frame Stores
GEN_FSTORE2 : if C_NUM_FSTORES = 2 generate
begin
-----------------------------------------------------------------------
-- Holding registers for start address fetched via Scatter/Gather
-----------------------------------------------------------------------
-- Start Address Register 1 on SG Side
REG_START_ADDR1_SG : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
start_address1_sg <= (others => '0');
elsif(desc_data_wren='1' and strt_addr_count = ADDRESS_1) then
start_address1_sg <= desc_strtaddress;
end if;
end if;
end process REG_START_ADDR1_SG;
-- Start Address Register 2 on SG Side
REG_START_ADDR2_SG : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
start_address2_sg <= (others => '0');
elsif(desc_data_wren='1' and strt_addr_count = ADDRESS_2) then
start_address2_sg <= desc_strtaddress;
end if;
end if;
end process REG_START_ADDR2_SG;
-----------------------------------------------------------------------
-- Sample and Hold for DMA Controller
-----------------------------------------------------------------------
-- Start Address Register 1 on SG Side
REG_START_ADDR1 : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
start_address_addr1 <= (others => '0');
elsif(video_reg_update='1') then
start_address_addr1 <= start_address1_sg;
end if;
end if;
end process REG_START_ADDR1;
-- Start Address Register 2 on SG Side
REG_START_ADDR2 : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
start_address_addr2 <= (others => '0');
elsif(video_reg_update='1') then
start_address_addr2 <= start_address2_sg;
end if;
end if;
end process REG_START_ADDR2;
START_ADDRESS_MUX : process(frame_number,
start_address_addr1,
start_address_addr2)
begin
case frame_number is
when "00000" =>
start_address_sg <= start_address_addr1;
when others =>
start_address_sg <= start_address_addr2;
end case;
end process START_ADDRESS_MUX;
end generate GEN_FSTORE2;
-- For 3 Frame Stores
GEN_FSTORE3 : if C_NUM_FSTORES = 3 generate
begin
-----------------------------------------------------------------------
-- Holding registers for start address fetched via Scatter/Gather
-----------------------------------------------------------------------
-- Start Address Register 1 on SG Side
REG_START_ADDR1_SG : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
start_address1_sg <= (others => '0');
elsif(desc_data_wren='1' and strt_addr_count = ADDRESS_1) then
start_address1_sg <= desc_strtaddress;
end if;
end if;
end process REG_START_ADDR1_SG;
-- Start Address Register 2 on SG Side
REG_START_ADDR2_SG : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
start_address2_sg <= (others => '0');
elsif(desc_data_wren='1' and strt_addr_count = ADDRESS_2) then
start_address2_sg <= desc_strtaddress;
end if;
end if;
end process REG_START_ADDR2_SG;
-- Start Address Register 3 on SG Side
REG_START_ADDR3_SG : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
start_address3_sg <= (others => '0');
elsif(desc_data_wren = '1' and strt_addr_count = ADDRESS_3) then
start_address3_sg <= desc_strtaddress;
end if;
end if;
end process REG_START_ADDR3_SG;
-----------------------------------------------------------------------
-- Sample and Hold for DMA Controller
-----------------------------------------------------------------------
-- Start Address Register 1 on SG Side
REG_START_ADDR1 : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
start_address_addr1 <= (others => '0');
elsif(video_reg_update='1') then
start_address_addr1 <= start_address1_sg;
end if;
end if;
end process REG_START_ADDR1;
-- Start Address Register 2 on SG Side
REG_START_ADDR2 : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
start_address_addr2 <= (others => '0');
elsif(video_reg_update='1') then
start_address_addr2 <= start_address2_sg;
end if;
end if;
end process REG_START_ADDR2;
-- Start Address Register 3 on SG Side
REG_START_ADDR3 : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
start_address_addr3 <= (others => '0');
elsif(video_reg_update='1') then
start_address_addr3 <= start_address3_sg;
end if;
end if;
end process REG_START_ADDR3;
START_ADDRESS_MUX : process(frame_number,
start_address_addr1,
start_address_addr2,
start_address_addr3)
begin
case frame_number is
when "00000" =>
start_address_sg <= start_address_addr1;
when "00001" =>
start_address_sg <= start_address_addr2;
when others =>
start_address_sg <= start_address_addr3;
end case;
end process START_ADDRESS_MUX;
end generate GEN_FSTORE3;
update_complete <= ftch_complete;
start_address_out <= start_address_sg;
end generate GEN_NO_RAM;
-------------------------------------------------------------------------------
-- LUT RAM
-- Use a lut RAM if the selected device supports LUT RAM's and the Address
-- width is within the bounds of a LUT RAM and if frame stores is greater
-- than 3. There is no resource savings for less frame stores.
-------------------------------------------------------------------------------
GEN_LUTRAM : if USE_LUTRAM and STRT_ADDR_CNT_WIDTH <= 4 and C_NUM_FSTORES > 3 generate
constant ZERO_ADDR : std_logic_vector(3 downto 0) := (others => '0');
signal addr : std_logic_vector(3 downto 0) := (others => '0');
signal copy_addr : std_logic_vector(3 downto 0) := (others => '0');
signal copy_wren : std_logic := '0';
--signal copyram_addr : std_logic_vector(3 downto 0) := (others => '0');
signal copy_wren_ping : std_logic := '0';
signal copy_wren_pong : std_logic := '0';
signal copyram_addr_ping : std_logic_vector(3 downto 0) := (others => '0');
signal copyram_addr_pong : std_logic_vector(3 downto 0) := (others => '0');
begin
-- Need to pad address up to 4 bits wide
GEN_ADDR_WIDTH_LESS_4 : if STRT_ADDR_CNT_WIDTH < 4 generate
constant ADDR_PAD_WIDTH : integer := 4 - STRT_ADDR_CNT_WIDTH;
constant ADDRESS_PAD : std_logic_vector
(ADDR_PAD_WIDTH-1 downto 0)
:=(others => '0');
begin
addr <= (ADDRESS_PAD & strt_addr_count) when copy_wren = '0'
else copy_addr;
end generate GEN_ADDR_WIDTH_LESS_4;
-- Do not need to pad address, already at 4 bits
GEN_ADDR_WIDTH_EQL_4 : if STRT_ADDR_CNT_WIDTH = 4 generate
begin
addr <= strt_addr_count when copy_wren = '0'
else copy_addr;
end generate GEN_ADDR_WIDTH_EQL_4;
-- Instantiate LUTRAM
GEN_BUFFER1 : for i in C_ADDR_WIDTH - 1 downto 0 generate
LUT_RAM : RAM16X1S
generic map
(
INIT => X"0000"
)
port map
(
WE => desc_data_wren ,
D => desc_strtaddress(i) ,
WCLK => prmry_aclk ,
A0 => addr(0) ,
A1 => addr(1) ,
A2 => addr(2) ,
A3 => addr(3) ,
O => start_address_sg(i)
);
end generate GEN_BUFFER1;
-- On completion of descriptor fetch, enable copying of
-- sg LUTRAM (buffer1) to output LUTRAM (ping or pong)
-- This copy of entire RAM is required because users may only
-- update 1 start address or all addresses and a full copy is
-- the simplest approach
COPY_COUNTER : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0' or ftch_complete_clr = '1' or dmasr_halt = '1')then
copy_addr <= (others => '1');
copy_wren <= '0';
update_complete_i <= '0';
-- done with copy, hold wren able clear asserts
elsif(copy_addr = ZERO_ADDR)then
copy_wren <= '0';
copy_addr <= (others => '0');
update_complete_i <= '1';
-- decrement address on copy
elsif(copy_wren = '1')then
copy_addr <= std_logic_vector(unsigned(copy_addr) - 1);
copy_wren <= '1';
update_complete_i <= '0';
-- all desc data fetched therefore start copy
elsif(ftch_complete = '1')then
copy_addr <= (others => '1');
copy_wren <= '1';
update_complete_i <= '0';
end if;
end if;
end process COPY_COUNTER;
-- Pass out for setting flags
update_complete <= update_complete_i;
copy_wren_ping <= copy_wren when (ping_pong = '1' and video_parameter_valid = '1')
or (update_complete_i = '0' and video_parameter_valid = '0')
else '0';
copyram_addr_ping <= copy_addr when ping_pong = '1'
or (video_parameter_valid = '0' and update_complete_i = '0')
else frame_number(3 downto 0);
copy_wren_pong <= copy_wren when (ping_pong = '0' and video_parameter_valid = '1')
or (update_complete_i = '0' and video_parameter_valid = '0')
else '0';
copyram_addr_pong <= copy_addr when ping_pong = '0'
or (video_parameter_valid = '0' and update_complete_i = '0')
else frame_number(3 downto 0);
-- Ping Pong control for selecting which LUTRAM the DMA controller
-- will fetch from and which one Scatter Gather will update.
PING_PONG_PROCESS : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0' or dmasr_halt = '1' or video_parameter_valid = '0')then
ping_pong <= '0';
elsif(update_complete_i = '1' and video_reg_update = '1')then
ping_pong <= not ping_pong;
end if;
end if;
end process PING_PONG_PROCESS;
-- Instantiate PING LUTRAM
GEN_BUFFER_PING : for i in C_ADDR_WIDTH - 1 downto 0 generate
LUT_RAM : RAM16X1S
generic map
(
INIT => X"0000"
)
port map
(
WE => copy_wren_ping ,
D => start_address_sg(i) ,
WCLK => prmry_aclk ,
A0 => copyram_addr_ping(0) ,
A1 => copyram_addr_ping(1) ,
A2 => copyram_addr_ping(2) ,
A3 => copyram_addr_ping(3) ,
O => start_address_ping(i)
);
end generate GEN_BUFFER_PING;
-- Instantiate PONG LUTRAM
GEN_BUFFER_PONG : for i in C_ADDR_WIDTH - 1 downto 0 generate
LUT_RAM : RAM16X1S
generic map
(
INIT => X"0000"
)
port map
(
WE => copy_wren_pong ,
D => start_address_sg(i) ,
WCLK => prmry_aclk ,
A0 => copyram_addr_pong(0) ,
A1 => copyram_addr_pong(1) ,
A2 => copyram_addr_pong(2) ,
A3 => copyram_addr_pong(3) ,
O => start_address_pong(i)
);
end generate GEN_BUFFER_PONG;
-- Feed start address from LUTRAM opposite from what is being
-- written to by scatter gather fetch and update.
start_address_out <= start_address_ping when ping_pong = '0'
else start_address_pong;
end generate GEN_LUTRAM;
-------------------------------------------------------------------------------
-- BRAM
-- Use a BRAM if LUT RAMS are NOT supported or the Address width is out
-- of the bounds of a LUT RAM.
-------------------------------------------------------------------------------
GEN_BRAM : if (USE_BRAM or STRT_ADDR_CNT_WIDTH > 4) and C_NUM_FSTORES > 3 generate
constant READ_ENABLED : std_logic := '1';
constant ZERO_ADDR : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0) := (others => '0');
--signal read_addr : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0) := (others => '0');
signal copy_addr : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0) := (others => '1');
signal copy_wren : std_logic := '0';
--signal copyram_addr : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0) := (others => '0');
signal copy_wren_ping : std_logic := '0';
signal copy_wren_pong : std_logic := '0';
signal copyram_addr_ping : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0) := (others => '0');
signal copyram_addr_pong : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0) := (others => '0');
signal copy_wren_ping_p : std_logic := '0';
signal copy_wren_pong_p : std_logic := '0';
signal copyram_addr_ping_p : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0) := (others => '0');
signal copyram_addr_pong_p : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0) := (others => '0');
begin
GEN_BUFFER1 : entity axi_vdma_v6_2_8.axi_vdma_blkmem
generic map(
C_DATA_WIDTH => C_ADDR_WIDTH ,
C_ADDR_WIDTH => STRT_ADDR_CNT_WIDTH ,
C_SELECT_XPM => C_SELECT_XPM,
C_FAMILY => C_FAMILY
)
port map(
Clk => prmry_aclk ,
Rst => prmry_resetn ,
-- Write Port signals
Wr_Enable => desc_data_wren ,
Wr_Req => desc_data_wren ,
Wr_Address => strt_addr_count ,
Wr_Data => desc_strtaddress ,
-- Read Port Signals
Rd_Enable => READ_ENABLED ,
Rd_Address => copy_addr ,
Rd_Data => start_address_sg
);
-- On completion of descriptor fetch, enable copying of
-- sg LUTRAM (buffer1) to output LUTRAM (ping or pong)
-- This copy of entire RAM is required because users may only
-- update 1 start address or all addresses and a full copy is
-- the simplest approach
COPY_COUNTER : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0' or ftch_complete_clr = '1' or dmasr_halt = '1')then
copy_addr <= (others => '1');
copy_wren <= '0';
update_complete_i <= '0';
-- done with copy, hold wren able clear asserts
elsif(copy_addr = ZERO_ADDR)then
copy_wren <= '0';
copy_addr <= (others => '0');
update_complete_i <= '1';
-- decrement address on copy
elsif(copy_wren = '1')then
copy_addr <= std_logic_vector(unsigned(copy_addr) - 1);
copy_wren <= '1';
update_complete_i <= '0';
-- all desc data fetched therefore start copy
elsif(ftch_complete = '1')then
copy_addr <= (others => '1');
copy_wren <= '1';
update_complete_i <= '0';
end if;
end if;
end process COPY_COUNTER;
-- Pass out for setting flags
update_complete <= update_complete_i;
copy_wren_ping_p <= copy_wren when (ping_pong = '1' and video_parameter_valid = '1')
or (update_complete_i = '0' and video_parameter_valid = '0')
else '0';
copyram_addr_ping_p <= copy_addr when ping_pong = '1'
or (video_parameter_valid = '0' and update_complete_i = '0')
else frame_number(STRT_ADDR_CNT_WIDTH-1 downto 0);
copy_wren_pong_p <= copy_wren when (ping_pong = '0' and video_parameter_valid = '1')
or (update_complete_i = '0' and video_parameter_valid = '0')
else '0';
copyram_addr_pong_p <= copy_addr when ping_pong = '0'
or (video_parameter_valid = '0' and update_complete_i = '0')
else frame_number(STRT_ADDR_CNT_WIDTH-1 downto 0);
-- Delaying Ping Pong Write and Address signals for BRAM
DELAY_PING_PONG : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
copy_wren_ping <= '0';
copy_wren_pong <= '0';
copyram_addr_ping <= (others => '0');
copyram_addr_pong <= (others => '0');
else
copy_wren_ping <= copy_wren_ping_p;
copy_wren_pong <= copy_wren_pong_p;
copyram_addr_ping <= copyram_addr_ping_p;
copyram_addr_pong <= copyram_addr_pong_p;
end if;
end if;
end process DELAY_PING_PONG;
-- Ping Pong control for selecting which LUTRAM the DMA controller
-- will fetch from and which one Scatter Gather will update.
PING_PONG_PROCESS : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0' or dmasr_halt = '1' or video_parameter_valid = '0')then
ping_pong <= '0';
elsif(update_complete_i = '1' and video_reg_update = '1')then
ping_pong <= not ping_pong;
end if;
end if;
end process PING_PONG_PROCESS;
GEN_BUFFER_PING : entity axi_vdma_v6_2_8.axi_vdma_blkmem
generic map(
C_DATA_WIDTH => C_ADDR_WIDTH ,
C_ADDR_WIDTH => STRT_ADDR_CNT_WIDTH ,
C_SELECT_XPM => C_SELECT_XPM,
C_FAMILY => C_FAMILY
)
port map(
Clk => prmry_aclk ,
Rst => prmry_resetn ,
-- Write Port signals
Wr_Enable => copy_wren_ping ,
Wr_Req => copy_wren_ping ,
Wr_Address => copyram_addr_ping ,
Wr_Data => start_address_sg ,
-- Read Port Signals
Rd_Enable => READ_ENABLED ,
Rd_Address => frame_number(STRT_ADDR_CNT_WIDTH-1 downto 0), -- CR625681
Rd_Data => start_address_ping
);
GEN_BUFFER_PONG : entity axi_vdma_v6_2_8.axi_vdma_blkmem
generic map(
C_DATA_WIDTH => C_ADDR_WIDTH ,
C_ADDR_WIDTH => STRT_ADDR_CNT_WIDTH ,
C_SELECT_XPM => C_SELECT_XPM,
C_FAMILY => C_FAMILY
)
port map(
Clk => prmry_aclk ,
Rst => prmry_resetn ,
-- Write Port signals
Wr_Enable => copy_wren_pong ,
Wr_Req => copy_wren_pong ,
Wr_Address => copyram_addr_pong ,
Wr_Data => start_address_sg ,
-- Read Port Signals
Rd_Enable => READ_ENABLED ,
Rd_Address => frame_number(STRT_ADDR_CNT_WIDTH-1 downto 0), -- CR625681
Rd_Data => start_address_pong
);
-- Feed start address from LUTRAM opposite from what is being
-- written to by scatter gather fetch and update.
start_address_out <= start_address_ping when ping_pong = '0'
else start_address_pong;
end generate GEN_BRAM;
-------------------------------------------------------------------------------
-- VIDEO DOUBLE REGISTER BLOCK FOR DMA CONTROLLER
-------------------------------------------------------------------------------
-- Vertical Size - Video Side
REG_VSIZE_OUT : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
crnt_vsize <= (others => '0');
-- update video register
elsif(video_reg_update='1') then
crnt_vsize <= vsize_sg;
end if;
end if;
end process REG_VSIZE_OUT;
-- Horizontal Size - Video Side
REG_HSIZE_OUT : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
crnt_hsize <= (others => '0');
-- update video register
elsif(video_reg_update='1') then
crnt_hsize <= hsize_sg;
end if;
end if;
end process REG_HSIZE_OUT;
-- Stride - Video Side
REG_STRIDE_OUT : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
crnt_stride <= (others => '0');
-- update video register
elsif(video_reg_update='1') then
crnt_stride <= stride_sg;
end if;
end if;
end process REG_STRIDE_OUT;
-- Frame Delay - Video Side
REG_FRMDLY_OUT : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0' or dmasr_halt = '1')then
crnt_frmdly <= (others => '0');
-- update video register
elsif(video_reg_update='1') then
crnt_frmdly <= frmdly_sg;
end if;
end if;
end process REG_FRMDLY_OUT;
-- Pipe line for fmax (dble to allow for adjustments later if need be)
REG_ADDR_OUT : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
crnt_start_address <= (others => '0');
else
crnt_start_address <= start_address_out;
end if;
end if;
end process REG_ADDR_OUT;
end implementation;
|
-------------------------------------------------------------------------------
-- axi_vdma_sgregister.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011, 2013 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_vdma_sgregister.vhd
--
-- Description: This entity encompasses the sg video register block and is
-- were video parameters are intiallly written on descriptor
-- fetch.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- axi_vdma.vhd
-- |- axi_vdma_pkg.vhd
-- |- axi_vdma_intrpt.vhd
-- |- axi_vdma_rst_module.vhd
-- | |- axi_vdma_reset.vhd (mm2s)
-- | | |- axi_vdma_cdc.vhd
-- | |- axi_vdma_reset.vhd (s2mm)
-- | | |- axi_vdma_cdc.vhd
-- |
-- |- axi_vdma_reg_if.vhd
-- | |- axi_vdma_lite_if.vhd
-- | |- axi_vdma_cdc.vhd (mm2s)
-- | |- axi_vdma_cdc.vhd (s2mm)
-- |
-- |- axi_vdma_sg_cdc.vhd (mm2s)
-- |- axi_vdma_vid_cdc.vhd (mm2s)
-- |- axi_vdma_fsync_gen.vhd (mm2s)
-- |- axi_vdma_sof_gen.vhd (mm2s)
-- |- axi_vdma_reg_module.vhd (mm2s)
-- | |- axi_vdma_register.vhd (mm2s)
-- | |- axi_vdma_regdirect.vhd (mm2s)
-- |- axi_vdma_mngr.vhd (mm2s)
-- | |- axi_vdma_sg_if.vhd (mm2s)
-- | |- axi_vdma_sm.vhd (mm2s)
-- | |- axi_vdma_cmdsts_if.vhd (mm2s)
-- | |- axi_vdma_vidreg_module.vhd (mm2s)
-- | | |- axi_vdma_sgregister.vhd (mm2s)
-- | | |- axi_vdma_vregister.vhd (mm2s)
-- | | |- axi_vdma_vaddrreg_mux.vhd (mm2s)
-- | | |- axi_vdma_blkmem.vhd (mm2s)
-- | |- axi_vdma_genlock_mngr.vhd (mm2s)
-- | |- axi_vdma_genlock_mux.vhd (mm2s)
-- | |- axi_vdma_greycoder.vhd (mm2s)
-- |- axi_vdma_mm2s_linebuf.vhd (mm2s)
-- | |- axi_vdma_sfifo_autord.vhd (mm2s)
-- | |- axi_vdma_afifo_autord.vhd (mm2s)
-- | |- axi_vdma_skid_buf.vhd (mm2s)
-- | |- axi_vdma_cdc.vhd (mm2s)
-- |
-- |- axi_vdma_sg_cdc.vhd (s2mm)
-- |- axi_vdma_vid_cdc.vhd (s2mm)
-- |- axi_vdma_fsync_gen.vhd (s2mm)
-- |- axi_vdma_sof_gen.vhd (s2mm)
-- |- axi_vdma_reg_module.vhd (s2mm)
-- | |- axi_vdma_register.vhd (s2mm)
-- | |- axi_vdma_regdirect.vhd (s2mm)
-- |- axi_vdma_mngr.vhd (s2mm)
-- | |- axi_vdma_sg_if.vhd (s2mm)
-- | |- axi_vdma_sm.vhd (s2mm)
-- | |- axi_vdma_cmdsts_if.vhd (s2mm)
-- | |- axi_vdma_vidreg_module.vhd (s2mm)
-- | | |- axi_vdma_sgregister.vhd (s2mm)
-- | | |- axi_vdma_vregister.vhd (s2mm)
-- | | |- axi_vdma_vaddrreg_mux.vhd (s2mm)
-- | | |- axi_vdma_blkmem.vhd (s2mm)
-- | |- axi_vdma_genlock_mngr.vhd (s2mm)
-- | |- axi_vdma_genlock_mux.vhd (s2mm)
-- | |- axi_vdma_greycoder.vhd (s2mm)
-- |- axi_vdma_s2mm_linebuf.vhd (s2mm)
-- | |- axi_vdma_sfifo_autord.vhd (s2mm)
-- | |- axi_vdma_afifo_autord.vhd (s2mm)
-- | |- axi_vdma_skid_buf.vhd (s2mm)
-- | |- axi_vdma_cdc.vhd (s2mm)
-- |
-- |- axi_datamover_v3_00_a.axi_datamover.vhd (FULL)
-- |- axi_sg_v3_00_a.axi_sg.vhd
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library lib_pkg_v1_0_2;
use lib_pkg_v1_0_2.lib_pkg.clog2;
use lib_pkg_v1_0_2.lib_pkg.max2;
--use proc_common_v4_0_2.family_support.all;
library unisim;
use unisim.vcomponents.all;
library axi_vdma_v6_2_8;
use axi_vdma_v6_2_8.axi_vdma_pkg.all;
-------------------------------------------------------------------------------
entity axi_vdma_sgregister is
generic(
C_NUM_FSTORES : integer range 1 to 32 := 1 ;
-- Number of Frame Stores
C_ADDR_WIDTH : integer range 32 to 32 := 32 ;
-- Start Address Width
C_SELECT_XPM : integer := 1;
C_FAMILY : string := "virtex7"
);
port (
prmry_aclk : in std_logic ; --
prmry_resetn : in std_logic ; --
--
-- Update Control --
video_parameter_updt : in std_logic ; --
video_parameter_valid : in std_logic ; --
video_reg_update : in std_logic ; --
dmasr_halt : in std_logic ; --
strt_addr_clr : in std_logic ; --
desc_data_wren : in std_logic ; --
frame_number : in std_logic_vector --
(FRAME_NUMBER_WIDTH-1 downto 0) ; --
ftch_complete : in std_logic ; --
ftch_complete_clr : in std_logic ; --
update_complete : out std_logic ; --
num_fstore_minus1 : in std_logic_vector --
(FRAME_NUMBER_WIDTH-1 downto 0) ; --
--
-- Video Start Address / Parameters In from Scatter Gather Engine --
desc_vsize : in std_logic_vector --
(VSIZE_DWIDTH-1 downto 0) ; --
desc_hsize : in std_logic_vector --
(HSIZE_DWIDTH-1 downto 0) ; --
desc_stride : in std_logic_vector --
(STRIDE_DWIDTH-1 downto 0) ; --
desc_frmdly : in std_logic_vector --
(FRMDLY_DWIDTH-1 downto 0) ; --
desc_strtaddress : in std_logic_vector --
(C_ADDR_WIDTH-1 downto 0) ; --
--
-- Video Start Address / Parameters Out to DMA Controller --
crnt_vsize : out std_logic_vector --
(VSIZE_DWIDTH-1 downto 0) ; --
crnt_hsize : out std_logic_vector --
(HSIZE_DWIDTH-1 downto 0) ; --
crnt_stride : out std_logic_vector --
(STRIDE_DWIDTH-1 downto 0) ; --
crnt_frmdly : out std_logic_vector --
(FRMDLY_DWIDTH-1 downto 0) ; --
crnt_start_address : out std_logic_vector --
(C_ADDR_WIDTH - 1 downto 0) --
);
end axi_vdma_sgregister;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_vdma_sgregister is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
constant STRT_ADDR_CNT_WIDTH : integer := max2(1,clog2(C_NUM_FSTORES));
-- CR607089
--constant STRT_ADDR_TC : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0)
-- := std_logic_vector(to_unsigned(C_NUM_FSTORES-1,STRT_ADDR_CNT_WIDTH));
--constant USE_LUTRAM : boolean := supported(C_FAMILY,u_RAM16X1S);
constant USE_LUTRAM : boolean := FALSE;
constant USE_BRAM : boolean := USE_LUTRAM=FALSE;
constant ADDRESS_1 : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0)
:= (others => '0');
constant ADDRESS_2 : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0)
:= std_logic_vector(to_unsigned(1,STRT_ADDR_CNT_WIDTH));
constant ADDRESS_3 : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0)
:= std_logic_vector(to_unsigned(2,STRT_ADDR_CNT_WIDTH));
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
signal strt_addr_count : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0) := (others => '0');
--signal s_h_wren : std_logic := '0';
--signal ram_address_incr : std_logic := '0';
signal vsize_sg : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := (others => '0');
signal hsize_sg : std_logic_vector(HSIZE_DWIDTH-1 downto 0) := (others => '0');
signal stride_sg : std_logic_vector(STRIDE_DWIDTH-1 downto 0) := (others => '0');
signal frmdly_sg : std_logic_vector(FRMDLY_DWIDTH-1 downto 0) := (others => '0');
signal start_address_sg : std_logic_vector(C_ADDR_WIDTH - 1 downto 0):= (others => '0');
signal start_address_out : std_logic_vector(C_ADDR_WIDTH - 1 downto 0):= (others => '0');
signal start_address1_sg : std_logic_vector(C_ADDR_WIDTH - 1 downto 0):= (others => '0');
signal start_address2_sg : std_logic_vector(C_ADDR_WIDTH - 1 downto 0):= (others => '0');
signal start_address3_sg : std_logic_vector(C_ADDR_WIDTH - 1 downto 0):= (others => '0');
signal start_address_addr1 : std_logic_vector(C_ADDR_WIDTH - 1 downto 0):= (others => '0');
signal start_address_addr2 : std_logic_vector(C_ADDR_WIDTH - 1 downto 0):= (others => '0');
signal start_address_addr3 : std_logic_vector(C_ADDR_WIDTH - 1 downto 0):= (others => '0');
signal update_complete_i : std_logic := '0';
signal ping_pong : std_logic := '0';
signal start_address_pong : std_logic_vector(C_ADDR_WIDTH - 1 downto 0):= (others => '0');
signal start_address_ping : std_logic_vector(C_ADDR_WIDTH - 1 downto 0):= (others => '0');
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
-------------------------------------------------------------------------------
-- VIDEO TRANSFER PARAMETERS - FROM SG ENGINE
-------------------------------------------------------------------------------
-- Vertical Size - Video Side
REG_VSIZE : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
vsize_sg <= (others => '0');
-- update video register
elsif(desc_data_wren='1' and video_parameter_updt = '1') then
vsize_sg <= desc_vsize;
end if;
end if;
end process REG_VSIZE;
-- Horizontal Size - Video Side
REG_HSIZE : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
hsize_sg <= (others => '0');
-- update video register
elsif(desc_data_wren='1' and video_parameter_updt = '1') then
hsize_sg <= desc_hsize;
end if;
end if;
end process REG_HSIZE;
-- Stride - Video Side
REG_STRIDE : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
stride_sg <= (others => '0');
-- update video register
elsif(desc_data_wren='1' and video_parameter_updt = '1') then
stride_sg <= desc_stride;
end if;
end if;
end process REG_STRIDE;
-- Frame Delay - Video Side
REG_FRMDLY : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0' or dmasr_halt = '1')then
frmdly_sg <= (others => '0');
-- update video register
elsif(desc_data_wren='1' and video_parameter_updt = '1') then
frmdly_sg <= desc_frmdly;
end if;
end if;
end process REG_FRMDLY;
-------------------------------------------------------------------------------
-- VIDEO START ADDRESSES - FROM SG ENGINE
-------------------------------------------------------------------------------
-- If more than one FSTORE then need counter to address
-- start_address_registers
GEN_STRTADDR_CNTR : if C_NUM_FSTORES /= 1 generate
begin
REG_DESC_CNTR : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
-- on reset or clear then reset start address count
if(prmry_resetn = '0' or strt_addr_clr = '1')then
strt_addr_count <= (others => '0');
-- on desc write and address count reached terminal count then reset
-- CR607089 - need to account for frame store setting
--elsif(desc_data_wren = '1' and strt_addr_count = STRT_ADDR_TC)then
--elsif(desc_data_wren = '1' and strt_addr_count = num_fstore_minus1)then
-- CR607433 - need to do comparison on only STRT_ADDR_CNT_WIDTH.
elsif(desc_data_wren = '1' and strt_addr_count = num_fstore_minus1(STRT_ADDR_CNT_WIDTH-1 downto 0))then
strt_addr_count <= (others => '0');
-- otherwise on each desc write increment the count
elsif(desc_data_wren = '1')then
strt_addr_count <= std_logic_vector(unsigned(strt_addr_count) + 1);
end if;
end if;
end process REG_DESC_CNTR;
end generate GEN_STRTADDR_CNTR;
-- No counter need for FSTORE = 1
GEN_NO_STRTADDR_CNTR : if C_NUM_FSTORES = 1 generate
begin
strt_addr_count <= (others => '0');
end generate GEN_NO_STRTADDR_CNTR;
-------------------------------------------------------------------------------
-- N0 LUT RAM
-- Do not use a LUT RAM is less than 4 frame stores are required.
-------------------------------------------------------------------------------
GEN_NO_RAM : if C_NUM_FSTORES < 4 generate
-- For 1 Frame Store
GEN_FSTORE1 : if C_NUM_FSTORES = 1 generate
begin
-----------------------------------------------------------------------
-- Holding registers for start address fetched via Scatter/Gather
-----------------------------------------------------------------------
-- Start Address Register 1 on SG Side
REG_START_ADDR1_SG : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
start_address1_sg <= (others => '0');
elsif(desc_data_wren='1') then
start_address1_sg <= desc_strtaddress;
end if;
end if;
end process REG_START_ADDR1_SG;
-----------------------------------------------------------------------
-- Sample and Hold for DMA Controller
-----------------------------------------------------------------------
-- Start Address Register 1 on SG Side
REG_START_ADDR1 : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
start_address_sg <= (others => '0');
elsif(video_reg_update='1') then
start_address_sg <= start_address1_sg;
end if;
end if;
end process REG_START_ADDR1;
end generate GEN_FSTORE1;
-- For 2 Frame Stores
GEN_FSTORE2 : if C_NUM_FSTORES = 2 generate
begin
-----------------------------------------------------------------------
-- Holding registers for start address fetched via Scatter/Gather
-----------------------------------------------------------------------
-- Start Address Register 1 on SG Side
REG_START_ADDR1_SG : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
start_address1_sg <= (others => '0');
elsif(desc_data_wren='1' and strt_addr_count = ADDRESS_1) then
start_address1_sg <= desc_strtaddress;
end if;
end if;
end process REG_START_ADDR1_SG;
-- Start Address Register 2 on SG Side
REG_START_ADDR2_SG : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
start_address2_sg <= (others => '0');
elsif(desc_data_wren='1' and strt_addr_count = ADDRESS_2) then
start_address2_sg <= desc_strtaddress;
end if;
end if;
end process REG_START_ADDR2_SG;
-----------------------------------------------------------------------
-- Sample and Hold for DMA Controller
-----------------------------------------------------------------------
-- Start Address Register 1 on SG Side
REG_START_ADDR1 : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
start_address_addr1 <= (others => '0');
elsif(video_reg_update='1') then
start_address_addr1 <= start_address1_sg;
end if;
end if;
end process REG_START_ADDR1;
-- Start Address Register 2 on SG Side
REG_START_ADDR2 : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
start_address_addr2 <= (others => '0');
elsif(video_reg_update='1') then
start_address_addr2 <= start_address2_sg;
end if;
end if;
end process REG_START_ADDR2;
START_ADDRESS_MUX : process(frame_number,
start_address_addr1,
start_address_addr2)
begin
case frame_number is
when "00000" =>
start_address_sg <= start_address_addr1;
when others =>
start_address_sg <= start_address_addr2;
end case;
end process START_ADDRESS_MUX;
end generate GEN_FSTORE2;
-- For 3 Frame Stores
GEN_FSTORE3 : if C_NUM_FSTORES = 3 generate
begin
-----------------------------------------------------------------------
-- Holding registers for start address fetched via Scatter/Gather
-----------------------------------------------------------------------
-- Start Address Register 1 on SG Side
REG_START_ADDR1_SG : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
start_address1_sg <= (others => '0');
elsif(desc_data_wren='1' and strt_addr_count = ADDRESS_1) then
start_address1_sg <= desc_strtaddress;
end if;
end if;
end process REG_START_ADDR1_SG;
-- Start Address Register 2 on SG Side
REG_START_ADDR2_SG : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
start_address2_sg <= (others => '0');
elsif(desc_data_wren='1' and strt_addr_count = ADDRESS_2) then
start_address2_sg <= desc_strtaddress;
end if;
end if;
end process REG_START_ADDR2_SG;
-- Start Address Register 3 on SG Side
REG_START_ADDR3_SG : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
start_address3_sg <= (others => '0');
elsif(desc_data_wren = '1' and strt_addr_count = ADDRESS_3) then
start_address3_sg <= desc_strtaddress;
end if;
end if;
end process REG_START_ADDR3_SG;
-----------------------------------------------------------------------
-- Sample and Hold for DMA Controller
-----------------------------------------------------------------------
-- Start Address Register 1 on SG Side
REG_START_ADDR1 : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
start_address_addr1 <= (others => '0');
elsif(video_reg_update='1') then
start_address_addr1 <= start_address1_sg;
end if;
end if;
end process REG_START_ADDR1;
-- Start Address Register 2 on SG Side
REG_START_ADDR2 : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
start_address_addr2 <= (others => '0');
elsif(video_reg_update='1') then
start_address_addr2 <= start_address2_sg;
end if;
end if;
end process REG_START_ADDR2;
-- Start Address Register 3 on SG Side
REG_START_ADDR3 : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
start_address_addr3 <= (others => '0');
elsif(video_reg_update='1') then
start_address_addr3 <= start_address3_sg;
end if;
end if;
end process REG_START_ADDR3;
START_ADDRESS_MUX : process(frame_number,
start_address_addr1,
start_address_addr2,
start_address_addr3)
begin
case frame_number is
when "00000" =>
start_address_sg <= start_address_addr1;
when "00001" =>
start_address_sg <= start_address_addr2;
when others =>
start_address_sg <= start_address_addr3;
end case;
end process START_ADDRESS_MUX;
end generate GEN_FSTORE3;
update_complete <= ftch_complete;
start_address_out <= start_address_sg;
end generate GEN_NO_RAM;
-------------------------------------------------------------------------------
-- LUT RAM
-- Use a lut RAM if the selected device supports LUT RAM's and the Address
-- width is within the bounds of a LUT RAM and if frame stores is greater
-- than 3. There is no resource savings for less frame stores.
-------------------------------------------------------------------------------
GEN_LUTRAM : if USE_LUTRAM and STRT_ADDR_CNT_WIDTH <= 4 and C_NUM_FSTORES > 3 generate
constant ZERO_ADDR : std_logic_vector(3 downto 0) := (others => '0');
signal addr : std_logic_vector(3 downto 0) := (others => '0');
signal copy_addr : std_logic_vector(3 downto 0) := (others => '0');
signal copy_wren : std_logic := '0';
--signal copyram_addr : std_logic_vector(3 downto 0) := (others => '0');
signal copy_wren_ping : std_logic := '0';
signal copy_wren_pong : std_logic := '0';
signal copyram_addr_ping : std_logic_vector(3 downto 0) := (others => '0');
signal copyram_addr_pong : std_logic_vector(3 downto 0) := (others => '0');
begin
-- Need to pad address up to 4 bits wide
GEN_ADDR_WIDTH_LESS_4 : if STRT_ADDR_CNT_WIDTH < 4 generate
constant ADDR_PAD_WIDTH : integer := 4 - STRT_ADDR_CNT_WIDTH;
constant ADDRESS_PAD : std_logic_vector
(ADDR_PAD_WIDTH-1 downto 0)
:=(others => '0');
begin
addr <= (ADDRESS_PAD & strt_addr_count) when copy_wren = '0'
else copy_addr;
end generate GEN_ADDR_WIDTH_LESS_4;
-- Do not need to pad address, already at 4 bits
GEN_ADDR_WIDTH_EQL_4 : if STRT_ADDR_CNT_WIDTH = 4 generate
begin
addr <= strt_addr_count when copy_wren = '0'
else copy_addr;
end generate GEN_ADDR_WIDTH_EQL_4;
-- Instantiate LUTRAM
GEN_BUFFER1 : for i in C_ADDR_WIDTH - 1 downto 0 generate
LUT_RAM : RAM16X1S
generic map
(
INIT => X"0000"
)
port map
(
WE => desc_data_wren ,
D => desc_strtaddress(i) ,
WCLK => prmry_aclk ,
A0 => addr(0) ,
A1 => addr(1) ,
A2 => addr(2) ,
A3 => addr(3) ,
O => start_address_sg(i)
);
end generate GEN_BUFFER1;
-- On completion of descriptor fetch, enable copying of
-- sg LUTRAM (buffer1) to output LUTRAM (ping or pong)
-- This copy of entire RAM is required because users may only
-- update 1 start address or all addresses and a full copy is
-- the simplest approach
COPY_COUNTER : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0' or ftch_complete_clr = '1' or dmasr_halt = '1')then
copy_addr <= (others => '1');
copy_wren <= '0';
update_complete_i <= '0';
-- done with copy, hold wren able clear asserts
elsif(copy_addr = ZERO_ADDR)then
copy_wren <= '0';
copy_addr <= (others => '0');
update_complete_i <= '1';
-- decrement address on copy
elsif(copy_wren = '1')then
copy_addr <= std_logic_vector(unsigned(copy_addr) - 1);
copy_wren <= '1';
update_complete_i <= '0';
-- all desc data fetched therefore start copy
elsif(ftch_complete = '1')then
copy_addr <= (others => '1');
copy_wren <= '1';
update_complete_i <= '0';
end if;
end if;
end process COPY_COUNTER;
-- Pass out for setting flags
update_complete <= update_complete_i;
copy_wren_ping <= copy_wren when (ping_pong = '1' and video_parameter_valid = '1')
or (update_complete_i = '0' and video_parameter_valid = '0')
else '0';
copyram_addr_ping <= copy_addr when ping_pong = '1'
or (video_parameter_valid = '0' and update_complete_i = '0')
else frame_number(3 downto 0);
copy_wren_pong <= copy_wren when (ping_pong = '0' and video_parameter_valid = '1')
or (update_complete_i = '0' and video_parameter_valid = '0')
else '0';
copyram_addr_pong <= copy_addr when ping_pong = '0'
or (video_parameter_valid = '0' and update_complete_i = '0')
else frame_number(3 downto 0);
-- Ping Pong control for selecting which LUTRAM the DMA controller
-- will fetch from and which one Scatter Gather will update.
PING_PONG_PROCESS : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0' or dmasr_halt = '1' or video_parameter_valid = '0')then
ping_pong <= '0';
elsif(update_complete_i = '1' and video_reg_update = '1')then
ping_pong <= not ping_pong;
end if;
end if;
end process PING_PONG_PROCESS;
-- Instantiate PING LUTRAM
GEN_BUFFER_PING : for i in C_ADDR_WIDTH - 1 downto 0 generate
LUT_RAM : RAM16X1S
generic map
(
INIT => X"0000"
)
port map
(
WE => copy_wren_ping ,
D => start_address_sg(i) ,
WCLK => prmry_aclk ,
A0 => copyram_addr_ping(0) ,
A1 => copyram_addr_ping(1) ,
A2 => copyram_addr_ping(2) ,
A3 => copyram_addr_ping(3) ,
O => start_address_ping(i)
);
end generate GEN_BUFFER_PING;
-- Instantiate PONG LUTRAM
GEN_BUFFER_PONG : for i in C_ADDR_WIDTH - 1 downto 0 generate
LUT_RAM : RAM16X1S
generic map
(
INIT => X"0000"
)
port map
(
WE => copy_wren_pong ,
D => start_address_sg(i) ,
WCLK => prmry_aclk ,
A0 => copyram_addr_pong(0) ,
A1 => copyram_addr_pong(1) ,
A2 => copyram_addr_pong(2) ,
A3 => copyram_addr_pong(3) ,
O => start_address_pong(i)
);
end generate GEN_BUFFER_PONG;
-- Feed start address from LUTRAM opposite from what is being
-- written to by scatter gather fetch and update.
start_address_out <= start_address_ping when ping_pong = '0'
else start_address_pong;
end generate GEN_LUTRAM;
-------------------------------------------------------------------------------
-- BRAM
-- Use a BRAM if LUT RAMS are NOT supported or the Address width is out
-- of the bounds of a LUT RAM.
-------------------------------------------------------------------------------
GEN_BRAM : if (USE_BRAM or STRT_ADDR_CNT_WIDTH > 4) and C_NUM_FSTORES > 3 generate
constant READ_ENABLED : std_logic := '1';
constant ZERO_ADDR : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0) := (others => '0');
--signal read_addr : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0) := (others => '0');
signal copy_addr : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0) := (others => '1');
signal copy_wren : std_logic := '0';
--signal copyram_addr : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0) := (others => '0');
signal copy_wren_ping : std_logic := '0';
signal copy_wren_pong : std_logic := '0';
signal copyram_addr_ping : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0) := (others => '0');
signal copyram_addr_pong : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0) := (others => '0');
signal copy_wren_ping_p : std_logic := '0';
signal copy_wren_pong_p : std_logic := '0';
signal copyram_addr_ping_p : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0) := (others => '0');
signal copyram_addr_pong_p : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0) := (others => '0');
begin
GEN_BUFFER1 : entity axi_vdma_v6_2_8.axi_vdma_blkmem
generic map(
C_DATA_WIDTH => C_ADDR_WIDTH ,
C_ADDR_WIDTH => STRT_ADDR_CNT_WIDTH ,
C_SELECT_XPM => C_SELECT_XPM,
C_FAMILY => C_FAMILY
)
port map(
Clk => prmry_aclk ,
Rst => prmry_resetn ,
-- Write Port signals
Wr_Enable => desc_data_wren ,
Wr_Req => desc_data_wren ,
Wr_Address => strt_addr_count ,
Wr_Data => desc_strtaddress ,
-- Read Port Signals
Rd_Enable => READ_ENABLED ,
Rd_Address => copy_addr ,
Rd_Data => start_address_sg
);
-- On completion of descriptor fetch, enable copying of
-- sg LUTRAM (buffer1) to output LUTRAM (ping or pong)
-- This copy of entire RAM is required because users may only
-- update 1 start address or all addresses and a full copy is
-- the simplest approach
COPY_COUNTER : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0' or ftch_complete_clr = '1' or dmasr_halt = '1')then
copy_addr <= (others => '1');
copy_wren <= '0';
update_complete_i <= '0';
-- done with copy, hold wren able clear asserts
elsif(copy_addr = ZERO_ADDR)then
copy_wren <= '0';
copy_addr <= (others => '0');
update_complete_i <= '1';
-- decrement address on copy
elsif(copy_wren = '1')then
copy_addr <= std_logic_vector(unsigned(copy_addr) - 1);
copy_wren <= '1';
update_complete_i <= '0';
-- all desc data fetched therefore start copy
elsif(ftch_complete = '1')then
copy_addr <= (others => '1');
copy_wren <= '1';
update_complete_i <= '0';
end if;
end if;
end process COPY_COUNTER;
-- Pass out for setting flags
update_complete <= update_complete_i;
copy_wren_ping_p <= copy_wren when (ping_pong = '1' and video_parameter_valid = '1')
or (update_complete_i = '0' and video_parameter_valid = '0')
else '0';
copyram_addr_ping_p <= copy_addr when ping_pong = '1'
or (video_parameter_valid = '0' and update_complete_i = '0')
else frame_number(STRT_ADDR_CNT_WIDTH-1 downto 0);
copy_wren_pong_p <= copy_wren when (ping_pong = '0' and video_parameter_valid = '1')
or (update_complete_i = '0' and video_parameter_valid = '0')
else '0';
copyram_addr_pong_p <= copy_addr when ping_pong = '0'
or (video_parameter_valid = '0' and update_complete_i = '0')
else frame_number(STRT_ADDR_CNT_WIDTH-1 downto 0);
-- Delaying Ping Pong Write and Address signals for BRAM
DELAY_PING_PONG : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
copy_wren_ping <= '0';
copy_wren_pong <= '0';
copyram_addr_ping <= (others => '0');
copyram_addr_pong <= (others => '0');
else
copy_wren_ping <= copy_wren_ping_p;
copy_wren_pong <= copy_wren_pong_p;
copyram_addr_ping <= copyram_addr_ping_p;
copyram_addr_pong <= copyram_addr_pong_p;
end if;
end if;
end process DELAY_PING_PONG;
-- Ping Pong control for selecting which LUTRAM the DMA controller
-- will fetch from and which one Scatter Gather will update.
PING_PONG_PROCESS : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0' or dmasr_halt = '1' or video_parameter_valid = '0')then
ping_pong <= '0';
elsif(update_complete_i = '1' and video_reg_update = '1')then
ping_pong <= not ping_pong;
end if;
end if;
end process PING_PONG_PROCESS;
GEN_BUFFER_PING : entity axi_vdma_v6_2_8.axi_vdma_blkmem
generic map(
C_DATA_WIDTH => C_ADDR_WIDTH ,
C_ADDR_WIDTH => STRT_ADDR_CNT_WIDTH ,
C_SELECT_XPM => C_SELECT_XPM,
C_FAMILY => C_FAMILY
)
port map(
Clk => prmry_aclk ,
Rst => prmry_resetn ,
-- Write Port signals
Wr_Enable => copy_wren_ping ,
Wr_Req => copy_wren_ping ,
Wr_Address => copyram_addr_ping ,
Wr_Data => start_address_sg ,
-- Read Port Signals
Rd_Enable => READ_ENABLED ,
Rd_Address => frame_number(STRT_ADDR_CNT_WIDTH-1 downto 0), -- CR625681
Rd_Data => start_address_ping
);
GEN_BUFFER_PONG : entity axi_vdma_v6_2_8.axi_vdma_blkmem
generic map(
C_DATA_WIDTH => C_ADDR_WIDTH ,
C_ADDR_WIDTH => STRT_ADDR_CNT_WIDTH ,
C_SELECT_XPM => C_SELECT_XPM,
C_FAMILY => C_FAMILY
)
port map(
Clk => prmry_aclk ,
Rst => prmry_resetn ,
-- Write Port signals
Wr_Enable => copy_wren_pong ,
Wr_Req => copy_wren_pong ,
Wr_Address => copyram_addr_pong ,
Wr_Data => start_address_sg ,
-- Read Port Signals
Rd_Enable => READ_ENABLED ,
Rd_Address => frame_number(STRT_ADDR_CNT_WIDTH-1 downto 0), -- CR625681
Rd_Data => start_address_pong
);
-- Feed start address from LUTRAM opposite from what is being
-- written to by scatter gather fetch and update.
start_address_out <= start_address_ping when ping_pong = '0'
else start_address_pong;
end generate GEN_BRAM;
-------------------------------------------------------------------------------
-- VIDEO DOUBLE REGISTER BLOCK FOR DMA CONTROLLER
-------------------------------------------------------------------------------
-- Vertical Size - Video Side
REG_VSIZE_OUT : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
crnt_vsize <= (others => '0');
-- update video register
elsif(video_reg_update='1') then
crnt_vsize <= vsize_sg;
end if;
end if;
end process REG_VSIZE_OUT;
-- Horizontal Size - Video Side
REG_HSIZE_OUT : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
crnt_hsize <= (others => '0');
-- update video register
elsif(video_reg_update='1') then
crnt_hsize <= hsize_sg;
end if;
end if;
end process REG_HSIZE_OUT;
-- Stride - Video Side
REG_STRIDE_OUT : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
crnt_stride <= (others => '0');
-- update video register
elsif(video_reg_update='1') then
crnt_stride <= stride_sg;
end if;
end if;
end process REG_STRIDE_OUT;
-- Frame Delay - Video Side
REG_FRMDLY_OUT : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0' or dmasr_halt = '1')then
crnt_frmdly <= (others => '0');
-- update video register
elsif(video_reg_update='1') then
crnt_frmdly <= frmdly_sg;
end if;
end if;
end process REG_FRMDLY_OUT;
-- Pipe line for fmax (dble to allow for adjustments later if need be)
REG_ADDR_OUT : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
crnt_start_address <= (others => '0');
else
crnt_start_address <= start_address_out;
end if;
end if;
end process REG_ADDR_OUT;
end implementation;
|
-------------------------------------------------------------------------------
-- axi_vdma_sgregister.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011, 2013 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_vdma_sgregister.vhd
--
-- Description: This entity encompasses the sg video register block and is
-- were video parameters are intiallly written on descriptor
-- fetch.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- axi_vdma.vhd
-- |- axi_vdma_pkg.vhd
-- |- axi_vdma_intrpt.vhd
-- |- axi_vdma_rst_module.vhd
-- | |- axi_vdma_reset.vhd (mm2s)
-- | | |- axi_vdma_cdc.vhd
-- | |- axi_vdma_reset.vhd (s2mm)
-- | | |- axi_vdma_cdc.vhd
-- |
-- |- axi_vdma_reg_if.vhd
-- | |- axi_vdma_lite_if.vhd
-- | |- axi_vdma_cdc.vhd (mm2s)
-- | |- axi_vdma_cdc.vhd (s2mm)
-- |
-- |- axi_vdma_sg_cdc.vhd (mm2s)
-- |- axi_vdma_vid_cdc.vhd (mm2s)
-- |- axi_vdma_fsync_gen.vhd (mm2s)
-- |- axi_vdma_sof_gen.vhd (mm2s)
-- |- axi_vdma_reg_module.vhd (mm2s)
-- | |- axi_vdma_register.vhd (mm2s)
-- | |- axi_vdma_regdirect.vhd (mm2s)
-- |- axi_vdma_mngr.vhd (mm2s)
-- | |- axi_vdma_sg_if.vhd (mm2s)
-- | |- axi_vdma_sm.vhd (mm2s)
-- | |- axi_vdma_cmdsts_if.vhd (mm2s)
-- | |- axi_vdma_vidreg_module.vhd (mm2s)
-- | | |- axi_vdma_sgregister.vhd (mm2s)
-- | | |- axi_vdma_vregister.vhd (mm2s)
-- | | |- axi_vdma_vaddrreg_mux.vhd (mm2s)
-- | | |- axi_vdma_blkmem.vhd (mm2s)
-- | |- axi_vdma_genlock_mngr.vhd (mm2s)
-- | |- axi_vdma_genlock_mux.vhd (mm2s)
-- | |- axi_vdma_greycoder.vhd (mm2s)
-- |- axi_vdma_mm2s_linebuf.vhd (mm2s)
-- | |- axi_vdma_sfifo_autord.vhd (mm2s)
-- | |- axi_vdma_afifo_autord.vhd (mm2s)
-- | |- axi_vdma_skid_buf.vhd (mm2s)
-- | |- axi_vdma_cdc.vhd (mm2s)
-- |
-- |- axi_vdma_sg_cdc.vhd (s2mm)
-- |- axi_vdma_vid_cdc.vhd (s2mm)
-- |- axi_vdma_fsync_gen.vhd (s2mm)
-- |- axi_vdma_sof_gen.vhd (s2mm)
-- |- axi_vdma_reg_module.vhd (s2mm)
-- | |- axi_vdma_register.vhd (s2mm)
-- | |- axi_vdma_regdirect.vhd (s2mm)
-- |- axi_vdma_mngr.vhd (s2mm)
-- | |- axi_vdma_sg_if.vhd (s2mm)
-- | |- axi_vdma_sm.vhd (s2mm)
-- | |- axi_vdma_cmdsts_if.vhd (s2mm)
-- | |- axi_vdma_vidreg_module.vhd (s2mm)
-- | | |- axi_vdma_sgregister.vhd (s2mm)
-- | | |- axi_vdma_vregister.vhd (s2mm)
-- | | |- axi_vdma_vaddrreg_mux.vhd (s2mm)
-- | | |- axi_vdma_blkmem.vhd (s2mm)
-- | |- axi_vdma_genlock_mngr.vhd (s2mm)
-- | |- axi_vdma_genlock_mux.vhd (s2mm)
-- | |- axi_vdma_greycoder.vhd (s2mm)
-- |- axi_vdma_s2mm_linebuf.vhd (s2mm)
-- | |- axi_vdma_sfifo_autord.vhd (s2mm)
-- | |- axi_vdma_afifo_autord.vhd (s2mm)
-- | |- axi_vdma_skid_buf.vhd (s2mm)
-- | |- axi_vdma_cdc.vhd (s2mm)
-- |
-- |- axi_datamover_v3_00_a.axi_datamover.vhd (FULL)
-- |- axi_sg_v3_00_a.axi_sg.vhd
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library lib_pkg_v1_0_2;
use lib_pkg_v1_0_2.lib_pkg.clog2;
use lib_pkg_v1_0_2.lib_pkg.max2;
--use proc_common_v4_0_2.family_support.all;
library unisim;
use unisim.vcomponents.all;
library axi_vdma_v6_2_8;
use axi_vdma_v6_2_8.axi_vdma_pkg.all;
-------------------------------------------------------------------------------
entity axi_vdma_sgregister is
generic(
C_NUM_FSTORES : integer range 1 to 32 := 1 ;
-- Number of Frame Stores
C_ADDR_WIDTH : integer range 32 to 32 := 32 ;
-- Start Address Width
C_SELECT_XPM : integer := 1;
C_FAMILY : string := "virtex7"
);
port (
prmry_aclk : in std_logic ; --
prmry_resetn : in std_logic ; --
--
-- Update Control --
video_parameter_updt : in std_logic ; --
video_parameter_valid : in std_logic ; --
video_reg_update : in std_logic ; --
dmasr_halt : in std_logic ; --
strt_addr_clr : in std_logic ; --
desc_data_wren : in std_logic ; --
frame_number : in std_logic_vector --
(FRAME_NUMBER_WIDTH-1 downto 0) ; --
ftch_complete : in std_logic ; --
ftch_complete_clr : in std_logic ; --
update_complete : out std_logic ; --
num_fstore_minus1 : in std_logic_vector --
(FRAME_NUMBER_WIDTH-1 downto 0) ; --
--
-- Video Start Address / Parameters In from Scatter Gather Engine --
desc_vsize : in std_logic_vector --
(VSIZE_DWIDTH-1 downto 0) ; --
desc_hsize : in std_logic_vector --
(HSIZE_DWIDTH-1 downto 0) ; --
desc_stride : in std_logic_vector --
(STRIDE_DWIDTH-1 downto 0) ; --
desc_frmdly : in std_logic_vector --
(FRMDLY_DWIDTH-1 downto 0) ; --
desc_strtaddress : in std_logic_vector --
(C_ADDR_WIDTH-1 downto 0) ; --
--
-- Video Start Address / Parameters Out to DMA Controller --
crnt_vsize : out std_logic_vector --
(VSIZE_DWIDTH-1 downto 0) ; --
crnt_hsize : out std_logic_vector --
(HSIZE_DWIDTH-1 downto 0) ; --
crnt_stride : out std_logic_vector --
(STRIDE_DWIDTH-1 downto 0) ; --
crnt_frmdly : out std_logic_vector --
(FRMDLY_DWIDTH-1 downto 0) ; --
crnt_start_address : out std_logic_vector --
(C_ADDR_WIDTH - 1 downto 0) --
);
end axi_vdma_sgregister;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_vdma_sgregister is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
constant STRT_ADDR_CNT_WIDTH : integer := max2(1,clog2(C_NUM_FSTORES));
-- CR607089
--constant STRT_ADDR_TC : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0)
-- := std_logic_vector(to_unsigned(C_NUM_FSTORES-1,STRT_ADDR_CNT_WIDTH));
--constant USE_LUTRAM : boolean := supported(C_FAMILY,u_RAM16X1S);
constant USE_LUTRAM : boolean := FALSE;
constant USE_BRAM : boolean := USE_LUTRAM=FALSE;
constant ADDRESS_1 : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0)
:= (others => '0');
constant ADDRESS_2 : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0)
:= std_logic_vector(to_unsigned(1,STRT_ADDR_CNT_WIDTH));
constant ADDRESS_3 : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0)
:= std_logic_vector(to_unsigned(2,STRT_ADDR_CNT_WIDTH));
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
signal strt_addr_count : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0) := (others => '0');
--signal s_h_wren : std_logic := '0';
--signal ram_address_incr : std_logic := '0';
signal vsize_sg : std_logic_vector(VSIZE_DWIDTH-1 downto 0) := (others => '0');
signal hsize_sg : std_logic_vector(HSIZE_DWIDTH-1 downto 0) := (others => '0');
signal stride_sg : std_logic_vector(STRIDE_DWIDTH-1 downto 0) := (others => '0');
signal frmdly_sg : std_logic_vector(FRMDLY_DWIDTH-1 downto 0) := (others => '0');
signal start_address_sg : std_logic_vector(C_ADDR_WIDTH - 1 downto 0):= (others => '0');
signal start_address_out : std_logic_vector(C_ADDR_WIDTH - 1 downto 0):= (others => '0');
signal start_address1_sg : std_logic_vector(C_ADDR_WIDTH - 1 downto 0):= (others => '0');
signal start_address2_sg : std_logic_vector(C_ADDR_WIDTH - 1 downto 0):= (others => '0');
signal start_address3_sg : std_logic_vector(C_ADDR_WIDTH - 1 downto 0):= (others => '0');
signal start_address_addr1 : std_logic_vector(C_ADDR_WIDTH - 1 downto 0):= (others => '0');
signal start_address_addr2 : std_logic_vector(C_ADDR_WIDTH - 1 downto 0):= (others => '0');
signal start_address_addr3 : std_logic_vector(C_ADDR_WIDTH - 1 downto 0):= (others => '0');
signal update_complete_i : std_logic := '0';
signal ping_pong : std_logic := '0';
signal start_address_pong : std_logic_vector(C_ADDR_WIDTH - 1 downto 0):= (others => '0');
signal start_address_ping : std_logic_vector(C_ADDR_WIDTH - 1 downto 0):= (others => '0');
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
-------------------------------------------------------------------------------
-- VIDEO TRANSFER PARAMETERS - FROM SG ENGINE
-------------------------------------------------------------------------------
-- Vertical Size - Video Side
REG_VSIZE : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
vsize_sg <= (others => '0');
-- update video register
elsif(desc_data_wren='1' and video_parameter_updt = '1') then
vsize_sg <= desc_vsize;
end if;
end if;
end process REG_VSIZE;
-- Horizontal Size - Video Side
REG_HSIZE : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
hsize_sg <= (others => '0');
-- update video register
elsif(desc_data_wren='1' and video_parameter_updt = '1') then
hsize_sg <= desc_hsize;
end if;
end if;
end process REG_HSIZE;
-- Stride - Video Side
REG_STRIDE : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
stride_sg <= (others => '0');
-- update video register
elsif(desc_data_wren='1' and video_parameter_updt = '1') then
stride_sg <= desc_stride;
end if;
end if;
end process REG_STRIDE;
-- Frame Delay - Video Side
REG_FRMDLY : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0' or dmasr_halt = '1')then
frmdly_sg <= (others => '0');
-- update video register
elsif(desc_data_wren='1' and video_parameter_updt = '1') then
frmdly_sg <= desc_frmdly;
end if;
end if;
end process REG_FRMDLY;
-------------------------------------------------------------------------------
-- VIDEO START ADDRESSES - FROM SG ENGINE
-------------------------------------------------------------------------------
-- If more than one FSTORE then need counter to address
-- start_address_registers
GEN_STRTADDR_CNTR : if C_NUM_FSTORES /= 1 generate
begin
REG_DESC_CNTR : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
-- on reset or clear then reset start address count
if(prmry_resetn = '0' or strt_addr_clr = '1')then
strt_addr_count <= (others => '0');
-- on desc write and address count reached terminal count then reset
-- CR607089 - need to account for frame store setting
--elsif(desc_data_wren = '1' and strt_addr_count = STRT_ADDR_TC)then
--elsif(desc_data_wren = '1' and strt_addr_count = num_fstore_minus1)then
-- CR607433 - need to do comparison on only STRT_ADDR_CNT_WIDTH.
elsif(desc_data_wren = '1' and strt_addr_count = num_fstore_minus1(STRT_ADDR_CNT_WIDTH-1 downto 0))then
strt_addr_count <= (others => '0');
-- otherwise on each desc write increment the count
elsif(desc_data_wren = '1')then
strt_addr_count <= std_logic_vector(unsigned(strt_addr_count) + 1);
end if;
end if;
end process REG_DESC_CNTR;
end generate GEN_STRTADDR_CNTR;
-- No counter need for FSTORE = 1
GEN_NO_STRTADDR_CNTR : if C_NUM_FSTORES = 1 generate
begin
strt_addr_count <= (others => '0');
end generate GEN_NO_STRTADDR_CNTR;
-------------------------------------------------------------------------------
-- N0 LUT RAM
-- Do not use a LUT RAM is less than 4 frame stores are required.
-------------------------------------------------------------------------------
GEN_NO_RAM : if C_NUM_FSTORES < 4 generate
-- For 1 Frame Store
GEN_FSTORE1 : if C_NUM_FSTORES = 1 generate
begin
-----------------------------------------------------------------------
-- Holding registers for start address fetched via Scatter/Gather
-----------------------------------------------------------------------
-- Start Address Register 1 on SG Side
REG_START_ADDR1_SG : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
start_address1_sg <= (others => '0');
elsif(desc_data_wren='1') then
start_address1_sg <= desc_strtaddress;
end if;
end if;
end process REG_START_ADDR1_SG;
-----------------------------------------------------------------------
-- Sample and Hold for DMA Controller
-----------------------------------------------------------------------
-- Start Address Register 1 on SG Side
REG_START_ADDR1 : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
start_address_sg <= (others => '0');
elsif(video_reg_update='1') then
start_address_sg <= start_address1_sg;
end if;
end if;
end process REG_START_ADDR1;
end generate GEN_FSTORE1;
-- For 2 Frame Stores
GEN_FSTORE2 : if C_NUM_FSTORES = 2 generate
begin
-----------------------------------------------------------------------
-- Holding registers for start address fetched via Scatter/Gather
-----------------------------------------------------------------------
-- Start Address Register 1 on SG Side
REG_START_ADDR1_SG : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
start_address1_sg <= (others => '0');
elsif(desc_data_wren='1' and strt_addr_count = ADDRESS_1) then
start_address1_sg <= desc_strtaddress;
end if;
end if;
end process REG_START_ADDR1_SG;
-- Start Address Register 2 on SG Side
REG_START_ADDR2_SG : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
start_address2_sg <= (others => '0');
elsif(desc_data_wren='1' and strt_addr_count = ADDRESS_2) then
start_address2_sg <= desc_strtaddress;
end if;
end if;
end process REG_START_ADDR2_SG;
-----------------------------------------------------------------------
-- Sample and Hold for DMA Controller
-----------------------------------------------------------------------
-- Start Address Register 1 on SG Side
REG_START_ADDR1 : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
start_address_addr1 <= (others => '0');
elsif(video_reg_update='1') then
start_address_addr1 <= start_address1_sg;
end if;
end if;
end process REG_START_ADDR1;
-- Start Address Register 2 on SG Side
REG_START_ADDR2 : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
start_address_addr2 <= (others => '0');
elsif(video_reg_update='1') then
start_address_addr2 <= start_address2_sg;
end if;
end if;
end process REG_START_ADDR2;
START_ADDRESS_MUX : process(frame_number,
start_address_addr1,
start_address_addr2)
begin
case frame_number is
when "00000" =>
start_address_sg <= start_address_addr1;
when others =>
start_address_sg <= start_address_addr2;
end case;
end process START_ADDRESS_MUX;
end generate GEN_FSTORE2;
-- For 3 Frame Stores
GEN_FSTORE3 : if C_NUM_FSTORES = 3 generate
begin
-----------------------------------------------------------------------
-- Holding registers for start address fetched via Scatter/Gather
-----------------------------------------------------------------------
-- Start Address Register 1 on SG Side
REG_START_ADDR1_SG : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
start_address1_sg <= (others => '0');
elsif(desc_data_wren='1' and strt_addr_count = ADDRESS_1) then
start_address1_sg <= desc_strtaddress;
end if;
end if;
end process REG_START_ADDR1_SG;
-- Start Address Register 2 on SG Side
REG_START_ADDR2_SG : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
start_address2_sg <= (others => '0');
elsif(desc_data_wren='1' and strt_addr_count = ADDRESS_2) then
start_address2_sg <= desc_strtaddress;
end if;
end if;
end process REG_START_ADDR2_SG;
-- Start Address Register 3 on SG Side
REG_START_ADDR3_SG : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
start_address3_sg <= (others => '0');
elsif(desc_data_wren = '1' and strt_addr_count = ADDRESS_3) then
start_address3_sg <= desc_strtaddress;
end if;
end if;
end process REG_START_ADDR3_SG;
-----------------------------------------------------------------------
-- Sample and Hold for DMA Controller
-----------------------------------------------------------------------
-- Start Address Register 1 on SG Side
REG_START_ADDR1 : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
start_address_addr1 <= (others => '0');
elsif(video_reg_update='1') then
start_address_addr1 <= start_address1_sg;
end if;
end if;
end process REG_START_ADDR1;
-- Start Address Register 2 on SG Side
REG_START_ADDR2 : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
start_address_addr2 <= (others => '0');
elsif(video_reg_update='1') then
start_address_addr2 <= start_address2_sg;
end if;
end if;
end process REG_START_ADDR2;
-- Start Address Register 3 on SG Side
REG_START_ADDR3 : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
start_address_addr3 <= (others => '0');
elsif(video_reg_update='1') then
start_address_addr3 <= start_address3_sg;
end if;
end if;
end process REG_START_ADDR3;
START_ADDRESS_MUX : process(frame_number,
start_address_addr1,
start_address_addr2,
start_address_addr3)
begin
case frame_number is
when "00000" =>
start_address_sg <= start_address_addr1;
when "00001" =>
start_address_sg <= start_address_addr2;
when others =>
start_address_sg <= start_address_addr3;
end case;
end process START_ADDRESS_MUX;
end generate GEN_FSTORE3;
update_complete <= ftch_complete;
start_address_out <= start_address_sg;
end generate GEN_NO_RAM;
-------------------------------------------------------------------------------
-- LUT RAM
-- Use a lut RAM if the selected device supports LUT RAM's and the Address
-- width is within the bounds of a LUT RAM and if frame stores is greater
-- than 3. There is no resource savings for less frame stores.
-------------------------------------------------------------------------------
GEN_LUTRAM : if USE_LUTRAM and STRT_ADDR_CNT_WIDTH <= 4 and C_NUM_FSTORES > 3 generate
constant ZERO_ADDR : std_logic_vector(3 downto 0) := (others => '0');
signal addr : std_logic_vector(3 downto 0) := (others => '0');
signal copy_addr : std_logic_vector(3 downto 0) := (others => '0');
signal copy_wren : std_logic := '0';
--signal copyram_addr : std_logic_vector(3 downto 0) := (others => '0');
signal copy_wren_ping : std_logic := '0';
signal copy_wren_pong : std_logic := '0';
signal copyram_addr_ping : std_logic_vector(3 downto 0) := (others => '0');
signal copyram_addr_pong : std_logic_vector(3 downto 0) := (others => '0');
begin
-- Need to pad address up to 4 bits wide
GEN_ADDR_WIDTH_LESS_4 : if STRT_ADDR_CNT_WIDTH < 4 generate
constant ADDR_PAD_WIDTH : integer := 4 - STRT_ADDR_CNT_WIDTH;
constant ADDRESS_PAD : std_logic_vector
(ADDR_PAD_WIDTH-1 downto 0)
:=(others => '0');
begin
addr <= (ADDRESS_PAD & strt_addr_count) when copy_wren = '0'
else copy_addr;
end generate GEN_ADDR_WIDTH_LESS_4;
-- Do not need to pad address, already at 4 bits
GEN_ADDR_WIDTH_EQL_4 : if STRT_ADDR_CNT_WIDTH = 4 generate
begin
addr <= strt_addr_count when copy_wren = '0'
else copy_addr;
end generate GEN_ADDR_WIDTH_EQL_4;
-- Instantiate LUTRAM
GEN_BUFFER1 : for i in C_ADDR_WIDTH - 1 downto 0 generate
LUT_RAM : RAM16X1S
generic map
(
INIT => X"0000"
)
port map
(
WE => desc_data_wren ,
D => desc_strtaddress(i) ,
WCLK => prmry_aclk ,
A0 => addr(0) ,
A1 => addr(1) ,
A2 => addr(2) ,
A3 => addr(3) ,
O => start_address_sg(i)
);
end generate GEN_BUFFER1;
-- On completion of descriptor fetch, enable copying of
-- sg LUTRAM (buffer1) to output LUTRAM (ping or pong)
-- This copy of entire RAM is required because users may only
-- update 1 start address or all addresses and a full copy is
-- the simplest approach
COPY_COUNTER : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0' or ftch_complete_clr = '1' or dmasr_halt = '1')then
copy_addr <= (others => '1');
copy_wren <= '0';
update_complete_i <= '0';
-- done with copy, hold wren able clear asserts
elsif(copy_addr = ZERO_ADDR)then
copy_wren <= '0';
copy_addr <= (others => '0');
update_complete_i <= '1';
-- decrement address on copy
elsif(copy_wren = '1')then
copy_addr <= std_logic_vector(unsigned(copy_addr) - 1);
copy_wren <= '1';
update_complete_i <= '0';
-- all desc data fetched therefore start copy
elsif(ftch_complete = '1')then
copy_addr <= (others => '1');
copy_wren <= '1';
update_complete_i <= '0';
end if;
end if;
end process COPY_COUNTER;
-- Pass out for setting flags
update_complete <= update_complete_i;
copy_wren_ping <= copy_wren when (ping_pong = '1' and video_parameter_valid = '1')
or (update_complete_i = '0' and video_parameter_valid = '0')
else '0';
copyram_addr_ping <= copy_addr when ping_pong = '1'
or (video_parameter_valid = '0' and update_complete_i = '0')
else frame_number(3 downto 0);
copy_wren_pong <= copy_wren when (ping_pong = '0' and video_parameter_valid = '1')
or (update_complete_i = '0' and video_parameter_valid = '0')
else '0';
copyram_addr_pong <= copy_addr when ping_pong = '0'
or (video_parameter_valid = '0' and update_complete_i = '0')
else frame_number(3 downto 0);
-- Ping Pong control for selecting which LUTRAM the DMA controller
-- will fetch from and which one Scatter Gather will update.
PING_PONG_PROCESS : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0' or dmasr_halt = '1' or video_parameter_valid = '0')then
ping_pong <= '0';
elsif(update_complete_i = '1' and video_reg_update = '1')then
ping_pong <= not ping_pong;
end if;
end if;
end process PING_PONG_PROCESS;
-- Instantiate PING LUTRAM
GEN_BUFFER_PING : for i in C_ADDR_WIDTH - 1 downto 0 generate
LUT_RAM : RAM16X1S
generic map
(
INIT => X"0000"
)
port map
(
WE => copy_wren_ping ,
D => start_address_sg(i) ,
WCLK => prmry_aclk ,
A0 => copyram_addr_ping(0) ,
A1 => copyram_addr_ping(1) ,
A2 => copyram_addr_ping(2) ,
A3 => copyram_addr_ping(3) ,
O => start_address_ping(i)
);
end generate GEN_BUFFER_PING;
-- Instantiate PONG LUTRAM
GEN_BUFFER_PONG : for i in C_ADDR_WIDTH - 1 downto 0 generate
LUT_RAM : RAM16X1S
generic map
(
INIT => X"0000"
)
port map
(
WE => copy_wren_pong ,
D => start_address_sg(i) ,
WCLK => prmry_aclk ,
A0 => copyram_addr_pong(0) ,
A1 => copyram_addr_pong(1) ,
A2 => copyram_addr_pong(2) ,
A3 => copyram_addr_pong(3) ,
O => start_address_pong(i)
);
end generate GEN_BUFFER_PONG;
-- Feed start address from LUTRAM opposite from what is being
-- written to by scatter gather fetch and update.
start_address_out <= start_address_ping when ping_pong = '0'
else start_address_pong;
end generate GEN_LUTRAM;
-------------------------------------------------------------------------------
-- BRAM
-- Use a BRAM if LUT RAMS are NOT supported or the Address width is out
-- of the bounds of a LUT RAM.
-------------------------------------------------------------------------------
GEN_BRAM : if (USE_BRAM or STRT_ADDR_CNT_WIDTH > 4) and C_NUM_FSTORES > 3 generate
constant READ_ENABLED : std_logic := '1';
constant ZERO_ADDR : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0) := (others => '0');
--signal read_addr : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0) := (others => '0');
signal copy_addr : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0) := (others => '1');
signal copy_wren : std_logic := '0';
--signal copyram_addr : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0) := (others => '0');
signal copy_wren_ping : std_logic := '0';
signal copy_wren_pong : std_logic := '0';
signal copyram_addr_ping : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0) := (others => '0');
signal copyram_addr_pong : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0) := (others => '0');
signal copy_wren_ping_p : std_logic := '0';
signal copy_wren_pong_p : std_logic := '0';
signal copyram_addr_ping_p : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0) := (others => '0');
signal copyram_addr_pong_p : std_logic_vector(STRT_ADDR_CNT_WIDTH-1 downto 0) := (others => '0');
begin
GEN_BUFFER1 : entity axi_vdma_v6_2_8.axi_vdma_blkmem
generic map(
C_DATA_WIDTH => C_ADDR_WIDTH ,
C_ADDR_WIDTH => STRT_ADDR_CNT_WIDTH ,
C_SELECT_XPM => C_SELECT_XPM,
C_FAMILY => C_FAMILY
)
port map(
Clk => prmry_aclk ,
Rst => prmry_resetn ,
-- Write Port signals
Wr_Enable => desc_data_wren ,
Wr_Req => desc_data_wren ,
Wr_Address => strt_addr_count ,
Wr_Data => desc_strtaddress ,
-- Read Port Signals
Rd_Enable => READ_ENABLED ,
Rd_Address => copy_addr ,
Rd_Data => start_address_sg
);
-- On completion of descriptor fetch, enable copying of
-- sg LUTRAM (buffer1) to output LUTRAM (ping or pong)
-- This copy of entire RAM is required because users may only
-- update 1 start address or all addresses and a full copy is
-- the simplest approach
COPY_COUNTER : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0' or ftch_complete_clr = '1' or dmasr_halt = '1')then
copy_addr <= (others => '1');
copy_wren <= '0';
update_complete_i <= '0';
-- done with copy, hold wren able clear asserts
elsif(copy_addr = ZERO_ADDR)then
copy_wren <= '0';
copy_addr <= (others => '0');
update_complete_i <= '1';
-- decrement address on copy
elsif(copy_wren = '1')then
copy_addr <= std_logic_vector(unsigned(copy_addr) - 1);
copy_wren <= '1';
update_complete_i <= '0';
-- all desc data fetched therefore start copy
elsif(ftch_complete = '1')then
copy_addr <= (others => '1');
copy_wren <= '1';
update_complete_i <= '0';
end if;
end if;
end process COPY_COUNTER;
-- Pass out for setting flags
update_complete <= update_complete_i;
copy_wren_ping_p <= copy_wren when (ping_pong = '1' and video_parameter_valid = '1')
or (update_complete_i = '0' and video_parameter_valid = '0')
else '0';
copyram_addr_ping_p <= copy_addr when ping_pong = '1'
or (video_parameter_valid = '0' and update_complete_i = '0')
else frame_number(STRT_ADDR_CNT_WIDTH-1 downto 0);
copy_wren_pong_p <= copy_wren when (ping_pong = '0' and video_parameter_valid = '1')
or (update_complete_i = '0' and video_parameter_valid = '0')
else '0';
copyram_addr_pong_p <= copy_addr when ping_pong = '0'
or (video_parameter_valid = '0' and update_complete_i = '0')
else frame_number(STRT_ADDR_CNT_WIDTH-1 downto 0);
-- Delaying Ping Pong Write and Address signals for BRAM
DELAY_PING_PONG : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
copy_wren_ping <= '0';
copy_wren_pong <= '0';
copyram_addr_ping <= (others => '0');
copyram_addr_pong <= (others => '0');
else
copy_wren_ping <= copy_wren_ping_p;
copy_wren_pong <= copy_wren_pong_p;
copyram_addr_ping <= copyram_addr_ping_p;
copyram_addr_pong <= copyram_addr_pong_p;
end if;
end if;
end process DELAY_PING_PONG;
-- Ping Pong control for selecting which LUTRAM the DMA controller
-- will fetch from and which one Scatter Gather will update.
PING_PONG_PROCESS : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0' or dmasr_halt = '1' or video_parameter_valid = '0')then
ping_pong <= '0';
elsif(update_complete_i = '1' and video_reg_update = '1')then
ping_pong <= not ping_pong;
end if;
end if;
end process PING_PONG_PROCESS;
GEN_BUFFER_PING : entity axi_vdma_v6_2_8.axi_vdma_blkmem
generic map(
C_DATA_WIDTH => C_ADDR_WIDTH ,
C_ADDR_WIDTH => STRT_ADDR_CNT_WIDTH ,
C_SELECT_XPM => C_SELECT_XPM,
C_FAMILY => C_FAMILY
)
port map(
Clk => prmry_aclk ,
Rst => prmry_resetn ,
-- Write Port signals
Wr_Enable => copy_wren_ping ,
Wr_Req => copy_wren_ping ,
Wr_Address => copyram_addr_ping ,
Wr_Data => start_address_sg ,
-- Read Port Signals
Rd_Enable => READ_ENABLED ,
Rd_Address => frame_number(STRT_ADDR_CNT_WIDTH-1 downto 0), -- CR625681
Rd_Data => start_address_ping
);
GEN_BUFFER_PONG : entity axi_vdma_v6_2_8.axi_vdma_blkmem
generic map(
C_DATA_WIDTH => C_ADDR_WIDTH ,
C_ADDR_WIDTH => STRT_ADDR_CNT_WIDTH ,
C_SELECT_XPM => C_SELECT_XPM,
C_FAMILY => C_FAMILY
)
port map(
Clk => prmry_aclk ,
Rst => prmry_resetn ,
-- Write Port signals
Wr_Enable => copy_wren_pong ,
Wr_Req => copy_wren_pong ,
Wr_Address => copyram_addr_pong ,
Wr_Data => start_address_sg ,
-- Read Port Signals
Rd_Enable => READ_ENABLED ,
Rd_Address => frame_number(STRT_ADDR_CNT_WIDTH-1 downto 0), -- CR625681
Rd_Data => start_address_pong
);
-- Feed start address from LUTRAM opposite from what is being
-- written to by scatter gather fetch and update.
start_address_out <= start_address_ping when ping_pong = '0'
else start_address_pong;
end generate GEN_BRAM;
-------------------------------------------------------------------------------
-- VIDEO DOUBLE REGISTER BLOCK FOR DMA CONTROLLER
-------------------------------------------------------------------------------
-- Vertical Size - Video Side
REG_VSIZE_OUT : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
crnt_vsize <= (others => '0');
-- update video register
elsif(video_reg_update='1') then
crnt_vsize <= vsize_sg;
end if;
end if;
end process REG_VSIZE_OUT;
-- Horizontal Size - Video Side
REG_HSIZE_OUT : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
crnt_hsize <= (others => '0');
-- update video register
elsif(video_reg_update='1') then
crnt_hsize <= hsize_sg;
end if;
end if;
end process REG_HSIZE_OUT;
-- Stride - Video Side
REG_STRIDE_OUT : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
crnt_stride <= (others => '0');
-- update video register
elsif(video_reg_update='1') then
crnt_stride <= stride_sg;
end if;
end if;
end process REG_STRIDE_OUT;
-- Frame Delay - Video Side
REG_FRMDLY_OUT : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0' or dmasr_halt = '1')then
crnt_frmdly <= (others => '0');
-- update video register
elsif(video_reg_update='1') then
crnt_frmdly <= frmdly_sg;
end if;
end if;
end process REG_FRMDLY_OUT;
-- Pipe line for fmax (dble to allow for adjustments later if need be)
REG_ADDR_OUT : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk = '1')then
if(prmry_resetn = '0')then
crnt_start_address <= (others => '0');
else
crnt_start_address <= start_address_out;
end if;
end if;
end process REG_ADDR_OUT;
end implementation;
|
-- -------------------------------------------------------------
--
-- Generated Architecture Declaration for rtl of a_clk
--
-- Generated
-- by: wig
-- on: Mon Jul 18 15:55:26 2005
-- cmd: h:/work/eclipse/mix/mix_0.pl -strip -nodelta ../../padio.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: a_clk-rtl-a.vhd,v 1.2 2005/10/06 11:16:05 wig Exp $
-- $Date: 2005/10/06 11:16:05 $
-- $Log: a_clk-rtl-a.vhd,v $
-- Revision 1.2 2005/10/06 11:16:05 wig
-- Got testcoverage up, fixed generic problem, prepared report
--
--
-- Based on Mix Architecture Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.57 2005/07/18 08:58:22 wig Exp
--
-- Generator: mix_0.pl Revision: 1.36 , [email protected]
-- (C) 2003 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/arch
--
--
-- Start of Generated Architecture rtl of a_clk
--
architecture rtl of a_clk is
-- Generated Constant Declarations
--
-- Components
--
-- Generated Components
component a_fsm --
-- No Generated Generics
port (
-- Generated Port for Entity a_fsm
alarm_button : in std_ulogic;
clk : in std_ulogic;
d9_core_di : in std_ulogic_vector(1 downto 0);
d9_core_en : in std_ulogic_vector(1 downto 0);
d9_core_pu : in std_ulogic_vector(1 downto 0);
data_core_do : out std_ulogic_vector(1 downto 0);
data_core_i33 : in std_ulogic_vector(7 downto 0);
data_core_i34 : in std_ulogic_vector(7 downto 0);
data_core_o35 : out std_ulogic_vector(7 downto 0);
data_core_o36 : out std_ulogic_vector(7 downto 0);
data_i1 : in std_ulogic_vector(7 downto 0);
data_o1 : out std_ulogic_vector(7 downto 0);
di : in std_ulogic_vector(7 downto 0);
di2 : in std_ulogic_vector(8 downto 0);
disp2_en : in std_ulogic_vector(7 downto 0);
disp_ls_port : out std_ulogic;
disp_ms_port : out std_ulogic;
iosel_bus : out std_ulogic_vector(7 downto 0);
iosel_bus_disp : out std_ulogic_vector(3 downto 0);
iosel_bus_ls_hr : out std_ulogic;
iosel_bus_ls_min : out std_ulogic;
iosel_bus_ms_hr : out std_ulogic;
iosel_bus_ms_min : out std_ulogic;
iosel_bus_nosel : out std_ulogic;
key : in std_ulogic_vector(3 downto 0);
load_new_a : out std_ulogic;
load_new_c : out std_ulogic;
one_second : in std_ulogic;
reset : in std_ulogic;
shift : out std_ulogic;
show_a : out std_ulogic;
show_new_time : out std_ulogic;
time_button : in std_ulogic
-- End of Generated Port for Entity a_fsm
);
end component;
-- ---------
component ios_e --
-- No Generated Generics
port (
-- Generated Port for Entity ios_e
p_mix_d9_di_go : out std_ulogic_vector(1 downto 0);
p_mix_d9_do_gi : in std_ulogic_vector(1 downto 0);
p_mix_d9_en_gi : in std_ulogic_vector(1 downto 0);
p_mix_d9_pu_gi : in std_ulogic_vector(1 downto 0);
p_mix_data_i1_go : out std_ulogic_vector(7 downto 0);
p_mix_data_i33_go : out std_ulogic_vector(7 downto 0);
p_mix_data_i34_go : out std_ulogic_vector(7 downto 0);
p_mix_data_o1_gi : in std_ulogic_vector(7 downto 0);
p_mix_data_o35_gi : in std_ulogic_vector(7 downto 0);
p_mix_data_o36_gi : in std_ulogic_vector(7 downto 0);
p_mix_di2_1_0_go : out std_ulogic_vector(1 downto 0);
p_mix_di2_7_3_go : out std_ulogic_vector(4 downto 0);
p_mix_disp2_1_0_gi : in std_ulogic_vector(1 downto 0);
p_mix_disp2_7_3_gi : in std_ulogic_vector(4 downto 0);
p_mix_disp2_en_1_0_gi : in std_ulogic_vector(1 downto 0);
p_mix_disp2_en_7_3_gi : in std_ulogic_vector(4 downto 0);
p_mix_display_ls_en_gi : in std_ulogic;
p_mix_display_ls_hr_gi : in std_ulogic_vector(6 downto 0);
p_mix_display_ls_min_gi : in std_ulogic_vector(6 downto 0);
p_mix_display_ms_en_gi : in std_ulogic;
p_mix_display_ms_hr_gi : in std_ulogic_vector(6 downto 0);
p_mix_display_ms_min_gi : in std_ulogic_vector(6 downto 0);
p_mix_iosel_0_0_0_gi : in std_ulogic; -- __W_SINGLEBITBUS
p_mix_iosel_disp_gi : in std_ulogic_vector(3 downto 0);
p_mix_pad_di_12_gi : in std_ulogic;
p_mix_pad_di_13_gi : in std_ulogic;
p_mix_pad_di_14_gi : in std_ulogic;
p_mix_pad_di_15_gi : in std_ulogic;
p_mix_pad_di_16_gi : in std_ulogic;
p_mix_pad_di_17_gi : in std_ulogic;
p_mix_pad_di_18_gi : in std_ulogic;
p_mix_pad_di_1_gi : in std_ulogic;
p_mix_pad_di_31_gi : in std_ulogic;
p_mix_pad_di_32_gi : in std_ulogic;
p_mix_pad_di_33_gi : in std_ulogic;
p_mix_pad_di_34_gi : in std_ulogic;
p_mix_pad_di_39_gi : in std_ulogic;
p_mix_pad_di_40_gi : in std_ulogic;
p_mix_pad_do_12_go : out std_ulogic;
p_mix_pad_do_13_go : out std_ulogic;
p_mix_pad_do_14_go : out std_ulogic;
p_mix_pad_do_15_go : out std_ulogic;
p_mix_pad_do_16_go : out std_ulogic;
p_mix_pad_do_17_go : out std_ulogic;
p_mix_pad_do_18_go : out std_ulogic;
p_mix_pad_do_2_go : out std_ulogic;
p_mix_pad_do_31_go : out std_ulogic;
p_mix_pad_do_32_go : out std_ulogic;
p_mix_pad_do_35_go : out std_ulogic;
p_mix_pad_do_36_go : out std_ulogic;
p_mix_pad_do_39_go : out std_ulogic;
p_mix_pad_do_40_go : out std_ulogic;
p_mix_pad_en_12_go : out std_ulogic;
p_mix_pad_en_13_go : out std_ulogic;
p_mix_pad_en_14_go : out std_ulogic;
p_mix_pad_en_15_go : out std_ulogic;
p_mix_pad_en_16_go : out std_ulogic;
p_mix_pad_en_17_go : out std_ulogic;
p_mix_pad_en_18_go : out std_ulogic;
p_mix_pad_en_2_go : out std_ulogic;
p_mix_pad_en_31_go : out std_ulogic;
p_mix_pad_en_32_go : out std_ulogic;
p_mix_pad_en_35_go : out std_ulogic;
p_mix_pad_en_36_go : out std_ulogic;
p_mix_pad_en_39_go : out std_ulogic;
p_mix_pad_en_40_go : out std_ulogic;
p_mix_pad_pu_31_go : out std_ulogic;
p_mix_pad_pu_32_go : out std_ulogic
-- End of Generated Port for Entity ios_e
);
end component;
-- ---------
component pad_pads_e --
-- No Generated Generics
port (
-- Generated Port for Entity pad_pads_e
p_mix_pad_di_12_go : out std_ulogic;
p_mix_pad_di_13_go : out std_ulogic;
p_mix_pad_di_14_go : out std_ulogic;
p_mix_pad_di_15_go : out std_ulogic;
p_mix_pad_di_16_go : out std_ulogic;
p_mix_pad_di_17_go : out std_ulogic;
p_mix_pad_di_18_go : out std_ulogic;
p_mix_pad_di_1_go : out std_ulogic;
p_mix_pad_di_31_go : out std_ulogic;
p_mix_pad_di_32_go : out std_ulogic;
p_mix_pad_di_33_go : out std_ulogic;
p_mix_pad_di_34_go : out std_ulogic;
p_mix_pad_di_39_go : out std_ulogic;
p_mix_pad_di_40_go : out std_ulogic;
p_mix_pad_do_12_gi : in std_ulogic;
p_mix_pad_do_13_gi : in std_ulogic;
p_mix_pad_do_14_gi : in std_ulogic;
p_mix_pad_do_15_gi : in std_ulogic;
p_mix_pad_do_16_gi : in std_ulogic;
p_mix_pad_do_17_gi : in std_ulogic;
p_mix_pad_do_18_gi : in std_ulogic;
p_mix_pad_do_2_gi : in std_ulogic;
p_mix_pad_do_31_gi : in std_ulogic;
p_mix_pad_do_32_gi : in std_ulogic;
p_mix_pad_do_35_gi : in std_ulogic;
p_mix_pad_do_36_gi : in std_ulogic;
p_mix_pad_do_39_gi : in std_ulogic;
p_mix_pad_do_40_gi : in std_ulogic;
p_mix_pad_en_12_gi : in std_ulogic;
p_mix_pad_en_13_gi : in std_ulogic;
p_mix_pad_en_14_gi : in std_ulogic;
p_mix_pad_en_15_gi : in std_ulogic;
p_mix_pad_en_16_gi : in std_ulogic;
p_mix_pad_en_17_gi : in std_ulogic;
p_mix_pad_en_18_gi : in std_ulogic;
p_mix_pad_en_2_gi : in std_ulogic;
p_mix_pad_en_31_gi : in std_ulogic;
p_mix_pad_en_32_gi : in std_ulogic;
p_mix_pad_en_35_gi : in std_ulogic;
p_mix_pad_en_36_gi : in std_ulogic;
p_mix_pad_en_39_gi : in std_ulogic;
p_mix_pad_en_40_gi : in std_ulogic;
p_mix_pad_pu_31_gi : in std_ulogic;
p_mix_pad_pu_32_gi : in std_ulogic
-- End of Generated Port for Entity pad_pads_e
);
end component;
-- ---------
component testctrl_e --
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component alreg --
-- No Generated Generics
port (
-- Generated Port for Entity alreg
alarm_time : out std_ulogic_vector(3 downto 0);
load_new_a : in std_ulogic;
new_alarm_time : in std_ulogic_vector(3 downto 0)
-- End of Generated Port for Entity alreg
);
end component;
-- ---------
component count4 --
-- No Generated Generics
port (
-- Generated Port for Entity count4
current_time_ls_hr : out std_ulogic_vector(3 downto 0);
current_time_ls_min : out std_ulogic_vector(3 downto 0);
current_time_ms_hr : out std_ulogic_vector(3 downto 0);
current_time_ms_min : out std_ulogic_vector(3 downto 0);
load_new_c : in std_ulogic;
new_current_time_ls_hr : in std_ulogic_vector(3 downto 0);
new_current_time_ls_min : in std_ulogic_vector(3 downto 0);
new_current_time_ms_hr : in std_ulogic_vector(3 downto 0);
new_current_time_ms_min : in std_ulogic_vector(3 downto 0);
one_minute : in std_ulogic
-- End of Generated Port for Entity count4
);
end component;
-- ---------
component ddrv4 --
-- No Generated Generics
port (
-- Generated Port for Entity ddrv4
alarm_time_ls_hr : in std_ulogic_vector(3 downto 0);
alarm_time_ls_min : in std_ulogic_vector(3 downto 0);
alarm_time_ms_hr : in std_ulogic_vector(3 downto 0);
alarm_time_ms_min : in std_ulogic_vector(3 downto 0);
current_time_ls_hr : in std_ulogic_vector(3 downto 0);
current_time_ls_min : in std_ulogic_vector(3 downto 0);
current_time_ms_hr : in std_ulogic_vector(3 downto 0);
current_time_ms_min : in std_ulogic_vector(3 downto 0);
key_buffer_0 : in std_ulogic_vector(3 downto 0);
key_buffer_1 : in std_ulogic_vector(3 downto 0);
key_buffer_2 : in std_ulogic_vector(3 downto 0);
key_buffer_3 : in std_ulogic_vector(3 downto 0);
p_mix_display_ls_hr_go : out std_ulogic_vector(6 downto 0);
p_mix_display_ls_min_go : out std_ulogic_vector(6 downto 0);
p_mix_display_ms_hr_go : out std_ulogic_vector(6 downto 0);
p_mix_display_ms_min_go : out std_ulogic_vector(6 downto 0);
p_mix_sound_alarm_go : out std_ulogic;
show_a : in std_ulogic;
show_new_time : in std_ulogic
-- End of Generated Port for Entity ddrv4
);
end component;
-- ---------
component keypad --
-- No Generated Generics
port (
-- Generated Port for Entity keypad
columns : in std_ulogic_vector(2 downto 0);
rows : out std_ulogic_vector(3 downto 0)
-- End of Generated Port for Entity keypad
);
end component;
-- ---------
component keyscan --
-- No Generated Generics
port (
-- Generated Port for Entity keyscan
alarm_button : out std_ulogic;
columns : out std_ulogic_vector(2 downto 0);
key : out std_ulogic_vector(3 downto 0);
key_buffer_0 : out std_ulogic_vector(3 downto 0);
key_buffer_1 : out std_ulogic_vector(3 downto 0);
key_buffer_2 : out std_ulogic_vector(3 downto 0);
key_buffer_3 : out std_ulogic_vector(3 downto 0);
rows : in std_ulogic_vector(3 downto 0);
shift : in std_ulogic;
time_button : out std_ulogic
-- End of Generated Port for Entity keyscan
);
end component;
-- ---------
component timegen --
-- No Generated Generics
port (
-- Generated Port for Entity timegen
one_minute : out std_ulogic;
one_second : out std_ulogic;
stopwatch : in std_ulogic
-- End of Generated Port for Entity timegen
);
end component;
-- ---------
--
-- Nets
--
--
-- Generated Signal List
--
signal alarm_button : std_ulogic;
signal s_int_alarm_time_ls_hr : std_ulogic_vector(3 downto 0); -- __W_PORT_SIGNAL_MAP_REQ
signal s_int_alarm_time_ls_min : std_ulogic_vector(3 downto 0); -- __W_PORT_SIGNAL_MAP_REQ
signal s_int_alarm_time_ms_hr : std_ulogic_vector(3 downto 0); -- __W_PORT_SIGNAL_MAP_REQ
signal s_int_alarm_time_ms_min : std_ulogic_vector(3 downto 0); -- __W_PORT_SIGNAL_MAP_REQ
signal columns : std_ulogic_vector(2 downto 0);
signal s_int_current_time_ls_hr : std_ulogic_vector(3 downto 0); -- __W_PORT_SIGNAL_MAP_REQ
signal s_int_current_time_ls_min : std_ulogic_vector(3 downto 0); -- __W_PORT_SIGNAL_MAP_REQ
signal s_int_current_time_ms_hr : std_ulogic_vector(3 downto 0); -- __W_PORT_SIGNAL_MAP_REQ
signal s_int_current_time_ms_min : std_ulogic_vector(3 downto 0); -- __W_PORT_SIGNAL_MAP_REQ
signal d9_di : std_ulogic_vector(1 downto 0);
signal d9_do : std_ulogic_vector(1 downto 0);
signal d9_en : std_ulogic_vector(1 downto 0);
signal d9_pu : std_ulogic_vector(1 downto 0);
signal data_i1 : std_ulogic_vector(7 downto 0);
signal data_i33 : std_ulogic_vector(7 downto 0);
signal data_i34 : std_ulogic_vector(7 downto 0);
signal data_o1 : std_ulogic_vector(7 downto 0);
signal data_o35 : std_ulogic_vector(7 downto 0);
signal data_o36 : std_ulogic_vector(7 downto 0);
signal di2 : std_ulogic_vector(8 downto 0);
signal disp2 : std_ulogic_vector(7 downto 0);
signal disp2_en : std_ulogic_vector(7 downto 0);
signal display_ls_en : std_ulogic;
signal s_int_display_ls_hr : std_ulogic_vector(6 downto 0); -- __W_PORT_SIGNAL_MAP_REQ
signal s_int_display_ls_min : std_ulogic_vector(6 downto 0); -- __W_PORT_SIGNAL_MAP_REQ
signal display_ms_en : std_ulogic;
signal s_int_display_ms_hr : std_ulogic_vector(6 downto 0); -- __W_PORT_SIGNAL_MAP_REQ
signal s_int_display_ms_min : std_ulogic_vector(6 downto 0); -- __W_PORT_SIGNAL_MAP_REQ
signal iosel_0 : std_ulogic(3 downto 0);
-- __I_OUT_OPEN signal iosel_1 : std_ulogic;
-- __I_OUT_OPEN signal iosel_2 : std_ulogic;
-- __I_OUT_OPEN signal iosel_3 : std_ulogic;
-- __I_OUT_OPEN signal iosel_4 : std_ulogic;
-- __I_OUT_OPEN signal iosel_5 : std_ulogic;
-- __I_OUT_OPEN signal iosel_6 : std_ulogic;
-- __I_OUT_OPEN signal iosel_7 : std_ulogic;
signal iosel_disp : std_ulogic(3 downto 0);
-- __I_OUT_OPEN signal iosel_ls_hr : std_ulogic;
-- __I_OUT_OPEN signal iosel_ls_min : std_ulogic;
-- __I_OUT_OPEN signal iosel_ms_hr : std_ulogic;
-- __I_OUT_OPEN signal iosel_ms_min : std_ulogic;
-- __I_OUT_OPEN signal iosel_nosel : std_ulogic;
signal key : std_ulogic_vector(3 downto 0);
signal s_int_key_buffer_0 : std_ulogic_vector(3 downto 0); -- __W_PORT_SIGNAL_MAP_REQ
signal s_int_key_buffer_1 : std_ulogic_vector(3 downto 0); -- __W_PORT_SIGNAL_MAP_REQ
signal s_int_key_buffer_2 : std_ulogic_vector(3 downto 0); -- __W_PORT_SIGNAL_MAP_REQ
signal s_int_key_buffer_3 : std_ulogic_vector(3 downto 0); -- __W_PORT_SIGNAL_MAP_REQ
signal load_new_a : std_ulogic;
signal load_new_c : std_ulogic;
signal one_minute : std_ulogic;
signal one_sec_pulse : std_ulogic;
signal pad_di_1 : std_ulogic;
signal pad_di_12 : std_ulogic;
signal pad_di_13 : std_ulogic;
signal pad_di_14 : std_ulogic;
signal pad_di_15 : std_ulogic;
signal pad_di_16 : std_ulogic;
signal pad_di_17 : std_ulogic;
signal pad_di_18 : std_ulogic;
signal pad_di_31 : std_ulogic;
signal pad_di_32 : std_ulogic;
signal pad_di_33 : std_ulogic;
signal pad_di_34 : std_ulogic;
signal pad_di_39 : std_ulogic;
signal pad_di_40 : std_ulogic;
signal pad_do_12 : std_ulogic;
signal pad_do_13 : std_ulogic;
signal pad_do_14 : std_ulogic;
signal pad_do_15 : std_ulogic;
signal pad_do_16 : std_ulogic;
signal pad_do_17 : std_ulogic;
signal pad_do_18 : std_ulogic;
signal pad_do_2 : std_ulogic;
signal pad_do_31 : std_ulogic;
signal pad_do_32 : std_ulogic;
signal pad_do_35 : std_ulogic;
signal pad_do_36 : std_ulogic;
signal pad_do_39 : std_ulogic;
signal pad_do_40 : std_ulogic;
signal pad_en_12 : std_ulogic;
signal pad_en_13 : std_ulogic;
signal pad_en_14 : std_ulogic;
signal pad_en_15 : std_ulogic;
signal pad_en_16 : std_ulogic;
signal pad_en_17 : std_ulogic;
signal pad_en_18 : std_ulogic;
signal pad_en_2 : std_ulogic;
signal pad_en_31 : std_ulogic;
signal pad_en_32 : std_ulogic;
signal pad_en_35 : std_ulogic;
signal pad_en_36 : std_ulogic;
signal pad_en_39 : std_ulogic;
signal pad_en_40 : std_ulogic;
signal pad_pu_31 : std_ulogic;
signal pad_pu_32 : std_ulogic;
signal rows : std_ulogic_vector(3 downto 0);
signal shift : std_ulogic;
signal s_int_show_a : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ
signal s_int_show_new_time : std_ulogic; -- __W_PORT_SIGNAL_MAP_REQ
signal time_button : std_ulogic;
--
-- End of Generated Signal List
--
begin
--
-- Generated Concurrent Statements
--
-- Generated Signal Assignments
s_int_alarm_time_ls_hr <= alarm_time_ls_hr; -- __I_I_BUS_PORT
s_int_alarm_time_ls_min <= alarm_time_ls_min; -- __I_I_BUS_PORT
s_int_alarm_time_ms_hr <= alarm_time_ms_hr; -- __I_I_BUS_PORT
s_int_alarm_time_ms_min <= alarm_time_ms_min; -- __I_I_BUS_PORT
s_int_current_time_ls_hr <= current_time_ls_hr; -- __I_I_BUS_PORT
s_int_current_time_ls_min <= current_time_ls_min; -- __I_I_BUS_PORT
s_int_current_time_ms_hr <= current_time_ms_hr; -- __I_I_BUS_PORT
s_int_current_time_ms_min <= current_time_ms_min; -- __I_I_BUS_PORT
display_ls_hr <= s_int_display_ls_hr; -- __I_O_BUS_PORT
display_ls_min <= s_int_display_ls_min; -- __I_O_BUS_PORT
display_ms_hr <= s_int_display_ms_hr; -- __I_O_BUS_PORT
display_ms_min <= s_int_display_ms_min; -- __I_O_BUS_PORT
s_int_key_buffer_0 <= key_buffer_0; -- __I_I_BUS_PORT
s_int_key_buffer_1 <= key_buffer_1; -- __I_I_BUS_PORT
s_int_key_buffer_2 <= key_buffer_2; -- __I_I_BUS_PORT
s_int_key_buffer_3 <= key_buffer_3; -- __I_I_BUS_PORT
s_int_show_a <= show_a; -- __I_I_BIT_PORT
s_int_show_new_time <= show_new_time; -- __I_I_BIT_PORT
--
-- Generated Instances
--
-- Generated Instances and Port Mappings
-- Generated Instance Port Map for control
control: a_fsm
port map (
alarm_button => alarm_button,
clk => clk,
d9_core_di => d9_di, -- d9io
d9_core_en => d9_en, -- d9io
d9_core_pu => d9_pu, -- d9io
data_core_do => d9_do, -- d9io
data_core_i33 => data_i33, -- io data
data_core_i34 => data_i34, -- io data
data_core_o35 => data_o35, -- io data
data_core_o36 => data_o36, -- io data
data_i1 => data_i1, -- io data
data_o1 => data_o1, -- io data
di => disp2, -- io data
di2 => di2, -- io data
disp2_en => disp2_en, -- io data
disp_ls_port => display_ls_en, -- io_enable
disp_ms_port => display_ms_en, -- io_enable
iosel_bus(0) => iosel_0(0), -- IO_Select
iosel_bus(1) => open, -- __I_BIT_TO_BUSPORT -- IO_Select -- __I_OUT_OPEN
iosel_bus(2) => open, -- __I_BIT_TO_BUSPORT -- IO_Select -- __I_OUT_OPEN
iosel_bus(3) => open, -- __I_BIT_TO_BUSPORT -- IO_Select -- __I_OUT_OPEN
iosel_bus(4) => open, -- __I_BIT_TO_BUSPORT -- IO_Select -- __I_OUT_OPEN
iosel_bus(5) => open, -- __I_BIT_TO_BUSPORT -- IO_Select -- __I_OUT_OPEN
iosel_bus(6) => open, -- __I_BIT_TO_BUSPORT -- IO_Select -- __I_OUT_OPEN
iosel_bus(7) => open, -- __I_BIT_TO_BUSPORT -- IO_Select -- __I_OUT_OPEN
iosel_bus_disp => iosel_disp, -- IO_Select
iosel_bus_ls_hr => open, -- IO_Select -- __I_OUT_OPEN
iosel_bus_ls_min => open, -- IO_Select -- __I_OUT_OPEN
iosel_bus_ms_hr => open, -- IO_Select -- __I_OUT_OPEN
iosel_bus_ms_min => open, -- IO_Select -- __I_OUT_OPEN
iosel_bus_nosel => open, -- IO_Select -- __I_OUT_OPEN
key => key,
load_new_a => load_new_a,
load_new_c => load_new_c,
one_second => one_sec_pulse,
reset => reset,
shift => shift,
show_a => s_int_show_a,
show_new_time => s_int_show_new_time,
time_button => time_button
);
-- End of Generated Instance Port Map for control
-- Generated Instance Port Map for ios
ios: ios_e
port map (
p_mix_d9_di_go => d9_di, -- d9io
p_mix_d9_do_gi => d9_do, -- d9io
p_mix_d9_en_gi => d9_en, -- d9io
p_mix_d9_pu_gi => d9_pu, -- d9io
p_mix_data_i1_go => data_i1, -- io data
p_mix_data_i33_go => data_i33, -- io data
p_mix_data_i34_go => data_i34, -- io data
p_mix_data_o1_gi => data_o1, -- io data
p_mix_data_o35_gi => data_o35, -- io data
p_mix_data_o36_gi => data_o36, -- io data
p_mix_di2_1_0_go => di2(1 downto 0), -- io data
p_mix_di2_7_3_go => di2(7 downto 3), -- io data
p_mix_disp2_1_0_gi => disp2(1 downto 0), -- io data
p_mix_disp2_7_3_gi => disp2(7 downto 3), -- io data
p_mix_disp2_en_1_0_gi => disp2_en(1 downto 0), -- io data
p_mix_disp2_en_7_3_gi => disp2_en(7 downto 3), -- io data
p_mix_display_ls_en_gi => display_ls_en, -- io_enable
p_mix_display_ls_hr_gi => s_int_display_ls_hr, -- Display storage buffer 2 ls_hr
p_mix_display_ls_min_gi => s_int_display_ls_min, -- Display storage buffer 0 ls_min
p_mix_display_ms_en_gi => display_ms_en, -- io_enable
p_mix_display_ms_hr_gi => s_int_display_ms_hr, -- Display storage buffer 3 ms_hr
p_mix_display_ms_min_gi => s_int_display_ms_min, -- Display storage buffer 1 ms_min
p_mix_iosel_0_0_0_gi => iosel_0(0), -- IO_Select
p_mix_iosel_disp_gi => iosel_disp, -- IO_Select
p_mix_pad_di_12_gi => pad_di_12, -- data in from pad
p_mix_pad_di_13_gi => pad_di_13, -- data in from pad
p_mix_pad_di_14_gi => pad_di_14, -- data in from pad
p_mix_pad_di_15_gi => pad_di_15, -- data in from pad
p_mix_pad_di_16_gi => pad_di_16, -- data in from pad
p_mix_pad_di_17_gi => pad_di_17, -- data in from pad
p_mix_pad_di_18_gi => pad_di_18, -- data in from pad
p_mix_pad_di_1_gi => pad_di_1, -- data in from pad
p_mix_pad_di_31_gi => pad_di_31, -- data in from pad
p_mix_pad_di_32_gi => pad_di_32, -- data in from pad
p_mix_pad_di_33_gi => pad_di_33, -- data in from pad
p_mix_pad_di_34_gi => pad_di_34, -- data in from pad
p_mix_pad_di_39_gi => pad_di_39, -- data in from pad
p_mix_pad_di_40_gi => pad_di_40, -- data in from pad
p_mix_pad_do_12_go => pad_do_12, -- data out to pad
p_mix_pad_do_13_go => pad_do_13, -- data out to pad
p_mix_pad_do_14_go => pad_do_14, -- data out to pad
p_mix_pad_do_15_go => pad_do_15, -- data out to pad
p_mix_pad_do_16_go => pad_do_16, -- data out to pad
p_mix_pad_do_17_go => pad_do_17, -- data out to pad
p_mix_pad_do_18_go => pad_do_18, -- data out to pad
p_mix_pad_do_2_go => pad_do_2, -- data out to pad
p_mix_pad_do_31_go => pad_do_31, -- data out to pad
p_mix_pad_do_32_go => pad_do_32, -- data out to pad
p_mix_pad_do_35_go => pad_do_35, -- data out to pad
p_mix_pad_do_36_go => pad_do_36, -- data out to pad
p_mix_pad_do_39_go => pad_do_39, -- data out to pad
p_mix_pad_do_40_go => pad_do_40, -- data out to pad
p_mix_pad_en_12_go => pad_en_12, -- pad output enable
p_mix_pad_en_13_go => pad_en_13, -- pad output enable
p_mix_pad_en_14_go => pad_en_14, -- pad output enable
p_mix_pad_en_15_go => pad_en_15, -- pad output enable
p_mix_pad_en_16_go => pad_en_16, -- pad output enable
p_mix_pad_en_17_go => pad_en_17, -- pad output enable
p_mix_pad_en_18_go => pad_en_18, -- pad output enable
p_mix_pad_en_2_go => pad_en_2, -- pad output enable
p_mix_pad_en_31_go => pad_en_31, -- pad output enable
p_mix_pad_en_32_go => pad_en_32, -- pad output enable
p_mix_pad_en_35_go => pad_en_35, -- pad output enable
p_mix_pad_en_36_go => pad_en_36, -- pad output enable
p_mix_pad_en_39_go => pad_en_39, -- pad output enable
p_mix_pad_en_40_go => pad_en_40, -- pad output enable
p_mix_pad_pu_31_go => pad_pu_31, -- pull-up control
p_mix_pad_pu_32_go => pad_pu_32 -- pull-up control
);
-- End of Generated Instance Port Map for ios
-- Generated Instance Port Map for pad_pads
pad_pads: pad_pads_e
port map (
p_mix_pad_di_12_go => pad_di_12, -- data in from pad
p_mix_pad_di_13_go => pad_di_13, -- data in from pad
p_mix_pad_di_14_go => pad_di_14, -- data in from pad
p_mix_pad_di_15_go => pad_di_15, -- data in from pad
p_mix_pad_di_16_go => pad_di_16, -- data in from pad
p_mix_pad_di_17_go => pad_di_17, -- data in from pad
p_mix_pad_di_18_go => pad_di_18, -- data in from pad
p_mix_pad_di_1_go => pad_di_1, -- data in from pad
p_mix_pad_di_31_go => pad_di_31, -- data in from pad
p_mix_pad_di_32_go => pad_di_32, -- data in from pad
p_mix_pad_di_33_go => pad_di_33, -- data in from pad
p_mix_pad_di_34_go => pad_di_34, -- data in from pad
p_mix_pad_di_39_go => pad_di_39, -- data in from pad
p_mix_pad_di_40_go => pad_di_40, -- data in from pad
p_mix_pad_do_12_gi => pad_do_12, -- data out to pad
p_mix_pad_do_13_gi => pad_do_13, -- data out to pad
p_mix_pad_do_14_gi => pad_do_14, -- data out to pad
p_mix_pad_do_15_gi => pad_do_15, -- data out to pad
p_mix_pad_do_16_gi => pad_do_16, -- data out to pad
p_mix_pad_do_17_gi => pad_do_17, -- data out to pad
p_mix_pad_do_18_gi => pad_do_18, -- data out to pad
p_mix_pad_do_2_gi => pad_do_2, -- data out to pad
p_mix_pad_do_31_gi => pad_do_31, -- data out to pad
p_mix_pad_do_32_gi => pad_do_32, -- data out to pad
p_mix_pad_do_35_gi => pad_do_35, -- data out to pad
p_mix_pad_do_36_gi => pad_do_36, -- data out to pad
p_mix_pad_do_39_gi => pad_do_39, -- data out to pad
p_mix_pad_do_40_gi => pad_do_40, -- data out to pad
p_mix_pad_en_12_gi => pad_en_12, -- pad output enable
p_mix_pad_en_13_gi => pad_en_13, -- pad output enable
p_mix_pad_en_14_gi => pad_en_14, -- pad output enable
p_mix_pad_en_15_gi => pad_en_15, -- pad output enable
p_mix_pad_en_16_gi => pad_en_16, -- pad output enable
p_mix_pad_en_17_gi => pad_en_17, -- pad output enable
p_mix_pad_en_18_gi => pad_en_18, -- pad output enable
p_mix_pad_en_2_gi => pad_en_2, -- pad output enable
p_mix_pad_en_31_gi => pad_en_31, -- pad output enable
p_mix_pad_en_32_gi => pad_en_32, -- pad output enable
p_mix_pad_en_35_gi => pad_en_35, -- pad output enable
p_mix_pad_en_36_gi => pad_en_36, -- pad output enable
p_mix_pad_en_39_gi => pad_en_39, -- pad output enable
p_mix_pad_en_40_gi => pad_en_40, -- pad output enable
p_mix_pad_pu_31_gi => pad_pu_31, -- pull-up control
p_mix_pad_pu_32_gi => pad_pu_32 -- pull-up control
);
-- End of Generated Instance Port Map for pad_pads
-- Generated Instance Port Map for test_ctrl
test_ctrl: testctrl_e
;
-- End of Generated Instance Port Map for test_ctrl
-- Generated Instance Port Map for u0_alreg
u0_alreg: alreg
port map (
alarm_time => s_int_alarm_time_ls_min, -- Display storage buffer 0 ls_min
load_new_a => load_new_a,
new_alarm_time => s_int_key_buffer_0 -- Display storage buffer 0 ls_min
);
-- End of Generated Instance Port Map for u0_alreg
-- Generated Instance Port Map for u1_alreg
u1_alreg: alreg
port map (
alarm_time => s_int_alarm_time_ms_min, -- Display storage buffer 1 ms_min
load_new_a => load_new_a,
new_alarm_time => s_int_key_buffer_1 -- Display storage buffer 1 ms_min
);
-- End of Generated Instance Port Map for u1_alreg
-- Generated Instance Port Map for u2_alreg
u2_alreg: alreg
port map (
alarm_time => s_int_alarm_time_ls_hr, -- Display storage buffer 2 ls_hr
load_new_a => load_new_a,
new_alarm_time => s_int_key_buffer_2 -- Display storage buffer 2 ls_hr
);
-- End of Generated Instance Port Map for u2_alreg
-- Generated Instance Port Map for u3_alreg
u3_alreg: alreg
port map (
alarm_time => s_int_alarm_time_ms_hr, -- Display storage buffer 3 ms_hr
load_new_a => load_new_a,
new_alarm_time => s_int_key_buffer_3 -- Display storage buffer 3 ms_hr
);
-- End of Generated Instance Port Map for u3_alreg
-- Generated Instance Port Map for u_counter
u_counter: count4
port map (
current_time_ls_hr => s_int_current_time_ls_hr, -- Display storage buffer 2 ls_hr
current_time_ls_min => s_int_current_time_ls_min, -- Display storage buffer 0 ls_min
current_time_ms_hr => s_int_current_time_ms_hr, -- Display storage buffer 3 ms_hr
current_time_ms_min => s_int_current_time_ms_min, -- Display storage buffer 1 ms_min
load_new_c => load_new_c,
new_current_time_ls_hr => s_int_key_buffer_2, -- Display storage buffer 2 ls_hr
new_current_time_ls_min => s_int_key_buffer_0, -- Display storage buffer 0 ls_min
new_current_time_ms_hr => s_int_key_buffer_3, -- Display storage buffer 3 ms_hr
new_current_time_ms_min => s_int_key_buffer_1, -- Display storage buffer 1 ms_min
one_minute => one_minute
);
-- End of Generated Instance Port Map for u_counter
-- Generated Instance Port Map for u_ddrv4
u_ddrv4: ddrv4
port map (
alarm_time_ls_hr => s_int_alarm_time_ls_hr, -- Display storage buffer 2 ls_hr
alarm_time_ls_min => s_int_alarm_time_ls_min, -- Display storage buffer 0 ls_min
alarm_time_ms_hr => s_int_alarm_time_ms_hr, -- Display storage buffer 3 ms_hr
alarm_time_ms_min => s_int_alarm_time_ms_min, -- Display storage buffer 1 ms_min
current_time_ls_hr => s_int_current_time_ls_hr, -- Display storage buffer 2 ls_hr
current_time_ls_min => s_int_current_time_ls_min, -- Display storage buffer 0 ls_min
current_time_ms_hr => s_int_current_time_ms_hr, -- Display storage buffer 3 ms_hr
current_time_ms_min => s_int_current_time_ms_min, -- Display storage buffer 1 ms_min
key_buffer_0 => s_int_key_buffer_0, -- Display storage buffer 0 ls_min
key_buffer_1 => s_int_key_buffer_1, -- Display storage buffer 1 ms_min
key_buffer_2 => s_int_key_buffer_2, -- Display storage buffer 2 ls_hr
key_buffer_3 => s_int_key_buffer_3, -- Display storage buffer 3 ms_hr
p_mix_display_ls_hr_go => s_int_display_ls_hr, -- Display storage buffer 2 ls_hr
p_mix_display_ls_min_go => s_int_display_ls_min, -- Display storage buffer 0 ls_min
p_mix_display_ms_hr_go => s_int_display_ms_hr, -- Display storage buffer 3 ms_hr
p_mix_display_ms_min_go => s_int_display_ms_min, -- Display storage buffer 1 ms_min
p_mix_sound_alarm_go => sound_alarm,
show_a => s_int_show_a,
show_new_time => s_int_show_new_time
);
-- End of Generated Instance Port Map for u_ddrv4
-- Generated Instance Port Map for u_keypad
u_keypad: keypad
port map (
columns => columns,
rows => rows -- Keypad Output
);
-- End of Generated Instance Port Map for u_keypad
-- Generated Instance Port Map for u_keyscan
u_keyscan: keyscan
port map (
alarm_button => alarm_button,
columns => columns,
key => key,
key_buffer_0 => s_int_key_buffer_0, -- Display storage buffer 0 ls_min
key_buffer_1 => s_int_key_buffer_1, -- Display storage buffer 1 ms_min
key_buffer_2 => s_int_key_buffer_2, -- Display storage buffer 2 ls_hr
key_buffer_3 => s_int_key_buffer_3, -- Display storage buffer 3 ms_hr
rows => rows, -- Keypad Output
shift => shift,
time_button => time_button
);
-- End of Generated Instance Port Map for u_keyscan
-- Generated Instance Port Map for u_timegen
u_timegen: timegen
port map (
one_minute => one_minute,
one_second => one_sec_pulse,
stopwatch => stopwatch -- Driven by reset
);
-- End of Generated Instance Port Map for u_timegen
end rtl;
--
--!End of Architecture/s
-- --------------------------------------------------------------
|
----------------------------------------------------------------------
-- brdLexSwx (for SmartFusion(1) Evaluation Kit)
----------------------------------------------------------------------
-- (c) 2016 by Anton Mause
--
-- board/kit dependency : LEDs & SW polarity
--
----------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
----------------------------------------------------------------------
entity brdLexSwx is
port ( o_lex, o_pbx : out std_logic );
end brdLexSwx;
----------------------------------------------------------------------
architecture rtl of brdLexSwx is
begin
-- polarity of LED driver output
-- '0' = low idle, high active
-- '1' = high idle, low active
o_lex <= '0';
-- polarity of push button switch
-- '0' = low idle, high active (pressed)
-- '1' = high idle, low active (pressed)
o_pbx <= '0';
end rtl; |
package body a is
end a;
|
-- ########################################################################
-- $Software: busiac
-- $section : hardware component
-- $Id: plus12.vhd 325 2015-06-03 12:47:32Z ia $
-- $HeadURL: svn://lunix120.ensiie.fr/ia/cours/archi/projet/busiac/vhdl/plus12.vhd $
-- $Author : Ivan Auge (Email: [email protected])
-- ########################################################################
--
-- This file is part of the BUSIAC software: Copyright (C) 2010 by I. Auge.
--
-- This program is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at your
-- option) any later version.
--
-- BUSIAC software is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY ; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General
-- Public License for more details.
--
-- You should have received a copy of the GNU General Public License along
-- with the GNU C Library; see the file COPYING. If not, write to the Free
-- Software Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA.
--
-- ######################################################################*/
-------------------------------------------------------------------------------
-- Ce module additionne 2 nombres de 12 bits signés.
-- Ses E/S sont les bus busin et busout.
--
-- Input:
-- busin_ctl (43 DOWNTO 40) : not used
-- busin_asrc(39 DOWNTO 32) : adresse emetteur (E_ADR)
-- busin_ades(31 DOWNTO 24) : adresse destination (MYADR)
-- busin_data(23 DOWNTO 12) : operande B en complement a 2
-- busin_data(11 DOWNTO 0) : operande A en complement a 2
--
-- Output:
-- busout_ctl (43 DOWNTO 40) : "0000"
-- busout_asrc(39 DOWNTO 32) : MYADR
-- busout_ades(31 DOWNTO 24) : E_ADR
-- busout_data(23) : V (overflow)
-- busout_data(22) : C (retenue sortante)
-- busout_data(21) : N (résultat négatif)
-- busout_data(20) : Z (résultat nul)
-- busout_data(19 DOWNTO 12) : "00000000"
-- busout_data(11 DOWNTO 0) : résultat en complément a 2 (A+B)
-------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
use IEEE.numeric_std.all;
ENTITY plus12 IS
GENERIC(
MYADR : STD_LOGIC_VECTOR(7 downto 0) := x"C0" ); -- 192
PORT(
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
-- interface busin
busin : in STD_LOGIC_VECTOR(43 DOWNTO 0);
busin_valid : in STD_LOGIC;
busin_eated : out STD_LOGIC;
-- interface busout
busout : OUT STD_LOGIC_VECTOR(43 DOWNTO 0);
busout_valid : OUT STD_LOGIC;
busout_eated : IN STD_LOGIC;
-- debug
debug : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END plus12;
ARCHITECTURE Montage OF plus12 IS
TYPE T_CMD_LoadNoop IS (LOAD, NOOP);
-- partie operative
-- le registre de transfert de busin vers busout
SIGNAL CMD_tft : T_CMD_LoadNoop;
SIGNAL R_tft : STD_LOGIC_VECTOR(43 DOWNTO 0);
-- le registre resultat de A+B, ov
-- on etend R sur 13 bits pour avoir la retenue
SIGNAL CMD_res : T_CMD_LoadNoop;
SIGNAL R_res : STD_LOGIC_VECTOR(12 DOWNTO 0);
-- les operandes A et B (1 bit de plus pour la retenue)
SIGNAL A,B : STD_LOGIC_VECTOR (12 DOWNTO 0); -- V1
-- bits de retenue et de somme de A+B -- V1
SIGNAL r,s : STD_LOGIC_VECTOR (12 DOWNTO 0); -- V1
-- SIGNAL A,B : SIGNED (12 DOWNTO 0); -- V2
-- l' adresse destination
SIGNAL busin_ades : STD_LOGIC_VECTOR ( 7 DOWNTO 0);
-- message résulat
SIGNAL mess_resultat : STD_LOGIC_VECTOR (43 DOWNTO 0);
-- partie controle
TYPE STATE_TYPE IS (ST_READ, ST_WRITE_TFT, ST_COMPUTE, ST_WRITE_SUM);
SIGNAL state : STATE_TYPE;
BEGIN
-------------------------------------------------------------------------------
-- Partie Opérative
-------------------------------------------------------------------------------
busin_ades <= busin(31 DOWNTO 24) ;
a <= "0" & R_tft(23 DOWNTO 12) ; -- V1
b <= "0" & R_tft(11 DOWNTO 0) ; -- V1
-- a <= SIGNED (R_tft(23 DOWNTO 12)) ; -- V2
-- b <= SIGNED (R_tft(11 DOWNTO 0)) ; -- V2
mess_resultat(43 DOWNTO 40) <= "0000";
mess_resultat(39 DOWNTO 32) <= MYADR;
mess_resultat(31 DOWNTO 24) <= R_tft(39 DOWNTO 32);
mess_resultat(23) <= -- overflow
'1' WHEN a(11)='1' AND b(11)='1' AND R_res(11)='0' ELSE -- N+N=P
'1' WHEN a(11)='0' AND b(11)='0' AND R_res(11)='1' ELSE -- P+P=N
'0' ;
mess_resultat(22) <= R_res(12); -- cout
mess_resultat(21) <= R_res(11); -- signe
mess_resultat(20) <= -- null
'1' WHEN R_res(11 downto 0) = x"000" ELSE '0';
mess_resultat(19 DOWNTO 12) <= "00000000" ;
mess_resultat(11 DOWNTO 0) <= R_res(11 DOWNTO 0);
-- s,r <-- a + b; -- V1
s <= a XOR b XOR r; -- V1
r(0) <= '0'; -- V1
r(12 DOWNTO 1) <= -- V1
( a(11 DOWNTO 0) AND b(11 DOWNTO 0) ) OR -- V1
( a(11 DOWNTO 0) AND r(11 DOWNTO 0) ) OR -- V1
( r(11 DOWNTO 0) AND b(11 DOWNTO 0) ); -- V1
PROCESS (clk)
BEGIN IF clk'EVENT AND clk = '1' THEN
-- R_tft
if ( CMD_tft = LOAD ) then
R_tft <= busin;
end if;
-- R_res
if ( CMD_res = LOAD ) then
R_res(12 DOWNTO 0) <= s ; -- V1
-- R_res(12 DOWNTO 0) <= STD_LOGIC_VECTOR(a + b) ; -- V2
end if;
END IF; END PROCESS;
-------------------------------------------------------------------------------
-- Partie Controle
-------------------------------------------------------------------------------
-- Inputs: busin_valid busout_eated
-- Outputs: busin_eated busout_valid, CMD_res, CMD_tft, busout
-------------------------------------------------------------------------------
-- fonction de transitition
PROCESS (reset,clk)
BEGIN
IF reset = '1' THEN
state <= ST_READ;
ELSIF clk'EVENT AND clk = '1' THEN
CASE state IS
WHEN ST_READ =>
IF busin_valid = '1' and busin_ades = MYADR THEN
state <= ST_COMPUTE;
ELSIF busin_valid = '1' and busin_ades /= MYADR THEN
state <= ST_WRITE_TFT;
END IF;
WHEN ST_COMPUTE =>
state <= ST_WRITE_SUM;
WHEN ST_WRITE_SUM =>
IF busout_eated = '1' THEN
state <= ST_READ;
END IF;
WHEN ST_WRITE_TFT =>
IF busout_eated = '1' THEN
state <= ST_READ;
END IF;
END CASE;
END IF;
END PROCESS;
-- fonction de sortie
WITH state SELECT busin_eated <=
'1' WHEN ST_READ,
'0' WHEN OTHERS;
WITH state SELECT busout_valid <=
'1' WHEN ST_WRITE_TFT,
'1' WHEN ST_WRITE_SUM,
'0' WHEN OTHERS;
WITH state SELECT CMD_res <=
LOAD WHEN ST_Compute,
NOOP WHEN OTHERS;
WITH state SELECT CMD_tft <=
LOAD WHEN ST_READ,
NOOP WHEN OTHERS;
WITH state SELECT busout <=
mess_resultat WHEN ST_WRITE_SUM,
R_tft WHEN OTHERS;
END Montage;
|
-------------------------------------------------------------------------------
-- Title : Testbench for design "adc_mcp3008_module"
-------------------------------------------------------------------------------
-- Standard : VHDL'87
-------------------------------------------------------------------------------
-- Description:
-------------------------------------------------------------------------------
-- Copyright (c) 2012
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
-------------------------------------------------------------------------------
entity adc_mcp3008_module_tb is
end adc_mcp3008_module_tb;
-------------------------------------------------------------------------------
architecture tb of adc_mcp3008_module_tb is
use work.adc_mcp3008_pkg.all;
use work.reg_file_pkg.all;
use work.bus_pkg.all;
-- component generics
constant BASE_ADDRESS : integer range 0 to 16#7FFF# := 0;
-- component ports
signal adc_out_p : adc_mcp3008_spi_out_type;
signal adc_in_p : adc_mcp3008_spi_in_type;
signal bus_o : busdevice_out_type;
signal bus_i : busdevice_in_type := (addr => (others => '0'),
data => (others => '0'),
we => '0',
re => '0');
signal miso_p : std_logic;
signal mosi_p : std_logic;
signal cs_np : std_logic;
signal sck_p : std_logic;
-- clock
signal clk : std_logic := '1';
begin -- tb
-- component instantiation
DUT : adc_mcp3008_module
generic map (
BASE_ADDRESS => BASE_ADDRESS)
port map (
adc_out_p => adc_out_p,
adc_in_p => adc_in_p,
bus_o => bus_o,
bus_i => bus_i,
adc_values_o => open,
clk => clk);
-- clock generation
Clk <= not Clk after 10 NS;
adc_in_p.miso <= miso_p;
mosi_p <= adc_out_p.mosi;
cs_np <= adc_out_p.cs_n;
sck_p <= adc_out_P.sck;
-- waveform generation
bus_stimulus_proc : process
begin
bus_i.addr <= (others => '0');
bus_i.data <= (others => '0');
bus_i.re <= '0';
bus_i.we <= '0';
wait until Clk = '1';
wait until Clk = '1';
bus_i.addr <= (others => '0');
bus_i.data <= "0000" & "0000" & "0000" & "0001";
bus_i.re <= '0';
bus_i.we <= '1';
wait until Clk = '1';
bus_i.we <= '0';
wait until Clk = '1';
wait until Clk = '1';
wait until Clk = '1';
bus_i.addr(0) <= '1';
bus_i.data <= "0000" & "0000" & "0000" & "0001";
bus_i.re <= '0';
bus_i.we <= '1';
wait until Clk = '1';
bus_i.data <= (others => '0');
bus_i.we <= '0';
wait until Clk = '1';
wait until Clk = '1';
wait until Clk = '1';
-- read the registers
bus_i.addr(0) <= '0';
bus_i.re <= '1';
wait until Clk = '1';
bus_i.re <= '0';
wait until Clk = '1';
bus_i.addr(0) <= '1';
bus_i.re <= '1';
wait until Clk = '1';
bus_i.re <= '0';
wait until Clk = '1';
wait until Clk = '1';
wait until Clk = '1';
wait until Clk = '1';
-- do the same reads, but the DUT shouldn't react
bus_i.addr(0) <= '0';
bus_i.addr(8) <= '0'; -- another address
bus_i.re <= '1';
wait until Clk = '1';
bus_i.re <= '0';
wait until Clk = '1';
bus_i.addr(0) <= '1';
bus_i.re <= '1';
wait until Clk = '1';
bus_i.re <= '0';
wait until Clk = '1';
wait for 10000 NS;
end process bus_stimulus_proc;
-----------------------------------------------------------------------------
-- ADC side stimulus
-----------------------------------------------------------------------------
process
begin
miso_p <= 'Z';
wait until cs_np = '0';
wait until sck_p = '1';
wait until sck_p = '0';
wait until sck_p = '0';
wait until sck_p = '0';
wait until sck_p = '0';
wait until sck_p = '0';
wait until sck_p = '0';
-- leading zero of mcp3008
miso_p <= '0';
wait until sck_p = '0';
-- actual MSB of conversion
miso_p <= '1';
wait until sck_p = '0';
miso_p <= '0';
wait until sck_p = '0';
miso_p <= '1';
wait until sck_p = '0';
miso_p <= '0';
wait until sck_p = '0';
miso_p <= '1';
wait until sck_p = '0';
miso_p <= '0';
wait until sck_p = '0';
miso_p <= '1';
wait until sck_p = '0';
miso_p <= '1';
wait until sck_p = '0';
miso_p <= '1';
wait until sck_p = '0';
miso_p <= '1';
wait until sck_p = '0';
miso_p <= 'Z';
miso_p <= 'Z';
wait until cs_np = '0';
wait until sck_p = '1';
wait until sck_p = '0';
wait until sck_p = '0';
wait until sck_p = '0';
wait until sck_p = '0';
wait until sck_p = '0';
wait until sck_p = '0';
-- leading zero of mcp3008
miso_p <= '0';
wait until sck_p = '0';
-- actual MSB of conversion
miso_p <= '1';
wait until sck_p = '0';
miso_p <= '1';
wait until sck_p = '0';
miso_p <= '1';
wait until sck_p = '0';
miso_p <= '1';
wait until sck_p = '0';
miso_p <= '1';
wait until sck_p = '0';
miso_p <= '1';
wait until sck_p = '0';
miso_p <= '1';
wait until sck_p = '0';
miso_p <= '1';
wait until sck_p = '0';
miso_p <= '1';
wait until sck_p = '0';
miso_p <= '1';
wait until sck_p = '0';
miso_p <= 'Z';
end process;
end tb;
-------------------------------------------------------------------------------
configuration adc_mcp3008_module_tb_tb_cfg of adc_mcp3008_module_tb is
for tb
end for;
end adc_mcp3008_module_tb_tb_cfg;
-------------------------------------------------------------------------------
|
-------------------------------------------------------------------------------
-- Title : Testbench for design "adc_mcp3008_module"
-------------------------------------------------------------------------------
-- Standard : VHDL'87
-------------------------------------------------------------------------------
-- Description:
-------------------------------------------------------------------------------
-- Copyright (c) 2012
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
-------------------------------------------------------------------------------
entity adc_mcp3008_module_tb is
end adc_mcp3008_module_tb;
-------------------------------------------------------------------------------
architecture tb of adc_mcp3008_module_tb is
use work.adc_mcp3008_pkg.all;
use work.reg_file_pkg.all;
use work.bus_pkg.all;
-- component generics
constant BASE_ADDRESS : integer range 0 to 16#7FFF# := 0;
-- component ports
signal adc_out_p : adc_mcp3008_spi_out_type;
signal adc_in_p : adc_mcp3008_spi_in_type;
signal bus_o : busdevice_out_type;
signal bus_i : busdevice_in_type := (addr => (others => '0'),
data => (others => '0'),
we => '0',
re => '0');
signal miso_p : std_logic;
signal mosi_p : std_logic;
signal cs_np : std_logic;
signal sck_p : std_logic;
-- clock
signal clk : std_logic := '1';
begin -- tb
-- component instantiation
DUT : adc_mcp3008_module
generic map (
BASE_ADDRESS => BASE_ADDRESS)
port map (
adc_out_p => adc_out_p,
adc_in_p => adc_in_p,
bus_o => bus_o,
bus_i => bus_i,
adc_values_o => open,
clk => clk);
-- clock generation
Clk <= not Clk after 10 NS;
adc_in_p.miso <= miso_p;
mosi_p <= adc_out_p.mosi;
cs_np <= adc_out_p.cs_n;
sck_p <= adc_out_P.sck;
-- waveform generation
bus_stimulus_proc : process
begin
bus_i.addr <= (others => '0');
bus_i.data <= (others => '0');
bus_i.re <= '0';
bus_i.we <= '0';
wait until Clk = '1';
wait until Clk = '1';
bus_i.addr <= (others => '0');
bus_i.data <= "0000" & "0000" & "0000" & "0001";
bus_i.re <= '0';
bus_i.we <= '1';
wait until Clk = '1';
bus_i.we <= '0';
wait until Clk = '1';
wait until Clk = '1';
wait until Clk = '1';
bus_i.addr(0) <= '1';
bus_i.data <= "0000" & "0000" & "0000" & "0001";
bus_i.re <= '0';
bus_i.we <= '1';
wait until Clk = '1';
bus_i.data <= (others => '0');
bus_i.we <= '0';
wait until Clk = '1';
wait until Clk = '1';
wait until Clk = '1';
-- read the registers
bus_i.addr(0) <= '0';
bus_i.re <= '1';
wait until Clk = '1';
bus_i.re <= '0';
wait until Clk = '1';
bus_i.addr(0) <= '1';
bus_i.re <= '1';
wait until Clk = '1';
bus_i.re <= '0';
wait until Clk = '1';
wait until Clk = '1';
wait until Clk = '1';
wait until Clk = '1';
-- do the same reads, but the DUT shouldn't react
bus_i.addr(0) <= '0';
bus_i.addr(8) <= '0'; -- another address
bus_i.re <= '1';
wait until Clk = '1';
bus_i.re <= '0';
wait until Clk = '1';
bus_i.addr(0) <= '1';
bus_i.re <= '1';
wait until Clk = '1';
bus_i.re <= '0';
wait until Clk = '1';
wait for 10000 NS;
end process bus_stimulus_proc;
-----------------------------------------------------------------------------
-- ADC side stimulus
-----------------------------------------------------------------------------
process
begin
miso_p <= 'Z';
wait until cs_np = '0';
wait until sck_p = '1';
wait until sck_p = '0';
wait until sck_p = '0';
wait until sck_p = '0';
wait until sck_p = '0';
wait until sck_p = '0';
wait until sck_p = '0';
-- leading zero of mcp3008
miso_p <= '0';
wait until sck_p = '0';
-- actual MSB of conversion
miso_p <= '1';
wait until sck_p = '0';
miso_p <= '0';
wait until sck_p = '0';
miso_p <= '1';
wait until sck_p = '0';
miso_p <= '0';
wait until sck_p = '0';
miso_p <= '1';
wait until sck_p = '0';
miso_p <= '0';
wait until sck_p = '0';
miso_p <= '1';
wait until sck_p = '0';
miso_p <= '1';
wait until sck_p = '0';
miso_p <= '1';
wait until sck_p = '0';
miso_p <= '1';
wait until sck_p = '0';
miso_p <= 'Z';
miso_p <= 'Z';
wait until cs_np = '0';
wait until sck_p = '1';
wait until sck_p = '0';
wait until sck_p = '0';
wait until sck_p = '0';
wait until sck_p = '0';
wait until sck_p = '0';
wait until sck_p = '0';
-- leading zero of mcp3008
miso_p <= '0';
wait until sck_p = '0';
-- actual MSB of conversion
miso_p <= '1';
wait until sck_p = '0';
miso_p <= '1';
wait until sck_p = '0';
miso_p <= '1';
wait until sck_p = '0';
miso_p <= '1';
wait until sck_p = '0';
miso_p <= '1';
wait until sck_p = '0';
miso_p <= '1';
wait until sck_p = '0';
miso_p <= '1';
wait until sck_p = '0';
miso_p <= '1';
wait until sck_p = '0';
miso_p <= '1';
wait until sck_p = '0';
miso_p <= '1';
wait until sck_p = '0';
miso_p <= 'Z';
end process;
end tb;
-------------------------------------------------------------------------------
configuration adc_mcp3008_module_tb_tb_cfg of adc_mcp3008_module_tb is
for tb
end for;
end adc_mcp3008_module_tb_tb_cfg;
-------------------------------------------------------------------------------
|
library verilog;
use verilog.vl_types.all;
entity altera_generic_pll_functions is
end altera_generic_pll_functions;
|
library verilog;
use verilog.vl_types.all;
entity altera_generic_pll_functions is
end altera_generic_pll_functions;
|
library verilog;
use verilog.vl_types.all;
entity altera_generic_pll_functions is
end altera_generic_pll_functions;
|
library verilog;
use verilog.vl_types.all;
entity altera_generic_pll_functions is
end altera_generic_pll_functions;
|
library verilog;
use verilog.vl_types.all;
entity altera_generic_pll_functions is
end altera_generic_pll_functions;
|
--================================================================================================================================
-- Copyright 2020 Bitvis
-- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT.
--
-- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on
-- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and limitations under the License.
--================================================================================================================================
-- Note : Any functionality not explicitly described in the documentation is subject to change at any time
----------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
package uart_pkg is
function find_num_hits(
vector : std_logic_vector;
pattern : std_logic)
return integer;
function find_most_repeated_bit(
vector : std_logic_vector)
return std_logic;
function transient_error(
vector : std_logic_vector;
limit : integer)
return boolean;
function f_log2 (x : positive)
return natural;
function odd_parity (
signal data : std_logic_vector(7 downto 0))
return std_logic;
end package uart_pkg;
package body uart_pkg is
function find_num_hits(
vector : std_logic_vector;
pattern : std_logic)
return integer is
variable hitcount : natural := 0;
begin
for i in 0 to vector'length-1 loop
if (vector(i) = pattern) then
hitcount := hitcount+1;
end if;
end loop;
return hitcount;
end function;
function find_most_repeated_bit(
vector : std_logic_vector)
return std_logic is
begin
if (find_num_hits(vector,'1') >
find_num_hits(vector,'0')) then
return '1';
else
return '0';
end if;
end function;
function transient_error(
vector : std_logic_vector;
limit : integer)
return boolean is
begin
if ((find_num_hits(vector,'1') < limit) and
(find_num_hits(vector,'0') < limit)) then
return true;
else
return false;
end if;
end function;
function f_log2 (x : positive)
return natural is
variable i : natural;
begin
i := 0;
while (2**i < x) and i < 31 loop
i := i + 1;
end loop;
return i;
end function;
function odd_parity (
signal data : std_logic_vector(7 downto 0))
return std_logic is
variable odd : std_logic;
begin
odd := '1';
for i in data'range loop
odd := odd xor data(i);
end loop;
return odd;
end odd_parity;
end package body uart_pkg;
|
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_ARITH.all;
use IEEE.STD_LOGIC_UNSIGNED.all;
-- TEST
-- /TEST
entity latch is
port (
a : in std_logic_vector(2 downto 0);
clk : in std_logic;
output : out std_logic_vector(2 downto 0)
);
end latch;
architecture behave of latch is
begin -- behave
main: process(clk) begin
if rising_edge(clk) then
output <= a;
end if;
end process;
end behave;
|
library verilog;
use verilog.vl_types.all;
entity test_counter is
end test_counter;
|
-- ###################################################################################
--
-- #### #### #####
-- ## ## ##### ## ## ##### ## ###### ##### ##### ##### ## ##
-- ## ## ## ## ## ## ## ## ##### ## ## ## ## ## ## ## ##
-- ## ## ## ## ## ## ###### ## ###### ###### ## ## ######
-- ## ## ## ## ### ## ## ## ## ## ## ##
-- #### ######## ##### # ##### ##### ## ##### ##### ##### #####
--
-- ###################################################################################
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity keyboard is
generic (FilterSize : positive := 10);
port(
clk : in std_logic;
reset : in std_logic;
o_reset : out std_logic;
PS2_Clk : in std_logic;
PS2_Data : in std_logic;
Key_Addr : in std_logic_vector(8 downto 0);
Key_Data : out std_logic_vector(7 downto 0) );
end keyboard;
architecture Behavioral of keyboard is
signal PS2_Datr : std_logic;
signal DoRead : std_logic; -- From outside when reading the scan code
signal Scan_Err : std_logic; -- To outside : Parity or Overflow error
signal Scan_Code : std_logic_vector(7 downto 0); -- Eight bits Data Out
signal Filter : std_logic_vector(FilterSize-1 downto 0);
signal Filter_t0 : std_logic_vector(FilterSize-1 downto 0);
signal Filter_t1 : std_logic_vector(FilterSize-1 downto 0);
signal Fall_Clk : std_logic;
signal Bit_Cnt : unsigned (3 downto 0);
signal Parity : std_logic;
signal S_Reg : std_logic_vector(8 downto 0);
signal PS2_Clk_f : std_logic; signal Code_Readed : std_logic;
signal Key_Released : std_logic;
signal Extend_Key : std_logic;
signal Key_Data_0 : std_logic_vector(7 downto 0);
signal Key_Data_1 : std_logic_vector(7 downto 0);
type Matrix_Image is array (natural range <>) of std_logic_vector(7 downto 0);
signal Matrix_0 : Matrix_Image(0 to 7);
signal Matrix_1 : Matrix_Image(0 to 7);
Type State_t is (Idle, Shifting);
signal State : State_t;
begin
Filter_t0 <= (others=>'0');
Filter_t1 <= (others=>'1');
process (Clk,Reset)
begin
if Reset='1' then
PS2_Datr <= '0';
PS2_Clk_f <= '0';
Filter <= (others=>'0');
Fall_Clk <= '0';
elsif rising_edge (Clk) then
PS2_Datr <= PS2_Data and PS2_Data; -- also turns 'H' into '1'
Fall_Clk <= '0';
Filter <= (PS2_Clk and PS2_CLK) & Filter(Filter'high downto 1);
if Filter = Filter_t1 then
PS2_Clk_f <= '1';
elsif Filter = Filter_t0 then
PS2_Clk_f <= '0';
if PS2_Clk_f = '1' then
Fall_Clk <= '1';
end if;
end if;
end if;
end process;
process(Clk,Reset)
begin
if Reset='1' then
State <= Idle;
Bit_Cnt <= (others => '0');
S_Reg <= (others => '0');
Scan_Code <= (others => '0');
Parity <= '0';
Scan_Err <= '0';
Code_Readed <= '0';
elsif rising_edge (Clk) then
Code_Readed <= '0';
case State is
when Idle =>
Parity <= '0';
Bit_Cnt <= (others => '0');
-- note that we dont need to clear the Shift Register
if Fall_Clk='1' and PS2_Datr='0' then -- Start bit
Scan_Err <= '0';
State <= Shifting;
end if;
when Shifting =>
if Bit_Cnt >= 9 then
if Fall_Clk='1' then -- Stop Bit
-- Error is (wrong Parity) or (Stop='0') or Overflow
Scan_Err <= (not Parity) or (not PS2_Datr);
Scan_Code <= S_Reg(7 downto 0);
Code_Readed <= '1';
State <= Idle;
end if;
elsif Fall_Clk='1' then
Bit_Cnt <= Bit_Cnt + 1;
S_Reg <= PS2_Datr & S_Reg (S_Reg'high downto 1); -- Shift right
Parity <= Parity xor PS2_Datr;
end if;
when others => -- never reached
State <= Idle;
end case;
--Scan_Err <= '0'; -- to create an on-purpose error on Scan_Err !
end if;
end process;
process(Clk,Reset)
variable aaa : std_logic_vector(10 downto 0);
variable bbb : std_logic_vector(10 downto 0);
begin
if Reset='1' then
Matrix_0 <= (others => (others => '0'));
Matrix_1 <= (others => (others => '0'));
Key_Released <= '0';
Extend_Key <= '0';
elsif rising_edge (Clk) then
o_reset <= '0';
if Code_Readed = '1' then -- ScanCode is Readed
if Scan_Code = x"F0" then -- Key is Released
Key_Released <= '1';
elsif Scan_Code = x"E0" then -- Extended Key Pressed
Extend_Key <= '1';
else -- Analyse
aaa := (others=>'0');
bbb := (others=>'0');
case Scan_Code is
------------------------------------
when x"52" => aaa := "00000000001"; -- @
when x"1C" => aaa := "00000000010"; -- A
when x"32" => aaa := "00000000100"; -- B
when x"21" => aaa := "00000001000"; -- C
when x"23" => aaa := "00000010000"; -- D
when x"24" => aaa := "00000100000"; -- E
when x"2B" => aaa := "00001000000"; -- F
when x"34" => aaa := "00010000000"; -- G
------------------------------------
when x"33" => aaa := "00100000001"; -- H
when x"43" => aaa := "00100000010"; -- I
when x"3B" => aaa := "00100000100"; -- J
when x"42" => aaa := "00100001000"; -- K
when x"4B" => aaa := "00100010000"; -- L
when x"3A" => aaa := "00100100000"; -- M
when x"31" => aaa := "00101000000"; -- N
when x"44" => aaa := "00110000000"; -- O
------------------------------------
when x"4D" => aaa := "01000000001"; -- P
when x"15" => aaa := "01000000010"; -- Q
when x"2D" => aaa := "01000000100"; -- R
when x"1B" => aaa := "01000001000"; -- S
when x"2C" => aaa := "01000010000"; -- T
when x"3C" => aaa := "01000100000"; -- U
when x"2A" => aaa := "01001000000"; -- V
when x"1D" => aaa := "01010000000"; -- W
------------------------------------
when x"22" => aaa := "01100000001"; -- X
when x"1A" => aaa := "01100000010"; -- Y
when x"35" => aaa := "01100000100"; -- Z
when x"54" => aaa := "01100001000"; -- [
when x"0E" => aaa := "01100010000"; -- ?
when x"5B" => aaa := "01100100000"; -- ]
when x"61" => aaa := "01101000000"; -- ?
when x"4C" => aaa := "01110000000"; -- ?
------------------------------------
when x"45" => aaa := "10000000001"; -- 0
when x"16" => aaa := "10000000010"; -- 1
when x"1E" => aaa := "10000000100"; -- 2
when x"26" => aaa := "10000001000"; -- 3
when x"25" => aaa := "10000010000"; -- 4
when x"2E" => aaa := "10000100000"; -- 5
when x"36" => aaa := "10001000000"; -- 6
when x"3D" => aaa := "10010000000"; -- 7
------------------------------------
when x"3E" => aaa := "10100000001"; -- 8
when x"46" => aaa := "10100000010"; -- 9
when x"5D" => aaa := "10100000100"; -- *
when x"55" => aaa := "10100001000"; -- +
when x"41" => aaa := "10100010000"; -- <
when x"4A" => aaa := "10100100000"; -- =
when x"49" => aaa := "10101000000"; -- >
when x"4E" => aaa := "10110000000"; -- ?
------------------------------------
when x"5A" => aaa := "11000000001"; -- ENTER
when x"7B" => aaa := "11000000010"; -- ????
when x"07" => aaa := "11000000100"; -- ????
when x"77" => aaa := "11000001000"; -- ??
when x"7C" => aaa := "11000010000"; -- ??
when x"66" => aaa := "11000100000"; -- BACKSPACE
when x"0D" => aaa := "11001000000"; -- TAB
when x"29" => aaa := "11010000000"; -- SPACE
------------------------------------
when x"12" => aaa := "11100000001"; -- ?? ???.
when x"11" =>
case Extend_Key is
when '0' => aaa := "11100000010"; -- ???
when others => aaa := "11100000100"; -- ????
end case;
when x"76" => aaa := "11100001000"; -- ???
when x"14" =>
case Extend_Key is
when '0' => aaa := "11101000000"; -- o
when others => aaa := "11100010000"; -- ???
end case;
when x"58" => aaa := "11100100000"; -- ???
when x"59" => aaa := "11110000000"; -- ?? ????.
------------------------------------
when x"70" => bbb := "00000000001"; -- 0
when x"69" => bbb := "00000000010"; -- 1
when x"72" => bbb := "00000000100"; -- 2
when x"7A" => bbb := "00000001000"; -- 3
when x"6B" => bbb := "00000010000"; -- 4
when x"73" => bbb := "00000100000"; -- 5
when x"74" => bbb := "00001000000"; -- 6
when x"6C" => bbb := "00010000000"; -- 7
------------------------------------
when x"75" => bbb := "00100000001"; -- 8
when x"7D" => bbb := "00100000010"; -- 9
when x"71" => bbb := "00101000000"; -- .
------------------------------------
when x"05" => bbb := "01000000001"; -- P
when x"06" => bbb := "01000000010"; -- Q
when x"04" => bbb := "01000000100"; -- R
when x"0C" => bbb := "01000001000"; -- S
when x"03" => bbb := "01000010000"; -- T
------------------------------------
when x"7E" => o_reset <= '1';
when others => null;
end case;
if Key_Released = '0' then
Matrix_0(to_integer(unsigned(aaa(10 downto 8)))) <=
Matrix_0(to_integer(unsigned(aaa(10 downto 8)))) or
std_logic_vector(unsigned(aaa(7 downto 0)));
Matrix_1(to_integer(unsigned(bbb(10 downto 8)))) <=
Matrix_1(to_integer(unsigned(bbb(10 downto 8)))) or
std_logic_vector(unsigned(bbb(7 downto 0)));
else
Matrix_0(to_integer(unsigned(aaa(10 downto 8)))) <=
Matrix_0(to_integer(unsigned(aaa(10 downto 8)))) and
std_logic_vector(not unsigned(aaa(7 downto 0)));
Matrix_1(to_integer(unsigned(bbb(10 downto 8)))) <=
Matrix_1(to_integer(unsigned(bbb(10 downto 8)))) and
std_logic_vector(not unsigned(bbb(7 downto 0)));
end if;
Key_Released <= '0';
Extend_Key <= '0';
end if;
end if;
end if;
end process;
-- if RX_ShiftReg = x"aa" and RX_Received = '1' then
-- Matrix <= (others => (others => '0'));
-- end if;
g_out1 : for i in 0 to 7 generate
Key_Data_0(i) <= (Matrix_0(0)(i) and Key_Addr(0)) or
(Matrix_0(1)(i) and Key_Addr(1)) or
(Matrix_0(2)(i) and Key_Addr(2)) or
(Matrix_0(3)(i) and Key_Addr(3)) or
(Matrix_0(4)(i) and Key_Addr(4)) or
(Matrix_0(5)(i) and Key_Addr(5)) or
(Matrix_0(6)(i) and Key_Addr(6)) or
(Matrix_0(7)(i) and Key_Addr(7));
end generate;
g_out2 : for i in 0 to 7 generate
Key_Data_1(i) <= (Matrix_1(0)(i) and Key_Addr(0)) or
(Matrix_1(1)(i) and Key_Addr(1)) or
(Matrix_1(2)(i) and Key_Addr(2)) or
(Matrix_1(3)(i) and Key_Addr(3)) or
(Matrix_1(4)(i) and Key_Addr(4)) or
(Matrix_1(5)(i) and Key_Addr(5)) or
(Matrix_1(6)(i) and Key_Addr(6)) or
(Matrix_1(7)(i) and Key_Addr(7));
end generate;
Key_Data <= Key_Data_0 when Key_Addr(8) = '0' else Key_Data_1;
end Behavioral;
|
----------------------------------------------------------------------------------
-- Engineer: Mike Field <[email protected]>
--
-- Module Name: tmds_decode - Behavioral
--
-- Description: TMDS decode as per Digital Display Working Groups Digital Visual
-- Interface Revision 1.0 section 3.3.3
--
-- This doesn't seem 100% correct - "elsif sometimes_inverted(8) = '0' then" should
-- be "elsif sometimes_inverted(8) = '1' then" according to the standard.
--
-- However it does actually work!
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity tmds_decode is
Port ( clk : in STD_LOGIC;
data_in : in STD_LOGIC_VECTOR (9 downto 0);
data_out : out STD_LOGIC_VECTOR (7 downto 0);
c : out STD_LOGIC_VECTOR (1 downto 0);
active_data : out std_logic);
end tmds_decode;
architecture Behavioral of tmds_decode is
signal data_delayed : STD_LOGIC_VECTOR(9 downto 0);
signal data_delayed_active : STD_LOGIC := '0';
signal data_delayed_c : STD_LOGIC_VECTOR(1 downto 0);
signal sometimes_inverted : STD_LOGIC_VECTOR(8 downto 0) := (others => '0');
signal sometimes_inverted_c : STD_LOGIC_VECTOR(1 downto 0) := (others => '0');
signal sometimes_inverted_active : STD_LOGIC := '0';
begin
process(clk)
begin
if rising_edge(clk) then
-- Final stage in the pipeline
if sometimes_inverted_active = '0' then
c <= sometimes_inverted_c;
active_data <= '0';
data_out <= (others => '0');
elsif sometimes_inverted(8) = '0' then
c <= sometimes_inverted_c;
active_data <= '1';
data_out(0) <= sometimes_inverted(0);
data_out(1) <= sometimes_inverted(1) XOR sometimes_inverted(0);
data_out(2) <= sometimes_inverted(2) XOR sometimes_inverted(1);
data_out(3) <= sometimes_inverted(3) XOR sometimes_inverted(2);
data_out(4) <= sometimes_inverted(4) XOR sometimes_inverted(3);
data_out(5) <= sometimes_inverted(5) XOR sometimes_inverted(4);
data_out(6) <= sometimes_inverted(6) XOR sometimes_inverted(5);
data_out(7) <= sometimes_inverted(7) XOR sometimes_inverted(6);
else
c <= sometimes_inverted_c;
active_data <= '1';
data_out(0) <= sometimes_inverted(0);
data_out(1) <= sometimes_inverted(1) XNOR sometimes_inverted(0);
data_out(2) <= sometimes_inverted(2) XNOR sometimes_inverted(1);
data_out(3) <= sometimes_inverted(3) XNOR sometimes_inverted(2);
data_out(4) <= sometimes_inverted(4) XNOR sometimes_inverted(3);
data_out(5) <= sometimes_inverted(5) XNOR sometimes_inverted(4);
data_out(6) <= sometimes_inverted(6) XNOR sometimes_inverted(5);
data_out(7) <= sometimes_inverted(7) XNOR sometimes_inverted(6);
end if;
sometimes_inverted_active <= data_delayed_active;
sometimes_inverted_c <= data_delayed_c;
if data_delayed(9) = '1' then
sometimes_inverted <= data_delayed(8 downto 0) xor "011111111";
else
sometimes_inverted <= data_delayed(8 downto 0);
end if;
--- first step in the pipeline
case data_in is
when "0010101011" => data_delayed_c <= "01"; data_delayed_active <= '0';
when "1101010100" => data_delayed_c <= "00"; data_delayed_active <= '0';
when "0101010100" => data_delayed_c <= "10"; data_delayed_active <= '0';
when "1010101011" => data_delayed_c <= "11"; data_delayed_active <= '0';
when others => data_delayed_c <= "00"; data_delayed_active <= '1';
end case;
data_delayed <= data_in;
end if;
end process;
end Behavioral;
|
----------------------------------------------------------------------------------
-- Engineer: Mike Field <[email protected]>
--
-- Module Name: tmds_decode - Behavioral
--
-- Description: TMDS decode as per Digital Display Working Groups Digital Visual
-- Interface Revision 1.0 section 3.3.3
--
-- This doesn't seem 100% correct - "elsif sometimes_inverted(8) = '0' then" should
-- be "elsif sometimes_inverted(8) = '1' then" according to the standard.
--
-- However it does actually work!
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity tmds_decode is
Port ( clk : in STD_LOGIC;
data_in : in STD_LOGIC_VECTOR (9 downto 0);
data_out : out STD_LOGIC_VECTOR (7 downto 0);
c : out STD_LOGIC_VECTOR (1 downto 0);
active_data : out std_logic);
end tmds_decode;
architecture Behavioral of tmds_decode is
signal data_delayed : STD_LOGIC_VECTOR(9 downto 0);
signal data_delayed_active : STD_LOGIC := '0';
signal data_delayed_c : STD_LOGIC_VECTOR(1 downto 0);
signal sometimes_inverted : STD_LOGIC_VECTOR(8 downto 0) := (others => '0');
signal sometimes_inverted_c : STD_LOGIC_VECTOR(1 downto 0) := (others => '0');
signal sometimes_inverted_active : STD_LOGIC := '0';
begin
process(clk)
begin
if rising_edge(clk) then
-- Final stage in the pipeline
if sometimes_inverted_active = '0' then
c <= sometimes_inverted_c;
active_data <= '0';
data_out <= (others => '0');
elsif sometimes_inverted(8) = '0' then
c <= sometimes_inverted_c;
active_data <= '1';
data_out(0) <= sometimes_inverted(0);
data_out(1) <= sometimes_inverted(1) XOR sometimes_inverted(0);
data_out(2) <= sometimes_inverted(2) XOR sometimes_inverted(1);
data_out(3) <= sometimes_inverted(3) XOR sometimes_inverted(2);
data_out(4) <= sometimes_inverted(4) XOR sometimes_inverted(3);
data_out(5) <= sometimes_inverted(5) XOR sometimes_inverted(4);
data_out(6) <= sometimes_inverted(6) XOR sometimes_inverted(5);
data_out(7) <= sometimes_inverted(7) XOR sometimes_inverted(6);
else
c <= sometimes_inverted_c;
active_data <= '1';
data_out(0) <= sometimes_inverted(0);
data_out(1) <= sometimes_inverted(1) XNOR sometimes_inverted(0);
data_out(2) <= sometimes_inverted(2) XNOR sometimes_inverted(1);
data_out(3) <= sometimes_inverted(3) XNOR sometimes_inverted(2);
data_out(4) <= sometimes_inverted(4) XNOR sometimes_inverted(3);
data_out(5) <= sometimes_inverted(5) XNOR sometimes_inverted(4);
data_out(6) <= sometimes_inverted(6) XNOR sometimes_inverted(5);
data_out(7) <= sometimes_inverted(7) XNOR sometimes_inverted(6);
end if;
sometimes_inverted_active <= data_delayed_active;
sometimes_inverted_c <= data_delayed_c;
if data_delayed(9) = '1' then
sometimes_inverted <= data_delayed(8 downto 0) xor "011111111";
else
sometimes_inverted <= data_delayed(8 downto 0);
end if;
--- first step in the pipeline
case data_in is
when "0010101011" => data_delayed_c <= "01"; data_delayed_active <= '0';
when "1101010100" => data_delayed_c <= "00"; data_delayed_active <= '0';
when "0101010100" => data_delayed_c <= "10"; data_delayed_active <= '0';
when "1010101011" => data_delayed_c <= "11"; data_delayed_active <= '0';
when others => data_delayed_c <= "00"; data_delayed_active <= '1';
end case;
data_delayed <= data_in;
end if;
end process;
end Behavioral;
|
library ieee;
use ieee.std_logic_1164.all;
use work.three_multiple_types.all;
entity three_multiple is
port (
clock : in std_logic; -- Needs clocking, to detect if a solid '1' is actually a '11'
input : in std_logic;
output : out std_logic;
state : out three_state
);
end entity three_multiple;
architecture behavioural of three_multiple is
signal state_s : three_state := SAccept;
begin
process(clock)
begin
if rising_edge(clock) then
case state_s is
when SAccept =>
if input = '1' then
state_s <= S1;
output <= '0';
else
state_s <= SAccept;
output <= '1';
end if;
when S1 =>
if input = '1' then
state_s <= SAccept;
output <= '1';
else
state_s <= S2;
output <= '0';
end if;
when S2 =>
if input = '1' then
state_s <= S2;
output <= '0';
else
state_s <= S1;
output <= '0';
end if;
end case;
end if;
end process;
-- N.B.; PROBABLY BETTER TO SPLIT INTO SEQUENTIAL/COMBINATORIAL PAIR
state <= state_s;
end behavioural;
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_19_qsimt-b.vhd,v 1.2 2001-10-26 16:29:36 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
package body qsim_types is
use std.textio.all;
procedure write ( L : inout line; t : in token_type;
creation_time_unit : in time := ns ) is
begin
write(L, string'("token "));
write(L, natural(t.id));
write(L, string'(" from "));
write(L, t.source_name(1 to t.source_name_length));
write(L, string'(" created at "));
write(L, t.creation_time, unit => creation_time_unit);
end write;
end package body qsim_types;
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_19_qsimt-b.vhd,v 1.2 2001-10-26 16:29:36 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
package body qsim_types is
use std.textio.all;
procedure write ( L : inout line; t : in token_type;
creation_time_unit : in time := ns ) is
begin
write(L, string'("token "));
write(L, natural(t.id));
write(L, string'(" from "));
write(L, t.source_name(1 to t.source_name_length));
write(L, string'(" created at "));
write(L, t.creation_time, unit => creation_time_unit);
end write;
end package body qsim_types;
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_19_qsimt-b.vhd,v 1.2 2001-10-26 16:29:36 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
package body qsim_types is
use std.textio.all;
procedure write ( L : inout line; t : in token_type;
creation_time_unit : in time := ns ) is
begin
write(L, string'("token "));
write(L, natural(t.id));
write(L, string'(" from "));
write(L, t.source_name(1 to t.source_name_length));
write(L, string'(" created at "));
write(L, t.creation_time, unit => creation_time_unit);
end write;
end package body qsim_types;
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:mult_gen:12.0
-- IP Revision: 12
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY mult_gen_v12_0_12;
USE mult_gen_v12_0_12.mult_gen_v12_0_12;
ENTITY mult_17x16 IS
PORT (
CLK : IN STD_LOGIC;
A : IN STD_LOGIC_VECTOR(16 DOWNTO 0);
B : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
P : OUT STD_LOGIC_VECTOR(24 DOWNTO 0)
);
END mult_17x16;
ARCHITECTURE mult_17x16_arch OF mult_17x16 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF mult_17x16_arch: ARCHITECTURE IS "yes";
COMPONENT mult_gen_v12_0_12 IS
GENERIC (
C_VERBOSITY : INTEGER;
C_MODEL_TYPE : INTEGER;
C_OPTIMIZE_GOAL : INTEGER;
C_XDEVICEFAMILY : STRING;
C_HAS_CE : INTEGER;
C_HAS_SCLR : INTEGER;
C_LATENCY : INTEGER;
C_A_WIDTH : INTEGER;
C_A_TYPE : INTEGER;
C_B_WIDTH : INTEGER;
C_B_TYPE : INTEGER;
C_OUT_HIGH : INTEGER;
C_OUT_LOW : INTEGER;
C_MULT_TYPE : INTEGER;
C_CE_OVERRIDES_SCLR : INTEGER;
C_CCM_IMP : INTEGER;
C_B_VALUE : STRING;
C_HAS_ZERO_DETECT : INTEGER;
C_ROUND_OUTPUT : INTEGER;
C_ROUND_PT : INTEGER
);
PORT (
CLK : IN STD_LOGIC;
A : IN STD_LOGIC_VECTOR(16 DOWNTO 0);
B : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
CE : IN STD_LOGIC;
SCLR : IN STD_LOGIC;
P : OUT STD_LOGIC_VECTOR(24 DOWNTO 0)
);
END COMPONENT mult_gen_v12_0_12;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF mult_17x16_arch: ARCHITECTURE IS "mult_gen_v12_0_12,Vivado 2016.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF mult_17x16_arch : ARCHITECTURE IS "mult_17x16,mult_gen_v12_0_12,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF mult_17x16_arch: ARCHITECTURE IS "mult_17x16,mult_gen_v12_0_12,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=mult_gen,x_ipVersion=12.0,x_ipCoreRevision=12,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_VERBOSITY=0,C_MODEL_TYPE=0,C_OPTIMIZE_GOAL=1,C_XDEVICEFAMILY=kintexu,C_HAS_CE=0,C_HAS_SCLR=0,C_LATENCY=4,C_A_WIDTH=17,C_A_TYPE=1,C_B_WIDTH=16,C_B_TYPE=1,C_OUT_HIGH=32,C_OUT_LOW=8,C_MULT_TYPE=0,C_CE_OVERRIDES_SCLR=0,C_CCM_IMP=0,C_B_VALUE=10000001,C_HAS_ZERO_DETECT=0,C_ROUND_OUTPUT=0,C_ROUND_PT=0}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF CLK: SIGNAL IS "xilinx.com:signal:clock:1.0 clk_intf CLK";
ATTRIBUTE X_INTERFACE_INFO OF A: SIGNAL IS "xilinx.com:signal:data:1.0 a_intf DATA";
ATTRIBUTE X_INTERFACE_INFO OF B: SIGNAL IS "xilinx.com:signal:data:1.0 b_intf DATA";
ATTRIBUTE X_INTERFACE_INFO OF P: SIGNAL IS "xilinx.com:signal:data:1.0 p_intf DATA";
BEGIN
U0 : mult_gen_v12_0_12
GENERIC MAP (
C_VERBOSITY => 0,
C_MODEL_TYPE => 0,
C_OPTIMIZE_GOAL => 1,
C_XDEVICEFAMILY => "kintexu",
C_HAS_CE => 0,
C_HAS_SCLR => 0,
C_LATENCY => 4,
C_A_WIDTH => 17,
C_A_TYPE => 1,
C_B_WIDTH => 16,
C_B_TYPE => 1,
C_OUT_HIGH => 32,
C_OUT_LOW => 8,
C_MULT_TYPE => 0,
C_CE_OVERRIDES_SCLR => 0,
C_CCM_IMP => 0,
C_B_VALUE => "10000001",
C_HAS_ZERO_DETECT => 0,
C_ROUND_OUTPUT => 0,
C_ROUND_PT => 0
)
PORT MAP (
CLK => CLK,
A => A,
B => B,
CE => '1',
SCLR => '0',
P => P
);
END mult_17x16_arch;
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.NUMERIC_STD.all;
entity Test_Pattern_Generator_example is
port(
Avalon_ST_Source_startofpacket : out STD_LOGIC;
Avalon_MM_Slave_address : in STD_LOGIC_VECTOR(1 downto 0);
Avalon_MM_Slave_writedata : in STD_LOGIC_VECTOR(31 downto 0);
Avalon_ST_Source_valid : out STD_LOGIC;
Clock : in STD_LOGIC;
Avalon_MM_Slave_write : in STD_LOGIC;
Avalon_ST_Source_ready : in STD_LOGIC;
aclr : in STD_LOGIC;
Avalon_ST_Source_data : out STD_LOGIC_VECTOR(23 downto 0);
Avalon_ST_Source_endofpacket : out STD_LOGIC);
end entity;
architecture rtl of Test_Pattern_Generator_example is
component Test_Pattern_Generator
port(
Avalon_ST_Source_startofpacket : out STD_LOGIC;
Avalon_MM_Slave_address : in STD_LOGIC_VECTOR(1 downto 0);
Avalon_MM_Slave_writedata : in STD_LOGIC_VECTOR(31 downto 0);
Avalon_ST_Source_valid : out STD_LOGIC;
Clock : in STD_LOGIC;
Avalon_MM_Slave_write : in STD_LOGIC;
Avalon_ST_Source_ready : in STD_LOGIC;
aclr : in STD_LOGIC;
Avalon_ST_Source_data : out STD_LOGIC_VECTOR(23 downto 0);
Avalon_ST_Source_endofpacket : out STD_LOGIC);
end component;
begin
Test_Pattern_Generator_instance :
component Test_Pattern_Generator
port map(
Avalon_ST_Source_startofpacket => Avalon_ST_Source_startofpacket,
Avalon_MM_Slave_address => Avalon_MM_Slave_address,
Avalon_MM_Slave_writedata => Avalon_MM_Slave_writedata,
Avalon_ST_Source_valid => Avalon_ST_Source_valid,
Clock => Clock,
Avalon_MM_Slave_write => Avalon_MM_Slave_write,
Avalon_ST_Source_ready => Avalon_ST_Source_ready,
aclr => aclr,
Avalon_ST_Source_data => Avalon_ST_Source_data,
Avalon_ST_Source_endofpacket => Avalon_ST_Source_endofpacket);
end architecture rtl;
|
-------------------------------------------------------------------------------
--
-- File: ADI_SPI.vhd
-- Author: Tudor Gherman
-- Original Project: ZmodScopeController
-- Date: 11 Dec. 2020
--
-------------------------------------------------------------------------------
-- (c) 2020 Copyright Digilent Incorporated
-- All Rights Reserved
--
-- This program is free software; distributed under the terms of BSD 3-clause
-- license ("Revised BSD License", "New BSD License", or "Modified BSD License")
--
-- Redistribution and use in source and binary forms, with or without modification,
-- are permitted provided that the following conditions are met:
--
-- 1. Redistributions of source code must retain the above copyright notice, this
-- list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- 3. Neither the name(s) of the above-listed copyright holder(s) nor the names
-- of its contributors may be used to endorse or promote products derived
-- from this software without specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
-- IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
-- ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE
-- FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
-- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
-- SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,
-- OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
-- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
--
-- This module manages the SPI communication with the Analog Devices 3 wire SPI
-- configuration interface
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.numeric_std.all;
Library UNISIM;
use UNISIM.vcomponents.all;
use IEEE.math_real.all;
use work.PkgZmodADC.all;
entity ADI_SPI is
Generic
(
-- The sSPI_Clk signal is obtained by dividing SysClk100 to 2^kSysClkDiv.
kSysClkDiv : integer range 2 to 63 := 4;
-- The number of data bits for the data phase of the transaction:
-- only 8 data bits currently supported.
kDataWidth : integer range 8 to 8 := 8;
-- The number of bits of the command phase of the SPI transaction.
kCommandWidth : integer range 8 to 16 := 16
);
Port (
-- input clock (100MHZ).
SysClk100 : in STD_LOGIC;
-- active low synchronous reset signal.
asRst_n : in STD_LOGIC;
--AD92xx/AD96xx SPI interface signals.
sSPI_Clk : out STD_LOGIC;
sSDIO : inout STD_LOGIC;
sCS : out STD_LOGIC := '1';
--Upper layer Interface signals
--a pulse on this input initiates the transfers, also used to register upper layer interface inputs.
sApStart : in STD_LOGIC;
--SPI read data output.
sRdData : out std_logic_vector(kDataWidth - 1 downto 0);
--SPI command data.
sWrData : in std_logic_vector(kDataWidth - 1 downto 0);
--SPI command register address.
sAddr : in std_logic_vector(kCommandWidth - 4 downto 0);
--Number of data bytes + 1; not currently used (for future development).
sWidth : in std_logic_vector(1 downto 0);
--Select between Read/Write operations.
sRdWr : in STD_LOGIC;
--A pulse is generated on this output once the SPI transfer is successfully completed.
sDone : out STD_LOGIC;
--Busy flag; sApStart ignored while this signal is asserted .
sBusy : out STD_LOGIC);
end ADI_SPI;
architecture Behavioral of ADI_SPI is
function MAX(In1 : integer; In2 : integer)
return integer is
begin
if (In1 > In2) then
return In1;
else
return In2;
end if;
end function;
constant kZeros : unsigned (kSysClkDiv - 1 downto 0) := (others => '0');
constant kOnes : unsigned (kSysClkDiv - 1 downto 0) := (others => '1');
signal sClkCounter : unsigned(kSysClkDiv - 1 downto 0) := (others => '0');
signal sSPI_ClkRst: std_logic;
signal sRdDataR : std_logic_vector(kDataWidth - 1 downto 0);
signal sTxVector : std_logic_vector (kDataWidth + kCommandWidth - 1 downto 0);
signal sRxData : std_logic;
signal sTxData : std_logic := '0';
signal sTxShift, sRxShift : std_logic;
signal sLdTx : std_logic;
signal sApStartR, sApStartPulse : std_logic;
constant kCounterMax : integer := MAX((kDataWidth + kCommandWidth + 1), kCS_PulseWidthHigh);
constant kCounterNumBits : integer := integer(ceil(log2(real(kCounterMax))));
signal sCounter : unsigned (kCounterNumBits-1 downto 0);
signal sCounterInt : integer range 0 to (2**kCounterNumBits-1);
signal sCntRst_n, sTxCntEn, sRxCntEn, sDoneCntEn : std_logic := '0';
signal sBitCount : integer range 0 to kDataWidth; --Maximum 4 byte transfers for Analog Devices 2 Wire SPI
signal sDir : std_logic := '0';
signal sDirFsm : std_logic;
signal sCS_Fsm : std_logic;
signal sDoneFsm : std_logic;
signal sBusyFsm : std_logic;
signal sCurrentState : FsmStatesSPI_t := StIdle;
signal sNextState : FsmStatesSPI_t;
-- signals used for debug purposes
-- signal fsm_state, fsm_state_r : std_logic_vector(3 downto 0);
signal kHalfScale : unsigned (kSysClkDiv - 1 downto 0);
begin
kHalfScale <= '1' & kZeros(kSysClkDiv - 2 downto 0);
------------------------------------------------------------------------------------------
-- SPI interface signal assignment
------------------------------------------------------------------------------------------
InstIOBUF : IOBUF -- instantiate SDIO three state output buffer.
generic map (
DRIVE => 12,
IOSTANDARD => "LVCMOS18",
SLEW => "SLOW")
port map (
O => sRxData, -- Buffer output
IO => sSDIO, -- Buffer inout port (connect directly to top-level port)
I => sTxData, -- Buffer input
T => sDir -- 3-state enable input, high=input, low=output
);
-- Three state buffer direction control register.
ProcDir: process (SysClk100, asRst_n)
begin
if (asRst_n = '0') then
sDir <= '0';
elsif (rising_edge(SysClk100)) then
if (sLdTx = '1') then
sDir <= sDirFsm;
else
if ((sClkCounter = kOnes) or (sCS_Fsm = '1')) then
sDir <= sDirFsm;
end if;
end if;
end if;
end process;
ProcRegCS: process (SysClk100, asRst_n)
begin
if (asRst_n = '0') then
sCS <= '1';
--fsm_state_r <= (others => '0');
elsif (rising_edge (SysClk100)) then
sCS <= sCS_Fsm;
--fsm_state_r <= fsm_state;
end if;
end process;
sSPI_Clk <= sClkCounter(kSysClkDiv - 1 );
------------------------------------------------------------------------------------------
-- Input clock frequency divider
------------------------------------------------------------------------------------------
ProcClkCounter: process (SysClk100, asRst_n) --clock frequency divider
begin
if (asRst_n = '0') then
sClkCounter <= (others => '0');
elsif (rising_edge(SysClk100)) then
if (sSPI_ClkRst = '1') then
sClkCounter <= (others => '0');
else
sClkCounter <= sClkCounter + 1;
end if;
end if;
end process;
------------------------------------------------------------------------------------------
-- Transmit logic
------------------------------------------------------------------------------------------
sBitCount <= kDataWidth;
ProcApStartReg: process (SysClk100, asRst_n)
begin
if (asRst_n = '0') then
sApStartR <= '0';
elsif (rising_edge(SysClk100)) then
sApStartR <= sApStart;
end if;
end process;
sApStartPulse <= sApStart and (not sApStartR);
ProcShiftTx: process (SysClk100, asRst_n) --Transmit shift register
begin
if (asRst_n = '0') then
sTxVector <= (others => '0');--sRdWr & "00" & sAddr & sWrData;
sTxData <= '0';
elsif (rising_edge(SysClk100)) then
if (sApStartPulse = '1') then
--sTxVector <= sRdWr & sWidth & sAddr & sWrData;
sTxVector <= sRdWr & "00" & sAddr & sWrData;
sTxData <= '0';
else
if(sTxShift = '1') then
--data is placed on the falling edge (sClkCounter = kZeros) of sSPI_Clk for the transmit phase.
if ((sClkCounter = kZeros) and (sCounterInt <= kDataWidth+kCommandWidth)) then
sTxVector(kDataWidth + kCommandWidth - 1 downto 0) <= sTxVector(kDataWidth + kCommandWidth - 2 downto 0) & '0';
sTxData <= sTxVector(kDataWidth + kCommandWidth - 1);
elsif (sCounterInt > kDataWidth+kCommandWidth) then
sTxData <= '0';
end if;
else
sTxData <= '0';
end if;
end if;
end if;
end process;
ProcTxCount: process (asRst_n, sTxShift, sLdTx, sClkCounter) --Transmit bit count
begin
if ((asRst_n = '0') or (sLdTx = '1')) then
sTxCntEn <= '0';
else
if(sTxShift = '1') then
--The TX bit count incremented on the falling edge of the sSPI_Clk (sClkCounter = kZeros).
if (sClkCounter = kZeros) then
sTxCntEn <= '1';
else
sTxCntEn <= '0';
end if;
else
sTxCntEn <= '0';
end if;
end if;
end process;
------------------------------------------------------------------------------------------
-- Receive logic
------------------------------------------------------------------------------------------
-- Receive deserializer.
ProcShiftRx: process (SysClk100, asRst_n)
begin
if (asRst_n = '0') then
sRdDataR <= (others =>'0');
elsif (rising_edge(SysClk100)) then
if (sRxShift = '0') then
sRdDataR <= (others =>'0');
else
if ((sRxShift = '1') and (sClkCounter = kHalfScale)) then
--The read data is sampled on the rising edge of the sSPI_Clk (sClkCounter = kHalfScale).
sRdDataR(kDataWidth - 1 downto 0) <= sRdDataR(kDataWidth - 2 downto 0) & sRxData;
end if;
end if;
end if;
end process;
ProcRxCount: process (asRst_n, sRxShift, sClkCounter, kHalfScale) --Receive bit count
begin
if ((asRst_n = '0') or (sRxShift = '0')) then
sRxCntEn <= '0';
else
if (sRxShift = '1') then
--The RX bit count is incremented on the rising edge of the sSPI_Clk (sClkCounter = kHalfScale).
if (sClkCounter = kHalfScale) then
sRxCntEn <= '1';
else
sRxCntEn <= '0';
end if;
else
sRxCntEn <= '0';
end if;
end if;
end process;
-- Register SPI read data once read instruction is completed.
ProcRdData: process (SysClk100, asRst_n)
begin
if (asRst_n = '0') then
sRdData <= (others => '0');
sDone <= '0';
elsif (rising_edge (SysClk100)) then
sDone <= sDoneFsm;
if (sDoneFsm = '1') then
sRdData <= sRdDataR;
end if;
end if;
end process;
ProcBusy: process (SysClk100, asRst_n) --register sBusyFsm output
begin
if (asRst_n = '0') then
sBusy <= '1';
elsif (rising_edge (SysClk100)) then
sBusy <= sBusyFsm;
end if;
end process;
--Counter used by both transmit and receive logic; sCS minimum pulse width high is also timed by this counter.
ProcCounter: process (SysClk100, asRst_n)
begin
if (asRst_n = '0') then
sCounter <= (others => '0');
elsif (rising_edge(SysClk100)) then
if (sCntRst_n = '0') then
sCounter <= (others => '0');
else
if ((sTxCntEn = '1') or (sRxCntEn = '1') or (sDoneCntEn = '1')) then
sCounter <= sCounter + 1;
end if;
end if;
end if;
end process;
sCounterInt <= to_integer (sCounter);
------------------------------------------------------------------------------------------
-- SPI State Machine
------------------------------------------------------------------------------------------
ProcFsmSync: process (SysClk100, asRst_n) --State machine synchronous process
begin
if (asRst_n = '0') then
sCurrentState <= StIdle;
elsif (rising_edge (SysClk100)) then
sCurrentState <= sNextState;
end if;
end process;
--Next State decode logic
ProcNextStateAndOutputDecode: process (sCurrentState, sApStart, sRdWr, sCounterInt, sClkCounter, sBitCount)
begin
sNextState <= sCurrentState;
sDirFsm <= '0';
sCS_Fsm <= '1';
sDoneFsm <= '0';
sRxShift <= '0';
sTxShift <= '0';
--fsm_state <= (others => '0');
sLdTx <= '0';
sSPI_ClkRst <= '1';
sCntRst_n <= '0';
sDoneCntEn <= '0';
sBusyFsm <= '1';
case (sCurrentState) is
when StIdle =>
--fsm_state <= "0000";
sBusyFsm <= '0';
sLdTx <= '1';
if (sApStart = '1') then
if (sRdWr = '1') then
sNextState <= StRead1;
else
sNextState <= StWrite;
end if;
end if;
when StRead1 => --send command bytes
--fsm_state <= "0001";
sCS_Fsm <= '0';
sTxShift <= '1';
sSPI_ClkRst <= '0';
sCntRst_n <= '1';
if (sCounterInt = kCommandWidth) then
sDirFsm <= '1';
sNextState <= StRead2;
end if;
when StRead2 => --send last command bit; change three state buffer direction
--fsm_state <= "0010";
sDirFsm <= '1';
sCS_Fsm <= '0';
sTxShift <= '1';
sSPI_ClkRst <= '0';
sCntRst_n <= '1';
if (sCounterInt = kCommandWidth + 1) then
sNextState <= StRead3;
sCntRst_n <= '0';
end if;
when StRead3 => --receive register read data
--fsm_state <= "0011";
sDirFsm <= '1';
sCS_Fsm <= '0';
sRxShift <= '1';
sSPI_ClkRst <= '0';
sCntRst_n <= '1';
if ((sCounterInt = sBitCount) and (sClkCounter = kOnes + 1)) then
--this condition assures a sSPI_Clk pulse width low of 2 SysClk100 cycles for last data bit
sCntRst_n <= '0';
sDirFsm <= '0';
sNextState <= StDone;
end if;
when StWrite => --send SPI command and register data
--fsm_state <= "0100";
sCS_Fsm <= '0';
sTxShift <= '1';
sSPI_ClkRst <= '0';
sCntRst_n <= '1';
if (sCounterInt = (sBitCount + kCommandWidth + 1)) then
sSPI_ClkRst <= '1';
sNextState <= StDone;
end if;
when StDone => --signal SPI instruction complete
--fsm_state <= "0101";
sDoneFsm <= '1';
sNextState <= StAssertCS;
when StAssertCS => --hold CS high for at least kCS_PulseWidthHigh SysClk100 cycles
--fsm_state <= "0111";
sCntRst_n <= '1';
sDoneCntEn <= '1';
if (sCounterInt = kCS_PulseWidthHigh) then
sNextState <= StIdle;
end if;
when others =>
--fsm_state <= (others => '1');
sNextState <= StIdle;
end case;
end process;
end Behavioral; |
--*****************************************************************************
--*****************************************************************************
-- Model: accelZa_02
-- uniaxial polysilicon MEMS accelerometer
--
-- VHDL-AMS generated code from ANSYS MAPDL ROM TOOL for hAMSter:
-- rompass1_accelZa.mac
-- rompass2_accelZ.mac
--
-- uMKSV units
--
-- Author: <[email protected]>
-- Date: 02.08.2021
-------------------------------------------------------------------------------
-- model geometric parameters, um
-- gc=15.8 ! the gap between plate corners and cap surface
-- heght=15 ! the thickness of the moving plate (baseline: 15um)
-- gd=1.8 ! the gap between plate corners and die surface
--
-- Reference:
-- http://dx.doi.org/10.3390/s121013985
-------------------------------------------------------------------------------
-- modes: 2 dominant (1 and 5)
-- electrodes: 3 (2 capacitances: C13 and C23)
-- element load: the linear acceleration of the structure az=1g x az_input
-- master nodes: 8
--
--
--
--
-- Modal ports
--
-- q1 q2
-- o o
-- | |
-- Lagrangian ports o------o---------o------o Nodal ports:
-- | |
-- p1 o---o o---o u1
-- | ROM element: accelZa |
-- p2 o---o o---o u2
-- | |
-- p3 o---o o---o u3
-- | |
-- p4 o---o o---o u4
-- | |
-- p5 o---o o---o u5
-- | |
-- p6 o---o o---o u6
-- | |
-- p7 o---o o---o u7
-- | |
-- p8 o---o o---o u8
-- | |
-- o------o----o----o------o
-- | | | \
-- o | o \
-- v1_ext | v2_ext=0 o az_input
-- |
-- o v3_ext=0 (plate)
--
-- Electrical ports
--
-- ASCII-Schematic of the ROM component for uniaxial MEMS accelerometer: accelZa
-------------------------------------------------------------------------------
-- Euler solver: time=5m; step=500n ***
-------------------------------------------------------------------------------
-- ID: accelZa_02.vhd
--
-- ver. 1.02 05.08.2021 GitHuB realize:
-- https://github.com/Kolchuzhin/LMGT_MEMS_component_library/tree/master/uniaxial_accelerometer
--
--*****************************************************************************
--*****************************************************************************
--===========================================================================--
--===========================================================================--
package Electromagnetic_system IS
nature electrical is real across real through electrical_ground reference;
nature translational is real across real through mechanical_ground reference;
end package Electromagnetic_system;
-------------------------------------------------------------------------------
use work.electromagnetic_system.all;
library ieee;
use ieee.math_real.all;
--===========================================================================--
--===========================================================================--
entity accelZa_02 is
generic (delay:time);
port (quantity az_input: in real;
terminal struc1,struc2:translational;
terminal lagrange1,lagrange2,lagrange3,lagrange4,lagrange5,lagrange6,lagrange7,lagrange8:translational;
terminal master1,master2,master3,master4,master5,master6,master7,master8:translational;
terminal elec1,elec2,elec3:electrical);
end;
architecture ROM of accelZa_02 is
type ret_type is array(1 to 4) of real;
quantity q1 across fm1 through struc1;
quantity q2 across fm2 through struc2;
quantity p1 across r1 through lagrange1;
quantity p2 across r2 through lagrange2;
quantity p3 across r3 through lagrange3;
quantity p4 across r4 through lagrange4;
quantity p5 across r5 through lagrange5;
quantity p6 across r6 through lagrange6;
quantity p7 across r7 through lagrange7;
quantity p8 across r8 through lagrange8;
quantity u1 across f1 through master1;
quantity u2 across f2 through master2;
quantity u3 across f3 through master3;
quantity u4 across f4 through master4;
quantity u5 across f5 through master5;
quantity u6 across f6 through master6;
quantity u7 across f7 through master7;
quantity u8 across f8 through master8;
quantity v1 across i1 through elec1;
quantity v2 across i2 through elec2;
quantity v3 across i3 through elec3;
--===========================================================================--
constant mm_1:real:= 0.473364651010E-08; -- mode1 modal mass
constant mm_2:real:= 0.803474901717E-08; -- mode5 modal mass
constant fm_1:real:= 1205.3; -- mode1 frequency
constant fm_2:real:= 15539.0; -- mode5 frequency
constant km_1:real:= 0.2716; -- k1=mass*((2*PI*f1)**2)
constant km_2:real:= 76.516; -- k2=mass*((2*PI*f2)**2)
constant qm_1:real:= 1.0; -- mode1 quality factor
constant qm_2:real:= 1.0; -- mode5 quality factor
constant dm_1:real:= SQRT(mm_1*km_1)/qm_1; -- damping ratio SQRT(m*k)/q
constant dm_2:real:= SQRT(mm_2*km_2)/qm_2; -- damping ratio SQRT(m*k)/q
constant fi1_1:real:= 0.999835485909; -- master node 1
constant fi1_2:real:= 0.403769743614;
constant fi2_1:real:= 0.999835586749;
constant fi2_2:real:= 0.403769489490;
constant fi3_1:real:= -0.745314753376;
constant fi3_2:real:= 0.999974954447;
constant fi4_1:real:= -0.745314653634;
constant fi4_2:real:= 0.999974699952;
constant fi5_1:real:= 0.999835485910;
constant fi5_2:real:= 0.403769744306;
constant fi6_1:real:= 0.999835586751;
constant fi6_2:real:= 0.403769490182;
constant fi7_1:real:= -0.745314753375;
constant fi7_2:real:= 0.999974955317;
constant fi8_1:real:= -0.745314653633;
constant fi8_2:real:= 0.999974700821; -- master node 8
constant el1_1:real:= -0.218433078934E-01;
constant el1_2:real:= -0.109604826007 ;
constant el2_1:real:= -0.436866157865E-01;
constant el2_2:real:= -0.219209652036 ;
--===========================================================================--
constant s_type150:integer:=1;
constant s_inve150:integer:=1;
signal s_ord150:real_vector(1 to 3):=(4.0, 2.0, 0.0);
signal s_fak150:real_vector(1 to 4):=(1.02, 51.0, 0.0, 0.1452005335);
constant s_anz150:integer:=15;
signal s_data150:real_vector(1 to 15):=(
0.153046214864E-10,
-0.115346424932E-10,
0.898594050430 ,
0.131856183100E-10,
0.451168146307E-09,
-0.192639596352E-11,
-0.180817757915E-06,
-0.100841662878E-12,
0.819408921286E-10,
0.250892538141E-11,
0.101405768487 ,
0.961373531525E-11,
0.295710869676E-09,
-0.109899447351E-10,
-0.478033992492E-09);
--===========================================================================--
constant ca13_type150:integer:=1;
constant ca13_inve150:integer:=2;
signal ca13_ord150:real_vector(1 to 3):=(4.0, 2.0, 0.0);
signal ca13_fak150:real_vector(1 to 4):=(1.02, 51.0, 0.00, 1.24878218153);
constant ca13_anz150:integer:=15;
signal ca13_data150:real_vector(1 to 15):=(
0.821596533919 ,
-0.180241554630 ,
-0.127562294156E-01,
0.818686861972E-03,
0.432945579544E-02,
0.759644315386E-02,
0.534049229957E-03,
0.997510446329E-03,
-0.908056944362E-03,
-0.143119042257E-02,
0.250236472124E-05,
-0.180877516419E-04,
-0.633278731250E-04,
0.297334467098E-04,
0.728567968866E-04);
--===========================================================================--
constant ca23_type150:integer:=1;
constant ca23_inve150:integer:=2;
signal ca23_ord150:real_vector(1 to 3):=(4.0, 2.0, 0.0);
signal ca23_fak150:real_vector(1 to 4):=(1.02, 51.0, 0.0, 0.958512583853);
constant ca23_anz150:integer:= 15;
signal ca23_data150:real_vector(1 to 15):=(
0.783998489543 ,
0.232937982634 ,
-0.305701885773E-01,
-0.170807820768E-01,
0.268079240498E-01,
0.461037567958E-02,
-0.188754982379E-04,
0.360563171587E-04,
0.963438020727E-03,
-0.790519579176E-03,
-0.272090902872E-04,
-0.572697741434E-04,
0.311851954139E-03,
-0.304790455820E-04,
-0.216006518183E-03);
--===========================================================================--
function spoly_calc(qx, qy, qz : in real:=0.0; s_type,s_inve : integer :=0;
s_ord, s_fak, s_data:real_vector) return ret_type is
constant Sx:integer:=integer(s_ord(1))+1;
constant Sy:integer:=integer(s_ord(2))+1;
constant Sz:integer:=integer(s_ord(3))+1;
variable fwx:real_vector(1 to Sx):=(others=>0.0);
variable fwy:real_vector(1 to Sy):=(others=>0.0);
variable fwz:real_vector(1 to 1):=(others=>0.0);
variable dfwx:real_vector(1 to Sx):=(others=>0.0);
variable dfwy:real_vector(1 to Sy):=(others=>0.0);
variable dfwz:real_vector(1 to 1):=(others=>0.0);
variable res_val:ret_type:=(others=>0.0);
variable fwv,dfwvx,dfwvy,dfwvz,fak2:real:=0.0;
variable Px_s,Py_s,Px,Py,Lx,Ly,Lz,ii:integer:=0;
begin
Lx:=integer(s_ord(1));
Ly:=integer(s_ord(2));
Lz:=integer(s_ord(3));
for i in 1 to Lx+1 loop
fwx(i):=qx**(i-1)*s_fak(1)**(i-1);
if i=2 then
dfwx(i):=s_fak(1)**(i-1);
end if;
if i>2 then
dfwx(i):=real(i-1)*qx**(i-2)*s_fak(1)**(i-1);
end if;
end loop;
for i in 1 to Ly+1 loop
fwy(i):=qy**(i-1)*s_fak(2)**(i-1);
if i=2 then
dfwy(i):=s_fak(2)**(i-1);
end if;
if i>2 then
dfwy(i):=real(i-1)*qy**(i-2)*s_fak(2)**(i-1);
end if;
end loop;
for i in 1 to Lz+1 loop
fwz(i):=qz**(i-1)*s_fak(3)**(i-1);
if i=2 then
dfwz(i):=s_fak(3)**(i-1);
end if;
if i>2 then
dfwz(i):=real(i-1)*qz**(i-2)*s_fak(3)**(i-1);
end if;
end loop;
if s_type=1 then
ii:=1;
for zi in 0 to Lz loop
for yi in 0 to Ly loop
for xi in 0 to Lx loop
fwv:=fwv+s_data(ii)*fwx(xi+1)*fwy(yi+1)*fwz(zi+1);
dfwvx:=dfwvx+s_data(ii)*dfwx(xi+1)*fwy(yi+1)*fwz(zi+1);
dfwvy:=dfwvy+s_data(ii)*fwx(xi+1)*dfwy(yi+1)*fwz(zi+1);
dfwvz:=dfwvz+s_data(ii)*fwx(xi+1)*fwy(yi+1)*dfwz(zi+1);
ii:=ii+1;
end loop;
end loop;
end loop;
end if;
if s_inve=1 then
fwv:=fwv*s_fak(4);
dfwvx:=dfwvx*s_fak(4);
dfwvy:=dfwvy*s_fak(4);
dfwvz:=dfwvz*s_fak(4);
else
fak2:=1.0/s_fak(4);
dfwvx:=-dfwvx/(fwv**2);
dfwvy:=-dfwvy/(fwv**2);
dfwvz:=-dfwvz/(fwv**2);
fwv:=1.0/fwv;
fwv:=fwv*fak2;
dfwvx:=dfwvx*fak2;
dfwvy:=dfwvy*fak2;
dfwvz:=dfwvz*fak2;
end if;
res_val:=(fwv, dfwvx, dfwvy, dfwvz);
return res_val;
end spoly_calc;
--===========================================================================--
signal sene_150:ret_type;
signal ca13_150:ret_type;
signal ca23_150:ret_type;
begin
p1:process
begin
sene_150<= spoly_calc(q1,q2,0.0,s_type150,s_inve150,s_ord150,s_fak150,s_data150);
ca13_150<= spoly_calc(q1,q2,0.0,ca13_type150,ca13_inve150,ca13_ord150,ca13_fak150,ca13_data150);
ca23_150<= spoly_calc(q1,q2,0.0,ca23_type150,ca23_inve150,ca23_ord150,ca23_fak150,ca23_data150);
wait for delay;
end process;
break on sene_150(2),sene_150(3),sene_150(4),ca13_150(2),ca13_150(3),ca13_150(4),ca23_150(2),ca23_150(3),ca23_150(4);
fm1==mm_1*q1'dot'dot + dm_1*q1'dot +sene_150(2) -ca13_150(2)*(v1-v3)**2/2.0 -ca23_150(2)*(v2-v3)**2/2.0 +fi1_1*p1 +fi2_1*p2 +fi3_1*p3 +fi4_1*p4 +fi5_1*p5 +fi6_1*p6 +fi7_1*p7 +fi8_1*p8 -el1_1*az_input;
fm2==mm_2*q2'dot'dot + dm_2*q2'dot +sene_150(3) -ca13_150(3)*(v1-v3)**2/2.0 -ca23_150(3)*(v2-v3)**2/2.0 +fi1_2*p1 +fi2_2*p2 +fi3_2*p3 +fi4_2*p4 +fi5_2*p5 +fi6_2*p6 +fi7_2*p7 +fi8_2*p8 -el1_2*az_input;
--
r1==fi1_1*q1+fi1_2*q2-u1;
r2==fi2_1*q1+fi2_2*q2-u2;
r3==fi3_1*q1+fi3_2*q2-u3;
r4==fi4_1*q1+fi4_2*q2-u4;
r5==fi5_1*q1+fi5_2*q2-u5;
r6==fi6_1*q1+fi6_2*q2-u6;
r7==fi7_1*q1+fi7_2*q2-u7;
r8==fi8_1*q1+fi8_2*q2-u8;
--
f1==-p1;
f2==-p2;
f3==-p3;
f4==-p4;
f5==-p5;
f6==-p6;
f7==-p7;
f8==-p8;
i1==+((v1-v3)*(ca13_150(2)*q1'dot+ca13_150(3)*q2'dot)+(v1'dot-v3'dot)*ca13_150(1));
i2==+((v2-v3)*(ca23_150(2)*q1'dot+ca23_150(3)*q2'dot)+(v2'dot-v3'dot)*ca23_150(1));
i3==-((v1-v3)*(ca13_150(2)*q1'dot+ca13_150(3)*q2'dot)+(v1'dot-v3'dot)*ca13_150(1))-((v2-v3)*(ca23_150(2)*q1'dot+ca23_150(3)*q2'dot)+(v2'dot-v3'dot)*ca23_150(1));
end;
--===========================================================================--
--===========================================================================-- |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2214.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s02b06x00p01n01i02214ent IS
END c07s02b06x00p01n01i02214ent;
ARCHITECTURE c07s02b06x00p01n01i02214arch OF c07s02b06x00p01n01i02214ent IS
BEGIN
TESTING: PROCESS
-- All different non-numeric type declarations.
-- enumerated types.
type SWITCH_LEVEL is ('0', '1', 'X');
subtype LOGIC_SWITCH is SWITCH_LEVEL range '0' to '1';
-- Local declarations.
variable LOGICV : LOGIC_SWITCH := '0';
variable k : integer;
BEGIN
k := LOGICV rem '0';
assert FALSE
report "***FAILED TEST: c07s02b06x00p01n01i02214 - Operators mod and rem are predefined for any integer type only."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s02b06x00p01n01i02214arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2214.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s02b06x00p01n01i02214ent IS
END c07s02b06x00p01n01i02214ent;
ARCHITECTURE c07s02b06x00p01n01i02214arch OF c07s02b06x00p01n01i02214ent IS
BEGIN
TESTING: PROCESS
-- All different non-numeric type declarations.
-- enumerated types.
type SWITCH_LEVEL is ('0', '1', 'X');
subtype LOGIC_SWITCH is SWITCH_LEVEL range '0' to '1';
-- Local declarations.
variable LOGICV : LOGIC_SWITCH := '0';
variable k : integer;
BEGIN
k := LOGICV rem '0';
assert FALSE
report "***FAILED TEST: c07s02b06x00p01n01i02214 - Operators mod and rem are predefined for any integer type only."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s02b06x00p01n01i02214arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2214.vhd,v 1.2 2001-10-26 16:30:16 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s02b06x00p01n01i02214ent IS
END c07s02b06x00p01n01i02214ent;
ARCHITECTURE c07s02b06x00p01n01i02214arch OF c07s02b06x00p01n01i02214ent IS
BEGIN
TESTING: PROCESS
-- All different non-numeric type declarations.
-- enumerated types.
type SWITCH_LEVEL is ('0', '1', 'X');
subtype LOGIC_SWITCH is SWITCH_LEVEL range '0' to '1';
-- Local declarations.
variable LOGICV : LOGIC_SWITCH := '0';
variable k : integer;
BEGIN
k := LOGICV rem '0';
assert FALSE
report "***FAILED TEST: c07s02b06x00p01n01i02214 - Operators mod and rem are predefined for any integer type only."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s02b06x00p01n01i02214arch;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003, Gaisler Research
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: sram32
-- File: sram32.vhd
-- Author: Jiri Gaisler Gaisler Research
-- Description: Simulation model of generic 32-bit async SRAM
------------------------------------------------------------------------------
-- pragma translate_off
library ieee;
use ieee.std_logic_1164.all;
use std.textio.all;
library gaisler;
use gaisler.sim.all;
library grlib;
use grlib.stdlib.all;
entity sram32 is
generic (
index : integer := 0; -- Byte lane (0 - 3)
abits: Positive := 10; -- Default 10 address bits (1Kx32)
echk : integer := 0; -- Generate EDAC checksum
tacc : integer := 10; -- access time (ns)
fname : string := "ram.dat"); -- File to read from
port (
a : in std_logic_vector(abits-1 downto 0);
d : inout std_logic_vector(31 downto 0);
lb : in std_logic;
ub : in std_logic;
ce : in std_logic;
we : in std_ulogic;
oe : in std_ulogic);
end;
architecture sim of sram32 is
signal cex : std_logic_vector(0 to 1);
begin
cex(0) <= ce or lb; cex(1) <= ce or ub;
sr0 : sram generic map (index+3, abits, tacc, fname)
port map (a, d(7 downto 0), cex(0), we, oe);
sr1 : sram generic map (index+2, abits, tacc, fname)
port map (a, d(15 downto 8), cex(1), we, oe);
sr2 : sram generic map (index+1, abits, tacc, fname)
port map (a, d(23 downto 16), cex(1), we, oe);
sr3 : sram generic map (index, abits, tacc, fname)
port map (a, d(31 downto 24), cex(1), we, oe);
end sim;
-- pragma translate_on
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.ALL;
entity Divider_2x16 is
Port (
input_A, input_B : in STD_LOGIC_VECTOR (15 downto 0);
outputLow, outputHigh : out STD_LOGIC_VECTOR (15 downto 0);
carryOut : out STD_LOGIC);
end Divider_2x16;
architecture skeleton of Divider_2x16 is
begin
process(input_A, input_B)
variable inte, rest, howMuch : std_logic_vector (15 downto 0);
variable carry: std_logic;
function shiter (number : std_logic_vector(15 downto 0)) return std_logic_vector is
variable TMP : std_logic_vector(15 downto 0);
begin
for i in 14 downto 0 loop
TMP(i + 1) := number(i);
end loop;
TMP(0) := '0';
return TMP;
end shiter;
begin
carry:='0';
if(input_B = "0000000000000000") then
outputHigh <= "0000000000000000";
outputLow <= "0000000000000000";
howMuch := "0000000000000001";
else
rest := "0000000000000000";
inte := "0000000000000000";
for i in 15 downto 0 loop
rest := shiter(rest);
rest(0) := input_A(i);
if(rest >= input_B) then
rest := rest - input_B;
inte(i) := '1';
end if;
end loop;
outputHigh <= rest;
outputLow <= inte;
end if;
carryOut <= '0';
end process;
end skeleton;
|
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- code from book (in text)
entity computer_system is
generic ( instrumented : boolean := false );
port ( -- . . . );
-- not in book
other_port : in bit := '0' );
-- end not in book
end entity computer_system;
-- end code from book
-- code from book
architecture block_level of computer_system is
-- . . . -- type and component declarations for cpu and memory, etc
signal clock : bit; -- the system clock
signal mem_req : bit; -- cpu access request to memory
signal ifetch : bit; -- indicates access is to fetch an instruction
signal write : bit; -- indicates access is a write
-- . . . -- other signal declarations
begin
-- . . . -- component instances for cpu and memory, etc
instrumentation : if instrumented generate
signal ifetch_freq, write_freq, read_freq : real := 0.0;
begin
access_monitor : process is
variable access_count, ifetch_count,
write_count, read_count : natural := 0;
begin
wait until mem_req = '1';
if ifetch = '1' then
ifetch_count := ifetch_count + 1;
elsif write = '1' then
write_count := write_count + 1;
else
read_count := read_count + 1;
end if;
access_count := access_count + 1;
ifetch_freq <= real(ifetch_count) / real(access_count);
write_freq <= real(write_count) / real(access_count);
read_freq <= real(read_count) / real(access_count);
end process access_monitor;
end generate instrumentation;
-- not in book
stimulus : process is
begin
ifetch <= '1'; write <= '0';
mem_req <= '1', '0' after 10 ns;
wait for 20 ns;
mem_req <= '1', '0' after 10 ns;
ifetch <= '1'; write <= '0';
wait for 20 ns;
mem_req <= '1', '0' after 10 ns;
ifetch <= '1'; write <= '0';
wait for 20 ns;
mem_req <= '1', '0' after 10 ns;
ifetch <= '0'; write <= '1';
wait for 20 ns;
mem_req <= '1', '0' after 10 ns;
ifetch <= '1'; write <= '0';
wait for 20 ns;
mem_req <= '1', '0' after 10 ns;
ifetch <= '0'; write <= '0';
wait for 20 ns;
mem_req <= '1', '0' after 10 ns;
ifetch <= '1'; write <= '0';
wait for 20 ns;
mem_req <= '1', '0' after 10 ns;
ifetch <= '0'; write <= '0';
wait for 20 ns;
mem_req <= '1', '0' after 10 ns;
ifetch <= '1'; write <= '0';
wait for 20 ns;
mem_req <= '1', '0' after 10 ns;
ifetch <= '0'; write <= '0';
wait for 20 ns;
wait;
end process stimulus;
-- end not in book
end architecture block_level;
-- end code from book
|
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- code from book (in text)
entity computer_system is
generic ( instrumented : boolean := false );
port ( -- . . . );
-- not in book
other_port : in bit := '0' );
-- end not in book
end entity computer_system;
-- end code from book
-- code from book
architecture block_level of computer_system is
-- . . . -- type and component declarations for cpu and memory, etc
signal clock : bit; -- the system clock
signal mem_req : bit; -- cpu access request to memory
signal ifetch : bit; -- indicates access is to fetch an instruction
signal write : bit; -- indicates access is a write
-- . . . -- other signal declarations
begin
-- . . . -- component instances for cpu and memory, etc
instrumentation : if instrumented generate
signal ifetch_freq, write_freq, read_freq : real := 0.0;
begin
access_monitor : process is
variable access_count, ifetch_count,
write_count, read_count : natural := 0;
begin
wait until mem_req = '1';
if ifetch = '1' then
ifetch_count := ifetch_count + 1;
elsif write = '1' then
write_count := write_count + 1;
else
read_count := read_count + 1;
end if;
access_count := access_count + 1;
ifetch_freq <= real(ifetch_count) / real(access_count);
write_freq <= real(write_count) / real(access_count);
read_freq <= real(read_count) / real(access_count);
end process access_monitor;
end generate instrumentation;
-- not in book
stimulus : process is
begin
ifetch <= '1'; write <= '0';
mem_req <= '1', '0' after 10 ns;
wait for 20 ns;
mem_req <= '1', '0' after 10 ns;
ifetch <= '1'; write <= '0';
wait for 20 ns;
mem_req <= '1', '0' after 10 ns;
ifetch <= '1'; write <= '0';
wait for 20 ns;
mem_req <= '1', '0' after 10 ns;
ifetch <= '0'; write <= '1';
wait for 20 ns;
mem_req <= '1', '0' after 10 ns;
ifetch <= '1'; write <= '0';
wait for 20 ns;
mem_req <= '1', '0' after 10 ns;
ifetch <= '0'; write <= '0';
wait for 20 ns;
mem_req <= '1', '0' after 10 ns;
ifetch <= '1'; write <= '0';
wait for 20 ns;
mem_req <= '1', '0' after 10 ns;
ifetch <= '0'; write <= '0';
wait for 20 ns;
mem_req <= '1', '0' after 10 ns;
ifetch <= '1'; write <= '0';
wait for 20 ns;
mem_req <= '1', '0' after 10 ns;
ifetch <= '0'; write <= '0';
wait for 20 ns;
wait;
end process stimulus;
-- end not in book
end architecture block_level;
-- end code from book
|
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- code from book (in text)
entity computer_system is
generic ( instrumented : boolean := false );
port ( -- . . . );
-- not in book
other_port : in bit := '0' );
-- end not in book
end entity computer_system;
-- end code from book
-- code from book
architecture block_level of computer_system is
-- . . . -- type and component declarations for cpu and memory, etc
signal clock : bit; -- the system clock
signal mem_req : bit; -- cpu access request to memory
signal ifetch : bit; -- indicates access is to fetch an instruction
signal write : bit; -- indicates access is a write
-- . . . -- other signal declarations
begin
-- . . . -- component instances for cpu and memory, etc
instrumentation : if instrumented generate
signal ifetch_freq, write_freq, read_freq : real := 0.0;
begin
access_monitor : process is
variable access_count, ifetch_count,
write_count, read_count : natural := 0;
begin
wait until mem_req = '1';
if ifetch = '1' then
ifetch_count := ifetch_count + 1;
elsif write = '1' then
write_count := write_count + 1;
else
read_count := read_count + 1;
end if;
access_count := access_count + 1;
ifetch_freq <= real(ifetch_count) / real(access_count);
write_freq <= real(write_count) / real(access_count);
read_freq <= real(read_count) / real(access_count);
end process access_monitor;
end generate instrumentation;
-- not in book
stimulus : process is
begin
ifetch <= '1'; write <= '0';
mem_req <= '1', '0' after 10 ns;
wait for 20 ns;
mem_req <= '1', '0' after 10 ns;
ifetch <= '1'; write <= '0';
wait for 20 ns;
mem_req <= '1', '0' after 10 ns;
ifetch <= '1'; write <= '0';
wait for 20 ns;
mem_req <= '1', '0' after 10 ns;
ifetch <= '0'; write <= '1';
wait for 20 ns;
mem_req <= '1', '0' after 10 ns;
ifetch <= '1'; write <= '0';
wait for 20 ns;
mem_req <= '1', '0' after 10 ns;
ifetch <= '0'; write <= '0';
wait for 20 ns;
mem_req <= '1', '0' after 10 ns;
ifetch <= '1'; write <= '0';
wait for 20 ns;
mem_req <= '1', '0' after 10 ns;
ifetch <= '0'; write <= '0';
wait for 20 ns;
mem_req <= '1', '0' after 10 ns;
ifetch <= '1'; write <= '0';
wait for 20 ns;
mem_req <= '1', '0' after 10 ns;
ifetch <= '0'; write <= '0';
wait for 20 ns;
wait;
end process stimulus;
-- end not in book
end architecture block_level;
-- end code from book
|
-- ____ _ _
-- / ___| ___ _ _ _ __ __| | __ _ __ _| |_ ___ ___
-- \___ \ / _ \| | | | '_ \ / _` |/ _` |/ _` | __/ _ \/ __|
-- ___) | (_) | |_| | | | | (_| | (_| | (_| | || __/\__ \
-- |____/ \___/ \__,_|_| |_|\__,_|\__, |\__,_|\__\___||___/
-- |___/
-- ======================================================================
--
-- title: VHDL module - hwt_amplifier
--
-- project: PG-Soundgates
-- author: Hendrik Hangmann, University of Paderborn
--
-- description: Hardware thread for amplifying samples
--
-- ======================================================================
library ieee;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
library reconos_v3_00_c;
use reconos_v3_00_c.reconos_pkg.all;
library soundgates_v1_00_a;
use soundgates_v1_00_a.soundgates_common_pkg.all;
use soundgates_v1_00_a.soundgates_reconos_pkg.all;
entity hwt_amplifier is
port (
-- OSIF FIFO ports
OSIF_FIFO_Sw2Hw_Data : in std_logic_vector(31 downto 0);
OSIF_FIFO_Sw2Hw_Fill : in std_logic_vector(15 downto 0);
OSIF_FIFO_Sw2Hw_Empty : in std_logic;
OSIF_FIFO_Sw2Hw_RE : out std_logic;
OSIF_FIFO_Hw2Sw_Data : out std_logic_vector(31 downto 0);
OSIF_FIFO_Hw2Sw_Rem : in std_logic_vector(15 downto 0);
OSIF_FIFO_Hw2Sw_Full : in std_logic;
OSIF_FIFO_Hw2Sw_WE : out std_logic;
-- MEMIF FIFO ports
MEMIF_FIFO_Hwt2Mem_Data : out std_logic_vector(31 downto 0);
MEMIF_FIFO_Hwt2Mem_Rem : in std_logic_vector(15 downto 0);
MEMIF_FIFO_Hwt2Mem_Full : in std_logic;
MEMIF_FIFO_Hwt2Mem_WE : out std_logic;
MEMIF_FIFO_Mem2Hwt_Data : in std_logic_vector(31 downto 0);
MEMIF_FIFO_Mem2Hwt_Fill : in std_logic_vector(15 downto 0);
MEMIF_FIFO_Mem2Hwt_Empty : in std_logic;
MEMIF_FIFO_Mem2Hwt_RE : out std_logic;
HWT_Clk : in std_logic;
HWT_Rst : in std_logic
);
end hwt_amplifier;
architecture Behavioral of hwt_amplifier is
----------------------------------------------------------------
-- Subcomponent declarations
----------------------------------------------------------------
component amplifier is
port(
clk : in std_logic;
rst : in std_logic;
ce : in std_logic;
wave : in signed(31 downto 0);
percentage: in signed(31 downto 0);
amp : out signed(31 downto 0)
);
end component;
signal clk : std_logic;
signal rst : std_logic;
-- ReconOS Stuff
signal i_osif : i_osif_t;
signal o_osif : o_osif_t;
signal i_memif : i_memif_t;
signal o_memif : o_memif_t;
signal i_ram : i_ram_t;
signal o_ram : o_ram_t;
constant MBOX_START : std_logic_vector(31 downto 0) := x"00000000";
constant MBOX_FINISH : std_logic_vector(31 downto 0) := x"00000001";
-- /ReconOS Stuff
type STATE_TYPE is (STATE_INIT, STATE_WAITING, STATE_REFRESH_INPUT, STATE_PROCESS, STATE_WRITE_MEM, STATE_NOTIFY, STATE_EXIT);
signal state : STATE_TYPE;
----------------------------------------------------------------
-- Common sound component signals, constants and types
----------------------------------------------------------------
constant C_MAX_SAMPLE_COUNT : integer := 64;
-- define size of local RAM here
constant C_LOCAL_RAM_SIZE : integer := C_MAX_SAMPLE_COUNT;
constant C_LOCAL_RAM_ADDRESS_WIDTH : integer := 6;--clog2(C_LOCAL_RAM_SIZE);
constant C_LOCAL_RAM_SIZE_IN_BYTES : integer := 4*C_LOCAL_RAM_SIZE;
type LOCAL_MEMORY_T is array (0 to C_LOCAL_RAM_SIZE-1) of std_logic_vector(31 downto 0);
signal o_RAMAddr_amplifier : std_logic_vector(0 to C_LOCAL_RAM_ADDRESS_WIDTH-1);
signal o_RAMData_amplifier : std_logic_vector(0 to 31); -- amplifier to local ram
signal i_RAMData_amplifier : std_logic_vector(0 to 31); -- local ram to amplifier
signal o_RAMWE_amplifier : std_logic;
signal o_RAMAddr_reconos : std_logic_vector(0 to C_LOCAL_RAM_ADDRESS_WIDTH-1);
signal o_RAMAddr_reconos_2 : std_logic_vector(0 to 31);
signal o_RAMData_reconos : std_logic_vector(0 to 31);
signal o_RAMWE_reconos : std_logic;
signal i_RAMData_reconos : std_logic_vector(0 to 31);
signal osif_ctrl_signal : std_logic_vector(31 downto 0);
signal ignore : std_logic_vector(31 downto 0);
constant o_RAMAddr_max : std_logic_vector(0 to C_LOCAL_RAM_ADDRESS_WIDTH-1) := (others=>'1');
shared variable local_ram : LOCAL_MEMORY_T;
signal snd_comp_header : snd_comp_header_msg_t; -- common sound component header
signal sample_count : unsigned(15 downto 0) := to_unsigned(0, 16);
----------------------------------------------------------------
-- Component dependent signals
----------------------------------------------------------------
signal amplifier_ce : std_logic; -- amplifier clock enable (like a start/stop signal)
signal input_data : signed(31 downto 0);
signal amplifier_data : signed(31 downto 0);
signal amplifier_wave : signed(31 downto 0);
signal amplifier_value : signed(31 downto 0);
signal start : std_logic;
signal stop : std_logic;
signal refresh_state : integer;
signal process_state : integer;
signal factor : std_logic_vector(31 downto 0);
signal amp_addr : std_logic_vector(31 downto 0);
----------------------------------------------------------------
-- OS Communication
----------------------------------------------------------------
constant amplifier_START : std_logic_vector(31 downto 0) := x"0000000F";
constant amplifier_EXIT : std_logic_vector(31 downto 0) := x"000000F0";
begin
-----------------------------------
-- Hard wirings
-----------------------------------
clk <= HWT_Clk;
rst <= HWT_Rst;
--o_RAMData_amplifier <= std_logic_vector(amplifier_data);
amplifier_wave <= signed(i_RAMData_amplifier);
o_RAMAddr_reconos(0 to C_LOCAL_RAM_ADDRESS_WIDTH-1) <= o_RAMAddr_reconos_2((32-C_LOCAL_RAM_ADDRESS_WIDTH) to 31);
-- ReconOS Stuff
osif_setup (
i_osif,
o_osif,
OSIF_FIFO_Sw2Hw_Data,
OSIF_FIFO_Sw2Hw_Fill,
OSIF_FIFO_Sw2Hw_Empty,
OSIF_FIFO_Hw2Sw_Rem,
OSIF_FIFO_Hw2Sw_Full,
OSIF_FIFO_Sw2Hw_RE,
OSIF_FIFO_Hw2Sw_Data,
OSIF_FIFO_Hw2Sw_WE
);
memif_setup (
i_memif,
o_memif,
MEMIF_FIFO_Mem2Hwt_Data,
MEMIF_FIFO_Mem2Hwt_Fill,
MEMIF_FIFO_Mem2Hwt_Empty,
MEMIF_FIFO_Hwt2Mem_Rem,
MEMIF_FIFO_Hwt2Mem_Full,
MEMIF_FIFO_Mem2Hwt_RE,
MEMIF_FIFO_Hwt2Mem_Data,
MEMIF_FIFO_Hwt2Mem_WE
);
ram_setup (
i_ram,
o_ram,
o_RAMAddr_reconos_2,
o_RAMWE_reconos,
o_RAMData_reconos,
i_RAMData_reconos
);
-- /ReconOS Stuff
amplifier_INST : amplifier
port map(
clk => clk,
rst => rst,
ce => amplifier_ce,
wave => amplifier_wave,
percentage => signed(factor),
amp => amplifier_data
);
local_ram_ctrl_1 : process (clk) is
begin
if (rising_edge(clk)) then
if (o_RAMWE_reconos = '1') then
local_ram(to_integer(unsigned(o_RAMAddr_reconos))) := o_RAMData_reconos;
else
i_RAMData_reconos <= local_ram(to_integer(unsigned(o_RAMAddr_reconos)));
end if;
end if;
end process;
local_ram_ctrl_2 : process (clk) is
begin
if (rising_edge(clk)) then
if (o_RAMWE_amplifier = '1') then
local_ram(to_integer(unsigned(o_RAMAddr_amplifier))) := o_RAMData_amplifier;
else -- else needed, because amplifier is consuming samples
i_RAMData_amplifier <= local_ram(to_integer(unsigned(o_RAMAddr_amplifier)));
end if;
end if;
end process;
amplifier_CTRL_FSM_PROC : process (clk, rst, o_osif, o_memif) is
variable done : boolean;
begin
if rst = '1' then
osif_reset(o_osif);
memif_reset(o_memif);
ram_reset(o_ram);
state <= STATE_INIT;
sample_count <= to_unsigned(0, 16);
osif_ctrl_signal <= (others => '0');
amplifier_ce <= '0';
o_RAMWE_amplifier<= '0';
o_RAMAddr_amplifier <= (others => '0');
refresh_state <= 0;
process_state <= 0;
done := False;
elsif rising_edge(clk) then
case state is
-- INIT State gets the address of the header struct
when STATE_INIT =>
snd_comp_get_header(i_osif, o_osif, i_memif, o_memif, snd_comp_header, done);
if done then
amp_addr <= snd_comp_header.opt_arg_addr;
state <= STATE_WAITING;
end if;
when STATE_WAITING =>
-- Software process "Synthesizer" sends the start signal via mbox_start
osif_mbox_get(i_osif, o_osif, MBOX_START, osif_ctrl_signal, done);
if done then
if osif_ctrl_signal = amplifier_START then
sample_count <= to_unsigned(0, 16);
state <= STATE_REFRESH_INPUT;
elsif osif_ctrl_signal = amplifier_EXIT then
state <= STATE_EXIT;
end if;
end if;
when STATE_REFRESH_INPUT =>
-- Refresh your signals
case refresh_state is
when 0 =>
memif_read_word(i_memif, o_memif, amp_addr , factor, done);
if done then
refresh_state <= 1;
end if;
when 1 =>
memif_read(i_ram, o_ram, i_memif, o_memif, snd_comp_header.source_addr, X"00000000", std_logic_vector(to_unsigned(C_LOCAL_RAM_SIZE_IN_BYTES,24)) ,done);
if done then
refresh_state <= 0;
state <= STATE_PROCESS;
end if;
when others =>
refresh_state <= 0;
end case;
when STATE_PROCESS =>
if sample_count < to_unsigned(C_MAX_SAMPLE_COUNT, 16) then
case process_state is
when 0 =>
amplifier_ce <= '1';
process_state <= 1;
when 1 =>
o_RAMData_amplifier <= std_logic_vector(amplifier_data);
o_RAMWE_amplifier <= '1';
amplifier_ce <= '0';
process_state <= 2;
when 2 =>
o_RAMWE_amplifier <= '0';
o_RAMAddr_amplifier <= std_logic_vector(unsigned(o_RAMAddr_amplifier) + 1);
sample_count <= sample_count + 1;
process_state <= 0;
when others =>
process_state <= 0;
end case;
else
-- Samples have been generated
o_RAMAddr_amplifier <= (others => '0');
sample_count <= to_unsigned(0, 16);
state <= STATE_WRITE_MEM;
end if;
when STATE_WRITE_MEM =>
memif_write(i_ram, o_ram, i_memif, o_memif, X"00000000", snd_comp_header.dest_addr, std_logic_vector(to_unsigned(C_LOCAL_RAM_SIZE_IN_BYTES,24)), done);
if done then
state <= STATE_NOTIFY;
end if;
when STATE_NOTIFY =>
osif_mbox_put(i_osif, o_osif, MBOX_FINISH, snd_comp_header.dest_addr, ignore, done);
if done then
state <= STATE_WAITING;
end if;
when STATE_EXIT =>
osif_thread_exit(i_osif,o_osif);
end case;
end if;
end process;
end Behavioral;
-- ====================================
-- = RECONOS Function Library - Copy and Paste!
-- ====================================
-- osif_mbox_put(i_osif, o_osif, MBOX_NAME, SOURCESIGNAL, ignore, done);
-- osif_mbox_get(i_osif, o_osif, MBOX_NAME, TARGETSIGNAL, done);
-- Read from shared memory:
-- Speicherzugriffe:
-- Wortzugriff:
-- memif_read_word(i_memif, o_memif, addr, TARGETSIGNAL, done);
-- memif_write_word(i_memif, o_memif, addr, SOURCESIGNAL, done);
-- Die Laenge ist bei Speicherzugriffen Byte adressiert!
-- memif_read(i_ram, o_ram, i_memif, o_memif, SRC_ADDR std_logic_vector(31 downto 0);
-- dst_addr std_logic_vector(31 downto 0);
-- BYTES std_logic_vector(23 downto 0);
-- done);
-- memif_write(i_ram, o_ram, i_memif, o_memif,
-- src_addr : in std_logic_vector(31 downto 0),
-- dst_addr : in std_logic_vector(31 downto 0);
-- len : in std_logic_vector(23 downto 0);
-- done);
|
--================================================================================================================================
-- Copyright 2020 Bitvis
-- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT.
--
-- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on
-- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and limitations under the License.
--================================================================================================================================
-- Note : Any functionality not explicitly described in the documentation is subject to change at any time
----------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------
-- VHDL unit : Bitvis IRQC Library : irqc
--
-- Description : See dedicated powerpoint presentation and README-file(s)
------------------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.irqc_pif_pkg.all;
entity irqc is
port(
-- DSP interface and general control signals
clk : in std_logic;
arst : in std_logic;
-- CPU interface
cs : in std_logic;
addr: in unsigned(2 downto 0);
wr : in std_logic;
rd : in std_logic;
din : in std_logic_vector(7 downto 0);
dout: out std_logic_vector(7 downto 0) := (others => '0');
-- Interrupt related signals
irq_source : in std_logic_vector(C_NUM_SOURCES-1 downto 0);
irq2cpu : out std_logic;
irq2cpu_ack : in std_logic
);
end irqc;
architecture rtl of irqc is
-- PIF-core interface
signal p2c : t_p2c; --
signal c2p : t_c2p; --
begin
i_irqc_pif: entity work.irqc_pif
port map (
arst => arst, --
clk => clk, --
-- CPU interface
cs => cs, --
addr => addr, --
wr => wr, --
rd => rd, --
din => din, --
dout => dout, --
--
p2c => p2c, --
c2p => c2p --
);
i_irqc_core: entity work.irqc_core
port map (
clk => clk, --
arst => arst, --
-- PIF-core interface
p2c => p2c, --
c2p => c2p, --
-- Interrupt related signals
irq_source => irq_source, --
irq2cpu => irq2cpu, --
irq2cpu_ack => irq2cpu_ack --
);
end rtl;
|
-------------------------------------------------------------------------------
-- rx_intrfce - entity/architecture pair
-------------------------------------------------------------------------------
-- ***************************************************************************
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This file contains proprietary and confidential information of **
-- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license **
-- ** from Xilinx, and may be used, copied and/or disclosed only **
-- ** pursuant to the terms of a valid license agreement with Xilinx. **
-- ** **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION **
-- ** ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER **
-- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT **
-- ** LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, **
-- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx **
-- ** does not warrant that functions included in the Materials will **
-- ** meet the requirements of Licensee, or that the operation of the **
-- ** Materials will be uninterrupted or error-free, or that defects **
-- ** in the Materials will be corrected. Furthermore, Xilinx does **
-- ** not warrant or make any representations regarding use, or the **
-- ** results of the use, of the Materials in terms of correctness, **
-- ** accuracy, reliability or otherwise. **
-- ** **
-- ** Xilinx products are not designed or intended to be fail-safe, **
-- ** or for use in any application requiring fail-safe performance, **
-- ** such as life-support or safety devices or systems, Class III **
-- ** medical devices, nuclear facilities, applications related to **
-- ** the deployment of airbags, or any other applications that could **
-- ** lead to death, personal injury or severe property or **
-- ** environmental damage (individually and collectively, "critical **
-- ** applications"). Customer assumes the sole risk and liability **
-- ** of any use of Xilinx products in critical applications, **
-- ** subject only to applicable laws and regulations governing **
-- ** limitations on product liability. **
-- ** **
-- ** Copyright 2010 Xilinx, Inc. **
-- ** All rights reserved. **
-- ** **
-- ** This disclaimer and copyright notice must be retained as part **
-- ** of this file at all times. **
-- ***************************************************************************
--
-------------------------------------------------------------------------------
-- Filename : rx_intrfce.vhd
-- Version : v2.0
-- Description : This is the ethernet receive interface.
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
--
-- axi_ethernetlite.vhd
-- \
-- \-- axi_interface.vhd
-- \-- xemac.vhd
-- \
-- \-- mdio_if.vhd
-- \-- emac_dpram.vhd
-- \ \
-- \ \-- RAMB16_S4_S36
-- \
-- \
-- \-- emac.vhd
-- \
-- \-- MacAddrRAM
-- \-- receive.vhd
-- \ rx_statemachine.vhd
-- \ rx_intrfce.vhd
-- \ async_fifo_fg.vhd
-- \ crcgenrx.vhd
-- \
-- \-- transmit.vhd
-- crcgentx.vhd
-- crcnibshiftreg
-- tx_intrfce.vhd
-- async_fifo_fg.vhd
-- tx_statemachine.vhd
-- deferral.vhd
-- cntr5bit.vhd
-- defer_state.vhd
-- bocntr.vhd
-- lfsr16.vhd
-- msh_cnt.vhd
-- ld_arith_reg.vhd
--
-------------------------------------------------------------------------------
-- Author: PVK
-- History:
-- PVK 06/07/2010 First Version
-- ^^^^^^
-- First version.
-- ~~~~~~
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
--
library ieee;
use ieee.std_logic_1164.all;
-------------------------------------------------------------------------------
-- axi_ethernetlite_v3_0 library is used for axi_ethernetlite_v3_0
-- component declarations
-------------------------------------------------------------------------------
library axi_ethernetlite_v3_0;
use axi_ethernetlite_v3_0.all;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library lib_cdc_v1_0;
use lib_cdc_v1_0.all;
library lib_fifo_v1_0;
use lib_fifo_v1_0.all;
--library fifo_generator_v11_0; -- FIFO HIER
--use fifo_generator_v11_0.all;
-- synopsys translate_off
-- Library XilinxCoreLib;
library unisim;
--library simprim;
-- synopsys translate_on
-------------------------------------------------------------------------------
-- Definition of Ports:
--
-- Clk -- System Clock
-- Rst -- System Reset
-- Phy_rx_clk -- PHY RX Clock
-- InternalWrapEn -- Internal wrap enable
-- Phy_rx_er -- Receive error
-- Phy_dv -- Ethernet receive enable
-- Phy_rx_data -- Ethernet receive data
-- Rcv_en -- Receive enable
-- Fifo_empty -- RX FIFO empty
-- Fifo_full -- RX FIFO full
-- Emac_rx_rd -- RX FIFO Read enable
-- Emac_rx_rd_data -- RX FIFO read data to controller
-- RdAck -- RX FIFO read ack
-------------------------------------------------------------------------------
-- ENTITY
-------------------------------------------------------------------------------
entity rx_intrfce is
generic
(
C_FAMILY : string := "virtex6"
);
port (
Clk : in std_logic;
Rst : in std_logic;
Phy_rx_clk : in std_logic;
InternalWrapEn : in std_logic;
Phy_rx_er : in std_logic;
Phy_dv : in std_logic;
Phy_rx_data : in std_logic_vector (0 to 3);
Rcv_en : in std_logic;
Fifo_empty : out std_logic;
Fifo_full : out std_logic;
Emac_rx_rd : in std_logic;
Emac_rx_rd_data : out std_logic_vector (0 to 5);
RdAck : out std_logic
);
end rx_intrfce;
-------------------------------------------------------------------------------
-- Definition of Generics:
-- No Generics were used for this Entity.
--
-- Definition of Ports:
--
-------------------------------------------------------------------------------
architecture implementation of rx_intrfce is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Constant Declarations
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Signal and Type Declarations
-------------------------------------------------------------------------------
signal rxBusCombo : std_logic_vector (0 to 5);
signal rx_wr_en : std_logic;
signal rx_data : std_logic_vector (0 to 5);
signal rx_fifo_full : std_logic;
signal rx_fifo_empty : std_logic;
signal rx_rd_ack : std_logic;
signal rst_s : std_logic;
-------------------------------------------------------------------------------
-- Component Declarations
-------------------------------------------------------------------------------
-- The following components are the building blocks of the EMAC
-------------------------------------------------------------------------------
--FIFI HIER
--component async_fifo_eth
-- port (
-- rst : in std_logic;
-- wr_clk : in std_logic;
-- rd_clk : in std_logic;
-- din : in std_logic_vector(5 downto 0);
-- wr_en : in std_logic;
-- rd_en : in std_logic;
-- dout : out std_logic_vector(5 downto 0);
-- full : out std_logic;
-- empty : out std_logic;
-- valid : out std_logic
-- );
--end component;
begin
----------------------------------------------------------------------------
-- CDC module for syncing reset in wr clk domain
----------------------------------------------------------------------------
CDC_FIFO_RST: entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_FLOP_INPUT => 0,
C_VECTOR_WIDTH => 1,
C_MTBF_STAGES => 4
)
port map(
prmry_aclk => '1',
prmry_resetn => '1',
prmry_in => Rst,
prmry_ack => open,
scndry_out => rst_s,
scndry_aclk => Phy_rx_clk,
scndry_resetn => '1',
prmry_vect_in => (OTHERS => '0'),
scndry_vect_out => open
);
I_RX_FIFO: entity lib_fifo_v1_0.async_fifo_fg
generic map(
C_ALLOW_2N_DEPTH => 0, -- New paramter to leverage FIFO Gen 2**N depth
C_FAMILY => C_FAMILY, -- new for FIFO Gen
C_DATA_WIDTH => 6,
C_ENABLE_RLOCS => 0, -- not supported in FG
C_FIFO_DEPTH => 15,
C_HAS_ALMOST_EMPTY => 0,
C_HAS_ALMOST_FULL => 0,
C_HAS_RD_ACK => 1,
C_HAS_RD_COUNT => 0,
C_HAS_RD_ERR => 0,
C_HAS_WR_ACK => 0,
C_HAS_WR_COUNT => 0,
C_HAS_WR_ERR => 0,
C_RD_ACK_LOW => 0,
C_RD_COUNT_WIDTH => 2,
C_RD_ERR_LOW => 0,
C_USE_BLOCKMEM => 0, -- 0 = distributed RAM, 1 = BRAM
C_WR_ACK_LOW => 0,
C_WR_COUNT_WIDTH => 2,
C_WR_ERR_LOW => 0
)
port map(
Din => rxBusCombo,
Wr_en => rx_wr_en,
Wr_clk => Phy_rx_clk,
Rd_en => Emac_rx_rd,
Rd_clk => Clk,
Ainit => rst_s,
Dout => rx_data,
Full => rx_fifo_full,
Empty => rx_fifo_empty,
Almost_full => open,
Almost_empty => open,
Wr_count => open,
Rd_count => open,
Rd_ack => rx_rd_ack,
Rd_err => open,
Wr_ack => open,
Wr_err => open
);
-- FIFO HIER
-- I_RX_FIFO : async_fifo_eth
-- port map(
-- din => rxBusCombo,
-- wr_en => rx_wr_en,
-- wr_clk => Phy_rx_clk,
-- rd_en => Emac_rx_rd,
-- rd_clk => Clk,
-- rst => Rst,
-- dout => rx_data,
-- full => rx_fifo_full,
-- empty => rx_fifo_empty,
-- valid => rx_rd_ack
-- );
rxBusCombo <= (Phy_rx_data & Phy_dv & Phy_rx_er);
Emac_rx_rd_data <= rx_data;
RdAck <= rx_rd_ack;
Fifo_full <= rx_fifo_full;
Fifo_empty <= rx_fifo_empty;
--rx_wr_en <= Rcv_en;
rx_wr_en <= not(rx_fifo_full); -- having this as Rcv_en is generated in lite_clock domain and passing to FIFO working in rx_clk domain
end implementation;
|
-------------------------------------------------------------------------------
-- rx_intrfce - entity/architecture pair
-------------------------------------------------------------------------------
-- ***************************************************************************
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This file contains proprietary and confidential information of **
-- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license **
-- ** from Xilinx, and may be used, copied and/or disclosed only **
-- ** pursuant to the terms of a valid license agreement with Xilinx. **
-- ** **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION **
-- ** ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER **
-- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT **
-- ** LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, **
-- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx **
-- ** does not warrant that functions included in the Materials will **
-- ** meet the requirements of Licensee, or that the operation of the **
-- ** Materials will be uninterrupted or error-free, or that defects **
-- ** in the Materials will be corrected. Furthermore, Xilinx does **
-- ** not warrant or make any representations regarding use, or the **
-- ** results of the use, of the Materials in terms of correctness, **
-- ** accuracy, reliability or otherwise. **
-- ** **
-- ** Xilinx products are not designed or intended to be fail-safe, **
-- ** or for use in any application requiring fail-safe performance, **
-- ** such as life-support or safety devices or systems, Class III **
-- ** medical devices, nuclear facilities, applications related to **
-- ** the deployment of airbags, or any other applications that could **
-- ** lead to death, personal injury or severe property or **
-- ** environmental damage (individually and collectively, "critical **
-- ** applications"). Customer assumes the sole risk and liability **
-- ** of any use of Xilinx products in critical applications, **
-- ** subject only to applicable laws and regulations governing **
-- ** limitations on product liability. **
-- ** **
-- ** Copyright 2010 Xilinx, Inc. **
-- ** All rights reserved. **
-- ** **
-- ** This disclaimer and copyright notice must be retained as part **
-- ** of this file at all times. **
-- ***************************************************************************
--
-------------------------------------------------------------------------------
-- Filename : rx_intrfce.vhd
-- Version : v2.0
-- Description : This is the ethernet receive interface.
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
--
-- axi_ethernetlite.vhd
-- \
-- \-- axi_interface.vhd
-- \-- xemac.vhd
-- \
-- \-- mdio_if.vhd
-- \-- emac_dpram.vhd
-- \ \
-- \ \-- RAMB16_S4_S36
-- \
-- \
-- \-- emac.vhd
-- \
-- \-- MacAddrRAM
-- \-- receive.vhd
-- \ rx_statemachine.vhd
-- \ rx_intrfce.vhd
-- \ async_fifo_fg.vhd
-- \ crcgenrx.vhd
-- \
-- \-- transmit.vhd
-- crcgentx.vhd
-- crcnibshiftreg
-- tx_intrfce.vhd
-- async_fifo_fg.vhd
-- tx_statemachine.vhd
-- deferral.vhd
-- cntr5bit.vhd
-- defer_state.vhd
-- bocntr.vhd
-- lfsr16.vhd
-- msh_cnt.vhd
-- ld_arith_reg.vhd
--
-------------------------------------------------------------------------------
-- Author: PVK
-- History:
-- PVK 06/07/2010 First Version
-- ^^^^^^
-- First version.
-- ~~~~~~
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
--
library ieee;
use ieee.std_logic_1164.all;
-------------------------------------------------------------------------------
-- axi_ethernetlite_v3_0 library is used for axi_ethernetlite_v3_0
-- component declarations
-------------------------------------------------------------------------------
library axi_ethernetlite_v3_0;
use axi_ethernetlite_v3_0.all;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library lib_cdc_v1_0;
use lib_cdc_v1_0.all;
library lib_fifo_v1_0;
use lib_fifo_v1_0.all;
--library fifo_generator_v11_0; -- FIFO HIER
--use fifo_generator_v11_0.all;
-- synopsys translate_off
-- Library XilinxCoreLib;
library unisim;
--library simprim;
-- synopsys translate_on
-------------------------------------------------------------------------------
-- Definition of Ports:
--
-- Clk -- System Clock
-- Rst -- System Reset
-- Phy_rx_clk -- PHY RX Clock
-- InternalWrapEn -- Internal wrap enable
-- Phy_rx_er -- Receive error
-- Phy_dv -- Ethernet receive enable
-- Phy_rx_data -- Ethernet receive data
-- Rcv_en -- Receive enable
-- Fifo_empty -- RX FIFO empty
-- Fifo_full -- RX FIFO full
-- Emac_rx_rd -- RX FIFO Read enable
-- Emac_rx_rd_data -- RX FIFO read data to controller
-- RdAck -- RX FIFO read ack
-------------------------------------------------------------------------------
-- ENTITY
-------------------------------------------------------------------------------
entity rx_intrfce is
generic
(
C_FAMILY : string := "virtex6"
);
port (
Clk : in std_logic;
Rst : in std_logic;
Phy_rx_clk : in std_logic;
InternalWrapEn : in std_logic;
Phy_rx_er : in std_logic;
Phy_dv : in std_logic;
Phy_rx_data : in std_logic_vector (0 to 3);
Rcv_en : in std_logic;
Fifo_empty : out std_logic;
Fifo_full : out std_logic;
Emac_rx_rd : in std_logic;
Emac_rx_rd_data : out std_logic_vector (0 to 5);
RdAck : out std_logic
);
end rx_intrfce;
-------------------------------------------------------------------------------
-- Definition of Generics:
-- No Generics were used for this Entity.
--
-- Definition of Ports:
--
-------------------------------------------------------------------------------
architecture implementation of rx_intrfce is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Constant Declarations
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Signal and Type Declarations
-------------------------------------------------------------------------------
signal rxBusCombo : std_logic_vector (0 to 5);
signal rx_wr_en : std_logic;
signal rx_data : std_logic_vector (0 to 5);
signal rx_fifo_full : std_logic;
signal rx_fifo_empty : std_logic;
signal rx_rd_ack : std_logic;
signal rst_s : std_logic;
-------------------------------------------------------------------------------
-- Component Declarations
-------------------------------------------------------------------------------
-- The following components are the building blocks of the EMAC
-------------------------------------------------------------------------------
--FIFI HIER
--component async_fifo_eth
-- port (
-- rst : in std_logic;
-- wr_clk : in std_logic;
-- rd_clk : in std_logic;
-- din : in std_logic_vector(5 downto 0);
-- wr_en : in std_logic;
-- rd_en : in std_logic;
-- dout : out std_logic_vector(5 downto 0);
-- full : out std_logic;
-- empty : out std_logic;
-- valid : out std_logic
-- );
--end component;
begin
----------------------------------------------------------------------------
-- CDC module for syncing reset in wr clk domain
----------------------------------------------------------------------------
CDC_FIFO_RST: entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_FLOP_INPUT => 0,
C_VECTOR_WIDTH => 1,
C_MTBF_STAGES => 4
)
port map(
prmry_aclk => '1',
prmry_resetn => '1',
prmry_in => Rst,
prmry_ack => open,
scndry_out => rst_s,
scndry_aclk => Phy_rx_clk,
scndry_resetn => '1',
prmry_vect_in => (OTHERS => '0'),
scndry_vect_out => open
);
I_RX_FIFO: entity lib_fifo_v1_0.async_fifo_fg
generic map(
C_ALLOW_2N_DEPTH => 0, -- New paramter to leverage FIFO Gen 2**N depth
C_FAMILY => C_FAMILY, -- new for FIFO Gen
C_DATA_WIDTH => 6,
C_ENABLE_RLOCS => 0, -- not supported in FG
C_FIFO_DEPTH => 15,
C_HAS_ALMOST_EMPTY => 0,
C_HAS_ALMOST_FULL => 0,
C_HAS_RD_ACK => 1,
C_HAS_RD_COUNT => 0,
C_HAS_RD_ERR => 0,
C_HAS_WR_ACK => 0,
C_HAS_WR_COUNT => 0,
C_HAS_WR_ERR => 0,
C_RD_ACK_LOW => 0,
C_RD_COUNT_WIDTH => 2,
C_RD_ERR_LOW => 0,
C_USE_BLOCKMEM => 0, -- 0 = distributed RAM, 1 = BRAM
C_WR_ACK_LOW => 0,
C_WR_COUNT_WIDTH => 2,
C_WR_ERR_LOW => 0
)
port map(
Din => rxBusCombo,
Wr_en => rx_wr_en,
Wr_clk => Phy_rx_clk,
Rd_en => Emac_rx_rd,
Rd_clk => Clk,
Ainit => rst_s,
Dout => rx_data,
Full => rx_fifo_full,
Empty => rx_fifo_empty,
Almost_full => open,
Almost_empty => open,
Wr_count => open,
Rd_count => open,
Rd_ack => rx_rd_ack,
Rd_err => open,
Wr_ack => open,
Wr_err => open
);
-- FIFO HIER
-- I_RX_FIFO : async_fifo_eth
-- port map(
-- din => rxBusCombo,
-- wr_en => rx_wr_en,
-- wr_clk => Phy_rx_clk,
-- rd_en => Emac_rx_rd,
-- rd_clk => Clk,
-- rst => Rst,
-- dout => rx_data,
-- full => rx_fifo_full,
-- empty => rx_fifo_empty,
-- valid => rx_rd_ack
-- );
rxBusCombo <= (Phy_rx_data & Phy_dv & Phy_rx_er);
Emac_rx_rd_data <= rx_data;
RdAck <= rx_rd_ack;
Fifo_full <= rx_fifo_full;
Fifo_empty <= rx_fifo_empty;
--rx_wr_en <= Rcv_en;
rx_wr_en <= not(rx_fifo_full); -- having this as Rcv_en is generated in lite_clock domain and passing to FIFO working in rx_clk domain
end implementation;
|
-------------------------------------------------------------------------------
-- rx_intrfce - entity/architecture pair
-------------------------------------------------------------------------------
-- ***************************************************************************
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This file contains proprietary and confidential information of **
-- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license **
-- ** from Xilinx, and may be used, copied and/or disclosed only **
-- ** pursuant to the terms of a valid license agreement with Xilinx. **
-- ** **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION **
-- ** ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER **
-- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT **
-- ** LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, **
-- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx **
-- ** does not warrant that functions included in the Materials will **
-- ** meet the requirements of Licensee, or that the operation of the **
-- ** Materials will be uninterrupted or error-free, or that defects **
-- ** in the Materials will be corrected. Furthermore, Xilinx does **
-- ** not warrant or make any representations regarding use, or the **
-- ** results of the use, of the Materials in terms of correctness, **
-- ** accuracy, reliability or otherwise. **
-- ** **
-- ** Xilinx products are not designed or intended to be fail-safe, **
-- ** or for use in any application requiring fail-safe performance, **
-- ** such as life-support or safety devices or systems, Class III **
-- ** medical devices, nuclear facilities, applications related to **
-- ** the deployment of airbags, or any other applications that could **
-- ** lead to death, personal injury or severe property or **
-- ** environmental damage (individually and collectively, "critical **
-- ** applications"). Customer assumes the sole risk and liability **
-- ** of any use of Xilinx products in critical applications, **
-- ** subject only to applicable laws and regulations governing **
-- ** limitations on product liability. **
-- ** **
-- ** Copyright 2010 Xilinx, Inc. **
-- ** All rights reserved. **
-- ** **
-- ** This disclaimer and copyright notice must be retained as part **
-- ** of this file at all times. **
-- ***************************************************************************
--
-------------------------------------------------------------------------------
-- Filename : rx_intrfce.vhd
-- Version : v2.0
-- Description : This is the ethernet receive interface.
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
--
-- axi_ethernetlite.vhd
-- \
-- \-- axi_interface.vhd
-- \-- xemac.vhd
-- \
-- \-- mdio_if.vhd
-- \-- emac_dpram.vhd
-- \ \
-- \ \-- RAMB16_S4_S36
-- \
-- \
-- \-- emac.vhd
-- \
-- \-- MacAddrRAM
-- \-- receive.vhd
-- \ rx_statemachine.vhd
-- \ rx_intrfce.vhd
-- \ async_fifo_fg.vhd
-- \ crcgenrx.vhd
-- \
-- \-- transmit.vhd
-- crcgentx.vhd
-- crcnibshiftreg
-- tx_intrfce.vhd
-- async_fifo_fg.vhd
-- tx_statemachine.vhd
-- deferral.vhd
-- cntr5bit.vhd
-- defer_state.vhd
-- bocntr.vhd
-- lfsr16.vhd
-- msh_cnt.vhd
-- ld_arith_reg.vhd
--
-------------------------------------------------------------------------------
-- Author: PVK
-- History:
-- PVK 06/07/2010 First Version
-- ^^^^^^
-- First version.
-- ~~~~~~
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
--
library ieee;
use ieee.std_logic_1164.all;
-------------------------------------------------------------------------------
-- axi_ethernetlite_v3_0 library is used for axi_ethernetlite_v3_0
-- component declarations
-------------------------------------------------------------------------------
library axi_ethernetlite_v3_0;
use axi_ethernetlite_v3_0.all;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library lib_cdc_v1_0;
use lib_cdc_v1_0.all;
library lib_fifo_v1_0;
use lib_fifo_v1_0.all;
--library fifo_generator_v11_0; -- FIFO HIER
--use fifo_generator_v11_0.all;
-- synopsys translate_off
-- Library XilinxCoreLib;
library unisim;
--library simprim;
-- synopsys translate_on
-------------------------------------------------------------------------------
-- Definition of Ports:
--
-- Clk -- System Clock
-- Rst -- System Reset
-- Phy_rx_clk -- PHY RX Clock
-- InternalWrapEn -- Internal wrap enable
-- Phy_rx_er -- Receive error
-- Phy_dv -- Ethernet receive enable
-- Phy_rx_data -- Ethernet receive data
-- Rcv_en -- Receive enable
-- Fifo_empty -- RX FIFO empty
-- Fifo_full -- RX FIFO full
-- Emac_rx_rd -- RX FIFO Read enable
-- Emac_rx_rd_data -- RX FIFO read data to controller
-- RdAck -- RX FIFO read ack
-------------------------------------------------------------------------------
-- ENTITY
-------------------------------------------------------------------------------
entity rx_intrfce is
generic
(
C_FAMILY : string := "virtex6"
);
port (
Clk : in std_logic;
Rst : in std_logic;
Phy_rx_clk : in std_logic;
InternalWrapEn : in std_logic;
Phy_rx_er : in std_logic;
Phy_dv : in std_logic;
Phy_rx_data : in std_logic_vector (0 to 3);
Rcv_en : in std_logic;
Fifo_empty : out std_logic;
Fifo_full : out std_logic;
Emac_rx_rd : in std_logic;
Emac_rx_rd_data : out std_logic_vector (0 to 5);
RdAck : out std_logic
);
end rx_intrfce;
-------------------------------------------------------------------------------
-- Definition of Generics:
-- No Generics were used for this Entity.
--
-- Definition of Ports:
--
-------------------------------------------------------------------------------
architecture implementation of rx_intrfce is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Constant Declarations
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Signal and Type Declarations
-------------------------------------------------------------------------------
signal rxBusCombo : std_logic_vector (0 to 5);
signal rx_wr_en : std_logic;
signal rx_data : std_logic_vector (0 to 5);
signal rx_fifo_full : std_logic;
signal rx_fifo_empty : std_logic;
signal rx_rd_ack : std_logic;
signal rst_s : std_logic;
-------------------------------------------------------------------------------
-- Component Declarations
-------------------------------------------------------------------------------
-- The following components are the building blocks of the EMAC
-------------------------------------------------------------------------------
--FIFI HIER
--component async_fifo_eth
-- port (
-- rst : in std_logic;
-- wr_clk : in std_logic;
-- rd_clk : in std_logic;
-- din : in std_logic_vector(5 downto 0);
-- wr_en : in std_logic;
-- rd_en : in std_logic;
-- dout : out std_logic_vector(5 downto 0);
-- full : out std_logic;
-- empty : out std_logic;
-- valid : out std_logic
-- );
--end component;
begin
----------------------------------------------------------------------------
-- CDC module for syncing reset in wr clk domain
----------------------------------------------------------------------------
CDC_FIFO_RST: entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_FLOP_INPUT => 0,
C_VECTOR_WIDTH => 1,
C_MTBF_STAGES => 4
)
port map(
prmry_aclk => '1',
prmry_resetn => '1',
prmry_in => Rst,
prmry_ack => open,
scndry_out => rst_s,
scndry_aclk => Phy_rx_clk,
scndry_resetn => '1',
prmry_vect_in => (OTHERS => '0'),
scndry_vect_out => open
);
I_RX_FIFO: entity lib_fifo_v1_0.async_fifo_fg
generic map(
C_ALLOW_2N_DEPTH => 0, -- New paramter to leverage FIFO Gen 2**N depth
C_FAMILY => C_FAMILY, -- new for FIFO Gen
C_DATA_WIDTH => 6,
C_ENABLE_RLOCS => 0, -- not supported in FG
C_FIFO_DEPTH => 15,
C_HAS_ALMOST_EMPTY => 0,
C_HAS_ALMOST_FULL => 0,
C_HAS_RD_ACK => 1,
C_HAS_RD_COUNT => 0,
C_HAS_RD_ERR => 0,
C_HAS_WR_ACK => 0,
C_HAS_WR_COUNT => 0,
C_HAS_WR_ERR => 0,
C_RD_ACK_LOW => 0,
C_RD_COUNT_WIDTH => 2,
C_RD_ERR_LOW => 0,
C_USE_BLOCKMEM => 0, -- 0 = distributed RAM, 1 = BRAM
C_WR_ACK_LOW => 0,
C_WR_COUNT_WIDTH => 2,
C_WR_ERR_LOW => 0
)
port map(
Din => rxBusCombo,
Wr_en => rx_wr_en,
Wr_clk => Phy_rx_clk,
Rd_en => Emac_rx_rd,
Rd_clk => Clk,
Ainit => rst_s,
Dout => rx_data,
Full => rx_fifo_full,
Empty => rx_fifo_empty,
Almost_full => open,
Almost_empty => open,
Wr_count => open,
Rd_count => open,
Rd_ack => rx_rd_ack,
Rd_err => open,
Wr_ack => open,
Wr_err => open
);
-- FIFO HIER
-- I_RX_FIFO : async_fifo_eth
-- port map(
-- din => rxBusCombo,
-- wr_en => rx_wr_en,
-- wr_clk => Phy_rx_clk,
-- rd_en => Emac_rx_rd,
-- rd_clk => Clk,
-- rst => Rst,
-- dout => rx_data,
-- full => rx_fifo_full,
-- empty => rx_fifo_empty,
-- valid => rx_rd_ack
-- );
rxBusCombo <= (Phy_rx_data & Phy_dv & Phy_rx_er);
Emac_rx_rd_data <= rx_data;
RdAck <= rx_rd_ack;
Fifo_full <= rx_fifo_full;
Fifo_empty <= rx_fifo_empty;
--rx_wr_en <= Rcv_en;
rx_wr_en <= not(rx_fifo_full); -- having this as Rcv_en is generated in lite_clock domain and passing to FIFO working in rx_clk domain
end implementation;
|
-------------------------------------------------------------------------------
-- rx_intrfce - entity/architecture pair
-------------------------------------------------------------------------------
-- ***************************************************************************
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This file contains proprietary and confidential information of **
-- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license **
-- ** from Xilinx, and may be used, copied and/or disclosed only **
-- ** pursuant to the terms of a valid license agreement with Xilinx. **
-- ** **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION **
-- ** ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER **
-- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT **
-- ** LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, **
-- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx **
-- ** does not warrant that functions included in the Materials will **
-- ** meet the requirements of Licensee, or that the operation of the **
-- ** Materials will be uninterrupted or error-free, or that defects **
-- ** in the Materials will be corrected. Furthermore, Xilinx does **
-- ** not warrant or make any representations regarding use, or the **
-- ** results of the use, of the Materials in terms of correctness, **
-- ** accuracy, reliability or otherwise. **
-- ** **
-- ** Xilinx products are not designed or intended to be fail-safe, **
-- ** or for use in any application requiring fail-safe performance, **
-- ** such as life-support or safety devices or systems, Class III **
-- ** medical devices, nuclear facilities, applications related to **
-- ** the deployment of airbags, or any other applications that could **
-- ** lead to death, personal injury or severe property or **
-- ** environmental damage (individually and collectively, "critical **
-- ** applications"). Customer assumes the sole risk and liability **
-- ** of any use of Xilinx products in critical applications, **
-- ** subject only to applicable laws and regulations governing **
-- ** limitations on product liability. **
-- ** **
-- ** Copyright 2010 Xilinx, Inc. **
-- ** All rights reserved. **
-- ** **
-- ** This disclaimer and copyright notice must be retained as part **
-- ** of this file at all times. **
-- ***************************************************************************
--
-------------------------------------------------------------------------------
-- Filename : rx_intrfce.vhd
-- Version : v2.0
-- Description : This is the ethernet receive interface.
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
--
-- axi_ethernetlite.vhd
-- \
-- \-- axi_interface.vhd
-- \-- xemac.vhd
-- \
-- \-- mdio_if.vhd
-- \-- emac_dpram.vhd
-- \ \
-- \ \-- RAMB16_S4_S36
-- \
-- \
-- \-- emac.vhd
-- \
-- \-- MacAddrRAM
-- \-- receive.vhd
-- \ rx_statemachine.vhd
-- \ rx_intrfce.vhd
-- \ async_fifo_fg.vhd
-- \ crcgenrx.vhd
-- \
-- \-- transmit.vhd
-- crcgentx.vhd
-- crcnibshiftreg
-- tx_intrfce.vhd
-- async_fifo_fg.vhd
-- tx_statemachine.vhd
-- deferral.vhd
-- cntr5bit.vhd
-- defer_state.vhd
-- bocntr.vhd
-- lfsr16.vhd
-- msh_cnt.vhd
-- ld_arith_reg.vhd
--
-------------------------------------------------------------------------------
-- Author: PVK
-- History:
-- PVK 06/07/2010 First Version
-- ^^^^^^
-- First version.
-- ~~~~~~
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
--
library ieee;
use ieee.std_logic_1164.all;
-------------------------------------------------------------------------------
-- axi_ethernetlite_v3_0 library is used for axi_ethernetlite_v3_0
-- component declarations
-------------------------------------------------------------------------------
library axi_ethernetlite_v3_0;
use axi_ethernetlite_v3_0.all;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library lib_cdc_v1_0;
use lib_cdc_v1_0.all;
library lib_fifo_v1_0;
use lib_fifo_v1_0.all;
--library fifo_generator_v11_0; -- FIFO HIER
--use fifo_generator_v11_0.all;
-- synopsys translate_off
-- Library XilinxCoreLib;
library unisim;
--library simprim;
-- synopsys translate_on
-------------------------------------------------------------------------------
-- Definition of Ports:
--
-- Clk -- System Clock
-- Rst -- System Reset
-- Phy_rx_clk -- PHY RX Clock
-- InternalWrapEn -- Internal wrap enable
-- Phy_rx_er -- Receive error
-- Phy_dv -- Ethernet receive enable
-- Phy_rx_data -- Ethernet receive data
-- Rcv_en -- Receive enable
-- Fifo_empty -- RX FIFO empty
-- Fifo_full -- RX FIFO full
-- Emac_rx_rd -- RX FIFO Read enable
-- Emac_rx_rd_data -- RX FIFO read data to controller
-- RdAck -- RX FIFO read ack
-------------------------------------------------------------------------------
-- ENTITY
-------------------------------------------------------------------------------
entity rx_intrfce is
generic
(
C_FAMILY : string := "virtex6"
);
port (
Clk : in std_logic;
Rst : in std_logic;
Phy_rx_clk : in std_logic;
InternalWrapEn : in std_logic;
Phy_rx_er : in std_logic;
Phy_dv : in std_logic;
Phy_rx_data : in std_logic_vector (0 to 3);
Rcv_en : in std_logic;
Fifo_empty : out std_logic;
Fifo_full : out std_logic;
Emac_rx_rd : in std_logic;
Emac_rx_rd_data : out std_logic_vector (0 to 5);
RdAck : out std_logic
);
end rx_intrfce;
-------------------------------------------------------------------------------
-- Definition of Generics:
-- No Generics were used for this Entity.
--
-- Definition of Ports:
--
-------------------------------------------------------------------------------
architecture implementation of rx_intrfce is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Constant Declarations
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Signal and Type Declarations
-------------------------------------------------------------------------------
signal rxBusCombo : std_logic_vector (0 to 5);
signal rx_wr_en : std_logic;
signal rx_data : std_logic_vector (0 to 5);
signal rx_fifo_full : std_logic;
signal rx_fifo_empty : std_logic;
signal rx_rd_ack : std_logic;
signal rst_s : std_logic;
-------------------------------------------------------------------------------
-- Component Declarations
-------------------------------------------------------------------------------
-- The following components are the building blocks of the EMAC
-------------------------------------------------------------------------------
--FIFI HIER
--component async_fifo_eth
-- port (
-- rst : in std_logic;
-- wr_clk : in std_logic;
-- rd_clk : in std_logic;
-- din : in std_logic_vector(5 downto 0);
-- wr_en : in std_logic;
-- rd_en : in std_logic;
-- dout : out std_logic_vector(5 downto 0);
-- full : out std_logic;
-- empty : out std_logic;
-- valid : out std_logic
-- );
--end component;
begin
----------------------------------------------------------------------------
-- CDC module for syncing reset in wr clk domain
----------------------------------------------------------------------------
CDC_FIFO_RST: entity lib_cdc_v1_0.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_FLOP_INPUT => 0,
C_VECTOR_WIDTH => 1,
C_MTBF_STAGES => 4
)
port map(
prmry_aclk => '1',
prmry_resetn => '1',
prmry_in => Rst,
prmry_ack => open,
scndry_out => rst_s,
scndry_aclk => Phy_rx_clk,
scndry_resetn => '1',
prmry_vect_in => (OTHERS => '0'),
scndry_vect_out => open
);
I_RX_FIFO: entity lib_fifo_v1_0.async_fifo_fg
generic map(
C_ALLOW_2N_DEPTH => 0, -- New paramter to leverage FIFO Gen 2**N depth
C_FAMILY => C_FAMILY, -- new for FIFO Gen
C_DATA_WIDTH => 6,
C_ENABLE_RLOCS => 0, -- not supported in FG
C_FIFO_DEPTH => 15,
C_HAS_ALMOST_EMPTY => 0,
C_HAS_ALMOST_FULL => 0,
C_HAS_RD_ACK => 1,
C_HAS_RD_COUNT => 0,
C_HAS_RD_ERR => 0,
C_HAS_WR_ACK => 0,
C_HAS_WR_COUNT => 0,
C_HAS_WR_ERR => 0,
C_RD_ACK_LOW => 0,
C_RD_COUNT_WIDTH => 2,
C_RD_ERR_LOW => 0,
C_USE_BLOCKMEM => 0, -- 0 = distributed RAM, 1 = BRAM
C_WR_ACK_LOW => 0,
C_WR_COUNT_WIDTH => 2,
C_WR_ERR_LOW => 0
)
port map(
Din => rxBusCombo,
Wr_en => rx_wr_en,
Wr_clk => Phy_rx_clk,
Rd_en => Emac_rx_rd,
Rd_clk => Clk,
Ainit => rst_s,
Dout => rx_data,
Full => rx_fifo_full,
Empty => rx_fifo_empty,
Almost_full => open,
Almost_empty => open,
Wr_count => open,
Rd_count => open,
Rd_ack => rx_rd_ack,
Rd_err => open,
Wr_ack => open,
Wr_err => open
);
-- FIFO HIER
-- I_RX_FIFO : async_fifo_eth
-- port map(
-- din => rxBusCombo,
-- wr_en => rx_wr_en,
-- wr_clk => Phy_rx_clk,
-- rd_en => Emac_rx_rd,
-- rd_clk => Clk,
-- rst => Rst,
-- dout => rx_data,
-- full => rx_fifo_full,
-- empty => rx_fifo_empty,
-- valid => rx_rd_ack
-- );
rxBusCombo <= (Phy_rx_data & Phy_dv & Phy_rx_er);
Emac_rx_rd_data <= rx_data;
RdAck <= rx_rd_ack;
Fifo_full <= rx_fifo_full;
Fifo_empty <= rx_fifo_empty;
--rx_wr_en <= Rcv_en;
rx_wr_en <= not(rx_fifo_full); -- having this as Rcv_en is generated in lite_clock domain and passing to FIFO working in rx_clk domain
end implementation;
|
-- megafunction wizard: %ALTFP_ADD_SUB%
-- GENERATION: STANDARD
-- VERSION: WM1.0
-- MODULE: altfp_add_sub
-- ============================================================
-- File Name: kn_kalman_sub.vhd
-- Megafunction Name(s):
-- altfp_add_sub
--
-- Simulation Library Files(s):
-- lpm
-- ============================================================
-- ************************************************************
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
--
-- 11.1 Build 259 01/25/2012 SP 2 SJ Web Edition
-- ************************************************************
--Copyright (C) 1991-2011 Altera Corporation
--Your use of Altera Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--functions, and any output files from any of the foregoing
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--to the terms and conditions of the Altera Program License
--Subscription Agreement, Altera MegaCore Function License
--Agreement, or other applicable license agreement, including,
--without limitation, that your use is for the sole purpose of
--programming logic devices manufactured by Altera and sold by
--Altera or its authorized distributors. Please refer to the
--applicable agreement for further details.
--altfp_add_sub CBX_AUTO_BLACKBOX="ALL" DENORMAL_SUPPORT="NO" DEVICE_FAMILY="Cyclone II" DIRECTION="SUB" OPTIMIZE="SPEED" PIPELINE=14 REDUCED_FUNCTIONALITY="NO" WIDTH_EXP=8 WIDTH_MAN=23 clock dataa datab result
--VERSION_BEGIN 11.1SP2 cbx_altbarrel_shift 2012:01:25:21:13:53:SJ cbx_altfp_add_sub 2012:01:25:21:13:53:SJ cbx_altpriority_encoder 2012:01:25:21:13:53:SJ cbx_cycloneii 2012:01:25:21:13:53:SJ cbx_lpm_add_sub 2012:01:25:21:13:53:SJ cbx_lpm_compare 2012:01:25:21:13:53:SJ cbx_mgl 2012:01:25:21:15:41:SJ cbx_stratix 2012:01:25:21:13:53:SJ cbx_stratixii 2012:01:25:21:13:53:SJ VERSION_END
--altbarrel_shift CBX_AUTO_BLACKBOX="ALL" DEVICE_FAMILY="Cyclone II" PIPELINE=1 SHIFTDIR="LEFT" WIDTH=26 WIDTHDIST=5 aclr clk_en clock data distance result
--VERSION_BEGIN 11.1SP2 cbx_altbarrel_shift 2012:01:25:21:13:53:SJ cbx_mgl 2012:01:25:21:15:41:SJ VERSION_END
--synthesis_resources = reg 27
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY kn_kalman_sub_altbarrel_shift_h0e IS
PORT
(
aclr : IN STD_LOGIC := '0';
clk_en : IN STD_LOGIC := '1';
clock : IN STD_LOGIC := '0';
data : IN STD_LOGIC_VECTOR (25 DOWNTO 0);
distance : IN STD_LOGIC_VECTOR (4 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (25 DOWNTO 0)
);
END kn_kalman_sub_altbarrel_shift_h0e;
ARCHITECTURE RTL OF kn_kalman_sub_altbarrel_shift_h0e IS
SIGNAL dir_pipe : STD_LOGIC_VECTOR(0 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL sbit_piper1d : STD_LOGIC_VECTOR(25 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range668w681w682w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range668w677w678w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range689w702w703w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range689w698w699w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range711w724w725w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range711w720w721w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range733w746w747w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range733w742w743w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range755w768w769w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range755w764w765w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range668w673w674w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range689w694w695w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range711w716w717w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range733w738w739w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range755w760w761w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_sel_w_range668w681w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_sel_w_range668w677w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_sel_w_range689w702w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_sel_w_range689w698w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_sel_w_range711w724w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_sel_w_range711w720w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_sel_w_range733w746w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_sel_w_range733w742w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_sel_w_range755w768w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_sel_w_range755w764w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_dir_w_range665w680w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_dir_w_range687w701w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_dir_w_range708w723w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_dir_w_range730w745w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_dir_w_range752w767w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_sel_w_range668w673w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_sel_w_range689w694w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_sel_w_range711w716w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_sel_w_range733w738w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_sel_w_range755w760w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range668w681w682w683w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range689w702w703w704w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range711w724w725w726w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range733w746w747w748w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range755w768w769w770w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w684w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w705w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w727w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w749w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w771w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL dir_w : STD_LOGIC_VECTOR (5 DOWNTO 0);
SIGNAL direction_w : STD_LOGIC;
SIGNAL pad_w : STD_LOGIC_VECTOR (15 DOWNTO 0);
SIGNAL sbit_w : STD_LOGIC_VECTOR (155 DOWNTO 0);
SIGNAL sel_w : STD_LOGIC_VECTOR (4 DOWNTO 0);
SIGNAL smux_w : STD_LOGIC_VECTOR (129 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w676w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w679w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w697w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w700w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w719w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w722w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w741w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w744w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w763w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w766w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_dir_w_range665w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_dir_w_range687w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_dir_w_range708w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_dir_w_range730w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_dir_w_range752w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_sbit_w_range728w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_sbit_w_range750w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_sbit_w_range663w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_sbit_w_range686w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_sbit_w_range706w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_sel_w_range668w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_sel_w_range689w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_sel_w_range711w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_sel_w_range733w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_sel_w_range755w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_smux_w_range759w : STD_LOGIC_VECTOR (25 DOWNTO 0);
BEGIN
loop0 : FOR i IN 0 TO 25 GENERATE
wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range668w681w682w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range668w681w(0) AND wire_lbarrel_shift_w679w(i);
END GENERATE loop0;
loop1 : FOR i IN 0 TO 25 GENERATE
wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range668w677w678w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range668w677w(0) AND wire_lbarrel_shift_w676w(i);
END GENERATE loop1;
loop2 : FOR i IN 0 TO 25 GENERATE
wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range689w702w703w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range689w702w(0) AND wire_lbarrel_shift_w700w(i);
END GENERATE loop2;
loop3 : FOR i IN 0 TO 25 GENERATE
wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range689w698w699w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range689w698w(0) AND wire_lbarrel_shift_w697w(i);
END GENERATE loop3;
loop4 : FOR i IN 0 TO 25 GENERATE
wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range711w724w725w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range711w724w(0) AND wire_lbarrel_shift_w722w(i);
END GENERATE loop4;
loop5 : FOR i IN 0 TO 25 GENERATE
wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range711w720w721w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range711w720w(0) AND wire_lbarrel_shift_w719w(i);
END GENERATE loop5;
loop6 : FOR i IN 0 TO 25 GENERATE
wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range733w746w747w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range733w746w(0) AND wire_lbarrel_shift_w744w(i);
END GENERATE loop6;
loop7 : FOR i IN 0 TO 25 GENERATE
wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range733w742w743w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range733w742w(0) AND wire_lbarrel_shift_w741w(i);
END GENERATE loop7;
loop8 : FOR i IN 0 TO 25 GENERATE
wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range755w768w769w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range755w768w(0) AND wire_lbarrel_shift_w766w(i);
END GENERATE loop8;
loop9 : FOR i IN 0 TO 25 GENERATE
wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range755w764w765w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range755w764w(0) AND wire_lbarrel_shift_w763w(i);
END GENERATE loop9;
loop10 : FOR i IN 0 TO 25 GENERATE
wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range668w673w674w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range668w673w(0) AND wire_lbarrel_shift_w_sbit_w_range663w(i);
END GENERATE loop10;
loop11 : FOR i IN 0 TO 25 GENERATE
wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range689w694w695w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range689w694w(0) AND wire_lbarrel_shift_w_sbit_w_range686w(i);
END GENERATE loop11;
loop12 : FOR i IN 0 TO 25 GENERATE
wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range711w716w717w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range711w716w(0) AND wire_lbarrel_shift_w_sbit_w_range706w(i);
END GENERATE loop12;
loop13 : FOR i IN 0 TO 25 GENERATE
wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range733w738w739w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range733w738w(0) AND wire_lbarrel_shift_w_sbit_w_range728w(i);
END GENERATE loop13;
loop14 : FOR i IN 0 TO 25 GENERATE
wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range755w760w761w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range755w760w(0) AND wire_lbarrel_shift_w_sbit_w_range750w(i);
END GENERATE loop14;
wire_lbarrel_shift_w_lg_w_sel_w_range668w681w(0) <= wire_lbarrel_shift_w_sel_w_range668w(0) AND wire_lbarrel_shift_w_lg_w_dir_w_range665w680w(0);
wire_lbarrel_shift_w_lg_w_sel_w_range668w677w(0) <= wire_lbarrel_shift_w_sel_w_range668w(0) AND wire_lbarrel_shift_w_dir_w_range665w(0);
wire_lbarrel_shift_w_lg_w_sel_w_range689w702w(0) <= wire_lbarrel_shift_w_sel_w_range689w(0) AND wire_lbarrel_shift_w_lg_w_dir_w_range687w701w(0);
wire_lbarrel_shift_w_lg_w_sel_w_range689w698w(0) <= wire_lbarrel_shift_w_sel_w_range689w(0) AND wire_lbarrel_shift_w_dir_w_range687w(0);
wire_lbarrel_shift_w_lg_w_sel_w_range711w724w(0) <= wire_lbarrel_shift_w_sel_w_range711w(0) AND wire_lbarrel_shift_w_lg_w_dir_w_range708w723w(0);
wire_lbarrel_shift_w_lg_w_sel_w_range711w720w(0) <= wire_lbarrel_shift_w_sel_w_range711w(0) AND wire_lbarrel_shift_w_dir_w_range708w(0);
wire_lbarrel_shift_w_lg_w_sel_w_range733w746w(0) <= wire_lbarrel_shift_w_sel_w_range733w(0) AND wire_lbarrel_shift_w_lg_w_dir_w_range730w745w(0);
wire_lbarrel_shift_w_lg_w_sel_w_range733w742w(0) <= wire_lbarrel_shift_w_sel_w_range733w(0) AND wire_lbarrel_shift_w_dir_w_range730w(0);
wire_lbarrel_shift_w_lg_w_sel_w_range755w768w(0) <= wire_lbarrel_shift_w_sel_w_range755w(0) AND wire_lbarrel_shift_w_lg_w_dir_w_range752w767w(0);
wire_lbarrel_shift_w_lg_w_sel_w_range755w764w(0) <= wire_lbarrel_shift_w_sel_w_range755w(0) AND wire_lbarrel_shift_w_dir_w_range752w(0);
wire_lbarrel_shift_w_lg_w_dir_w_range665w680w(0) <= NOT wire_lbarrel_shift_w_dir_w_range665w(0);
wire_lbarrel_shift_w_lg_w_dir_w_range687w701w(0) <= NOT wire_lbarrel_shift_w_dir_w_range687w(0);
wire_lbarrel_shift_w_lg_w_dir_w_range708w723w(0) <= NOT wire_lbarrel_shift_w_dir_w_range708w(0);
wire_lbarrel_shift_w_lg_w_dir_w_range730w745w(0) <= NOT wire_lbarrel_shift_w_dir_w_range730w(0);
wire_lbarrel_shift_w_lg_w_dir_w_range752w767w(0) <= NOT wire_lbarrel_shift_w_dir_w_range752w(0);
wire_lbarrel_shift_w_lg_w_sel_w_range668w673w(0) <= NOT wire_lbarrel_shift_w_sel_w_range668w(0);
wire_lbarrel_shift_w_lg_w_sel_w_range689w694w(0) <= NOT wire_lbarrel_shift_w_sel_w_range689w(0);
wire_lbarrel_shift_w_lg_w_sel_w_range711w716w(0) <= NOT wire_lbarrel_shift_w_sel_w_range711w(0);
wire_lbarrel_shift_w_lg_w_sel_w_range733w738w(0) <= NOT wire_lbarrel_shift_w_sel_w_range733w(0);
wire_lbarrel_shift_w_lg_w_sel_w_range755w760w(0) <= NOT wire_lbarrel_shift_w_sel_w_range755w(0);
loop15 : FOR i IN 0 TO 25 GENERATE
wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range668w681w682w683w(i) <= wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range668w681w682w(i) OR wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range668w677w678w(i);
END GENERATE loop15;
loop16 : FOR i IN 0 TO 25 GENERATE
wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range689w702w703w704w(i) <= wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range689w702w703w(i) OR wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range689w698w699w(i);
END GENERATE loop16;
loop17 : FOR i IN 0 TO 25 GENERATE
wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range711w724w725w726w(i) <= wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range711w724w725w(i) OR wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range711w720w721w(i);
END GENERATE loop17;
loop18 : FOR i IN 0 TO 25 GENERATE
wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range733w746w747w748w(i) <= wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range733w746w747w(i) OR wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range733w742w743w(i);
END GENERATE loop18;
loop19 : FOR i IN 0 TO 25 GENERATE
wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range755w768w769w770w(i) <= wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range755w768w769w(i) OR wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range755w764w765w(i);
END GENERATE loop19;
loop20 : FOR i IN 0 TO 25 GENERATE
wire_lbarrel_shift_w684w(i) <= wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range668w681w682w683w(i) OR wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range668w673w674w(i);
END GENERATE loop20;
loop21 : FOR i IN 0 TO 25 GENERATE
wire_lbarrel_shift_w705w(i) <= wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range689w702w703w704w(i) OR wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range689w694w695w(i);
END GENERATE loop21;
loop22 : FOR i IN 0 TO 25 GENERATE
wire_lbarrel_shift_w727w(i) <= wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range711w724w725w726w(i) OR wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range711w716w717w(i);
END GENERATE loop22;
loop23 : FOR i IN 0 TO 25 GENERATE
wire_lbarrel_shift_w749w(i) <= wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range733w746w747w748w(i) OR wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range733w738w739w(i);
END GENERATE loop23;
loop24 : FOR i IN 0 TO 25 GENERATE
wire_lbarrel_shift_w771w(i) <= wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range755w768w769w770w(i) OR wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range755w760w761w(i);
END GENERATE loop24;
dir_w <= ( dir_pipe(0) & dir_w(3 DOWNTO 0) & direction_w);
direction_w <= '0';
pad_w <= (OTHERS => '0');
result <= sbit_w(155 DOWNTO 130);
sbit_w <= ( sbit_piper1d & smux_w(103 DOWNTO 0) & data);
sel_w <= ( distance(4 DOWNTO 0));
smux_w <= ( wire_lbarrel_shift_w771w & wire_lbarrel_shift_w749w & wire_lbarrel_shift_w727w & wire_lbarrel_shift_w705w & wire_lbarrel_shift_w684w);
wire_lbarrel_shift_w676w <= ( pad_w(0) & sbit_w(25 DOWNTO 1));
wire_lbarrel_shift_w679w <= ( sbit_w(24 DOWNTO 0) & pad_w(0));
wire_lbarrel_shift_w697w <= ( pad_w(1 DOWNTO 0) & sbit_w(51 DOWNTO 28));
wire_lbarrel_shift_w700w <= ( sbit_w(49 DOWNTO 26) & pad_w(1 DOWNTO 0));
wire_lbarrel_shift_w719w <= ( pad_w(3 DOWNTO 0) & sbit_w(77 DOWNTO 56));
wire_lbarrel_shift_w722w <= ( sbit_w(73 DOWNTO 52) & pad_w(3 DOWNTO 0));
wire_lbarrel_shift_w741w <= ( pad_w(7 DOWNTO 0) & sbit_w(103 DOWNTO 86));
wire_lbarrel_shift_w744w <= ( sbit_w(95 DOWNTO 78) & pad_w(7 DOWNTO 0));
wire_lbarrel_shift_w763w <= ( pad_w(15 DOWNTO 0) & sbit_w(129 DOWNTO 120));
wire_lbarrel_shift_w766w <= ( sbit_w(113 DOWNTO 104) & pad_w(15 DOWNTO 0));
wire_lbarrel_shift_w_dir_w_range665w(0) <= dir_w(0);
wire_lbarrel_shift_w_dir_w_range687w(0) <= dir_w(1);
wire_lbarrel_shift_w_dir_w_range708w(0) <= dir_w(2);
wire_lbarrel_shift_w_dir_w_range730w(0) <= dir_w(3);
wire_lbarrel_shift_w_dir_w_range752w(0) <= dir_w(4);
wire_lbarrel_shift_w_sbit_w_range728w <= sbit_w(103 DOWNTO 78);
wire_lbarrel_shift_w_sbit_w_range750w <= sbit_w(129 DOWNTO 104);
wire_lbarrel_shift_w_sbit_w_range663w <= sbit_w(25 DOWNTO 0);
wire_lbarrel_shift_w_sbit_w_range686w <= sbit_w(51 DOWNTO 26);
wire_lbarrel_shift_w_sbit_w_range706w <= sbit_w(77 DOWNTO 52);
wire_lbarrel_shift_w_sel_w_range668w(0) <= sel_w(0);
wire_lbarrel_shift_w_sel_w_range689w(0) <= sel_w(1);
wire_lbarrel_shift_w_sel_w_range711w(0) <= sel_w(2);
wire_lbarrel_shift_w_sel_w_range733w(0) <= sel_w(3);
wire_lbarrel_shift_w_sel_w_range755w(0) <= sel_w(4);
wire_lbarrel_shift_w_smux_w_range759w <= smux_w(129 DOWNTO 104);
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN dir_pipe <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN dir_pipe(0) <= ( dir_w(4));
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN sbit_piper1d <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN sbit_piper1d <= wire_lbarrel_shift_w_smux_w_range759w;
END IF;
END IF;
END PROCESS;
END RTL; --kn_kalman_sub_altbarrel_shift_h0e
--altbarrel_shift CBX_AUTO_BLACKBOX="ALL" DEVICE_FAMILY="Cyclone II" PIPELINE=1 REGISTER_OUTPUT="NO" SHIFTDIR="RIGHT" WIDTH=26 WIDTHDIST=5 aclr clk_en clock data distance result
--VERSION_BEGIN 11.1SP2 cbx_altbarrel_shift 2012:01:25:21:13:53:SJ cbx_mgl 2012:01:25:21:15:41:SJ VERSION_END
--synthesis_resources = reg 29
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY kn_kalman_sub_altbarrel_shift_n3g IS
PORT
(
aclr : IN STD_LOGIC := '0';
clk_en : IN STD_LOGIC := '1';
clock : IN STD_LOGIC := '0';
data : IN STD_LOGIC_VECTOR (25 DOWNTO 0);
distance : IN STD_LOGIC_VECTOR (4 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (25 DOWNTO 0)
);
END kn_kalman_sub_altbarrel_shift_n3g;
ARCHITECTURE RTL OF kn_kalman_sub_altbarrel_shift_n3g IS
SIGNAL dir_pipe : STD_LOGIC_VECTOR(0 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL sbit_piper1d : STD_LOGIC_VECTOR(25 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL sel_pipec3r1d : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL sel_pipec4r1d : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range783w796w797w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range783w792w793w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range804w817w818w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range804w813w814w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range826w839w840w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range826w835w836w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range849w861w862w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range849w857w858w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range868w880w881w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range868w876w877w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range783w788w789w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range804w809w810w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range826w831w832w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range849w853w854w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range868w872w873w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_sel_w_range783w796w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_sel_w_range783w792w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_sel_w_range804w817w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_sel_w_range804w813w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_sel_w_range826w839w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_sel_w_range826w835w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_sel_w_range849w861w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_sel_w_range849w857w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_sel_w_range868w880w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_sel_w_range868w876w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_dir_w_range780w795w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_dir_w_range802w816w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_dir_w_range823w838w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_dir_w_range847w860w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_dir_w_range866w879w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_sel_w_range783w788w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_sel_w_range804w809w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_sel_w_range826w831w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_sel_w_range849w853w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_sel_w_range868w872w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range783w796w797w798w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range804w817w818w819w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range826w839w840w841w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range849w861w862w863w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range868w880w881w882w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w799w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w820w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w842w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w864w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w883w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL dir_w : STD_LOGIC_VECTOR (5 DOWNTO 0);
SIGNAL direction_w : STD_LOGIC;
SIGNAL pad_w : STD_LOGIC_VECTOR (15 DOWNTO 0);
SIGNAL sbit_w : STD_LOGIC_VECTOR (155 DOWNTO 0);
SIGNAL sel_w : STD_LOGIC_VECTOR (4 DOWNTO 0);
SIGNAL smux_w : STD_LOGIC_VECTOR (129 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w791w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w794w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w812w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w815w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w834w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w837w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w856w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w859w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w875w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w878w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_dir_w_range780w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_dir_w_range802w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_dir_w_range823w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_dir_w_range847w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_dir_w_range866w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_sbit_w_range843w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_sbit_w_range865w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_sbit_w_range778w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_sbit_w_range801w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_sbit_w_range821w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_sel_w_range783w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_sel_w_range804w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_sel_w_range826w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_sel_w_range849w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_sel_w_range868w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_smux_w_range830w : STD_LOGIC_VECTOR (25 DOWNTO 0);
BEGIN
loop25 : FOR i IN 0 TO 25 GENERATE
wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range783w796w797w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range783w796w(0) AND wire_rbarrel_shift_w794w(i);
END GENERATE loop25;
loop26 : FOR i IN 0 TO 25 GENERATE
wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range783w792w793w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range783w792w(0) AND wire_rbarrel_shift_w791w(i);
END GENERATE loop26;
loop27 : FOR i IN 0 TO 25 GENERATE
wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range804w817w818w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range804w817w(0) AND wire_rbarrel_shift_w815w(i);
END GENERATE loop27;
loop28 : FOR i IN 0 TO 25 GENERATE
wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range804w813w814w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range804w813w(0) AND wire_rbarrel_shift_w812w(i);
END GENERATE loop28;
loop29 : FOR i IN 0 TO 25 GENERATE
wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range826w839w840w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range826w839w(0) AND wire_rbarrel_shift_w837w(i);
END GENERATE loop29;
loop30 : FOR i IN 0 TO 25 GENERATE
wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range826w835w836w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range826w835w(0) AND wire_rbarrel_shift_w834w(i);
END GENERATE loop30;
loop31 : FOR i IN 0 TO 25 GENERATE
wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range849w861w862w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range849w861w(0) AND wire_rbarrel_shift_w859w(i);
END GENERATE loop31;
loop32 : FOR i IN 0 TO 25 GENERATE
wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range849w857w858w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range849w857w(0) AND wire_rbarrel_shift_w856w(i);
END GENERATE loop32;
loop33 : FOR i IN 0 TO 25 GENERATE
wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range868w880w881w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range868w880w(0) AND wire_rbarrel_shift_w878w(i);
END GENERATE loop33;
loop34 : FOR i IN 0 TO 25 GENERATE
wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range868w876w877w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range868w876w(0) AND wire_rbarrel_shift_w875w(i);
END GENERATE loop34;
loop35 : FOR i IN 0 TO 25 GENERATE
wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range783w788w789w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range783w788w(0) AND wire_rbarrel_shift_w_sbit_w_range778w(i);
END GENERATE loop35;
loop36 : FOR i IN 0 TO 25 GENERATE
wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range804w809w810w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range804w809w(0) AND wire_rbarrel_shift_w_sbit_w_range801w(i);
END GENERATE loop36;
loop37 : FOR i IN 0 TO 25 GENERATE
wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range826w831w832w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range826w831w(0) AND wire_rbarrel_shift_w_sbit_w_range821w(i);
END GENERATE loop37;
loop38 : FOR i IN 0 TO 25 GENERATE
wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range849w853w854w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range849w853w(0) AND wire_rbarrel_shift_w_sbit_w_range843w(i);
END GENERATE loop38;
loop39 : FOR i IN 0 TO 25 GENERATE
wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range868w872w873w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range868w872w(0) AND wire_rbarrel_shift_w_sbit_w_range865w(i);
END GENERATE loop39;
wire_rbarrel_shift_w_lg_w_sel_w_range783w796w(0) <= wire_rbarrel_shift_w_sel_w_range783w(0) AND wire_rbarrel_shift_w_lg_w_dir_w_range780w795w(0);
wire_rbarrel_shift_w_lg_w_sel_w_range783w792w(0) <= wire_rbarrel_shift_w_sel_w_range783w(0) AND wire_rbarrel_shift_w_dir_w_range780w(0);
wire_rbarrel_shift_w_lg_w_sel_w_range804w817w(0) <= wire_rbarrel_shift_w_sel_w_range804w(0) AND wire_rbarrel_shift_w_lg_w_dir_w_range802w816w(0);
wire_rbarrel_shift_w_lg_w_sel_w_range804w813w(0) <= wire_rbarrel_shift_w_sel_w_range804w(0) AND wire_rbarrel_shift_w_dir_w_range802w(0);
wire_rbarrel_shift_w_lg_w_sel_w_range826w839w(0) <= wire_rbarrel_shift_w_sel_w_range826w(0) AND wire_rbarrel_shift_w_lg_w_dir_w_range823w838w(0);
wire_rbarrel_shift_w_lg_w_sel_w_range826w835w(0) <= wire_rbarrel_shift_w_sel_w_range826w(0) AND wire_rbarrel_shift_w_dir_w_range823w(0);
wire_rbarrel_shift_w_lg_w_sel_w_range849w861w(0) <= wire_rbarrel_shift_w_sel_w_range849w(0) AND wire_rbarrel_shift_w_lg_w_dir_w_range847w860w(0);
wire_rbarrel_shift_w_lg_w_sel_w_range849w857w(0) <= wire_rbarrel_shift_w_sel_w_range849w(0) AND wire_rbarrel_shift_w_dir_w_range847w(0);
wire_rbarrel_shift_w_lg_w_sel_w_range868w880w(0) <= wire_rbarrel_shift_w_sel_w_range868w(0) AND wire_rbarrel_shift_w_lg_w_dir_w_range866w879w(0);
wire_rbarrel_shift_w_lg_w_sel_w_range868w876w(0) <= wire_rbarrel_shift_w_sel_w_range868w(0) AND wire_rbarrel_shift_w_dir_w_range866w(0);
wire_rbarrel_shift_w_lg_w_dir_w_range780w795w(0) <= NOT wire_rbarrel_shift_w_dir_w_range780w(0);
wire_rbarrel_shift_w_lg_w_dir_w_range802w816w(0) <= NOT wire_rbarrel_shift_w_dir_w_range802w(0);
wire_rbarrel_shift_w_lg_w_dir_w_range823w838w(0) <= NOT wire_rbarrel_shift_w_dir_w_range823w(0);
wire_rbarrel_shift_w_lg_w_dir_w_range847w860w(0) <= NOT wire_rbarrel_shift_w_dir_w_range847w(0);
wire_rbarrel_shift_w_lg_w_dir_w_range866w879w(0) <= NOT wire_rbarrel_shift_w_dir_w_range866w(0);
wire_rbarrel_shift_w_lg_w_sel_w_range783w788w(0) <= NOT wire_rbarrel_shift_w_sel_w_range783w(0);
wire_rbarrel_shift_w_lg_w_sel_w_range804w809w(0) <= NOT wire_rbarrel_shift_w_sel_w_range804w(0);
wire_rbarrel_shift_w_lg_w_sel_w_range826w831w(0) <= NOT wire_rbarrel_shift_w_sel_w_range826w(0);
wire_rbarrel_shift_w_lg_w_sel_w_range849w853w(0) <= NOT wire_rbarrel_shift_w_sel_w_range849w(0);
wire_rbarrel_shift_w_lg_w_sel_w_range868w872w(0) <= NOT wire_rbarrel_shift_w_sel_w_range868w(0);
loop40 : FOR i IN 0 TO 25 GENERATE
wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range783w796w797w798w(i) <= wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range783w796w797w(i) OR wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range783w792w793w(i);
END GENERATE loop40;
loop41 : FOR i IN 0 TO 25 GENERATE
wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range804w817w818w819w(i) <= wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range804w817w818w(i) OR wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range804w813w814w(i);
END GENERATE loop41;
loop42 : FOR i IN 0 TO 25 GENERATE
wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range826w839w840w841w(i) <= wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range826w839w840w(i) OR wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range826w835w836w(i);
END GENERATE loop42;
loop43 : FOR i IN 0 TO 25 GENERATE
wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range849w861w862w863w(i) <= wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range849w861w862w(i) OR wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range849w857w858w(i);
END GENERATE loop43;
loop44 : FOR i IN 0 TO 25 GENERATE
wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range868w880w881w882w(i) <= wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range868w880w881w(i) OR wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range868w876w877w(i);
END GENERATE loop44;
loop45 : FOR i IN 0 TO 25 GENERATE
wire_rbarrel_shift_w799w(i) <= wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range783w796w797w798w(i) OR wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range783w788w789w(i);
END GENERATE loop45;
loop46 : FOR i IN 0 TO 25 GENERATE
wire_rbarrel_shift_w820w(i) <= wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range804w817w818w819w(i) OR wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range804w809w810w(i);
END GENERATE loop46;
loop47 : FOR i IN 0 TO 25 GENERATE
wire_rbarrel_shift_w842w(i) <= wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range826w839w840w841w(i) OR wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range826w831w832w(i);
END GENERATE loop47;
loop48 : FOR i IN 0 TO 25 GENERATE
wire_rbarrel_shift_w864w(i) <= wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range849w861w862w863w(i) OR wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range849w853w854w(i);
END GENERATE loop48;
loop49 : FOR i IN 0 TO 25 GENERATE
wire_rbarrel_shift_w883w(i) <= wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range868w880w881w882w(i) OR wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range868w872w873w(i);
END GENERATE loop49;
dir_w <= ( dir_w(4 DOWNTO 3) & dir_pipe(0) & dir_w(1 DOWNTO 0) & direction_w);
direction_w <= '1';
pad_w <= (OTHERS => '0');
result <= sbit_w(155 DOWNTO 130);
sbit_w <= ( smux_w(129 DOWNTO 78) & sbit_piper1d & smux_w(51 DOWNTO 0) & data);
sel_w <= ( sel_pipec4r1d & sel_pipec3r1d & distance(2 DOWNTO 0));
smux_w <= ( wire_rbarrel_shift_w883w & wire_rbarrel_shift_w864w & wire_rbarrel_shift_w842w & wire_rbarrel_shift_w820w & wire_rbarrel_shift_w799w);
wire_rbarrel_shift_w791w <= ( pad_w(0) & sbit_w(25 DOWNTO 1));
wire_rbarrel_shift_w794w <= ( sbit_w(24 DOWNTO 0) & pad_w(0));
wire_rbarrel_shift_w812w <= ( pad_w(1 DOWNTO 0) & sbit_w(51 DOWNTO 28));
wire_rbarrel_shift_w815w <= ( sbit_w(49 DOWNTO 26) & pad_w(1 DOWNTO 0));
wire_rbarrel_shift_w834w <= ( pad_w(3 DOWNTO 0) & sbit_w(77 DOWNTO 56));
wire_rbarrel_shift_w837w <= ( sbit_w(73 DOWNTO 52) & pad_w(3 DOWNTO 0));
wire_rbarrel_shift_w856w <= ( pad_w(7 DOWNTO 0) & sbit_w(103 DOWNTO 86));
wire_rbarrel_shift_w859w <= ( sbit_w(95 DOWNTO 78) & pad_w(7 DOWNTO 0));
wire_rbarrel_shift_w875w <= ( pad_w(15 DOWNTO 0) & sbit_w(129 DOWNTO 120));
wire_rbarrel_shift_w878w <= ( sbit_w(113 DOWNTO 104) & pad_w(15 DOWNTO 0));
wire_rbarrel_shift_w_dir_w_range780w(0) <= dir_w(0);
wire_rbarrel_shift_w_dir_w_range802w(0) <= dir_w(1);
wire_rbarrel_shift_w_dir_w_range823w(0) <= dir_w(2);
wire_rbarrel_shift_w_dir_w_range847w(0) <= dir_w(3);
wire_rbarrel_shift_w_dir_w_range866w(0) <= dir_w(4);
wire_rbarrel_shift_w_sbit_w_range843w <= sbit_w(103 DOWNTO 78);
wire_rbarrel_shift_w_sbit_w_range865w <= sbit_w(129 DOWNTO 104);
wire_rbarrel_shift_w_sbit_w_range778w <= sbit_w(25 DOWNTO 0);
wire_rbarrel_shift_w_sbit_w_range801w <= sbit_w(51 DOWNTO 26);
wire_rbarrel_shift_w_sbit_w_range821w <= sbit_w(77 DOWNTO 52);
wire_rbarrel_shift_w_sel_w_range783w(0) <= sel_w(0);
wire_rbarrel_shift_w_sel_w_range804w(0) <= sel_w(1);
wire_rbarrel_shift_w_sel_w_range826w(0) <= sel_w(2);
wire_rbarrel_shift_w_sel_w_range849w(0) <= sel_w(3);
wire_rbarrel_shift_w_sel_w_range868w(0) <= sel_w(4);
wire_rbarrel_shift_w_smux_w_range830w <= smux_w(77 DOWNTO 52);
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN dir_pipe <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN dir_pipe(0) <= ( dir_w(2));
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN sbit_piper1d <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN sbit_piper1d <= wire_rbarrel_shift_w_smux_w_range830w;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN sel_pipec3r1d <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN sel_pipec3r1d <= distance(3);
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN sel_pipec4r1d <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN sel_pipec4r1d <= distance(4);
END IF;
END IF;
END PROCESS;
END RTL; --kn_kalman_sub_altbarrel_shift_n3g
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" PIPELINE=1 WIDTH=32 WIDTHAD=5 aclr clk_en clock data q
--VERSION_BEGIN 11.1SP2 cbx_altpriority_encoder 2012:01:25:21:13:53:SJ cbx_mgl 2012:01:25:21:15:41:SJ VERSION_END
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="NO" PIPELINE=0 WIDTH=16 WIDTHAD=4 data q
--VERSION_BEGIN 11.1SP2 cbx_altpriority_encoder 2012:01:25:21:13:53:SJ cbx_mgl 2012:01:25:21:15:41:SJ VERSION_END
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="NO" WIDTH=8 WIDTHAD=3 data q zero
--VERSION_BEGIN 11.1SP2 cbx_altpriority_encoder 2012:01:25:21:13:53:SJ cbx_mgl 2012:01:25:21:15:41:SJ VERSION_END
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="NO" WIDTH=4 WIDTHAD=2 data q zero
--VERSION_BEGIN 11.1SP2 cbx_altpriority_encoder 2012:01:25:21:13:53:SJ cbx_mgl 2012:01:25:21:15:41:SJ VERSION_END
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="NO" WIDTH=2 WIDTHAD=1 data q zero
--VERSION_BEGIN 11.1SP2 cbx_altpriority_encoder 2012:01:25:21:13:53:SJ cbx_mgl 2012:01:25:21:15:41:SJ VERSION_END
--synthesis_resources =
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY kn_kalman_sub_altpriority_encoder_3e8 IS
PORT
(
data : IN STD_LOGIC_VECTOR (1 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR (0 DOWNTO 0);
zero : OUT STD_LOGIC
);
END kn_kalman_sub_altpriority_encoder_3e8;
ARCHITECTURE RTL OF kn_kalman_sub_altpriority_encoder_3e8 IS
BEGIN
q(0) <= ( data(1));
zero <= (NOT (data(0) OR data(1)));
END RTL; --kn_kalman_sub_altpriority_encoder_3e8
--synthesis_resources =
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY kn_kalman_sub_altpriority_encoder_6e8 IS
PORT
(
data : IN STD_LOGIC_VECTOR (3 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR (1 DOWNTO 0);
zero : OUT STD_LOGIC
);
END kn_kalman_sub_altpriority_encoder_6e8;
ARCHITECTURE RTL OF kn_kalman_sub_altpriority_encoder_6e8 IS
SIGNAL wire_altpriority_encoder13_q : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder13_zero : STD_LOGIC;
SIGNAL wire_altpriority_encoder14_w_lg_w_lg_zero919w920w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder14_w_lg_zero921w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder14_w_lg_zero919w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder14_w_lg_w_lg_zero921w922w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder14_q : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder14_zero : STD_LOGIC;
COMPONENT kn_kalman_sub_altpriority_encoder_3e8
PORT
(
data : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
zero : OUT STD_LOGIC
);
END COMPONENT;
BEGIN
q <= ( wire_altpriority_encoder14_w_lg_zero919w & wire_altpriority_encoder14_w_lg_w_lg_zero921w922w);
zero <= (wire_altpriority_encoder13_zero AND wire_altpriority_encoder14_zero);
altpriority_encoder13 : kn_kalman_sub_altpriority_encoder_3e8
PORT MAP (
data => data(1 DOWNTO 0),
q => wire_altpriority_encoder13_q,
zero => wire_altpriority_encoder13_zero
);
wire_altpriority_encoder14_w_lg_w_lg_zero919w920w(0) <= wire_altpriority_encoder14_w_lg_zero919w(0) AND wire_altpriority_encoder14_q(0);
wire_altpriority_encoder14_w_lg_zero921w(0) <= wire_altpriority_encoder14_zero AND wire_altpriority_encoder13_q(0);
wire_altpriority_encoder14_w_lg_zero919w(0) <= NOT wire_altpriority_encoder14_zero;
wire_altpriority_encoder14_w_lg_w_lg_zero921w922w(0) <= wire_altpriority_encoder14_w_lg_zero921w(0) OR wire_altpriority_encoder14_w_lg_w_lg_zero919w920w(0);
altpriority_encoder14 : kn_kalman_sub_altpriority_encoder_3e8
PORT MAP (
data => data(3 DOWNTO 2),
q => wire_altpriority_encoder14_q,
zero => wire_altpriority_encoder14_zero
);
END RTL; --kn_kalman_sub_altpriority_encoder_6e8
--synthesis_resources =
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY kn_kalman_sub_altpriority_encoder_be8 IS
PORT
(
data : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR (2 DOWNTO 0);
zero : OUT STD_LOGIC
);
END kn_kalman_sub_altpriority_encoder_be8;
ARCHITECTURE RTL OF kn_kalman_sub_altpriority_encoder_be8 IS
SIGNAL wire_altpriority_encoder11_q : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL wire_altpriority_encoder11_zero : STD_LOGIC;
SIGNAL wire_altpriority_encoder12_w_lg_w_lg_zero909w910w : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL wire_altpriority_encoder12_w_lg_zero911w : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL wire_altpriority_encoder12_w_lg_zero909w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder12_w_lg_w_lg_zero911w912w : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL wire_altpriority_encoder12_q : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL wire_altpriority_encoder12_zero : STD_LOGIC;
COMPONENT kn_kalman_sub_altpriority_encoder_6e8
PORT
(
data : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
zero : OUT STD_LOGIC
);
END COMPONENT;
BEGIN
q <= ( wire_altpriority_encoder12_w_lg_zero909w & wire_altpriority_encoder12_w_lg_w_lg_zero911w912w);
zero <= (wire_altpriority_encoder11_zero AND wire_altpriority_encoder12_zero);
altpriority_encoder11 : kn_kalman_sub_altpriority_encoder_6e8
PORT MAP (
data => data(3 DOWNTO 0),
q => wire_altpriority_encoder11_q,
zero => wire_altpriority_encoder11_zero
);
loop50 : FOR i IN 0 TO 1 GENERATE
wire_altpriority_encoder12_w_lg_w_lg_zero909w910w(i) <= wire_altpriority_encoder12_w_lg_zero909w(0) AND wire_altpriority_encoder12_q(i);
END GENERATE loop50;
loop51 : FOR i IN 0 TO 1 GENERATE
wire_altpriority_encoder12_w_lg_zero911w(i) <= wire_altpriority_encoder12_zero AND wire_altpriority_encoder11_q(i);
END GENERATE loop51;
wire_altpriority_encoder12_w_lg_zero909w(0) <= NOT wire_altpriority_encoder12_zero;
loop52 : FOR i IN 0 TO 1 GENERATE
wire_altpriority_encoder12_w_lg_w_lg_zero911w912w(i) <= wire_altpriority_encoder12_w_lg_zero911w(i) OR wire_altpriority_encoder12_w_lg_w_lg_zero909w910w(i);
END GENERATE loop52;
altpriority_encoder12 : kn_kalman_sub_altpriority_encoder_6e8
PORT MAP (
data => data(7 DOWNTO 4),
q => wire_altpriority_encoder12_q,
zero => wire_altpriority_encoder12_zero
);
END RTL; --kn_kalman_sub_altpriority_encoder_be8
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="NO" WIDTH=8 WIDTHAD=3 data q
--VERSION_BEGIN 11.1SP2 cbx_altpriority_encoder 2012:01:25:21:13:53:SJ cbx_mgl 2012:01:25:21:15:41:SJ VERSION_END
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="NO" WIDTH=4 WIDTHAD=2 data q
--VERSION_BEGIN 11.1SP2 cbx_altpriority_encoder 2012:01:25:21:13:53:SJ cbx_mgl 2012:01:25:21:15:41:SJ VERSION_END
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="NO" WIDTH=2 WIDTHAD=1 data q
--VERSION_BEGIN 11.1SP2 cbx_altpriority_encoder 2012:01:25:21:13:53:SJ cbx_mgl 2012:01:25:21:15:41:SJ VERSION_END
--synthesis_resources =
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY kn_kalman_sub_altpriority_encoder_3v7 IS
PORT
(
data : IN STD_LOGIC_VECTOR (1 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR (0 DOWNTO 0)
);
END kn_kalman_sub_altpriority_encoder_3v7;
ARCHITECTURE RTL OF kn_kalman_sub_altpriority_encoder_3v7 IS
BEGIN
q(0) <= ( data(1));
END RTL; --kn_kalman_sub_altpriority_encoder_3v7
--synthesis_resources =
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY kn_kalman_sub_altpriority_encoder_6v7 IS
PORT
(
data : IN STD_LOGIC_VECTOR (3 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR (1 DOWNTO 0)
);
END kn_kalman_sub_altpriority_encoder_6v7;
ARCHITECTURE RTL OF kn_kalman_sub_altpriority_encoder_6v7 IS
SIGNAL wire_altpriority_encoder17_q : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder18_w_lg_w_lg_zero944w945w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder18_w_lg_zero946w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder18_w_lg_zero944w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder18_w_lg_w_lg_zero946w947w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder18_q : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder18_zero : STD_LOGIC;
COMPONENT kn_kalman_sub_altpriority_encoder_3v7
PORT
(
data : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR(0 DOWNTO 0)
);
END COMPONENT;
COMPONENT kn_kalman_sub_altpriority_encoder_3e8
PORT
(
data : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
zero : OUT STD_LOGIC
);
END COMPONENT;
BEGIN
q <= ( wire_altpriority_encoder18_w_lg_zero944w & wire_altpriority_encoder18_w_lg_w_lg_zero946w947w);
altpriority_encoder17 : kn_kalman_sub_altpriority_encoder_3v7
PORT MAP (
data => data(1 DOWNTO 0),
q => wire_altpriority_encoder17_q
);
wire_altpriority_encoder18_w_lg_w_lg_zero944w945w(0) <= wire_altpriority_encoder18_w_lg_zero944w(0) AND wire_altpriority_encoder18_q(0);
wire_altpriority_encoder18_w_lg_zero946w(0) <= wire_altpriority_encoder18_zero AND wire_altpriority_encoder17_q(0);
wire_altpriority_encoder18_w_lg_zero944w(0) <= NOT wire_altpriority_encoder18_zero;
wire_altpriority_encoder18_w_lg_w_lg_zero946w947w(0) <= wire_altpriority_encoder18_w_lg_zero946w(0) OR wire_altpriority_encoder18_w_lg_w_lg_zero944w945w(0);
altpriority_encoder18 : kn_kalman_sub_altpriority_encoder_3e8
PORT MAP (
data => data(3 DOWNTO 2),
q => wire_altpriority_encoder18_q,
zero => wire_altpriority_encoder18_zero
);
END RTL; --kn_kalman_sub_altpriority_encoder_6v7
--synthesis_resources =
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY kn_kalman_sub_altpriority_encoder_bv7 IS
PORT
(
data : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR (2 DOWNTO 0)
);
END kn_kalman_sub_altpriority_encoder_bv7;
ARCHITECTURE RTL OF kn_kalman_sub_altpriority_encoder_bv7 IS
SIGNAL wire_altpriority_encoder15_q : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL wire_altpriority_encoder16_w_lg_w_lg_zero935w936w : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL wire_altpriority_encoder16_w_lg_zero937w : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL wire_altpriority_encoder16_w_lg_zero935w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder16_w_lg_w_lg_zero937w938w : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL wire_altpriority_encoder16_q : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL wire_altpriority_encoder16_zero : STD_LOGIC;
COMPONENT kn_kalman_sub_altpriority_encoder_6v7
PORT
(
data : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR(1 DOWNTO 0)
);
END COMPONENT;
COMPONENT kn_kalman_sub_altpriority_encoder_6e8
PORT
(
data : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
zero : OUT STD_LOGIC
);
END COMPONENT;
BEGIN
q <= ( wire_altpriority_encoder16_w_lg_zero935w & wire_altpriority_encoder16_w_lg_w_lg_zero937w938w);
altpriority_encoder15 : kn_kalman_sub_altpriority_encoder_6v7
PORT MAP (
data => data(3 DOWNTO 0),
q => wire_altpriority_encoder15_q
);
loop53 : FOR i IN 0 TO 1 GENERATE
wire_altpriority_encoder16_w_lg_w_lg_zero935w936w(i) <= wire_altpriority_encoder16_w_lg_zero935w(0) AND wire_altpriority_encoder16_q(i);
END GENERATE loop53;
loop54 : FOR i IN 0 TO 1 GENERATE
wire_altpriority_encoder16_w_lg_zero937w(i) <= wire_altpriority_encoder16_zero AND wire_altpriority_encoder15_q(i);
END GENERATE loop54;
wire_altpriority_encoder16_w_lg_zero935w(0) <= NOT wire_altpriority_encoder16_zero;
loop55 : FOR i IN 0 TO 1 GENERATE
wire_altpriority_encoder16_w_lg_w_lg_zero937w938w(i) <= wire_altpriority_encoder16_w_lg_zero937w(i) OR wire_altpriority_encoder16_w_lg_w_lg_zero935w936w(i);
END GENERATE loop55;
altpriority_encoder16 : kn_kalman_sub_altpriority_encoder_6e8
PORT MAP (
data => data(7 DOWNTO 4),
q => wire_altpriority_encoder16_q,
zero => wire_altpriority_encoder16_zero
);
END RTL; --kn_kalman_sub_altpriority_encoder_bv7
--synthesis_resources =
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY kn_kalman_sub_altpriority_encoder_uv8 IS
PORT
(
data : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR (3 DOWNTO 0)
);
END kn_kalman_sub_altpriority_encoder_uv8;
ARCHITECTURE RTL OF kn_kalman_sub_altpriority_encoder_uv8 IS
SIGNAL wire_altpriority_encoder10_w_lg_w_lg_zero900w901w : STD_LOGIC_VECTOR (2 DOWNTO 0);
SIGNAL wire_altpriority_encoder10_w_lg_zero902w : STD_LOGIC_VECTOR (2 DOWNTO 0);
SIGNAL wire_altpriority_encoder10_w_lg_zero900w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder10_w_lg_w_lg_zero902w903w : STD_LOGIC_VECTOR (2 DOWNTO 0);
SIGNAL wire_altpriority_encoder10_q : STD_LOGIC_VECTOR (2 DOWNTO 0);
SIGNAL wire_altpriority_encoder10_zero : STD_LOGIC;
SIGNAL wire_altpriority_encoder9_q : STD_LOGIC_VECTOR (2 DOWNTO 0);
COMPONENT kn_kalman_sub_altpriority_encoder_be8
PORT
(
data : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
zero : OUT STD_LOGIC
);
END COMPONENT;
COMPONENT kn_kalman_sub_altpriority_encoder_bv7
PORT
(
data : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR(2 DOWNTO 0)
);
END COMPONENT;
BEGIN
q <= ( wire_altpriority_encoder10_w_lg_zero900w & wire_altpriority_encoder10_w_lg_w_lg_zero902w903w);
loop56 : FOR i IN 0 TO 2 GENERATE
wire_altpriority_encoder10_w_lg_w_lg_zero900w901w(i) <= wire_altpriority_encoder10_w_lg_zero900w(0) AND wire_altpriority_encoder10_q(i);
END GENERATE loop56;
loop57 : FOR i IN 0 TO 2 GENERATE
wire_altpriority_encoder10_w_lg_zero902w(i) <= wire_altpriority_encoder10_zero AND wire_altpriority_encoder9_q(i);
END GENERATE loop57;
wire_altpriority_encoder10_w_lg_zero900w(0) <= NOT wire_altpriority_encoder10_zero;
loop58 : FOR i IN 0 TO 2 GENERATE
wire_altpriority_encoder10_w_lg_w_lg_zero902w903w(i) <= wire_altpriority_encoder10_w_lg_zero902w(i) OR wire_altpriority_encoder10_w_lg_w_lg_zero900w901w(i);
END GENERATE loop58;
altpriority_encoder10 : kn_kalman_sub_altpriority_encoder_be8
PORT MAP (
data => data(15 DOWNTO 8),
q => wire_altpriority_encoder10_q,
zero => wire_altpriority_encoder10_zero
);
altpriority_encoder9 : kn_kalman_sub_altpriority_encoder_bv7
PORT MAP (
data => data(7 DOWNTO 0),
q => wire_altpriority_encoder9_q
);
END RTL; --kn_kalman_sub_altpriority_encoder_uv8
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="NO" PIPELINE=0 WIDTH=16 WIDTHAD=4 data q zero
--VERSION_BEGIN 11.1SP2 cbx_altpriority_encoder 2012:01:25:21:13:53:SJ cbx_mgl 2012:01:25:21:15:41:SJ VERSION_END
--synthesis_resources =
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY kn_kalman_sub_altpriority_encoder_ue9 IS
PORT
(
data : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR (3 DOWNTO 0);
zero : OUT STD_LOGIC
);
END kn_kalman_sub_altpriority_encoder_ue9;
ARCHITECTURE RTL OF kn_kalman_sub_altpriority_encoder_ue9 IS
SIGNAL wire_altpriority_encoder19_q : STD_LOGIC_VECTOR (2 DOWNTO 0);
SIGNAL wire_altpriority_encoder19_zero : STD_LOGIC;
SIGNAL wire_altpriority_encoder20_w_lg_w_lg_zero956w957w : STD_LOGIC_VECTOR (2 DOWNTO 0);
SIGNAL wire_altpriority_encoder20_w_lg_zero958w : STD_LOGIC_VECTOR (2 DOWNTO 0);
SIGNAL wire_altpriority_encoder20_w_lg_zero956w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder20_w_lg_w_lg_zero958w959w : STD_LOGIC_VECTOR (2 DOWNTO 0);
SIGNAL wire_altpriority_encoder20_q : STD_LOGIC_VECTOR (2 DOWNTO 0);
SIGNAL wire_altpriority_encoder20_zero : STD_LOGIC;
COMPONENT kn_kalman_sub_altpriority_encoder_be8
PORT
(
data : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
zero : OUT STD_LOGIC
);
END COMPONENT;
BEGIN
q <= ( wire_altpriority_encoder20_w_lg_zero956w & wire_altpriority_encoder20_w_lg_w_lg_zero958w959w);
zero <= (wire_altpriority_encoder19_zero AND wire_altpriority_encoder20_zero);
altpriority_encoder19 : kn_kalman_sub_altpriority_encoder_be8
PORT MAP (
data => data(7 DOWNTO 0),
q => wire_altpriority_encoder19_q,
zero => wire_altpriority_encoder19_zero
);
loop59 : FOR i IN 0 TO 2 GENERATE
wire_altpriority_encoder20_w_lg_w_lg_zero956w957w(i) <= wire_altpriority_encoder20_w_lg_zero956w(0) AND wire_altpriority_encoder20_q(i);
END GENERATE loop59;
loop60 : FOR i IN 0 TO 2 GENERATE
wire_altpriority_encoder20_w_lg_zero958w(i) <= wire_altpriority_encoder20_zero AND wire_altpriority_encoder19_q(i);
END GENERATE loop60;
wire_altpriority_encoder20_w_lg_zero956w(0) <= NOT wire_altpriority_encoder20_zero;
loop61 : FOR i IN 0 TO 2 GENERATE
wire_altpriority_encoder20_w_lg_w_lg_zero958w959w(i) <= wire_altpriority_encoder20_w_lg_zero958w(i) OR wire_altpriority_encoder20_w_lg_w_lg_zero956w957w(i);
END GENERATE loop61;
altpriority_encoder20 : kn_kalman_sub_altpriority_encoder_be8
PORT MAP (
data => data(15 DOWNTO 8),
q => wire_altpriority_encoder20_q,
zero => wire_altpriority_encoder20_zero
);
END RTL; --kn_kalman_sub_altpriority_encoder_ue9
--synthesis_resources = reg 5
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY kn_kalman_sub_altpriority_encoder_ou8 IS
PORT
(
aclr : IN STD_LOGIC := '0';
clk_en : IN STD_LOGIC := '1';
clock : IN STD_LOGIC := '0';
data : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR (4 DOWNTO 0)
);
END kn_kalman_sub_altpriority_encoder_ou8;
ARCHITECTURE RTL OF kn_kalman_sub_altpriority_encoder_ou8 IS
SIGNAL wire_altpriority_encoder7_q : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL wire_altpriority_encoder8_w_lg_w_lg_zero890w891w : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL wire_altpriority_encoder8_w_lg_zero892w : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL wire_altpriority_encoder8_w_lg_zero890w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder8_w_lg_w_lg_zero892w893w : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL wire_altpriority_encoder8_q : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL wire_altpriority_encoder8_zero : STD_LOGIC;
SIGNAL pipeline_q_dffe : STD_LOGIC_VECTOR(4 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL tmp_q_wire : STD_LOGIC_VECTOR (4 DOWNTO 0);
COMPONENT kn_kalman_sub_altpriority_encoder_uv8
PORT
(
data : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR(3 DOWNTO 0)
);
END COMPONENT;
COMPONENT kn_kalman_sub_altpriority_encoder_ue9
PORT
(
data : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
zero : OUT STD_LOGIC
);
END COMPONENT;
BEGIN
q <= pipeline_q_dffe;
tmp_q_wire <= ( wire_altpriority_encoder8_w_lg_zero890w & wire_altpriority_encoder8_w_lg_w_lg_zero892w893w);
altpriority_encoder7 : kn_kalman_sub_altpriority_encoder_uv8
PORT MAP (
data => data(15 DOWNTO 0),
q => wire_altpriority_encoder7_q
);
loop62 : FOR i IN 0 TO 3 GENERATE
wire_altpriority_encoder8_w_lg_w_lg_zero890w891w(i) <= wire_altpriority_encoder8_w_lg_zero890w(0) AND wire_altpriority_encoder8_q(i);
END GENERATE loop62;
loop63 : FOR i IN 0 TO 3 GENERATE
wire_altpriority_encoder8_w_lg_zero892w(i) <= wire_altpriority_encoder8_zero AND wire_altpriority_encoder7_q(i);
END GENERATE loop63;
wire_altpriority_encoder8_w_lg_zero890w(0) <= NOT wire_altpriority_encoder8_zero;
loop64 : FOR i IN 0 TO 3 GENERATE
wire_altpriority_encoder8_w_lg_w_lg_zero892w893w(i) <= wire_altpriority_encoder8_w_lg_zero892w(i) OR wire_altpriority_encoder8_w_lg_w_lg_zero890w891w(i);
END GENERATE loop64;
altpriority_encoder8 : kn_kalman_sub_altpriority_encoder_ue9
PORT MAP (
data => data(31 DOWNTO 16),
q => wire_altpriority_encoder8_q,
zero => wire_altpriority_encoder8_zero
);
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN pipeline_q_dffe <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN pipeline_q_dffe <= tmp_q_wire;
END IF;
END IF;
END PROCESS;
END RTL; --kn_kalman_sub_altpriority_encoder_ou8
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="YES" PIPELINE=1 WIDTH=32 WIDTHAD=5 aclr clk_en clock data q
--VERSION_BEGIN 11.1SP2 cbx_altpriority_encoder 2012:01:25:21:13:53:SJ cbx_mgl 2012:01:25:21:15:41:SJ VERSION_END
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="YES" PIPELINE=0 WIDTH=16 WIDTHAD=4 data q zero
--VERSION_BEGIN 11.1SP2 cbx_altpriority_encoder 2012:01:25:21:13:53:SJ cbx_mgl 2012:01:25:21:15:41:SJ VERSION_END
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="YES" WIDTH=8 WIDTHAD=3 data q zero
--VERSION_BEGIN 11.1SP2 cbx_altpriority_encoder 2012:01:25:21:13:53:SJ cbx_mgl 2012:01:25:21:15:41:SJ VERSION_END
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="YES" WIDTH=4 WIDTHAD=2 data q zero
--VERSION_BEGIN 11.1SP2 cbx_altpriority_encoder 2012:01:25:21:13:53:SJ cbx_mgl 2012:01:25:21:15:41:SJ VERSION_END
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="YES" WIDTH=2 WIDTHAD=1 data q zero
--VERSION_BEGIN 11.1SP2 cbx_altpriority_encoder 2012:01:25:21:13:53:SJ cbx_mgl 2012:01:25:21:15:41:SJ VERSION_END
--synthesis_resources =
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY kn_kalman_sub_altpriority_encoder_nh8 IS
PORT
(
data : IN STD_LOGIC_VECTOR (1 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR (0 DOWNTO 0);
zero : OUT STD_LOGIC
);
END kn_kalman_sub_altpriority_encoder_nh8;
ARCHITECTURE RTL OF kn_kalman_sub_altpriority_encoder_nh8 IS
SIGNAL wire_altpriority_encoder27_w_lg_w_data_range1006w1008w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder27_w_data_range1006w : STD_LOGIC_VECTOR (0 DOWNTO 0);
BEGIN
wire_altpriority_encoder27_w_lg_w_data_range1006w1008w(0) <= NOT wire_altpriority_encoder27_w_data_range1006w(0);
q <= ( wire_altpriority_encoder27_w_lg_w_data_range1006w1008w);
zero <= (NOT (data(0) OR data(1)));
wire_altpriority_encoder27_w_data_range1006w(0) <= data(0);
END RTL; --kn_kalman_sub_altpriority_encoder_nh8
--synthesis_resources =
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY kn_kalman_sub_altpriority_encoder_qh8 IS
PORT
(
data : IN STD_LOGIC_VECTOR (3 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR (1 DOWNTO 0);
zero : OUT STD_LOGIC
);
END kn_kalman_sub_altpriority_encoder_qh8;
ARCHITECTURE RTL OF kn_kalman_sub_altpriority_encoder_qh8 IS
SIGNAL wire_altpriority_encoder27_w_lg_w_lg_zero998w999w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder27_w_lg_zero1000w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder27_w_lg_zero998w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder27_w_lg_w_lg_zero1000w1001w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder27_q : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder27_zero : STD_LOGIC;
SIGNAL wire_altpriority_encoder28_q : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder28_zero : STD_LOGIC;
COMPONENT kn_kalman_sub_altpriority_encoder_nh8
PORT
(
data : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
zero : OUT STD_LOGIC
);
END COMPONENT;
BEGIN
q <= ( wire_altpriority_encoder27_zero & wire_altpriority_encoder27_w_lg_w_lg_zero1000w1001w);
zero <= (wire_altpriority_encoder27_zero AND wire_altpriority_encoder28_zero);
wire_altpriority_encoder27_w_lg_w_lg_zero998w999w(0) <= wire_altpriority_encoder27_w_lg_zero998w(0) AND wire_altpriority_encoder27_q(0);
wire_altpriority_encoder27_w_lg_zero1000w(0) <= wire_altpriority_encoder27_zero AND wire_altpriority_encoder28_q(0);
wire_altpriority_encoder27_w_lg_zero998w(0) <= NOT wire_altpriority_encoder27_zero;
wire_altpriority_encoder27_w_lg_w_lg_zero1000w1001w(0) <= wire_altpriority_encoder27_w_lg_zero1000w(0) OR wire_altpriority_encoder27_w_lg_w_lg_zero998w999w(0);
altpriority_encoder27 : kn_kalman_sub_altpriority_encoder_nh8
PORT MAP (
data => data(1 DOWNTO 0),
q => wire_altpriority_encoder27_q,
zero => wire_altpriority_encoder27_zero
);
altpriority_encoder28 : kn_kalman_sub_altpriority_encoder_nh8
PORT MAP (
data => data(3 DOWNTO 2),
q => wire_altpriority_encoder28_q,
zero => wire_altpriority_encoder28_zero
);
END RTL; --kn_kalman_sub_altpriority_encoder_qh8
--synthesis_resources =
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY kn_kalman_sub_altpriority_encoder_vh8 IS
PORT
(
data : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR (2 DOWNTO 0);
zero : OUT STD_LOGIC
);
END kn_kalman_sub_altpriority_encoder_vh8;
ARCHITECTURE RTL OF kn_kalman_sub_altpriority_encoder_vh8 IS
SIGNAL wire_altpriority_encoder25_w_lg_w_lg_zero988w989w : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL wire_altpriority_encoder25_w_lg_zero990w : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL wire_altpriority_encoder25_w_lg_zero988w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder25_w_lg_w_lg_zero990w991w : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL wire_altpriority_encoder25_q : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL wire_altpriority_encoder25_zero : STD_LOGIC;
SIGNAL wire_altpriority_encoder26_q : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL wire_altpriority_encoder26_zero : STD_LOGIC;
COMPONENT kn_kalman_sub_altpriority_encoder_qh8
PORT
(
data : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
zero : OUT STD_LOGIC
);
END COMPONENT;
BEGIN
q <= ( wire_altpriority_encoder25_zero & wire_altpriority_encoder25_w_lg_w_lg_zero990w991w);
zero <= (wire_altpriority_encoder25_zero AND wire_altpriority_encoder26_zero);
loop65 : FOR i IN 0 TO 1 GENERATE
wire_altpriority_encoder25_w_lg_w_lg_zero988w989w(i) <= wire_altpriority_encoder25_w_lg_zero988w(0) AND wire_altpriority_encoder25_q(i);
END GENERATE loop65;
loop66 : FOR i IN 0 TO 1 GENERATE
wire_altpriority_encoder25_w_lg_zero990w(i) <= wire_altpriority_encoder25_zero AND wire_altpriority_encoder26_q(i);
END GENERATE loop66;
wire_altpriority_encoder25_w_lg_zero988w(0) <= NOT wire_altpriority_encoder25_zero;
loop67 : FOR i IN 0 TO 1 GENERATE
wire_altpriority_encoder25_w_lg_w_lg_zero990w991w(i) <= wire_altpriority_encoder25_w_lg_zero990w(i) OR wire_altpriority_encoder25_w_lg_w_lg_zero988w989w(i);
END GENERATE loop67;
altpriority_encoder25 : kn_kalman_sub_altpriority_encoder_qh8
PORT MAP (
data => data(3 DOWNTO 0),
q => wire_altpriority_encoder25_q,
zero => wire_altpriority_encoder25_zero
);
altpriority_encoder26 : kn_kalman_sub_altpriority_encoder_qh8
PORT MAP (
data => data(7 DOWNTO 4),
q => wire_altpriority_encoder26_q,
zero => wire_altpriority_encoder26_zero
);
END RTL; --kn_kalman_sub_altpriority_encoder_vh8
--synthesis_resources =
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY kn_kalman_sub_altpriority_encoder_ii9 IS
PORT
(
data : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR (3 DOWNTO 0);
zero : OUT STD_LOGIC
);
END kn_kalman_sub_altpriority_encoder_ii9;
ARCHITECTURE RTL OF kn_kalman_sub_altpriority_encoder_ii9 IS
SIGNAL wire_altpriority_encoder23_w_lg_w_lg_zero978w979w : STD_LOGIC_VECTOR (2 DOWNTO 0);
SIGNAL wire_altpriority_encoder23_w_lg_zero980w : STD_LOGIC_VECTOR (2 DOWNTO 0);
SIGNAL wire_altpriority_encoder23_w_lg_zero978w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder23_w_lg_w_lg_zero980w981w : STD_LOGIC_VECTOR (2 DOWNTO 0);
SIGNAL wire_altpriority_encoder23_q : STD_LOGIC_VECTOR (2 DOWNTO 0);
SIGNAL wire_altpriority_encoder23_zero : STD_LOGIC;
SIGNAL wire_altpriority_encoder24_q : STD_LOGIC_VECTOR (2 DOWNTO 0);
SIGNAL wire_altpriority_encoder24_zero : STD_LOGIC;
COMPONENT kn_kalman_sub_altpriority_encoder_vh8
PORT
(
data : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
zero : OUT STD_LOGIC
);
END COMPONENT;
BEGIN
q <= ( wire_altpriority_encoder23_zero & wire_altpriority_encoder23_w_lg_w_lg_zero980w981w);
zero <= (wire_altpriority_encoder23_zero AND wire_altpriority_encoder24_zero);
loop68 : FOR i IN 0 TO 2 GENERATE
wire_altpriority_encoder23_w_lg_w_lg_zero978w979w(i) <= wire_altpriority_encoder23_w_lg_zero978w(0) AND wire_altpriority_encoder23_q(i);
END GENERATE loop68;
loop69 : FOR i IN 0 TO 2 GENERATE
wire_altpriority_encoder23_w_lg_zero980w(i) <= wire_altpriority_encoder23_zero AND wire_altpriority_encoder24_q(i);
END GENERATE loop69;
wire_altpriority_encoder23_w_lg_zero978w(0) <= NOT wire_altpriority_encoder23_zero;
loop70 : FOR i IN 0 TO 2 GENERATE
wire_altpriority_encoder23_w_lg_w_lg_zero980w981w(i) <= wire_altpriority_encoder23_w_lg_zero980w(i) OR wire_altpriority_encoder23_w_lg_w_lg_zero978w979w(i);
END GENERATE loop70;
altpriority_encoder23 : kn_kalman_sub_altpriority_encoder_vh8
PORT MAP (
data => data(7 DOWNTO 0),
q => wire_altpriority_encoder23_q,
zero => wire_altpriority_encoder23_zero
);
altpriority_encoder24 : kn_kalman_sub_altpriority_encoder_vh8
PORT MAP (
data => data(15 DOWNTO 8),
q => wire_altpriority_encoder24_q,
zero => wire_altpriority_encoder24_zero
);
END RTL; --kn_kalman_sub_altpriority_encoder_ii9
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="YES" PIPELINE=0 WIDTH=16 WIDTHAD=4 data q
--VERSION_BEGIN 11.1SP2 cbx_altpriority_encoder 2012:01:25:21:13:53:SJ cbx_mgl 2012:01:25:21:15:41:SJ VERSION_END
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="YES" WIDTH=8 WIDTHAD=3 data q
--VERSION_BEGIN 11.1SP2 cbx_altpriority_encoder 2012:01:25:21:13:53:SJ cbx_mgl 2012:01:25:21:15:41:SJ VERSION_END
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="YES" WIDTH=4 WIDTHAD=2 data q
--VERSION_BEGIN 11.1SP2 cbx_altpriority_encoder 2012:01:25:21:13:53:SJ cbx_mgl 2012:01:25:21:15:41:SJ VERSION_END
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="YES" WIDTH=2 WIDTHAD=1 data q
--VERSION_BEGIN 11.1SP2 cbx_altpriority_encoder 2012:01:25:21:13:53:SJ cbx_mgl 2012:01:25:21:15:41:SJ VERSION_END
--synthesis_resources =
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY kn_kalman_sub_altpriority_encoder_n28 IS
PORT
(
data : IN STD_LOGIC_VECTOR (1 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR (0 DOWNTO 0)
);
END kn_kalman_sub_altpriority_encoder_n28;
ARCHITECTURE RTL OF kn_kalman_sub_altpriority_encoder_n28 IS
SIGNAL wire_altpriority_encoder34_w_lg_w_data_range1040w1042w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder34_w_data_range1040w : STD_LOGIC_VECTOR (0 DOWNTO 0);
BEGIN
wire_altpriority_encoder34_w_lg_w_data_range1040w1042w(0) <= NOT wire_altpriority_encoder34_w_data_range1040w(0);
q <= ( wire_altpriority_encoder34_w_lg_w_data_range1040w1042w);
wire_altpriority_encoder34_w_data_range1040w(0) <= data(0);
END RTL; --kn_kalman_sub_altpriority_encoder_n28
--synthesis_resources =
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY kn_kalman_sub_altpriority_encoder_q28 IS
PORT
(
data : IN STD_LOGIC_VECTOR (3 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR (1 DOWNTO 0)
);
END kn_kalman_sub_altpriority_encoder_q28;
ARCHITECTURE RTL OF kn_kalman_sub_altpriority_encoder_q28 IS
SIGNAL wire_altpriority_encoder33_w_lg_w_lg_zero1033w1034w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder33_w_lg_zero1035w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder33_w_lg_zero1033w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder33_w_lg_w_lg_zero1035w1036w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder33_q : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder33_zero : STD_LOGIC;
SIGNAL wire_altpriority_encoder34_q : STD_LOGIC_VECTOR (0 DOWNTO 0);
COMPONENT kn_kalman_sub_altpriority_encoder_nh8
PORT
(
data : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
zero : OUT STD_LOGIC
);
END COMPONENT;
COMPONENT kn_kalman_sub_altpriority_encoder_n28
PORT
(
data : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR(0 DOWNTO 0)
);
END COMPONENT;
BEGIN
q <= ( wire_altpriority_encoder33_zero & wire_altpriority_encoder33_w_lg_w_lg_zero1035w1036w);
wire_altpriority_encoder33_w_lg_w_lg_zero1033w1034w(0) <= wire_altpriority_encoder33_w_lg_zero1033w(0) AND wire_altpriority_encoder33_q(0);
wire_altpriority_encoder33_w_lg_zero1035w(0) <= wire_altpriority_encoder33_zero AND wire_altpriority_encoder34_q(0);
wire_altpriority_encoder33_w_lg_zero1033w(0) <= NOT wire_altpriority_encoder33_zero;
wire_altpriority_encoder33_w_lg_w_lg_zero1035w1036w(0) <= wire_altpriority_encoder33_w_lg_zero1035w(0) OR wire_altpriority_encoder33_w_lg_w_lg_zero1033w1034w(0);
altpriority_encoder33 : kn_kalman_sub_altpriority_encoder_nh8
PORT MAP (
data => data(1 DOWNTO 0),
q => wire_altpriority_encoder33_q,
zero => wire_altpriority_encoder33_zero
);
altpriority_encoder34 : kn_kalman_sub_altpriority_encoder_n28
PORT MAP (
data => data(3 DOWNTO 2),
q => wire_altpriority_encoder34_q
);
END RTL; --kn_kalman_sub_altpriority_encoder_q28
--synthesis_resources =
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY kn_kalman_sub_altpriority_encoder_v28 IS
PORT
(
data : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR (2 DOWNTO 0)
);
END kn_kalman_sub_altpriority_encoder_v28;
ARCHITECTURE RTL OF kn_kalman_sub_altpriority_encoder_v28 IS
SIGNAL wire_altpriority_encoder31_w_lg_w_lg_zero1024w1025w : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL wire_altpriority_encoder31_w_lg_zero1026w : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL wire_altpriority_encoder31_w_lg_zero1024w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder31_w_lg_w_lg_zero1026w1027w : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL wire_altpriority_encoder31_q : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL wire_altpriority_encoder31_zero : STD_LOGIC;
SIGNAL wire_altpriority_encoder32_q : STD_LOGIC_VECTOR (1 DOWNTO 0);
COMPONENT kn_kalman_sub_altpriority_encoder_qh8
PORT
(
data : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
zero : OUT STD_LOGIC
);
END COMPONENT;
COMPONENT kn_kalman_sub_altpriority_encoder_q28
PORT
(
data : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR(1 DOWNTO 0)
);
END COMPONENT;
BEGIN
q <= ( wire_altpriority_encoder31_zero & wire_altpriority_encoder31_w_lg_w_lg_zero1026w1027w);
loop71 : FOR i IN 0 TO 1 GENERATE
wire_altpriority_encoder31_w_lg_w_lg_zero1024w1025w(i) <= wire_altpriority_encoder31_w_lg_zero1024w(0) AND wire_altpriority_encoder31_q(i);
END GENERATE loop71;
loop72 : FOR i IN 0 TO 1 GENERATE
wire_altpriority_encoder31_w_lg_zero1026w(i) <= wire_altpriority_encoder31_zero AND wire_altpriority_encoder32_q(i);
END GENERATE loop72;
wire_altpriority_encoder31_w_lg_zero1024w(0) <= NOT wire_altpriority_encoder31_zero;
loop73 : FOR i IN 0 TO 1 GENERATE
wire_altpriority_encoder31_w_lg_w_lg_zero1026w1027w(i) <= wire_altpriority_encoder31_w_lg_zero1026w(i) OR wire_altpriority_encoder31_w_lg_w_lg_zero1024w1025w(i);
END GENERATE loop73;
altpriority_encoder31 : kn_kalman_sub_altpriority_encoder_qh8
PORT MAP (
data => data(3 DOWNTO 0),
q => wire_altpriority_encoder31_q,
zero => wire_altpriority_encoder31_zero
);
altpriority_encoder32 : kn_kalman_sub_altpriority_encoder_q28
PORT MAP (
data => data(7 DOWNTO 4),
q => wire_altpriority_encoder32_q
);
END RTL; --kn_kalman_sub_altpriority_encoder_v28
--synthesis_resources =
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY kn_kalman_sub_altpriority_encoder_i39 IS
PORT
(
data : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR (3 DOWNTO 0)
);
END kn_kalman_sub_altpriority_encoder_i39;
ARCHITECTURE RTL OF kn_kalman_sub_altpriority_encoder_i39 IS
SIGNAL wire_altpriority_encoder29_w_lg_w_lg_zero1015w1016w : STD_LOGIC_VECTOR (2 DOWNTO 0);
SIGNAL wire_altpriority_encoder29_w_lg_zero1017w : STD_LOGIC_VECTOR (2 DOWNTO 0);
SIGNAL wire_altpriority_encoder29_w_lg_zero1015w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder29_w_lg_w_lg_zero1017w1018w : STD_LOGIC_VECTOR (2 DOWNTO 0);
SIGNAL wire_altpriority_encoder29_q : STD_LOGIC_VECTOR (2 DOWNTO 0);
SIGNAL wire_altpriority_encoder29_zero : STD_LOGIC;
SIGNAL wire_altpriority_encoder30_q : STD_LOGIC_VECTOR (2 DOWNTO 0);
COMPONENT kn_kalman_sub_altpriority_encoder_vh8
PORT
(
data : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
zero : OUT STD_LOGIC
);
END COMPONENT;
COMPONENT kn_kalman_sub_altpriority_encoder_v28
PORT
(
data : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR(2 DOWNTO 0)
);
END COMPONENT;
BEGIN
q <= ( wire_altpriority_encoder29_zero & wire_altpriority_encoder29_w_lg_w_lg_zero1017w1018w);
loop74 : FOR i IN 0 TO 2 GENERATE
wire_altpriority_encoder29_w_lg_w_lg_zero1015w1016w(i) <= wire_altpriority_encoder29_w_lg_zero1015w(0) AND wire_altpriority_encoder29_q(i);
END GENERATE loop74;
loop75 : FOR i IN 0 TO 2 GENERATE
wire_altpriority_encoder29_w_lg_zero1017w(i) <= wire_altpriority_encoder29_zero AND wire_altpriority_encoder30_q(i);
END GENERATE loop75;
wire_altpriority_encoder29_w_lg_zero1015w(0) <= NOT wire_altpriority_encoder29_zero;
loop76 : FOR i IN 0 TO 2 GENERATE
wire_altpriority_encoder29_w_lg_w_lg_zero1017w1018w(i) <= wire_altpriority_encoder29_w_lg_zero1017w(i) OR wire_altpriority_encoder29_w_lg_w_lg_zero1015w1016w(i);
END GENERATE loop76;
altpriority_encoder29 : kn_kalman_sub_altpriority_encoder_vh8
PORT MAP (
data => data(7 DOWNTO 0),
q => wire_altpriority_encoder29_q,
zero => wire_altpriority_encoder29_zero
);
altpriority_encoder30 : kn_kalman_sub_altpriority_encoder_v28
PORT MAP (
data => data(15 DOWNTO 8),
q => wire_altpriority_encoder30_q
);
END RTL; --kn_kalman_sub_altpriority_encoder_i39
--synthesis_resources = reg 5
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY kn_kalman_sub_altpriority_encoder_cna IS
PORT
(
aclr : IN STD_LOGIC := '0';
clk_en : IN STD_LOGIC := '1';
clock : IN STD_LOGIC := '0';
data : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR (4 DOWNTO 0)
);
END kn_kalman_sub_altpriority_encoder_cna;
ARCHITECTURE RTL OF kn_kalman_sub_altpriority_encoder_cna IS
SIGNAL wire_altpriority_encoder21_w_lg_w_lg_zero966w967w : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL wire_altpriority_encoder21_w_lg_zero968w : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL wire_altpriority_encoder21_w_lg_zero966w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder21_w_lg_w_lg_zero968w969w : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL wire_altpriority_encoder21_q : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL wire_altpriority_encoder21_zero : STD_LOGIC;
SIGNAL wire_altpriority_encoder22_q : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL pipeline_q_dffe : STD_LOGIC_VECTOR(4 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL wire_trailing_zeros_cnt_w_lg_tmp_q_wire974w : STD_LOGIC_VECTOR (4 DOWNTO 0);
SIGNAL tmp_q_wire : STD_LOGIC_VECTOR (4 DOWNTO 0);
COMPONENT kn_kalman_sub_altpriority_encoder_ii9
PORT
(
data : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
zero : OUT STD_LOGIC
);
END COMPONENT;
COMPONENT kn_kalman_sub_altpriority_encoder_i39
PORT
(
data : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR(3 DOWNTO 0)
);
END COMPONENT;
BEGIN
loop77 : FOR i IN 0 TO 4 GENERATE
wire_trailing_zeros_cnt_w_lg_tmp_q_wire974w(i) <= NOT tmp_q_wire(i);
END GENERATE loop77;
q <= (NOT pipeline_q_dffe);
tmp_q_wire <= ( wire_altpriority_encoder21_zero & wire_altpriority_encoder21_w_lg_w_lg_zero968w969w);
loop78 : FOR i IN 0 TO 3 GENERATE
wire_altpriority_encoder21_w_lg_w_lg_zero966w967w(i) <= wire_altpriority_encoder21_w_lg_zero966w(0) AND wire_altpriority_encoder21_q(i);
END GENERATE loop78;
loop79 : FOR i IN 0 TO 3 GENERATE
wire_altpriority_encoder21_w_lg_zero968w(i) <= wire_altpriority_encoder21_zero AND wire_altpriority_encoder22_q(i);
END GENERATE loop79;
wire_altpriority_encoder21_w_lg_zero966w(0) <= NOT wire_altpriority_encoder21_zero;
loop80 : FOR i IN 0 TO 3 GENERATE
wire_altpriority_encoder21_w_lg_w_lg_zero968w969w(i) <= wire_altpriority_encoder21_w_lg_zero968w(i) OR wire_altpriority_encoder21_w_lg_w_lg_zero966w967w(i);
END GENERATE loop80;
altpriority_encoder21 : kn_kalman_sub_altpriority_encoder_ii9
PORT MAP (
data => data(15 DOWNTO 0),
q => wire_altpriority_encoder21_q,
zero => wire_altpriority_encoder21_zero
);
altpriority_encoder22 : kn_kalman_sub_altpriority_encoder_i39
PORT MAP (
data => data(31 DOWNTO 16),
q => wire_altpriority_encoder22_q
);
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN pipeline_q_dffe <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN pipeline_q_dffe <= wire_trailing_zeros_cnt_w_lg_tmp_q_wire974w;
END IF;
END IF;
END PROCESS;
END RTL; --kn_kalman_sub_altpriority_encoder_cna
LIBRARY lpm;
USE lpm.all;
--synthesis_resources = lpm_add_sub 14 lpm_compare 1 reg 716
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY kn_kalman_sub_altfp_add_sub_23j IS
PORT
(
clock : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
datab : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)
);
END kn_kalman_sub_altfp_add_sub_23j;
ARCHITECTURE RTL OF kn_kalman_sub_altfp_add_sub_23j IS
SIGNAL wire_lbarrel_shift_result : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_data : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_result : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_leading_zeroes_cnt_data : STD_LOGIC_VECTOR (31 DOWNTO 0);
SIGNAL wire_leading_zeroes_cnt_q : STD_LOGIC_VECTOR (4 DOWNTO 0);
SIGNAL wire_trailing_zeros_cnt_data : STD_LOGIC_VECTOR (31 DOWNTO 0);
SIGNAL wire_trailing_zeros_cnt_q : STD_LOGIC_VECTOR (4 DOWNTO 0);
SIGNAL add_sub_dffe25 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL aligned_dataa_exp_dffe12 : STD_LOGIC_VECTOR(8 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL aligned_dataa_exp_dffe13 : STD_LOGIC_VECTOR(8 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL aligned_dataa_exp_dffe14 : STD_LOGIC_VECTOR(8 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL aligned_dataa_man_dffe12 : STD_LOGIC_VECTOR(23 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL aligned_dataa_man_dffe13 : STD_LOGIC_VECTOR(23 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL aligned_dataa_man_dffe14 : STD_LOGIC_VECTOR(23 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL aligned_dataa_sign_dffe12 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL aligned_dataa_sign_dffe13 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL aligned_dataa_sign_dffe14 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL aligned_datab_exp_dffe12 : STD_LOGIC_VECTOR(8 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL aligned_datab_exp_dffe13 : STD_LOGIC_VECTOR(8 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL aligned_datab_exp_dffe14 : STD_LOGIC_VECTOR(8 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL aligned_datab_man_dffe12 : STD_LOGIC_VECTOR(23 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL aligned_datab_man_dffe13 : STD_LOGIC_VECTOR(23 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL aligned_datab_man_dffe14 : STD_LOGIC_VECTOR(23 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL aligned_datab_sign_dffe12 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL aligned_datab_sign_dffe13 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL aligned_datab_sign_dffe14 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL both_inputs_are_infinite_dffe1 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL both_inputs_are_infinite_dffe25 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL data_exp_dffe1 : STD_LOGIC_VECTOR(7 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL dataa_man_dffe1 : STD_LOGIC_VECTOR(25 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL dataa_sign_dffe1 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL dataa_sign_dffe25 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL datab_man_dffe1 : STD_LOGIC_VECTOR(25 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL datab_sign_dffe1 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL denormal_res_dffe3 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL denormal_res_dffe4 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL denormal_res_dffe41 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL exp_adj_dffe21 : STD_LOGIC_VECTOR(1 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL exp_adj_dffe23 : STD_LOGIC_VECTOR(1 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL exp_amb_mux_dffe13 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL exp_amb_mux_dffe14 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL exp_intermediate_res_dffe41 : STD_LOGIC_VECTOR(7 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL exp_out_dffe5 : STD_LOGIC_VECTOR(7 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL exp_res_dffe2 : STD_LOGIC_VECTOR(7 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL exp_res_dffe21 : STD_LOGIC_VECTOR(7 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL exp_res_dffe23 : STD_LOGIC_VECTOR(7 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL exp_res_dffe25 : STD_LOGIC_VECTOR(7 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL exp_res_dffe27 : STD_LOGIC_VECTOR(7 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL exp_res_dffe3 : STD_LOGIC_VECTOR(7 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL exp_res_dffe4 : STD_LOGIC_VECTOR(7 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL infinite_output_sign_dffe1 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL infinite_output_sign_dffe2 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL infinite_output_sign_dffe21 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL infinite_output_sign_dffe23 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL infinite_output_sign_dffe25 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL infinite_output_sign_dffe27 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL infinite_output_sign_dffe3 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL infinite_output_sign_dffe31 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL infinite_output_sign_dffe4 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL infinite_output_sign_dffe41 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL infinite_res_dffe3 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL infinite_res_dffe4 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL infinite_res_dffe41 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL infinity_magnitude_sub_dffe2 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL infinity_magnitude_sub_dffe21 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL infinity_magnitude_sub_dffe23 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL infinity_magnitude_sub_dffe27 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL infinity_magnitude_sub_dffe3 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL infinity_magnitude_sub_dffe31 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL infinity_magnitude_sub_dffe4 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL infinity_magnitude_sub_dffe41 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_dataa_infinite_dffe12 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_dataa_infinite_dffe13 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_dataa_infinite_dffe14 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_dataa_nan_dffe12 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_datab_infinite_dffe12 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_datab_infinite_dffe13 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_datab_infinite_dffe14 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_datab_nan_dffe12 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_is_infinite_dffe1 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_is_infinite_dffe2 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_is_infinite_dffe21 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_is_infinite_dffe23 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_is_infinite_dffe25 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_is_infinite_dffe27 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_is_infinite_dffe3 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_is_infinite_dffe31 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_is_infinite_dffe4 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_is_infinite_dffe41 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_is_nan_dffe1 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_is_nan_dffe13 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_is_nan_dffe14 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_is_nan_dffe2 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_is_nan_dffe21 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_is_nan_dffe23 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_is_nan_dffe25 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_is_nan_dffe27 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_is_nan_dffe3 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_is_nan_dffe31 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_is_nan_dffe4 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_is_nan_dffe41 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL man_add_sub_res_mag_dffe21 : STD_LOGIC_VECTOR(25 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL man_add_sub_res_mag_dffe23 : STD_LOGIC_VECTOR(25 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL man_add_sub_res_mag_dffe27 : STD_LOGIC_VECTOR(27 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL man_add_sub_res_sign_dffe21 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL man_add_sub_res_sign_dffe23 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL man_add_sub_res_sign_dffe27 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL man_dffe31 : STD_LOGIC_VECTOR(25 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL man_leading_zeros_dffe31 : STD_LOGIC_VECTOR(4 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL man_out_dffe5 : STD_LOGIC_VECTOR(22 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL man_res_dffe4 : STD_LOGIC_VECTOR(22 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL man_res_is_not_zero_dffe3 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL man_res_is_not_zero_dffe31 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL man_res_is_not_zero_dffe4 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL man_res_is_not_zero_dffe41 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL man_res_not_zero_dffe23 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL man_res_rounding_add_sub_result_reg : STD_LOGIC_VECTOR(25 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL man_smaller_dffe13 : STD_LOGIC_VECTOR(23 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL need_complement_dffe2 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL round_bit_dffe21 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL round_bit_dffe23 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL round_bit_dffe3 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL round_bit_dffe31 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL rounded_res_infinity_dffe4 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL rshift_distance_dffe13 : STD_LOGIC_VECTOR(4 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL rshift_distance_dffe14 : STD_LOGIC_VECTOR(4 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL sign_dffe31 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL sign_out_dffe5 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL sign_res_dffe3 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL sign_res_dffe4 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL sign_res_dffe41 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL sticky_bit_dffe1 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL sticky_bit_dffe2 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL sticky_bit_dffe21 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL sticky_bit_dffe23 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL sticky_bit_dffe25 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL sticky_bit_dffe27 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL sticky_bit_dffe3 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL sticky_bit_dffe31 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL zero_man_sign_dffe2 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL zero_man_sign_dffe21 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL zero_man_sign_dffe23 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL zero_man_sign_dffe27 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL wire_add_sub1_result : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL wire_add_sub2_result : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL wire_add_sub3_result : STD_LOGIC_VECTOR (5 DOWNTO 0);
SIGNAL wire_add_sub4_result : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL wire_add_sub5_result : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL wire_add_sub6_result : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL wire_man_2comp_res_lower_w_lg_w_lg_cout367w368w : STD_LOGIC_VECTOR (13 DOWNTO 0);
SIGNAL wire_man_2comp_res_lower_w_lg_cout366w : STD_LOGIC_VECTOR (13 DOWNTO 0);
SIGNAL wire_man_2comp_res_lower_w_lg_cout367w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_man_2comp_res_lower_w_lg_w_lg_w_lg_cout367w368w369w : STD_LOGIC_VECTOR (13 DOWNTO 0);
SIGNAL wire_man_2comp_res_lower_cout : STD_LOGIC;
SIGNAL wire_man_2comp_res_lower_result : STD_LOGIC_VECTOR (13 DOWNTO 0);
SIGNAL wire_gnd : STD_LOGIC;
SIGNAL wire_man_2comp_res_upper0_result : STD_LOGIC_VECTOR (13 DOWNTO 0);
SIGNAL wire_vcc : STD_LOGIC;
SIGNAL wire_man_2comp_res_upper1_result : STD_LOGIC_VECTOR (13 DOWNTO 0);
SIGNAL wire_man_add_sub_lower_w_lg_w_lg_cout354w355w : STD_LOGIC_VECTOR (13 DOWNTO 0);
SIGNAL wire_man_add_sub_lower_w_lg_cout353w : STD_LOGIC_VECTOR (13 DOWNTO 0);
SIGNAL wire_man_add_sub_lower_w_lg_cout354w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_man_add_sub_lower_w_lg_w_lg_w_lg_cout354w355w356w : STD_LOGIC_VECTOR (13 DOWNTO 0);
SIGNAL wire_man_add_sub_lower_cout : STD_LOGIC;
SIGNAL wire_man_add_sub_lower_result : STD_LOGIC_VECTOR (13 DOWNTO 0);
SIGNAL wire_man_add_sub_upper0_result : STD_LOGIC_VECTOR (13 DOWNTO 0);
SIGNAL wire_man_add_sub_upper1_result : STD_LOGIC_VECTOR (13 DOWNTO 0);
SIGNAL wire_man_res_rounding_add_sub_lower_w_lg_w_lg_cout580w581w : STD_LOGIC_VECTOR (12 DOWNTO 0);
SIGNAL wire_man_res_rounding_add_sub_lower_w_lg_cout579w : STD_LOGIC_VECTOR (12 DOWNTO 0);
SIGNAL wire_man_res_rounding_add_sub_lower_w_lg_cout580w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_man_res_rounding_add_sub_lower_w_lg_w_lg_w_lg_cout580w581w582w : STD_LOGIC_VECTOR (12 DOWNTO 0);
SIGNAL wire_man_res_rounding_add_sub_lower_cout : STD_LOGIC;
SIGNAL wire_man_res_rounding_add_sub_lower_result : STD_LOGIC_VECTOR (12 DOWNTO 0);
SIGNAL wire_man_res_rounding_add_sub_upper1_result : STD_LOGIC_VECTOR (12 DOWNTO 0);
SIGNAL wire_trailing_zeros_limit_comparator_agb : STD_LOGIC;
SIGNAL wire_w248w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w267w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w397w407w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_w_lg_force_zero_w634w635w636w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_w_lg_force_zero_w634w635w645w : STD_LOGIC_VECTOR (22 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_denormal_result_w558w559w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_exp_amb_mux_dffe15_wo316w324w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_exp_amb_mux_dffe15_wo316w331w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_exp_amb_mux_dffe15_wo316w317w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_exp_amb_mux_w276w279w : STD_LOGIC_VECTOR (23 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_exp_amb_mux_w276w277w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_force_infinity_w629w639w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_force_infinity_w629w648w : STD_LOGIC_VECTOR (22 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_force_infinity_w629w654w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_force_nan_w630w642w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_force_nan_w630w651w : STD_LOGIC_VECTOR (22 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_input_dataa_denormal_dffe11_wo233w243w : STD_LOGIC_VECTOR (22 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_input_dataa_denormal_dffe11_wo233w234w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_input_dataa_infinite_dffe11_wo246w247w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_input_datab_denormal_dffe11_wo252w262w : STD_LOGIC_VECTOR (22 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_input_datab_denormal_dffe11_wo252w253w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_input_datab_infinite_dffe11_wo265w266w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_input_datab_infinite_dffe15_wo338w339w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_man_res_not_zero_dffe26_wo503w504w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w293w : STD_LOGIC_VECTOR (4 DOWNTO 0);
SIGNAL wire_w397w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w383w : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL wire_w412w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_w_man_add_sub_w_range372w375w378w : STD_LOGIC_VECTOR (27 DOWNTO 0);
SIGNAL wire_w587w : STD_LOGIC_VECTOR (22 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_force_zero_w634w637w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_force_zero_w634w646w : STD_LOGIC_VECTOR (22 DOWNTO 0);
SIGNAL wire_w_lg_exp_amb_mux_dffe15_wo330w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_w_lg_exp_amb_mux_dffe15_wo323w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_w_lg_exp_amb_mux_dffe15_wo314w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL wire_w_lg_exp_amb_mux_w280w : STD_LOGIC_VECTOR (23 DOWNTO 0);
SIGNAL wire_w_lg_exp_amb_mux_w274w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL wire_w_lg_force_infinity_w640w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL wire_w_lg_force_infinity_w649w : STD_LOGIC_VECTOR (22 DOWNTO 0);
SIGNAL wire_w_lg_force_nan_w643w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL wire_w_lg_force_nan_w652w : STD_LOGIC_VECTOR (22 DOWNTO 0);
SIGNAL wire_w_lg_input_datab_infinite_dffe15_wo337w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_need_complement_dffe22_wo376w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range17w23w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range27w33w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range37w43w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range47w53w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range57w63w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range67w73w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range77w83w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range20w25w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range30w35w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range40w45w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range50w55w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range60w65w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range70w75w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range80w85w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_a_all_one_w_range84w220w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_b_all_one_w_range86w226w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_diff_abs_exceed_max_w_range290w294w : STD_LOGIC_VECTOR (4 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_res_max_w_range540w542w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_res_max_w_range543w544w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_res_max_w_range545w546w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_res_max_w_range547w548w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_res_max_w_range549w550w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_res_max_w_range551w552w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_res_max_w_range553w554w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_res_max_w_range555w561w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_rounded_res_max_w_range601w604w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_rounded_res_max_w_range605w607w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_rounded_res_max_w_range608w610w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_rounded_res_max_w_range611w613w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_rounded_res_max_w_range614w616w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_rounded_res_max_w_range617w619w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_rounded_res_max_w_range620w622w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w391w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w384w : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w414w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_w_lg_w_man_add_sub_w_range372w379w : STD_LOGIC_VECTOR (27 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_rounding_add_sub_w_range585w589w : STD_LOGIC_VECTOR (22 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_force_zero_w634w635w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_add_sub_dffe25_wo491w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_add_sub_w2342w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_aligned_datab_sign_dffe15_wo336w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_denormal_result_w558w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_exp_amb_mux_dffe15_wo316w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_exp_amb_mux_w276w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_force_infinity_w629w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_force_nan_w630w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_force_zero_w628w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_input_dataa_denormal_dffe11_wo233w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_input_dataa_infinite_dffe11_wo246w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_input_dataa_zero_dffe11_wo245w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_input_datab_denormal_dffe11_wo252w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_input_datab_infinite_dffe11_wo265w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_input_datab_infinite_dffe15_wo338w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_input_datab_zero_dffe11_wo264w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_man_res_is_not_zero_dffe4_wo627w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_man_res_not_zero_dffe26_wo503w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_need_complement_dffe22_wo373w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_sticky_bit_dffe1_wo343w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_adjustment2_add_sub_w_range511w560w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_diff_abs_exceed_max_w_range290w292w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_a_not_zero_w_range215w219w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range387w390w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w382w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_add_sub_w_range372w375w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_b_not_zero_w_range218w225w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_rounding_add_sub_w_range585w586w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_w_lg_force_zero_w634w637w638w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_w_lg_force_zero_w634w646w647w : STD_LOGIC_VECTOR (22 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_force_infinity_w640w641w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_force_infinity_w649w650w : STD_LOGIC_VECTOR (22 DOWNTO 0);
SIGNAL wire_w_lg_force_zero_w634w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_sticky_bit_dffe27_wo402w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range141w142w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range147w148w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range153w154w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range159w160w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range165w166w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range171w172w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range177w178w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range183w184w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range189w190w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range195w196w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range87w88w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range201w202w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range207w208w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range213w214w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range17w18w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range27w28w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range37w38w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range47w48w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range57w58w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range67w68w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range93w94w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range77w78w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range99w100w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range105w106w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range111w112w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range117w118w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range123w124w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range129w130w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range135w136w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range144w145w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range150w151w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range156w157w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range162w163w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range168w169w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range174w175w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range180w181w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range186w187w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range192w193w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range198w199w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range90w91w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range204w205w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range210w211w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range216w217w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range20w21w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range30w31w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range40w41w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range50w51w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range60w61w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range70w71w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range96w97w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range80w81w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range102w103w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range108w109w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range114w115w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range120w121w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range126w127w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range132w133w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range138w139w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_diff_abs_exceed_max_w_range283w286w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_diff_abs_exceed_max_w_range287w289w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_res_not_zero_w_range516w519w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_res_not_zero_w_range520w522w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_res_not_zero_w_range523w525w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_res_not_zero_w_range526w528w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_res_not_zero_w_range529w531w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_res_not_zero_w_range532w534w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_res_not_zero_w_range535w537w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_res_not_zero_w_range538w539w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_not_zero_w2_range417w420w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_not_zero_w2_range448w450w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_not_zero_w2_range451w453w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_not_zero_w2_range454w456w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_not_zero_w2_range457w459w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_not_zero_w2_range460w462w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_not_zero_w2_range463w465w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_not_zero_w2_range466w468w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_not_zero_w2_range469w471w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_not_zero_w2_range472w474w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_not_zero_w2_range475w477w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_not_zero_w2_range421w423w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_not_zero_w2_range478w480w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_not_zero_w2_range481w483w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_not_zero_w2_range484w486w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_not_zero_w2_range487w489w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_not_zero_w2_range424w426w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_not_zero_w2_range427w429w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_not_zero_w2_range430w432w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_not_zero_w2_range433w435w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_not_zero_w2_range436w438w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_not_zero_w2_range439w441w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_not_zero_w2_range442w444w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_not_zero_w2_range445w447w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL aclr : STD_LOGIC;
SIGNAL add_sub_dffe25_wi : STD_LOGIC;
SIGNAL add_sub_dffe25_wo : STD_LOGIC;
SIGNAL add_sub_w2 : STD_LOGIC;
SIGNAL adder_upper_w : STD_LOGIC_VECTOR (12 DOWNTO 0);
SIGNAL aligned_dataa_exp_dffe12_wi : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL aligned_dataa_exp_dffe12_wo : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL aligned_dataa_exp_dffe13_wi : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL aligned_dataa_exp_dffe13_wo : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL aligned_dataa_exp_dffe14_wi : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL aligned_dataa_exp_dffe14_wo : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL aligned_dataa_exp_dffe15_wi : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL aligned_dataa_exp_dffe15_wo : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL aligned_dataa_exp_w : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL aligned_dataa_man_dffe12_wi : STD_LOGIC_VECTOR (23 DOWNTO 0);
SIGNAL aligned_dataa_man_dffe12_wo : STD_LOGIC_VECTOR (23 DOWNTO 0);
SIGNAL aligned_dataa_man_dffe13_wi : STD_LOGIC_VECTOR (23 DOWNTO 0);
SIGNAL aligned_dataa_man_dffe13_wo : STD_LOGIC_VECTOR (23 DOWNTO 0);
SIGNAL aligned_dataa_man_dffe14_wi : STD_LOGIC_VECTOR (23 DOWNTO 0);
SIGNAL aligned_dataa_man_dffe14_wo : STD_LOGIC_VECTOR (23 DOWNTO 0);
SIGNAL aligned_dataa_man_dffe15_w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL aligned_dataa_man_dffe15_wi : STD_LOGIC_VECTOR (23 DOWNTO 0);
SIGNAL aligned_dataa_man_dffe15_wo : STD_LOGIC_VECTOR (23 DOWNTO 0);
SIGNAL aligned_dataa_man_w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL aligned_dataa_sign_dffe12_wi : STD_LOGIC;
SIGNAL aligned_dataa_sign_dffe12_wo : STD_LOGIC;
SIGNAL aligned_dataa_sign_dffe13_wi : STD_LOGIC;
SIGNAL aligned_dataa_sign_dffe13_wo : STD_LOGIC;
SIGNAL aligned_dataa_sign_dffe14_wi : STD_LOGIC;
SIGNAL aligned_dataa_sign_dffe14_wo : STD_LOGIC;
SIGNAL aligned_dataa_sign_dffe15_wi : STD_LOGIC;
SIGNAL aligned_dataa_sign_dffe15_wo : STD_LOGIC;
SIGNAL aligned_dataa_sign_w : STD_LOGIC;
SIGNAL aligned_datab_exp_dffe12_wi : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL aligned_datab_exp_dffe12_wo : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL aligned_datab_exp_dffe13_wi : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL aligned_datab_exp_dffe13_wo : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL aligned_datab_exp_dffe14_wi : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL aligned_datab_exp_dffe14_wo : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL aligned_datab_exp_dffe15_wi : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL aligned_datab_exp_dffe15_wo : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL aligned_datab_exp_w : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL aligned_datab_man_dffe12_wi : STD_LOGIC_VECTOR (23 DOWNTO 0);
SIGNAL aligned_datab_man_dffe12_wo : STD_LOGIC_VECTOR (23 DOWNTO 0);
SIGNAL aligned_datab_man_dffe13_wi : STD_LOGIC_VECTOR (23 DOWNTO 0);
SIGNAL aligned_datab_man_dffe13_wo : STD_LOGIC_VECTOR (23 DOWNTO 0);
SIGNAL aligned_datab_man_dffe14_wi : STD_LOGIC_VECTOR (23 DOWNTO 0);
SIGNAL aligned_datab_man_dffe14_wo : STD_LOGIC_VECTOR (23 DOWNTO 0);
SIGNAL aligned_datab_man_dffe15_w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL aligned_datab_man_dffe15_wi : STD_LOGIC_VECTOR (23 DOWNTO 0);
SIGNAL aligned_datab_man_dffe15_wo : STD_LOGIC_VECTOR (23 DOWNTO 0);
SIGNAL aligned_datab_man_w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL aligned_datab_sign_dffe12_wi : STD_LOGIC;
SIGNAL aligned_datab_sign_dffe12_wo : STD_LOGIC;
SIGNAL aligned_datab_sign_dffe13_wi : STD_LOGIC;
SIGNAL aligned_datab_sign_dffe13_wo : STD_LOGIC;
SIGNAL aligned_datab_sign_dffe14_wi : STD_LOGIC;
SIGNAL aligned_datab_sign_dffe14_wo : STD_LOGIC;
SIGNAL aligned_datab_sign_dffe15_wi : STD_LOGIC;
SIGNAL aligned_datab_sign_dffe15_wo : STD_LOGIC;
SIGNAL aligned_datab_sign_w : STD_LOGIC;
SIGNAL borrow_w : STD_LOGIC;
SIGNAL both_inputs_are_infinite_dffe1_wi : STD_LOGIC;
SIGNAL both_inputs_are_infinite_dffe1_wo : STD_LOGIC;
SIGNAL both_inputs_are_infinite_dffe25_wi : STD_LOGIC;
SIGNAL both_inputs_are_infinite_dffe25_wo : STD_LOGIC;
SIGNAL clk_en : STD_LOGIC;
SIGNAL data_exp_dffe1_wi : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL data_exp_dffe1_wo : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL dataa_dffe11_wi : STD_LOGIC_VECTOR (31 DOWNTO 0);
SIGNAL dataa_dffe11_wo : STD_LOGIC_VECTOR (31 DOWNTO 0);
SIGNAL dataa_man_dffe1_wi : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL dataa_man_dffe1_wo : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL dataa_sign_dffe1_wi : STD_LOGIC;
SIGNAL dataa_sign_dffe1_wo : STD_LOGIC;
SIGNAL dataa_sign_dffe25_wi : STD_LOGIC;
SIGNAL dataa_sign_dffe25_wo : STD_LOGIC;
SIGNAL datab_dffe11_wi : STD_LOGIC_VECTOR (31 DOWNTO 0);
SIGNAL datab_dffe11_wo : STD_LOGIC_VECTOR (31 DOWNTO 0);
SIGNAL datab_man_dffe1_wi : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL datab_man_dffe1_wo : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL datab_sign_dffe1_wi : STD_LOGIC;
SIGNAL datab_sign_dffe1_wo : STD_LOGIC;
SIGNAL denormal_flag_w : STD_LOGIC;
SIGNAL denormal_res_dffe32_wi : STD_LOGIC;
SIGNAL denormal_res_dffe32_wo : STD_LOGIC;
SIGNAL denormal_res_dffe33_wi : STD_LOGIC;
SIGNAL denormal_res_dffe33_wo : STD_LOGIC;
SIGNAL denormal_res_dffe3_wi : STD_LOGIC;
SIGNAL denormal_res_dffe3_wo : STD_LOGIC;
SIGNAL denormal_res_dffe41_wi : STD_LOGIC;
SIGNAL denormal_res_dffe41_wo : STD_LOGIC;
SIGNAL denormal_res_dffe42_wi : STD_LOGIC;
SIGNAL denormal_res_dffe42_wo : STD_LOGIC;
SIGNAL denormal_res_dffe4_wi : STD_LOGIC;
SIGNAL denormal_res_dffe4_wo : STD_LOGIC;
SIGNAL denormal_result_w : STD_LOGIC;
SIGNAL exp_a_all_one_w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_a_not_zero_w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_adj_0pads : STD_LOGIC_VECTOR (6 DOWNTO 0);
SIGNAL exp_adj_dffe21_wi : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL exp_adj_dffe21_wo : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL exp_adj_dffe23_wi : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL exp_adj_dffe23_wo : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL exp_adj_dffe26_wi : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL exp_adj_dffe26_wo : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL exp_adjust_by_add1 : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL exp_adjust_by_add2 : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL exp_adjustment2_add_sub_dataa_w : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL exp_adjustment2_add_sub_datab_w : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL exp_adjustment2_add_sub_w : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL exp_adjustment_add_sub_dataa_w : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL exp_adjustment_add_sub_datab_w : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL exp_adjustment_add_sub_w : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL exp_all_ones_w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_all_zeros_w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_amb_mux_dffe13_wi : STD_LOGIC;
SIGNAL exp_amb_mux_dffe13_wo : STD_LOGIC;
SIGNAL exp_amb_mux_dffe14_wi : STD_LOGIC;
SIGNAL exp_amb_mux_dffe14_wo : STD_LOGIC;
SIGNAL exp_amb_mux_dffe15_wi : STD_LOGIC;
SIGNAL exp_amb_mux_dffe15_wo : STD_LOGIC;
SIGNAL exp_amb_mux_w : STD_LOGIC;
SIGNAL exp_amb_w : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL exp_b_all_one_w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_b_not_zero_w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_bma_w : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL exp_diff_abs_exceed_max_w : STD_LOGIC_VECTOR (2 DOWNTO 0);
SIGNAL exp_diff_abs_max_w : STD_LOGIC_VECTOR (4 DOWNTO 0);
SIGNAL exp_diff_abs_w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_intermediate_res_dffe41_wi : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_intermediate_res_dffe41_wo : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_intermediate_res_dffe42_wi : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_intermediate_res_dffe42_wo : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_intermediate_res_w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_out_dffe5_wi : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_out_dffe5_wo : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_res_dffe21_wi : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_res_dffe21_wo : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_res_dffe22_wi : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_res_dffe22_wo : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_res_dffe23_wi : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_res_dffe23_wo : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_res_dffe25_wi : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_res_dffe25_wo : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_res_dffe26_wi : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_res_dffe26_wo : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_res_dffe27_wi : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_res_dffe27_wo : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_res_dffe2_wi : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_res_dffe2_wo : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_res_dffe32_wi : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_res_dffe32_wo : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_res_dffe33_wi : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_res_dffe33_wo : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_res_dffe3_wi : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_res_dffe3_wo : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_res_dffe4_wi : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_res_dffe4_wo : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_res_max_w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_res_not_zero_w : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL exp_res_rounding_adder_dataa_w : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL exp_res_rounding_adder_w : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL exp_rounded_res_infinity_w : STD_LOGIC;
SIGNAL exp_rounded_res_max_w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_rounded_res_w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_rounding_adjustment_w : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL exp_value : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL force_infinity_w : STD_LOGIC;
SIGNAL force_nan_w : STD_LOGIC;
SIGNAL force_zero_w : STD_LOGIC;
SIGNAL guard_bit_dffe3_wo : STD_LOGIC;
SIGNAL infinite_output_sign_dffe1_wi : STD_LOGIC;
SIGNAL infinite_output_sign_dffe1_wo : STD_LOGIC;
SIGNAL infinite_output_sign_dffe21_wi : STD_LOGIC;
SIGNAL infinite_output_sign_dffe21_wo : STD_LOGIC;
SIGNAL infinite_output_sign_dffe22_wi : STD_LOGIC;
SIGNAL infinite_output_sign_dffe22_wo : STD_LOGIC;
SIGNAL infinite_output_sign_dffe23_wi : STD_LOGIC;
SIGNAL infinite_output_sign_dffe23_wo : STD_LOGIC;
SIGNAL infinite_output_sign_dffe25_wi : STD_LOGIC;
SIGNAL infinite_output_sign_dffe25_wo : STD_LOGIC;
SIGNAL infinite_output_sign_dffe26_wi : STD_LOGIC;
SIGNAL infinite_output_sign_dffe26_wo : STD_LOGIC;
SIGNAL infinite_output_sign_dffe27_wi : STD_LOGIC;
SIGNAL infinite_output_sign_dffe27_wo : STD_LOGIC;
SIGNAL infinite_output_sign_dffe2_wi : STD_LOGIC;
SIGNAL infinite_output_sign_dffe2_wo : STD_LOGIC;
SIGNAL infinite_output_sign_dffe31_wi : STD_LOGIC;
SIGNAL infinite_output_sign_dffe31_wo : STD_LOGIC;
SIGNAL infinite_output_sign_dffe32_wi : STD_LOGIC;
SIGNAL infinite_output_sign_dffe32_wo : STD_LOGIC;
SIGNAL infinite_output_sign_dffe33_wi : STD_LOGIC;
SIGNAL infinite_output_sign_dffe33_wo : STD_LOGIC;
SIGNAL infinite_output_sign_dffe3_wi : STD_LOGIC;
SIGNAL infinite_output_sign_dffe3_wo : STD_LOGIC;
SIGNAL infinite_output_sign_dffe41_wi : STD_LOGIC;
SIGNAL infinite_output_sign_dffe41_wo : STD_LOGIC;
SIGNAL infinite_output_sign_dffe42_wi : STD_LOGIC;
SIGNAL infinite_output_sign_dffe42_wo : STD_LOGIC;
SIGNAL infinite_output_sign_dffe4_wi : STD_LOGIC;
SIGNAL infinite_output_sign_dffe4_wo : STD_LOGIC;
SIGNAL infinite_res_dff32_wi : STD_LOGIC;
SIGNAL infinite_res_dff32_wo : STD_LOGIC;
SIGNAL infinite_res_dff33_wi : STD_LOGIC;
SIGNAL infinite_res_dff33_wo : STD_LOGIC;
SIGNAL infinite_res_dffe3_wi : STD_LOGIC;
SIGNAL infinite_res_dffe3_wo : STD_LOGIC;
SIGNAL infinite_res_dffe41_wi : STD_LOGIC;
SIGNAL infinite_res_dffe41_wo : STD_LOGIC;
SIGNAL infinite_res_dffe42_wi : STD_LOGIC;
SIGNAL infinite_res_dffe42_wo : STD_LOGIC;
SIGNAL infinite_res_dffe4_wi : STD_LOGIC;
SIGNAL infinite_res_dffe4_wo : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe21_wi : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe21_wo : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe22_wi : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe22_wo : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe23_wi : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe23_wo : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe26_wi : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe26_wo : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe27_wi : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe27_wo : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe2_wi : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe2_wo : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe31_wi : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe31_wo : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe32_wi : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe32_wo : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe33_wi : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe33_wo : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe3_wi : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe3_wo : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe41_wi : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe41_wo : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe42_wi : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe42_wo : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe4_wi : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe4_wo : STD_LOGIC;
SIGNAL input_dataa_denormal_dffe11_wi : STD_LOGIC;
SIGNAL input_dataa_denormal_dffe11_wo : STD_LOGIC;
SIGNAL input_dataa_denormal_w : STD_LOGIC;
SIGNAL input_dataa_infinite_dffe11_wi : STD_LOGIC;
SIGNAL input_dataa_infinite_dffe11_wo : STD_LOGIC;
SIGNAL input_dataa_infinite_dffe12_wi : STD_LOGIC;
SIGNAL input_dataa_infinite_dffe12_wo : STD_LOGIC;
SIGNAL input_dataa_infinite_dffe13_wi : STD_LOGIC;
SIGNAL input_dataa_infinite_dffe13_wo : STD_LOGIC;
SIGNAL input_dataa_infinite_dffe14_wi : STD_LOGIC;
SIGNAL input_dataa_infinite_dffe14_wo : STD_LOGIC;
SIGNAL input_dataa_infinite_dffe15_wi : STD_LOGIC;
SIGNAL input_dataa_infinite_dffe15_wo : STD_LOGIC;
SIGNAL input_dataa_infinite_w : STD_LOGIC;
SIGNAL input_dataa_nan_dffe11_wi : STD_LOGIC;
SIGNAL input_dataa_nan_dffe11_wo : STD_LOGIC;
SIGNAL input_dataa_nan_dffe12_wi : STD_LOGIC;
SIGNAL input_dataa_nan_dffe12_wo : STD_LOGIC;
SIGNAL input_dataa_nan_w : STD_LOGIC;
SIGNAL input_dataa_zero_dffe11_wi : STD_LOGIC;
SIGNAL input_dataa_zero_dffe11_wo : STD_LOGIC;
SIGNAL input_dataa_zero_w : STD_LOGIC;
SIGNAL input_datab_denormal_dffe11_wi : STD_LOGIC;
SIGNAL input_datab_denormal_dffe11_wo : STD_LOGIC;
SIGNAL input_datab_denormal_w : STD_LOGIC;
SIGNAL input_datab_infinite_dffe11_wi : STD_LOGIC;
SIGNAL input_datab_infinite_dffe11_wo : STD_LOGIC;
SIGNAL input_datab_infinite_dffe12_wi : STD_LOGIC;
SIGNAL input_datab_infinite_dffe12_wo : STD_LOGIC;
SIGNAL input_datab_infinite_dffe13_wi : STD_LOGIC;
SIGNAL input_datab_infinite_dffe13_wo : STD_LOGIC;
SIGNAL input_datab_infinite_dffe14_wi : STD_LOGIC;
SIGNAL input_datab_infinite_dffe14_wo : STD_LOGIC;
SIGNAL input_datab_infinite_dffe15_wi : STD_LOGIC;
SIGNAL input_datab_infinite_dffe15_wo : STD_LOGIC;
SIGNAL input_datab_infinite_w : STD_LOGIC;
SIGNAL input_datab_nan_dffe11_wi : STD_LOGIC;
SIGNAL input_datab_nan_dffe11_wo : STD_LOGIC;
SIGNAL input_datab_nan_dffe12_wi : STD_LOGIC;
SIGNAL input_datab_nan_dffe12_wo : STD_LOGIC;
SIGNAL input_datab_nan_w : STD_LOGIC;
SIGNAL input_datab_zero_dffe11_wi : STD_LOGIC;
SIGNAL input_datab_zero_dffe11_wo : STD_LOGIC;
SIGNAL input_datab_zero_w : STD_LOGIC;
SIGNAL input_is_infinite_dffe1_wi : STD_LOGIC;
SIGNAL input_is_infinite_dffe1_wo : STD_LOGIC;
SIGNAL input_is_infinite_dffe21_wi : STD_LOGIC;
SIGNAL input_is_infinite_dffe21_wo : STD_LOGIC;
SIGNAL input_is_infinite_dffe22_wi : STD_LOGIC;
SIGNAL input_is_infinite_dffe22_wo : STD_LOGIC;
SIGNAL input_is_infinite_dffe23_wi : STD_LOGIC;
SIGNAL input_is_infinite_dffe23_wo : STD_LOGIC;
SIGNAL input_is_infinite_dffe25_wi : STD_LOGIC;
SIGNAL input_is_infinite_dffe25_wo : STD_LOGIC;
SIGNAL input_is_infinite_dffe26_wi : STD_LOGIC;
SIGNAL input_is_infinite_dffe26_wo : STD_LOGIC;
SIGNAL input_is_infinite_dffe27_wi : STD_LOGIC;
SIGNAL input_is_infinite_dffe27_wo : STD_LOGIC;
SIGNAL input_is_infinite_dffe2_wi : STD_LOGIC;
SIGNAL input_is_infinite_dffe2_wo : STD_LOGIC;
SIGNAL input_is_infinite_dffe31_wi : STD_LOGIC;
SIGNAL input_is_infinite_dffe31_wo : STD_LOGIC;
SIGNAL input_is_infinite_dffe32_wi : STD_LOGIC;
SIGNAL input_is_infinite_dffe32_wo : STD_LOGIC;
SIGNAL input_is_infinite_dffe33_wi : STD_LOGIC;
SIGNAL input_is_infinite_dffe33_wo : STD_LOGIC;
SIGNAL input_is_infinite_dffe3_wi : STD_LOGIC;
SIGNAL input_is_infinite_dffe3_wo : STD_LOGIC;
SIGNAL input_is_infinite_dffe41_wi : STD_LOGIC;
SIGNAL input_is_infinite_dffe41_wo : STD_LOGIC;
SIGNAL input_is_infinite_dffe42_wi : STD_LOGIC;
SIGNAL input_is_infinite_dffe42_wo : STD_LOGIC;
SIGNAL input_is_infinite_dffe4_wi : STD_LOGIC;
SIGNAL input_is_infinite_dffe4_wo : STD_LOGIC;
SIGNAL input_is_nan_dffe13_wi : STD_LOGIC;
SIGNAL input_is_nan_dffe13_wo : STD_LOGIC;
SIGNAL input_is_nan_dffe14_wi : STD_LOGIC;
SIGNAL input_is_nan_dffe14_wo : STD_LOGIC;
SIGNAL input_is_nan_dffe15_wi : STD_LOGIC;
SIGNAL input_is_nan_dffe15_wo : STD_LOGIC;
SIGNAL input_is_nan_dffe1_wi : STD_LOGIC;
SIGNAL input_is_nan_dffe1_wo : STD_LOGIC;
SIGNAL input_is_nan_dffe21_wi : STD_LOGIC;
SIGNAL input_is_nan_dffe21_wo : STD_LOGIC;
SIGNAL input_is_nan_dffe22_wi : STD_LOGIC;
SIGNAL input_is_nan_dffe22_wo : STD_LOGIC;
SIGNAL input_is_nan_dffe23_wi : STD_LOGIC;
SIGNAL input_is_nan_dffe23_wo : STD_LOGIC;
SIGNAL input_is_nan_dffe25_wi : STD_LOGIC;
SIGNAL input_is_nan_dffe25_wo : STD_LOGIC;
SIGNAL input_is_nan_dffe26_wi : STD_LOGIC;
SIGNAL input_is_nan_dffe26_wo : STD_LOGIC;
SIGNAL input_is_nan_dffe27_wi : STD_LOGIC;
SIGNAL input_is_nan_dffe27_wo : STD_LOGIC;
SIGNAL input_is_nan_dffe2_wi : STD_LOGIC;
SIGNAL input_is_nan_dffe2_wo : STD_LOGIC;
SIGNAL input_is_nan_dffe31_wi : STD_LOGIC;
SIGNAL input_is_nan_dffe31_wo : STD_LOGIC;
SIGNAL input_is_nan_dffe32_wi : STD_LOGIC;
SIGNAL input_is_nan_dffe32_wo : STD_LOGIC;
SIGNAL input_is_nan_dffe33_wi : STD_LOGIC;
SIGNAL input_is_nan_dffe33_wo : STD_LOGIC;
SIGNAL input_is_nan_dffe3_wi : STD_LOGIC;
SIGNAL input_is_nan_dffe3_wo : STD_LOGIC;
SIGNAL input_is_nan_dffe41_wi : STD_LOGIC;
SIGNAL input_is_nan_dffe41_wo : STD_LOGIC;
SIGNAL input_is_nan_dffe42_wi : STD_LOGIC;
SIGNAL input_is_nan_dffe42_wo : STD_LOGIC;
SIGNAL input_is_nan_dffe4_wi : STD_LOGIC;
SIGNAL input_is_nan_dffe4_wo : STD_LOGIC;
SIGNAL man_2comp_res_dataa_w : STD_LOGIC_VECTOR (27 DOWNTO 0);
SIGNAL man_2comp_res_datab_w : STD_LOGIC_VECTOR (27 DOWNTO 0);
SIGNAL man_2comp_res_w : STD_LOGIC_VECTOR (27 DOWNTO 0);
SIGNAL man_a_not_zero_w : STD_LOGIC_VECTOR (22 DOWNTO 0);
SIGNAL man_add_sub_dataa_w : STD_LOGIC_VECTOR (27 DOWNTO 0);
SIGNAL man_add_sub_datab_w : STD_LOGIC_VECTOR (27 DOWNTO 0);
SIGNAL man_add_sub_res_mag_dffe21_wi : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL man_add_sub_res_mag_dffe21_wo : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL man_add_sub_res_mag_dffe23_wi : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL man_add_sub_res_mag_dffe23_wo : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL man_add_sub_res_mag_dffe26_wi : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL man_add_sub_res_mag_dffe26_wo : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL man_add_sub_res_mag_dffe27_wi : STD_LOGIC_VECTOR (27 DOWNTO 0);
SIGNAL man_add_sub_res_mag_dffe27_wo : STD_LOGIC_VECTOR (27 DOWNTO 0);
SIGNAL man_add_sub_res_mag_w2 : STD_LOGIC_VECTOR (27 DOWNTO 0);
SIGNAL man_add_sub_res_sign_dffe21_wo : STD_LOGIC;
SIGNAL man_add_sub_res_sign_dffe23_wi : STD_LOGIC;
SIGNAL man_add_sub_res_sign_dffe23_wo : STD_LOGIC;
SIGNAL man_add_sub_res_sign_dffe26_wi : STD_LOGIC;
SIGNAL man_add_sub_res_sign_dffe26_wo : STD_LOGIC;
SIGNAL man_add_sub_res_sign_dffe27_wi : STD_LOGIC;
SIGNAL man_add_sub_res_sign_dffe27_wo : STD_LOGIC;
SIGNAL man_add_sub_res_sign_w2 : STD_LOGIC;
SIGNAL man_add_sub_w : STD_LOGIC_VECTOR (27 DOWNTO 0);
SIGNAL man_all_zeros_w : STD_LOGIC_VECTOR (22 DOWNTO 0);
SIGNAL man_b_not_zero_w : STD_LOGIC_VECTOR (22 DOWNTO 0);
SIGNAL man_dffe31_wo : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL man_intermediate_res_w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL man_leading_zeros_cnt_w : STD_LOGIC_VECTOR (4 DOWNTO 0);
SIGNAL man_leading_zeros_dffe31_wi : STD_LOGIC_VECTOR (4 DOWNTO 0);
SIGNAL man_leading_zeros_dffe31_wo : STD_LOGIC_VECTOR (4 DOWNTO 0);
SIGNAL man_nan_w : STD_LOGIC_VECTOR (22 DOWNTO 0);
SIGNAL man_out_dffe5_wi : STD_LOGIC_VECTOR (22 DOWNTO 0);
SIGNAL man_out_dffe5_wo : STD_LOGIC_VECTOR (22 DOWNTO 0);
SIGNAL man_res_dffe4_wi : STD_LOGIC_VECTOR (22 DOWNTO 0);
SIGNAL man_res_dffe4_wo : STD_LOGIC_VECTOR (22 DOWNTO 0);
SIGNAL man_res_is_not_zero_dffe31_wi : STD_LOGIC;
SIGNAL man_res_is_not_zero_dffe31_wo : STD_LOGIC;
SIGNAL man_res_is_not_zero_dffe32_wi : STD_LOGIC;
SIGNAL man_res_is_not_zero_dffe32_wo : STD_LOGIC;
SIGNAL man_res_is_not_zero_dffe33_wi : STD_LOGIC;
SIGNAL man_res_is_not_zero_dffe33_wo : STD_LOGIC;
SIGNAL man_res_is_not_zero_dffe3_wi : STD_LOGIC;
SIGNAL man_res_is_not_zero_dffe3_wo : STD_LOGIC;
SIGNAL man_res_is_not_zero_dffe41_wi : STD_LOGIC;
SIGNAL man_res_is_not_zero_dffe41_wo : STD_LOGIC;
SIGNAL man_res_is_not_zero_dffe42_wi : STD_LOGIC;
SIGNAL man_res_is_not_zero_dffe42_wo : STD_LOGIC;
SIGNAL man_res_is_not_zero_dffe4_wi : STD_LOGIC;
SIGNAL man_res_is_not_zero_dffe4_wo : STD_LOGIC;
SIGNAL man_res_mag_w2 : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL man_res_not_zero_dffe23_wi : STD_LOGIC;
SIGNAL man_res_not_zero_dffe23_wo : STD_LOGIC;
SIGNAL man_res_not_zero_dffe26_wi : STD_LOGIC;
SIGNAL man_res_not_zero_dffe26_wo : STD_LOGIC;
SIGNAL man_res_not_zero_w2 : STD_LOGIC_VECTOR (24 DOWNTO 0);
SIGNAL man_res_rounding_add_sub_datab_w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL man_res_rounding_add_sub_w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL man_res_w3 : STD_LOGIC_VECTOR (23 DOWNTO 0);
SIGNAL man_rounded_res_w : STD_LOGIC_VECTOR (22 DOWNTO 0);
SIGNAL man_rounding_add_value_w : STD_LOGIC;
SIGNAL man_smaller_dffe13_wi : STD_LOGIC_VECTOR (23 DOWNTO 0);
SIGNAL man_smaller_dffe13_wo : STD_LOGIC_VECTOR (23 DOWNTO 0);
SIGNAL man_smaller_w : STD_LOGIC_VECTOR (23 DOWNTO 0);
SIGNAL need_complement_dffe22_wi : STD_LOGIC;
SIGNAL need_complement_dffe22_wo : STD_LOGIC;
SIGNAL need_complement_dffe2_wi : STD_LOGIC;
SIGNAL need_complement_dffe2_wo : STD_LOGIC;
SIGNAL pos_sign_bit_ext : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL priority_encoder_1pads_w : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL round_bit_dffe21_wi : STD_LOGIC;
SIGNAL round_bit_dffe21_wo : STD_LOGIC;
SIGNAL round_bit_dffe23_wi : STD_LOGIC;
SIGNAL round_bit_dffe23_wo : STD_LOGIC;
SIGNAL round_bit_dffe26_wi : STD_LOGIC;
SIGNAL round_bit_dffe26_wo : STD_LOGIC;
SIGNAL round_bit_dffe31_wi : STD_LOGIC;
SIGNAL round_bit_dffe31_wo : STD_LOGIC;
SIGNAL round_bit_dffe32_wi : STD_LOGIC;
SIGNAL round_bit_dffe32_wo : STD_LOGIC;
SIGNAL round_bit_dffe33_wi : STD_LOGIC;
SIGNAL round_bit_dffe33_wo : STD_LOGIC;
SIGNAL round_bit_dffe3_wi : STD_LOGIC;
SIGNAL round_bit_dffe3_wo : STD_LOGIC;
SIGNAL round_bit_w : STD_LOGIC;
SIGNAL rounded_res_infinity_dffe4_wi : STD_LOGIC;
SIGNAL rounded_res_infinity_dffe4_wo : STD_LOGIC;
SIGNAL rshift_distance_dffe13_wi : STD_LOGIC_VECTOR (4 DOWNTO 0);
SIGNAL rshift_distance_dffe13_wo : STD_LOGIC_VECTOR (4 DOWNTO 0);
SIGNAL rshift_distance_dffe14_wi : STD_LOGIC_VECTOR (4 DOWNTO 0);
SIGNAL rshift_distance_dffe14_wo : STD_LOGIC_VECTOR (4 DOWNTO 0);
SIGNAL rshift_distance_dffe15_wi : STD_LOGIC_VECTOR (4 DOWNTO 0);
SIGNAL rshift_distance_dffe15_wo : STD_LOGIC_VECTOR (4 DOWNTO 0);
SIGNAL rshift_distance_w : STD_LOGIC_VECTOR (4 DOWNTO 0);
SIGNAL sign_dffe31_wi : STD_LOGIC;
SIGNAL sign_dffe31_wo : STD_LOGIC;
SIGNAL sign_dffe32_wi : STD_LOGIC;
SIGNAL sign_dffe32_wo : STD_LOGIC;
SIGNAL sign_dffe33_wi : STD_LOGIC;
SIGNAL sign_dffe33_wo : STD_LOGIC;
SIGNAL sign_out_dffe5_wi : STD_LOGIC;
SIGNAL sign_out_dffe5_wo : STD_LOGIC;
SIGNAL sign_res_dffe3_wi : STD_LOGIC;
SIGNAL sign_res_dffe3_wo : STD_LOGIC;
SIGNAL sign_res_dffe41_wi : STD_LOGIC;
SIGNAL sign_res_dffe41_wo : STD_LOGIC;
SIGNAL sign_res_dffe42_wi : STD_LOGIC;
SIGNAL sign_res_dffe42_wo : STD_LOGIC;
SIGNAL sign_res_dffe4_wi : STD_LOGIC;
SIGNAL sign_res_dffe4_wo : STD_LOGIC;
SIGNAL sticky_bit_cnt_dataa_w : STD_LOGIC_VECTOR (5 DOWNTO 0);
SIGNAL sticky_bit_cnt_datab_w : STD_LOGIC_VECTOR (5 DOWNTO 0);
SIGNAL sticky_bit_cnt_res_w : STD_LOGIC_VECTOR (5 DOWNTO 0);
SIGNAL sticky_bit_dffe1_wi : STD_LOGIC;
SIGNAL sticky_bit_dffe1_wo : STD_LOGIC;
SIGNAL sticky_bit_dffe21_wi : STD_LOGIC;
SIGNAL sticky_bit_dffe21_wo : STD_LOGIC;
SIGNAL sticky_bit_dffe22_wi : STD_LOGIC;
SIGNAL sticky_bit_dffe22_wo : STD_LOGIC;
SIGNAL sticky_bit_dffe23_wi : STD_LOGIC;
SIGNAL sticky_bit_dffe23_wo : STD_LOGIC;
SIGNAL sticky_bit_dffe25_wi : STD_LOGIC;
SIGNAL sticky_bit_dffe25_wo : STD_LOGIC;
SIGNAL sticky_bit_dffe26_wi : STD_LOGIC;
SIGNAL sticky_bit_dffe26_wo : STD_LOGIC;
SIGNAL sticky_bit_dffe27_wi : STD_LOGIC;
SIGNAL sticky_bit_dffe27_wo : STD_LOGIC;
SIGNAL sticky_bit_dffe2_wi : STD_LOGIC;
SIGNAL sticky_bit_dffe2_wo : STD_LOGIC;
SIGNAL sticky_bit_dffe31_wi : STD_LOGIC;
SIGNAL sticky_bit_dffe31_wo : STD_LOGIC;
SIGNAL sticky_bit_dffe32_wi : STD_LOGIC;
SIGNAL sticky_bit_dffe32_wo : STD_LOGIC;
SIGNAL sticky_bit_dffe33_wi : STD_LOGIC;
SIGNAL sticky_bit_dffe33_wo : STD_LOGIC;
SIGNAL sticky_bit_dffe3_wi : STD_LOGIC;
SIGNAL sticky_bit_dffe3_wo : STD_LOGIC;
SIGNAL sticky_bit_w : STD_LOGIC;
SIGNAL trailing_zeros_limit_w : STD_LOGIC_VECTOR (5 DOWNTO 0);
SIGNAL zero_man_sign_dffe21_wi : STD_LOGIC;
SIGNAL zero_man_sign_dffe21_wo : STD_LOGIC;
SIGNAL zero_man_sign_dffe22_wi : STD_LOGIC;
SIGNAL zero_man_sign_dffe22_wo : STD_LOGIC;
SIGNAL zero_man_sign_dffe23_wi : STD_LOGIC;
SIGNAL zero_man_sign_dffe23_wo : STD_LOGIC;
SIGNAL zero_man_sign_dffe26_wi : STD_LOGIC;
SIGNAL zero_man_sign_dffe26_wo : STD_LOGIC;
SIGNAL zero_man_sign_dffe27_wi : STD_LOGIC;
SIGNAL zero_man_sign_dffe27_wo : STD_LOGIC;
SIGNAL zero_man_sign_dffe2_wi : STD_LOGIC;
SIGNAL zero_man_sign_dffe2_wo : STD_LOGIC;
SIGNAL wire_w_aligned_dataa_exp_dffe15_wo_range315w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL wire_w_aligned_datab_exp_dffe15_wo_range313w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL wire_w_dataa_range141w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range147w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range153w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range159w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range165w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range171w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range177w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range183w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range189w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range195w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range87w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range201w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range207w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range213w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range17w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range27w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range37w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range47w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range57w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range67w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range93w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range77w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range99w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range105w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range111w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range117w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range123w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range129w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range135w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_dffe11_wo_range242w : STD_LOGIC_VECTOR (22 DOWNTO 0);
SIGNAL wire_w_dataa_dffe11_wo_range232w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL wire_w_datab_range144w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range150w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range156w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range162w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range168w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range174w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range180w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range186w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range192w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range198w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range90w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range204w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range210w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range216w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range20w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range30w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range40w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range50w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range60w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range70w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range96w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range80w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range102w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range108w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range114w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range120w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range126w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range132w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range138w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_dffe11_wo_range261w : STD_LOGIC_VECTOR (22 DOWNTO 0);
SIGNAL wire_w_datab_dffe11_wo_range251w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL wire_w_exp_a_all_one_w_range7w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_a_all_one_w_range24w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_a_all_one_w_range34w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_a_all_one_w_range44w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_a_all_one_w_range54w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_a_all_one_w_range64w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_a_all_one_w_range74w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_a_all_one_w_range84w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_a_not_zero_w_range2w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_a_not_zero_w_range19w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_a_not_zero_w_range29w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_a_not_zero_w_range39w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_a_not_zero_w_range49w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_a_not_zero_w_range59w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_a_not_zero_w_range69w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_adjustment2_add_sub_w_range518w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_adjustment2_add_sub_w_range521w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_adjustment2_add_sub_w_range524w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_adjustment2_add_sub_w_range527w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_adjustment2_add_sub_w_range530w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_adjustment2_add_sub_w_range533w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_adjustment2_add_sub_w_range557w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL wire_w_exp_adjustment2_add_sub_w_range536w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_adjustment2_add_sub_w_range511w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_amb_w_range275w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL wire_w_exp_b_all_one_w_range9w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_b_all_one_w_range26w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_b_all_one_w_range36w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_b_all_one_w_range46w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_b_all_one_w_range56w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_b_all_one_w_range66w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_b_all_one_w_range76w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_b_all_one_w_range86w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_b_not_zero_w_range5w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_b_not_zero_w_range22w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_b_not_zero_w_range32w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_b_not_zero_w_range42w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_b_not_zero_w_range52w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_b_not_zero_w_range62w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_b_not_zero_w_range72w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_bma_w_range273w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL wire_w_exp_diff_abs_exceed_max_w_range283w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_diff_abs_exceed_max_w_range287w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_diff_abs_exceed_max_w_range290w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_diff_abs_w_range291w : STD_LOGIC_VECTOR (4 DOWNTO 0);
SIGNAL wire_w_exp_diff_abs_w_range285w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_diff_abs_w_range288w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_res_max_w_range540w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_res_max_w_range543w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_res_max_w_range545w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_res_max_w_range547w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_res_max_w_range549w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_res_max_w_range551w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_res_max_w_range553w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_res_max_w_range555w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_res_not_zero_w_range516w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_res_not_zero_w_range520w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_res_not_zero_w_range523w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_res_not_zero_w_range526w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_res_not_zero_w_range529w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_res_not_zero_w_range532w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_res_not_zero_w_range535w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_res_not_zero_w_range538w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_rounded_res_max_w_range601w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_rounded_res_max_w_range605w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_rounded_res_max_w_range608w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_rounded_res_max_w_range611w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_rounded_res_max_w_range614w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_rounded_res_max_w_range617w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_rounded_res_max_w_range620w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_rounded_res_w_range603w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_rounded_res_w_range606w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_rounded_res_w_range609w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_rounded_res_w_range612w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_rounded_res_w_range615w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_rounded_res_w_range618w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_rounded_res_w_range621w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_a_not_zero_w_range12w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_a_not_zero_w_range143w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_a_not_zero_w_range149w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_a_not_zero_w_range155w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_a_not_zero_w_range161w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_a_not_zero_w_range167w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_a_not_zero_w_range173w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_a_not_zero_w_range179w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_a_not_zero_w_range185w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_a_not_zero_w_range191w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_a_not_zero_w_range197w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_a_not_zero_w_range89w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_a_not_zero_w_range203w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_a_not_zero_w_range209w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_a_not_zero_w_range215w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_a_not_zero_w_range95w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_a_not_zero_w_range101w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_a_not_zero_w_range107w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_a_not_zero_w_range113w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_a_not_zero_w_range119w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_a_not_zero_w_range125w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_a_not_zero_w_range131w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_a_not_zero_w_range137w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range443w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range446w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range449w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range452w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range455w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range458w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range461w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range464w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range467w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range470w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range473w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range476w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range479w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range482w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range485w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range488w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range419w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range422w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range425w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range428w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range431w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range434w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range437w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range440w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe27_wo_range396w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe27_wo_range411w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe27_wo_range387w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe27_wo_range413w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe27_wo_range381w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_w_range372w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_b_not_zero_w_range15w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_b_not_zero_w_range146w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_b_not_zero_w_range152w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_b_not_zero_w_range158w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_b_not_zero_w_range164w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_b_not_zero_w_range170w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_b_not_zero_w_range176w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_b_not_zero_w_range182w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_b_not_zero_w_range188w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_b_not_zero_w_range194w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_b_not_zero_w_range200w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_b_not_zero_w_range92w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_b_not_zero_w_range206w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_b_not_zero_w_range212w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_b_not_zero_w_range218w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_b_not_zero_w_range98w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_b_not_zero_w_range104w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_b_not_zero_w_range110w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_b_not_zero_w_range116w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_b_not_zero_w_range122w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_b_not_zero_w_range128w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_b_not_zero_w_range134w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_b_not_zero_w_range140w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_res_not_zero_w2_range417w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_res_not_zero_w2_range448w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_res_not_zero_w2_range451w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_res_not_zero_w2_range454w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_res_not_zero_w2_range457w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_res_not_zero_w2_range460w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_res_not_zero_w2_range463w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_res_not_zero_w2_range466w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_res_not_zero_w2_range469w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_res_not_zero_w2_range472w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_res_not_zero_w2_range475w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_res_not_zero_w2_range421w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_res_not_zero_w2_range478w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_res_not_zero_w2_range481w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_res_not_zero_w2_range484w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_res_not_zero_w2_range487w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_res_not_zero_w2_range424w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_res_not_zero_w2_range427w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_res_not_zero_w2_range430w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_res_not_zero_w2_range433w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_res_not_zero_w2_range436w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_res_not_zero_w2_range439w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_res_not_zero_w2_range442w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_res_not_zero_w2_range445w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_res_rounding_add_sub_w_range584w : STD_LOGIC_VECTOR (22 DOWNTO 0);
SIGNAL wire_w_man_res_rounding_add_sub_w_range588w : STD_LOGIC_VECTOR (22 DOWNTO 0);
SIGNAL wire_w_man_res_rounding_add_sub_w_range585w : STD_LOGIC_VECTOR (0 DOWNTO 0);
COMPONENT kn_kalman_sub_altbarrel_shift_h0e
PORT
(
aclr : IN STD_LOGIC := '0';
clk_en : IN STD_LOGIC := '1';
clock : IN STD_LOGIC := '0';
data : IN STD_LOGIC_VECTOR(25 DOWNTO 0);
distance : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR(25 DOWNTO 0)
);
END COMPONENT;
COMPONENT kn_kalman_sub_altbarrel_shift_n3g
PORT
(
aclr : IN STD_LOGIC := '0';
clk_en : IN STD_LOGIC := '1';
clock : IN STD_LOGIC := '0';
data : IN STD_LOGIC_VECTOR(25 DOWNTO 0);
distance : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR(25 DOWNTO 0)
);
END COMPONENT;
COMPONENT kn_kalman_sub_altpriority_encoder_ou8
PORT
(
aclr : IN STD_LOGIC := '0';
clk_en : IN STD_LOGIC := '1';
clock : IN STD_LOGIC := '0';
data : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR(4 DOWNTO 0)
);
END COMPONENT;
COMPONENT kn_kalman_sub_altpriority_encoder_cna
PORT
(
aclr : IN STD_LOGIC := '0';
clk_en : IN STD_LOGIC := '1';
clock : IN STD_LOGIC := '0';
data : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR(4 DOWNTO 0)
);
END COMPONENT;
COMPONENT lpm_add_sub
GENERIC
(
LPM_DIRECTION : STRING := "DEFAULT";
LPM_PIPELINE : NATURAL := 0;
LPM_REPRESENTATION : STRING := "SIGNED";
LPM_WIDTH : NATURAL;
lpm_hint : STRING := "UNUSED";
lpm_type : STRING := "lpm_add_sub"
);
PORT
(
aclr : IN STD_LOGIC := '0';
add_sub : IN STD_LOGIC := '1';
cin : IN STD_LOGIC := 'Z';
clken : IN STD_LOGIC := '1';
clock : IN STD_LOGIC := '0';
cout : OUT STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR(LPM_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
datab : IN STD_LOGIC_VECTOR(LPM_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
overflow : OUT STD_LOGIC;
result : OUT STD_LOGIC_VECTOR(LPM_WIDTH-1 DOWNTO 0)
);
END COMPONENT;
COMPONENT lpm_compare
GENERIC
(
LPM_PIPELINE : NATURAL := 0;
LPM_REPRESENTATION : STRING := "UNSIGNED";
LPM_WIDTH : NATURAL;
lpm_hint : STRING := "UNUSED";
lpm_type : STRING := "lpm_compare"
);
PORT
(
aclr : IN STD_LOGIC := '0';
aeb : OUT STD_LOGIC;
agb : OUT STD_LOGIC;
ageb : OUT STD_LOGIC;
alb : OUT STD_LOGIC;
aleb : OUT STD_LOGIC;
aneb : OUT STD_LOGIC;
clken : IN STD_LOGIC := '1';
clock : IN STD_LOGIC := '0';
dataa : IN STD_LOGIC_VECTOR(LPM_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
datab : IN STD_LOGIC_VECTOR(LPM_WIDTH-1 DOWNTO 0) := (OTHERS => '0')
);
END COMPONENT;
BEGIN
wire_gnd <= '0';
wire_vcc <= '1';
wire_w248w(0) <= wire_w_lg_w_lg_input_dataa_infinite_dffe11_wo246w247w(0) AND wire_w_lg_input_dataa_zero_dffe11_wo245w(0);
wire_w267w(0) <= wire_w_lg_w_lg_input_datab_infinite_dffe11_wo265w266w(0) AND wire_w_lg_input_datab_zero_dffe11_wo264w(0);
wire_w_lg_w397w407w(0) <= wire_w397w(0) AND sticky_bit_dffe27_wo;
loop81 : FOR i IN 0 TO 7 GENERATE
wire_w_lg_w_lg_w_lg_force_zero_w634w635w636w(i) <= wire_w_lg_w_lg_force_zero_w634w635w(0) AND exp_res_dffe4_wo(i);
END GENERATE loop81;
loop82 : FOR i IN 0 TO 22 GENERATE
wire_w_lg_w_lg_w_lg_force_zero_w634w635w645w(i) <= wire_w_lg_w_lg_force_zero_w634w635w(0) AND man_res_dffe4_wo(i);
END GENERATE loop82;
loop83 : FOR i IN 0 TO 7 GENERATE
wire_w_lg_w_lg_denormal_result_w558w559w(i) <= wire_w_lg_denormal_result_w558w(0) AND wire_w_exp_adjustment2_add_sub_w_range557w(i);
END GENERATE loop83;
loop84 : FOR i IN 0 TO 25 GENERATE
wire_w_lg_w_lg_exp_amb_mux_dffe15_wo316w324w(i) <= wire_w_lg_exp_amb_mux_dffe15_wo316w(0) AND aligned_dataa_man_dffe15_w(i);
END GENERATE loop84;
loop85 : FOR i IN 0 TO 25 GENERATE
wire_w_lg_w_lg_exp_amb_mux_dffe15_wo316w331w(i) <= wire_w_lg_exp_amb_mux_dffe15_wo316w(0) AND wire_rbarrel_shift_result(i);
END GENERATE loop85;
loop86 : FOR i IN 0 TO 7 GENERATE
wire_w_lg_w_lg_exp_amb_mux_dffe15_wo316w317w(i) <= wire_w_lg_exp_amb_mux_dffe15_wo316w(0) AND wire_w_aligned_dataa_exp_dffe15_wo_range315w(i);
END GENERATE loop86;
loop87 : FOR i IN 0 TO 23 GENERATE
wire_w_lg_w_lg_exp_amb_mux_w276w279w(i) <= wire_w_lg_exp_amb_mux_w276w(0) AND aligned_datab_man_dffe12_wo(i);
END GENERATE loop87;
loop88 : FOR i IN 0 TO 7 GENERATE
wire_w_lg_w_lg_exp_amb_mux_w276w277w(i) <= wire_w_lg_exp_amb_mux_w276w(0) AND wire_w_exp_amb_w_range275w(i);
END GENERATE loop88;
loop89 : FOR i IN 0 TO 7 GENERATE
wire_w_lg_w_lg_force_infinity_w629w639w(i) <= wire_w_lg_force_infinity_w629w(0) AND wire_w_lg_w_lg_w_lg_force_zero_w634w637w638w(i);
END GENERATE loop89;
loop90 : FOR i IN 0 TO 22 GENERATE
wire_w_lg_w_lg_force_infinity_w629w648w(i) <= wire_w_lg_force_infinity_w629w(0) AND wire_w_lg_w_lg_w_lg_force_zero_w634w646w647w(i);
END GENERATE loop90;
wire_w_lg_w_lg_force_infinity_w629w654w(0) <= wire_w_lg_force_infinity_w629w(0) AND sign_res_dffe4_wo;
loop91 : FOR i IN 0 TO 7 GENERATE
wire_w_lg_w_lg_force_nan_w630w642w(i) <= wire_w_lg_force_nan_w630w(0) AND wire_w_lg_w_lg_force_infinity_w640w641w(i);
END GENERATE loop91;
loop92 : FOR i IN 0 TO 22 GENERATE
wire_w_lg_w_lg_force_nan_w630w651w(i) <= wire_w_lg_force_nan_w630w(0) AND wire_w_lg_w_lg_force_infinity_w649w650w(i);
END GENERATE loop92;
loop93 : FOR i IN 0 TO 22 GENERATE
wire_w_lg_w_lg_input_dataa_denormal_dffe11_wo233w243w(i) <= wire_w_lg_input_dataa_denormal_dffe11_wo233w(0) AND wire_w_dataa_dffe11_wo_range242w(i);
END GENERATE loop93;
loop94 : FOR i IN 0 TO 7 GENERATE
wire_w_lg_w_lg_input_dataa_denormal_dffe11_wo233w234w(i) <= wire_w_lg_input_dataa_denormal_dffe11_wo233w(0) AND wire_w_dataa_dffe11_wo_range232w(i);
END GENERATE loop94;
wire_w_lg_w_lg_input_dataa_infinite_dffe11_wo246w247w(0) <= wire_w_lg_input_dataa_infinite_dffe11_wo246w(0) AND wire_w_lg_input_dataa_denormal_dffe11_wo233w(0);
loop95 : FOR i IN 0 TO 22 GENERATE
wire_w_lg_w_lg_input_datab_denormal_dffe11_wo252w262w(i) <= wire_w_lg_input_datab_denormal_dffe11_wo252w(0) AND wire_w_datab_dffe11_wo_range261w(i);
END GENERATE loop95;
loop96 : FOR i IN 0 TO 7 GENERATE
wire_w_lg_w_lg_input_datab_denormal_dffe11_wo252w253w(i) <= wire_w_lg_input_datab_denormal_dffe11_wo252w(0) AND wire_w_datab_dffe11_wo_range251w(i);
END GENERATE loop96;
wire_w_lg_w_lg_input_datab_infinite_dffe11_wo265w266w(0) <= wire_w_lg_input_datab_infinite_dffe11_wo265w(0) AND wire_w_lg_input_datab_denormal_dffe11_wo252w(0);
wire_w_lg_w_lg_input_datab_infinite_dffe15_wo338w339w(0) <= wire_w_lg_input_datab_infinite_dffe15_wo338w(0) AND aligned_dataa_sign_dffe15_wo;
wire_w_lg_w_lg_man_res_not_zero_dffe26_wo503w504w(0) <= wire_w_lg_man_res_not_zero_dffe26_wo503w(0) AND zero_man_sign_dffe26_wo;
loop97 : FOR i IN 0 TO 4 GENERATE
wire_w293w(i) <= wire_w_lg_w_exp_diff_abs_exceed_max_w_range290w292w(0) AND wire_w_exp_diff_abs_w_range291w(i);
END GENERATE loop97;
wire_w397w(0) <= wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w382w(0) AND wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range387w390w(0);
loop98 : FOR i IN 0 TO 1 GENERATE
wire_w383w(i) <= wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w382w(0) AND exp_adjust_by_add1(i);
END GENERATE loop98;
loop99 : FOR i IN 0 TO 25 GENERATE
wire_w412w(i) <= wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w382w(0) AND wire_w_man_add_sub_res_mag_dffe27_wo_range411w(i);
END GENERATE loop99;
loop100 : FOR i IN 0 TO 27 GENERATE
wire_w_lg_w_lg_w_man_add_sub_w_range372w375w378w(i) <= wire_w_lg_w_man_add_sub_w_range372w375w(0) AND man_add_sub_w(i);
END GENERATE loop100;
loop101 : FOR i IN 0 TO 22 GENERATE
wire_w587w(i) <= wire_w_lg_w_man_res_rounding_add_sub_w_range585w586w(0) AND wire_w_man_res_rounding_add_sub_w_range584w(i);
END GENERATE loop101;
loop102 : FOR i IN 0 TO 7 GENERATE
wire_w_lg_w_lg_force_zero_w634w637w(i) <= wire_w_lg_force_zero_w634w(0) AND exp_all_zeros_w(i);
END GENERATE loop102;
loop103 : FOR i IN 0 TO 22 GENERATE
wire_w_lg_w_lg_force_zero_w634w646w(i) <= wire_w_lg_force_zero_w634w(0) AND man_all_zeros_w(i);
END GENERATE loop103;
loop104 : FOR i IN 0 TO 25 GENERATE
wire_w_lg_exp_amb_mux_dffe15_wo330w(i) <= exp_amb_mux_dffe15_wo AND aligned_datab_man_dffe15_w(i);
END GENERATE loop104;
loop105 : FOR i IN 0 TO 25 GENERATE
wire_w_lg_exp_amb_mux_dffe15_wo323w(i) <= exp_amb_mux_dffe15_wo AND wire_rbarrel_shift_result(i);
END GENERATE loop105;
loop106 : FOR i IN 0 TO 7 GENERATE
wire_w_lg_exp_amb_mux_dffe15_wo314w(i) <= exp_amb_mux_dffe15_wo AND wire_w_aligned_datab_exp_dffe15_wo_range313w(i);
END GENERATE loop106;
loop107 : FOR i IN 0 TO 23 GENERATE
wire_w_lg_exp_amb_mux_w280w(i) <= exp_amb_mux_w AND aligned_dataa_man_dffe12_wo(i);
END GENERATE loop107;
loop108 : FOR i IN 0 TO 7 GENERATE
wire_w_lg_exp_amb_mux_w274w(i) <= exp_amb_mux_w AND wire_w_exp_bma_w_range273w(i);
END GENERATE loop108;
loop109 : FOR i IN 0 TO 7 GENERATE
wire_w_lg_force_infinity_w640w(i) <= force_infinity_w AND exp_all_ones_w(i);
END GENERATE loop109;
loop110 : FOR i IN 0 TO 22 GENERATE
wire_w_lg_force_infinity_w649w(i) <= force_infinity_w AND man_all_zeros_w(i);
END GENERATE loop110;
loop111 : FOR i IN 0 TO 7 GENERATE
wire_w_lg_force_nan_w643w(i) <= force_nan_w AND exp_all_ones_w(i);
END GENERATE loop111;
loop112 : FOR i IN 0 TO 22 GENERATE
wire_w_lg_force_nan_w652w(i) <= force_nan_w AND man_nan_w(i);
END GENERATE loop112;
wire_w_lg_input_datab_infinite_dffe15_wo337w(0) <= input_datab_infinite_dffe15_wo AND wire_w_lg_aligned_datab_sign_dffe15_wo336w(0);
wire_w_lg_need_complement_dffe22_wo376w(0) <= need_complement_dffe22_wo AND wire_w_lg_w_man_add_sub_w_range372w375w(0);
wire_w_lg_w_dataa_range17w23w(0) <= wire_w_dataa_range17w(0) AND wire_w_exp_a_all_one_w_range7w(0);
wire_w_lg_w_dataa_range27w33w(0) <= wire_w_dataa_range27w(0) AND wire_w_exp_a_all_one_w_range24w(0);
wire_w_lg_w_dataa_range37w43w(0) <= wire_w_dataa_range37w(0) AND wire_w_exp_a_all_one_w_range34w(0);
wire_w_lg_w_dataa_range47w53w(0) <= wire_w_dataa_range47w(0) AND wire_w_exp_a_all_one_w_range44w(0);
wire_w_lg_w_dataa_range57w63w(0) <= wire_w_dataa_range57w(0) AND wire_w_exp_a_all_one_w_range54w(0);
wire_w_lg_w_dataa_range67w73w(0) <= wire_w_dataa_range67w(0) AND wire_w_exp_a_all_one_w_range64w(0);
wire_w_lg_w_dataa_range77w83w(0) <= wire_w_dataa_range77w(0) AND wire_w_exp_a_all_one_w_range74w(0);
wire_w_lg_w_datab_range20w25w(0) <= wire_w_datab_range20w(0) AND wire_w_exp_b_all_one_w_range9w(0);
wire_w_lg_w_datab_range30w35w(0) <= wire_w_datab_range30w(0) AND wire_w_exp_b_all_one_w_range26w(0);
wire_w_lg_w_datab_range40w45w(0) <= wire_w_datab_range40w(0) AND wire_w_exp_b_all_one_w_range36w(0);
wire_w_lg_w_datab_range50w55w(0) <= wire_w_datab_range50w(0) AND wire_w_exp_b_all_one_w_range46w(0);
wire_w_lg_w_datab_range60w65w(0) <= wire_w_datab_range60w(0) AND wire_w_exp_b_all_one_w_range56w(0);
wire_w_lg_w_datab_range70w75w(0) <= wire_w_datab_range70w(0) AND wire_w_exp_b_all_one_w_range66w(0);
wire_w_lg_w_datab_range80w85w(0) <= wire_w_datab_range80w(0) AND wire_w_exp_b_all_one_w_range76w(0);
wire_w_lg_w_exp_a_all_one_w_range84w220w(0) <= wire_w_exp_a_all_one_w_range84w(0) AND wire_w_lg_w_man_a_not_zero_w_range215w219w(0);
wire_w_lg_w_exp_b_all_one_w_range86w226w(0) <= wire_w_exp_b_all_one_w_range86w(0) AND wire_w_lg_w_man_b_not_zero_w_range218w225w(0);
loop113 : FOR i IN 0 TO 4 GENERATE
wire_w_lg_w_exp_diff_abs_exceed_max_w_range290w294w(i) <= wire_w_exp_diff_abs_exceed_max_w_range290w(0) AND exp_diff_abs_max_w(i);
END GENERATE loop113;
wire_w_lg_w_exp_res_max_w_range540w542w(0) <= wire_w_exp_res_max_w_range540w(0) AND wire_w_exp_adjustment2_add_sub_w_range518w(0);
wire_w_lg_w_exp_res_max_w_range543w544w(0) <= wire_w_exp_res_max_w_range543w(0) AND wire_w_exp_adjustment2_add_sub_w_range521w(0);
wire_w_lg_w_exp_res_max_w_range545w546w(0) <= wire_w_exp_res_max_w_range545w(0) AND wire_w_exp_adjustment2_add_sub_w_range524w(0);
wire_w_lg_w_exp_res_max_w_range547w548w(0) <= wire_w_exp_res_max_w_range547w(0) AND wire_w_exp_adjustment2_add_sub_w_range527w(0);
wire_w_lg_w_exp_res_max_w_range549w550w(0) <= wire_w_exp_res_max_w_range549w(0) AND wire_w_exp_adjustment2_add_sub_w_range530w(0);
wire_w_lg_w_exp_res_max_w_range551w552w(0) <= wire_w_exp_res_max_w_range551w(0) AND wire_w_exp_adjustment2_add_sub_w_range533w(0);
wire_w_lg_w_exp_res_max_w_range553w554w(0) <= wire_w_exp_res_max_w_range553w(0) AND wire_w_exp_adjustment2_add_sub_w_range536w(0);
wire_w_lg_w_exp_res_max_w_range555w561w(0) <= wire_w_exp_res_max_w_range555w(0) AND wire_w_lg_w_exp_adjustment2_add_sub_w_range511w560w(0);
wire_w_lg_w_exp_rounded_res_max_w_range601w604w(0) <= wire_w_exp_rounded_res_max_w_range601w(0) AND wire_w_exp_rounded_res_w_range603w(0);
wire_w_lg_w_exp_rounded_res_max_w_range605w607w(0) <= wire_w_exp_rounded_res_max_w_range605w(0) AND wire_w_exp_rounded_res_w_range606w(0);
wire_w_lg_w_exp_rounded_res_max_w_range608w610w(0) <= wire_w_exp_rounded_res_max_w_range608w(0) AND wire_w_exp_rounded_res_w_range609w(0);
wire_w_lg_w_exp_rounded_res_max_w_range611w613w(0) <= wire_w_exp_rounded_res_max_w_range611w(0) AND wire_w_exp_rounded_res_w_range612w(0);
wire_w_lg_w_exp_rounded_res_max_w_range614w616w(0) <= wire_w_exp_rounded_res_max_w_range614w(0) AND wire_w_exp_rounded_res_w_range615w(0);
wire_w_lg_w_exp_rounded_res_max_w_range617w619w(0) <= wire_w_exp_rounded_res_max_w_range617w(0) AND wire_w_exp_rounded_res_w_range618w(0);
wire_w_lg_w_exp_rounded_res_max_w_range620w622w(0) <= wire_w_exp_rounded_res_max_w_range620w(0) AND wire_w_exp_rounded_res_w_range621w(0);
wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w391w(0) <= wire_w_man_add_sub_res_mag_dffe27_wo_range381w(0) AND wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range387w390w(0);
loop114 : FOR i IN 0 TO 1 GENERATE
wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w384w(i) <= wire_w_man_add_sub_res_mag_dffe27_wo_range381w(0) AND exp_adjust_by_add2(i);
END GENERATE loop114;
loop115 : FOR i IN 0 TO 25 GENERATE
wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w414w(i) <= wire_w_man_add_sub_res_mag_dffe27_wo_range381w(0) AND wire_w_man_add_sub_res_mag_dffe27_wo_range413w(i);
END GENERATE loop115;
loop116 : FOR i IN 0 TO 27 GENERATE
wire_w_lg_w_man_add_sub_w_range372w379w(i) <= wire_w_man_add_sub_w_range372w(0) AND man_2comp_res_w(i);
END GENERATE loop116;
loop117 : FOR i IN 0 TO 22 GENERATE
wire_w_lg_w_man_res_rounding_add_sub_w_range585w589w(i) <= wire_w_man_res_rounding_add_sub_w_range585w(0) AND wire_w_man_res_rounding_add_sub_w_range588w(i);
END GENERATE loop117;
wire_w_lg_w_lg_force_zero_w634w635w(0) <= NOT wire_w_lg_force_zero_w634w(0);
wire_w_lg_add_sub_dffe25_wo491w(0) <= NOT add_sub_dffe25_wo;
wire_w_lg_add_sub_w2342w(0) <= NOT add_sub_w2;
wire_w_lg_aligned_datab_sign_dffe15_wo336w(0) <= NOT aligned_datab_sign_dffe15_wo;
wire_w_lg_denormal_result_w558w(0) <= NOT denormal_result_w;
wire_w_lg_exp_amb_mux_dffe15_wo316w(0) <= NOT exp_amb_mux_dffe15_wo;
wire_w_lg_exp_amb_mux_w276w(0) <= NOT exp_amb_mux_w;
wire_w_lg_force_infinity_w629w(0) <= NOT force_infinity_w;
wire_w_lg_force_nan_w630w(0) <= NOT force_nan_w;
wire_w_lg_force_zero_w628w(0) <= NOT force_zero_w;
wire_w_lg_input_dataa_denormal_dffe11_wo233w(0) <= NOT input_dataa_denormal_dffe11_wo;
wire_w_lg_input_dataa_infinite_dffe11_wo246w(0) <= NOT input_dataa_infinite_dffe11_wo;
wire_w_lg_input_dataa_zero_dffe11_wo245w(0) <= NOT input_dataa_zero_dffe11_wo;
wire_w_lg_input_datab_denormal_dffe11_wo252w(0) <= NOT input_datab_denormal_dffe11_wo;
wire_w_lg_input_datab_infinite_dffe11_wo265w(0) <= NOT input_datab_infinite_dffe11_wo;
wire_w_lg_input_datab_infinite_dffe15_wo338w(0) <= NOT input_datab_infinite_dffe15_wo;
wire_w_lg_input_datab_zero_dffe11_wo264w(0) <= NOT input_datab_zero_dffe11_wo;
wire_w_lg_man_res_is_not_zero_dffe4_wo627w(0) <= NOT man_res_is_not_zero_dffe4_wo;
wire_w_lg_man_res_not_zero_dffe26_wo503w(0) <= NOT man_res_not_zero_dffe26_wo;
wire_w_lg_need_complement_dffe22_wo373w(0) <= NOT need_complement_dffe22_wo;
wire_w_lg_sticky_bit_dffe1_wo343w(0) <= NOT sticky_bit_dffe1_wo;
wire_w_lg_w_exp_adjustment2_add_sub_w_range511w560w(0) <= NOT wire_w_exp_adjustment2_add_sub_w_range511w(0);
wire_w_lg_w_exp_diff_abs_exceed_max_w_range290w292w(0) <= NOT wire_w_exp_diff_abs_exceed_max_w_range290w(0);
wire_w_lg_w_man_a_not_zero_w_range215w219w(0) <= NOT wire_w_man_a_not_zero_w_range215w(0);
wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range387w390w(0) <= NOT wire_w_man_add_sub_res_mag_dffe27_wo_range387w(0);
wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w382w(0) <= NOT wire_w_man_add_sub_res_mag_dffe27_wo_range381w(0);
wire_w_lg_w_man_add_sub_w_range372w375w(0) <= NOT wire_w_man_add_sub_w_range372w(0);
wire_w_lg_w_man_b_not_zero_w_range218w225w(0) <= NOT wire_w_man_b_not_zero_w_range218w(0);
wire_w_lg_w_man_res_rounding_add_sub_w_range585w586w(0) <= NOT wire_w_man_res_rounding_add_sub_w_range585w(0);
loop118 : FOR i IN 0 TO 7 GENERATE
wire_w_lg_w_lg_w_lg_force_zero_w634w637w638w(i) <= wire_w_lg_w_lg_force_zero_w634w637w(i) OR wire_w_lg_w_lg_w_lg_force_zero_w634w635w636w(i);
END GENERATE loop118;
loop119 : FOR i IN 0 TO 22 GENERATE
wire_w_lg_w_lg_w_lg_force_zero_w634w646w647w(i) <= wire_w_lg_w_lg_force_zero_w634w646w(i) OR wire_w_lg_w_lg_w_lg_force_zero_w634w635w645w(i);
END GENERATE loop119;
loop120 : FOR i IN 0 TO 7 GENERATE
wire_w_lg_w_lg_force_infinity_w640w641w(i) <= wire_w_lg_force_infinity_w640w(i) OR wire_w_lg_w_lg_force_infinity_w629w639w(i);
END GENERATE loop120;
loop121 : FOR i IN 0 TO 22 GENERATE
wire_w_lg_w_lg_force_infinity_w649w650w(i) <= wire_w_lg_force_infinity_w649w(i) OR wire_w_lg_w_lg_force_infinity_w629w648w(i);
END GENERATE loop121;
wire_w_lg_force_zero_w634w(0) <= force_zero_w OR denormal_flag_w;
wire_w_lg_sticky_bit_dffe27_wo402w(0) <= sticky_bit_dffe27_wo OR wire_w_man_add_sub_res_mag_dffe27_wo_range396w(0);
wire_w_lg_w_dataa_range141w142w(0) <= wire_w_dataa_range141w(0) OR wire_w_man_a_not_zero_w_range137w(0);
wire_w_lg_w_dataa_range147w148w(0) <= wire_w_dataa_range147w(0) OR wire_w_man_a_not_zero_w_range143w(0);
wire_w_lg_w_dataa_range153w154w(0) <= wire_w_dataa_range153w(0) OR wire_w_man_a_not_zero_w_range149w(0);
wire_w_lg_w_dataa_range159w160w(0) <= wire_w_dataa_range159w(0) OR wire_w_man_a_not_zero_w_range155w(0);
wire_w_lg_w_dataa_range165w166w(0) <= wire_w_dataa_range165w(0) OR wire_w_man_a_not_zero_w_range161w(0);
wire_w_lg_w_dataa_range171w172w(0) <= wire_w_dataa_range171w(0) OR wire_w_man_a_not_zero_w_range167w(0);
wire_w_lg_w_dataa_range177w178w(0) <= wire_w_dataa_range177w(0) OR wire_w_man_a_not_zero_w_range173w(0);
wire_w_lg_w_dataa_range183w184w(0) <= wire_w_dataa_range183w(0) OR wire_w_man_a_not_zero_w_range179w(0);
wire_w_lg_w_dataa_range189w190w(0) <= wire_w_dataa_range189w(0) OR wire_w_man_a_not_zero_w_range185w(0);
wire_w_lg_w_dataa_range195w196w(0) <= wire_w_dataa_range195w(0) OR wire_w_man_a_not_zero_w_range191w(0);
wire_w_lg_w_dataa_range87w88w(0) <= wire_w_dataa_range87w(0) OR wire_w_man_a_not_zero_w_range12w(0);
wire_w_lg_w_dataa_range201w202w(0) <= wire_w_dataa_range201w(0) OR wire_w_man_a_not_zero_w_range197w(0);
wire_w_lg_w_dataa_range207w208w(0) <= wire_w_dataa_range207w(0) OR wire_w_man_a_not_zero_w_range203w(0);
wire_w_lg_w_dataa_range213w214w(0) <= wire_w_dataa_range213w(0) OR wire_w_man_a_not_zero_w_range209w(0);
wire_w_lg_w_dataa_range17w18w(0) <= wire_w_dataa_range17w(0) OR wire_w_exp_a_not_zero_w_range2w(0);
wire_w_lg_w_dataa_range27w28w(0) <= wire_w_dataa_range27w(0) OR wire_w_exp_a_not_zero_w_range19w(0);
wire_w_lg_w_dataa_range37w38w(0) <= wire_w_dataa_range37w(0) OR wire_w_exp_a_not_zero_w_range29w(0);
wire_w_lg_w_dataa_range47w48w(0) <= wire_w_dataa_range47w(0) OR wire_w_exp_a_not_zero_w_range39w(0);
wire_w_lg_w_dataa_range57w58w(0) <= wire_w_dataa_range57w(0) OR wire_w_exp_a_not_zero_w_range49w(0);
wire_w_lg_w_dataa_range67w68w(0) <= wire_w_dataa_range67w(0) OR wire_w_exp_a_not_zero_w_range59w(0);
wire_w_lg_w_dataa_range93w94w(0) <= wire_w_dataa_range93w(0) OR wire_w_man_a_not_zero_w_range89w(0);
wire_w_lg_w_dataa_range77w78w(0) <= wire_w_dataa_range77w(0) OR wire_w_exp_a_not_zero_w_range69w(0);
wire_w_lg_w_dataa_range99w100w(0) <= wire_w_dataa_range99w(0) OR wire_w_man_a_not_zero_w_range95w(0);
wire_w_lg_w_dataa_range105w106w(0) <= wire_w_dataa_range105w(0) OR wire_w_man_a_not_zero_w_range101w(0);
wire_w_lg_w_dataa_range111w112w(0) <= wire_w_dataa_range111w(0) OR wire_w_man_a_not_zero_w_range107w(0);
wire_w_lg_w_dataa_range117w118w(0) <= wire_w_dataa_range117w(0) OR wire_w_man_a_not_zero_w_range113w(0);
wire_w_lg_w_dataa_range123w124w(0) <= wire_w_dataa_range123w(0) OR wire_w_man_a_not_zero_w_range119w(0);
wire_w_lg_w_dataa_range129w130w(0) <= wire_w_dataa_range129w(0) OR wire_w_man_a_not_zero_w_range125w(0);
wire_w_lg_w_dataa_range135w136w(0) <= wire_w_dataa_range135w(0) OR wire_w_man_a_not_zero_w_range131w(0);
wire_w_lg_w_datab_range144w145w(0) <= wire_w_datab_range144w(0) OR wire_w_man_b_not_zero_w_range140w(0);
wire_w_lg_w_datab_range150w151w(0) <= wire_w_datab_range150w(0) OR wire_w_man_b_not_zero_w_range146w(0);
wire_w_lg_w_datab_range156w157w(0) <= wire_w_datab_range156w(0) OR wire_w_man_b_not_zero_w_range152w(0);
wire_w_lg_w_datab_range162w163w(0) <= wire_w_datab_range162w(0) OR wire_w_man_b_not_zero_w_range158w(0);
wire_w_lg_w_datab_range168w169w(0) <= wire_w_datab_range168w(0) OR wire_w_man_b_not_zero_w_range164w(0);
wire_w_lg_w_datab_range174w175w(0) <= wire_w_datab_range174w(0) OR wire_w_man_b_not_zero_w_range170w(0);
wire_w_lg_w_datab_range180w181w(0) <= wire_w_datab_range180w(0) OR wire_w_man_b_not_zero_w_range176w(0);
wire_w_lg_w_datab_range186w187w(0) <= wire_w_datab_range186w(0) OR wire_w_man_b_not_zero_w_range182w(0);
wire_w_lg_w_datab_range192w193w(0) <= wire_w_datab_range192w(0) OR wire_w_man_b_not_zero_w_range188w(0);
wire_w_lg_w_datab_range198w199w(0) <= wire_w_datab_range198w(0) OR wire_w_man_b_not_zero_w_range194w(0);
wire_w_lg_w_datab_range90w91w(0) <= wire_w_datab_range90w(0) OR wire_w_man_b_not_zero_w_range15w(0);
wire_w_lg_w_datab_range204w205w(0) <= wire_w_datab_range204w(0) OR wire_w_man_b_not_zero_w_range200w(0);
wire_w_lg_w_datab_range210w211w(0) <= wire_w_datab_range210w(0) OR wire_w_man_b_not_zero_w_range206w(0);
wire_w_lg_w_datab_range216w217w(0) <= wire_w_datab_range216w(0) OR wire_w_man_b_not_zero_w_range212w(0);
wire_w_lg_w_datab_range20w21w(0) <= wire_w_datab_range20w(0) OR wire_w_exp_b_not_zero_w_range5w(0);
wire_w_lg_w_datab_range30w31w(0) <= wire_w_datab_range30w(0) OR wire_w_exp_b_not_zero_w_range22w(0);
wire_w_lg_w_datab_range40w41w(0) <= wire_w_datab_range40w(0) OR wire_w_exp_b_not_zero_w_range32w(0);
wire_w_lg_w_datab_range50w51w(0) <= wire_w_datab_range50w(0) OR wire_w_exp_b_not_zero_w_range42w(0);
wire_w_lg_w_datab_range60w61w(0) <= wire_w_datab_range60w(0) OR wire_w_exp_b_not_zero_w_range52w(0);
wire_w_lg_w_datab_range70w71w(0) <= wire_w_datab_range70w(0) OR wire_w_exp_b_not_zero_w_range62w(0);
wire_w_lg_w_datab_range96w97w(0) <= wire_w_datab_range96w(0) OR wire_w_man_b_not_zero_w_range92w(0);
wire_w_lg_w_datab_range80w81w(0) <= wire_w_datab_range80w(0) OR wire_w_exp_b_not_zero_w_range72w(0);
wire_w_lg_w_datab_range102w103w(0) <= wire_w_datab_range102w(0) OR wire_w_man_b_not_zero_w_range98w(0);
wire_w_lg_w_datab_range108w109w(0) <= wire_w_datab_range108w(0) OR wire_w_man_b_not_zero_w_range104w(0);
wire_w_lg_w_datab_range114w115w(0) <= wire_w_datab_range114w(0) OR wire_w_man_b_not_zero_w_range110w(0);
wire_w_lg_w_datab_range120w121w(0) <= wire_w_datab_range120w(0) OR wire_w_man_b_not_zero_w_range116w(0);
wire_w_lg_w_datab_range126w127w(0) <= wire_w_datab_range126w(0) OR wire_w_man_b_not_zero_w_range122w(0);
wire_w_lg_w_datab_range132w133w(0) <= wire_w_datab_range132w(0) OR wire_w_man_b_not_zero_w_range128w(0);
wire_w_lg_w_datab_range138w139w(0) <= wire_w_datab_range138w(0) OR wire_w_man_b_not_zero_w_range134w(0);
wire_w_lg_w_exp_diff_abs_exceed_max_w_range283w286w(0) <= wire_w_exp_diff_abs_exceed_max_w_range283w(0) OR wire_w_exp_diff_abs_w_range285w(0);
wire_w_lg_w_exp_diff_abs_exceed_max_w_range287w289w(0) <= wire_w_exp_diff_abs_exceed_max_w_range287w(0) OR wire_w_exp_diff_abs_w_range288w(0);
wire_w_lg_w_exp_res_not_zero_w_range516w519w(0) <= wire_w_exp_res_not_zero_w_range516w(0) OR wire_w_exp_adjustment2_add_sub_w_range518w(0);
wire_w_lg_w_exp_res_not_zero_w_range520w522w(0) <= wire_w_exp_res_not_zero_w_range520w(0) OR wire_w_exp_adjustment2_add_sub_w_range521w(0);
wire_w_lg_w_exp_res_not_zero_w_range523w525w(0) <= wire_w_exp_res_not_zero_w_range523w(0) OR wire_w_exp_adjustment2_add_sub_w_range524w(0);
wire_w_lg_w_exp_res_not_zero_w_range526w528w(0) <= wire_w_exp_res_not_zero_w_range526w(0) OR wire_w_exp_adjustment2_add_sub_w_range527w(0);
wire_w_lg_w_exp_res_not_zero_w_range529w531w(0) <= wire_w_exp_res_not_zero_w_range529w(0) OR wire_w_exp_adjustment2_add_sub_w_range530w(0);
wire_w_lg_w_exp_res_not_zero_w_range532w534w(0) <= wire_w_exp_res_not_zero_w_range532w(0) OR wire_w_exp_adjustment2_add_sub_w_range533w(0);
wire_w_lg_w_exp_res_not_zero_w_range535w537w(0) <= wire_w_exp_res_not_zero_w_range535w(0) OR wire_w_exp_adjustment2_add_sub_w_range536w(0);
wire_w_lg_w_exp_res_not_zero_w_range538w539w(0) <= wire_w_exp_res_not_zero_w_range538w(0) OR wire_w_exp_adjustment2_add_sub_w_range511w(0);
wire_w_lg_w_man_res_not_zero_w2_range417w420w(0) <= wire_w_man_res_not_zero_w2_range417w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range419w(0);
wire_w_lg_w_man_res_not_zero_w2_range448w450w(0) <= wire_w_man_res_not_zero_w2_range448w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range449w(0);
wire_w_lg_w_man_res_not_zero_w2_range451w453w(0) <= wire_w_man_res_not_zero_w2_range451w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range452w(0);
wire_w_lg_w_man_res_not_zero_w2_range454w456w(0) <= wire_w_man_res_not_zero_w2_range454w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range455w(0);
wire_w_lg_w_man_res_not_zero_w2_range457w459w(0) <= wire_w_man_res_not_zero_w2_range457w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range458w(0);
wire_w_lg_w_man_res_not_zero_w2_range460w462w(0) <= wire_w_man_res_not_zero_w2_range460w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range461w(0);
wire_w_lg_w_man_res_not_zero_w2_range463w465w(0) <= wire_w_man_res_not_zero_w2_range463w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range464w(0);
wire_w_lg_w_man_res_not_zero_w2_range466w468w(0) <= wire_w_man_res_not_zero_w2_range466w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range467w(0);
wire_w_lg_w_man_res_not_zero_w2_range469w471w(0) <= wire_w_man_res_not_zero_w2_range469w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range470w(0);
wire_w_lg_w_man_res_not_zero_w2_range472w474w(0) <= wire_w_man_res_not_zero_w2_range472w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range473w(0);
wire_w_lg_w_man_res_not_zero_w2_range475w477w(0) <= wire_w_man_res_not_zero_w2_range475w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range476w(0);
wire_w_lg_w_man_res_not_zero_w2_range421w423w(0) <= wire_w_man_res_not_zero_w2_range421w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range422w(0);
wire_w_lg_w_man_res_not_zero_w2_range478w480w(0) <= wire_w_man_res_not_zero_w2_range478w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range479w(0);
wire_w_lg_w_man_res_not_zero_w2_range481w483w(0) <= wire_w_man_res_not_zero_w2_range481w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range482w(0);
wire_w_lg_w_man_res_not_zero_w2_range484w486w(0) <= wire_w_man_res_not_zero_w2_range484w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range485w(0);
wire_w_lg_w_man_res_not_zero_w2_range487w489w(0) <= wire_w_man_res_not_zero_w2_range487w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range488w(0);
wire_w_lg_w_man_res_not_zero_w2_range424w426w(0) <= wire_w_man_res_not_zero_w2_range424w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range425w(0);
wire_w_lg_w_man_res_not_zero_w2_range427w429w(0) <= wire_w_man_res_not_zero_w2_range427w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range428w(0);
wire_w_lg_w_man_res_not_zero_w2_range430w432w(0) <= wire_w_man_res_not_zero_w2_range430w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range431w(0);
wire_w_lg_w_man_res_not_zero_w2_range433w435w(0) <= wire_w_man_res_not_zero_w2_range433w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range434w(0);
wire_w_lg_w_man_res_not_zero_w2_range436w438w(0) <= wire_w_man_res_not_zero_w2_range436w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range437w(0);
wire_w_lg_w_man_res_not_zero_w2_range439w441w(0) <= wire_w_man_res_not_zero_w2_range439w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range440w(0);
wire_w_lg_w_man_res_not_zero_w2_range442w444w(0) <= wire_w_man_res_not_zero_w2_range442w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range443w(0);
wire_w_lg_w_man_res_not_zero_w2_range445w447w(0) <= wire_w_man_res_not_zero_w2_range445w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range446w(0);
aclr <= '0';
add_sub_dffe25_wi <= add_sub_w2;
add_sub_dffe25_wo <= add_sub_dffe25;
add_sub_w2 <= (dataa_sign_dffe1_wo XOR datab_sign_dffe1_wo);
adder_upper_w <= man_intermediate_res_w(25 DOWNTO 13);
aligned_dataa_exp_dffe12_wi <= aligned_dataa_exp_w;
aligned_dataa_exp_dffe12_wo <= aligned_dataa_exp_dffe12;
aligned_dataa_exp_dffe13_wi <= aligned_dataa_exp_dffe12_wo;
aligned_dataa_exp_dffe13_wo <= aligned_dataa_exp_dffe13;
aligned_dataa_exp_dffe14_wi <= aligned_dataa_exp_dffe13_wo;
aligned_dataa_exp_dffe14_wo <= aligned_dataa_exp_dffe14;
aligned_dataa_exp_dffe15_wi <= aligned_dataa_exp_dffe14_wo;
aligned_dataa_exp_dffe15_wo <= aligned_dataa_exp_dffe15_wi;
aligned_dataa_exp_w <= ( "0" & wire_w_lg_w_lg_input_dataa_denormal_dffe11_wo233w234w);
aligned_dataa_man_dffe12_wi <= aligned_dataa_man_w(25 DOWNTO 2);
aligned_dataa_man_dffe12_wo <= aligned_dataa_man_dffe12;
aligned_dataa_man_dffe13_wi <= aligned_dataa_man_dffe12_wo;
aligned_dataa_man_dffe13_wo <= aligned_dataa_man_dffe13;
aligned_dataa_man_dffe14_wi <= aligned_dataa_man_dffe13_wo;
aligned_dataa_man_dffe14_wo <= aligned_dataa_man_dffe14;
aligned_dataa_man_dffe15_w <= ( aligned_dataa_man_dffe15_wo & "00");
aligned_dataa_man_dffe15_wi <= aligned_dataa_man_dffe14_wo;
aligned_dataa_man_dffe15_wo <= aligned_dataa_man_dffe15_wi;
aligned_dataa_man_w <= ( wire_w248w & wire_w_lg_w_lg_input_dataa_denormal_dffe11_wo233w243w & "00");
aligned_dataa_sign_dffe12_wi <= aligned_dataa_sign_w;
aligned_dataa_sign_dffe12_wo <= aligned_dataa_sign_dffe12;
aligned_dataa_sign_dffe13_wi <= aligned_dataa_sign_dffe12_wo;
aligned_dataa_sign_dffe13_wo <= aligned_dataa_sign_dffe13;
aligned_dataa_sign_dffe14_wi <= aligned_dataa_sign_dffe13_wo;
aligned_dataa_sign_dffe14_wo <= aligned_dataa_sign_dffe14;
aligned_dataa_sign_dffe15_wi <= aligned_dataa_sign_dffe14_wo;
aligned_dataa_sign_dffe15_wo <= aligned_dataa_sign_dffe15_wi;
aligned_dataa_sign_w <= dataa_dffe11_wo(31);
aligned_datab_exp_dffe12_wi <= aligned_datab_exp_w;
aligned_datab_exp_dffe12_wo <= aligned_datab_exp_dffe12;
aligned_datab_exp_dffe13_wi <= aligned_datab_exp_dffe12_wo;
aligned_datab_exp_dffe13_wo <= aligned_datab_exp_dffe13;
aligned_datab_exp_dffe14_wi <= aligned_datab_exp_dffe13_wo;
aligned_datab_exp_dffe14_wo <= aligned_datab_exp_dffe14;
aligned_datab_exp_dffe15_wi <= aligned_datab_exp_dffe14_wo;
aligned_datab_exp_dffe15_wo <= aligned_datab_exp_dffe15_wi;
aligned_datab_exp_w <= ( "0" & wire_w_lg_w_lg_input_datab_denormal_dffe11_wo252w253w);
aligned_datab_man_dffe12_wi <= aligned_datab_man_w(25 DOWNTO 2);
aligned_datab_man_dffe12_wo <= aligned_datab_man_dffe12;
aligned_datab_man_dffe13_wi <= aligned_datab_man_dffe12_wo;
aligned_datab_man_dffe13_wo <= aligned_datab_man_dffe13;
aligned_datab_man_dffe14_wi <= aligned_datab_man_dffe13_wo;
aligned_datab_man_dffe14_wo <= aligned_datab_man_dffe14;
aligned_datab_man_dffe15_w <= ( aligned_datab_man_dffe15_wo & "00");
aligned_datab_man_dffe15_wi <= aligned_datab_man_dffe14_wo;
aligned_datab_man_dffe15_wo <= aligned_datab_man_dffe15_wi;
aligned_datab_man_w <= ( wire_w267w & wire_w_lg_w_lg_input_datab_denormal_dffe11_wo252w262w & "00");
aligned_datab_sign_dffe12_wi <= aligned_datab_sign_w;
aligned_datab_sign_dffe12_wo <= aligned_datab_sign_dffe12;
aligned_datab_sign_dffe13_wi <= aligned_datab_sign_dffe12_wo;
aligned_datab_sign_dffe13_wo <= aligned_datab_sign_dffe13;
aligned_datab_sign_dffe14_wi <= aligned_datab_sign_dffe13_wo;
aligned_datab_sign_dffe14_wo <= aligned_datab_sign_dffe14;
aligned_datab_sign_dffe15_wi <= aligned_datab_sign_dffe14_wo;
aligned_datab_sign_dffe15_wo <= aligned_datab_sign_dffe15_wi;
aligned_datab_sign_w <= datab_dffe11_wo(31);
borrow_w <= (wire_w_lg_sticky_bit_dffe1_wo343w(0) AND wire_w_lg_add_sub_w2342w(0));
both_inputs_are_infinite_dffe1_wi <= (input_dataa_infinite_dffe15_wo AND input_datab_infinite_dffe15_wo);
both_inputs_are_infinite_dffe1_wo <= both_inputs_are_infinite_dffe1;
both_inputs_are_infinite_dffe25_wi <= both_inputs_are_infinite_dffe1_wo;
both_inputs_are_infinite_dffe25_wo <= both_inputs_are_infinite_dffe25;
clk_en <= '1';
data_exp_dffe1_wi <= (wire_w_lg_w_lg_exp_amb_mux_dffe15_wo316w317w OR wire_w_lg_exp_amb_mux_dffe15_wo314w);
data_exp_dffe1_wo <= data_exp_dffe1;
dataa_dffe11_wi <= dataa;
dataa_dffe11_wo <= dataa_dffe11_wi;
dataa_man_dffe1_wi <= (wire_w_lg_w_lg_exp_amb_mux_dffe15_wo316w324w OR wire_w_lg_exp_amb_mux_dffe15_wo323w);
dataa_man_dffe1_wo <= dataa_man_dffe1;
dataa_sign_dffe1_wi <= aligned_dataa_sign_dffe15_wo;
dataa_sign_dffe1_wo <= dataa_sign_dffe1;
dataa_sign_dffe25_wi <= dataa_sign_dffe1_wo;
dataa_sign_dffe25_wo <= dataa_sign_dffe25;
datab_dffe11_wi <= datab;
datab_dffe11_wo <= datab_dffe11_wi;
datab_man_dffe1_wi <= (wire_w_lg_w_lg_exp_amb_mux_dffe15_wo316w331w OR wire_w_lg_exp_amb_mux_dffe15_wo330w);
datab_man_dffe1_wo <= datab_man_dffe1;
datab_sign_dffe1_wi <= aligned_datab_sign_dffe15_wo;
datab_sign_dffe1_wo <= datab_sign_dffe1;
denormal_flag_w <= (((wire_w_lg_force_nan_w630w(0) AND wire_w_lg_force_infinity_w629w(0)) AND wire_w_lg_force_zero_w628w(0)) AND denormal_res_dffe4_wo);
denormal_res_dffe32_wi <= denormal_result_w;
denormal_res_dffe32_wo <= denormal_res_dffe32_wi;
denormal_res_dffe33_wi <= denormal_res_dffe32_wo;
denormal_res_dffe33_wo <= denormal_res_dffe33_wi;
denormal_res_dffe3_wi <= denormal_res_dffe33_wo;
denormal_res_dffe3_wo <= denormal_res_dffe3;
denormal_res_dffe41_wi <= denormal_res_dffe42_wo;
denormal_res_dffe41_wo <= denormal_res_dffe41;
denormal_res_dffe42_wi <= denormal_res_dffe3_wo;
denormal_res_dffe42_wo <= denormal_res_dffe42_wi;
denormal_res_dffe4_wi <= denormal_res_dffe41_wo;
denormal_res_dffe4_wo <= denormal_res_dffe4;
denormal_result_w <= ((NOT exp_res_not_zero_w(8)) OR exp_adjustment2_add_sub_w(8));
exp_a_all_one_w <= ( wire_w_lg_w_dataa_range77w83w & wire_w_lg_w_dataa_range67w73w & wire_w_lg_w_dataa_range57w63w & wire_w_lg_w_dataa_range47w53w & wire_w_lg_w_dataa_range37w43w & wire_w_lg_w_dataa_range27w33w & wire_w_lg_w_dataa_range17w23w & dataa(23));
exp_a_not_zero_w <= ( wire_w_lg_w_dataa_range77w78w & wire_w_lg_w_dataa_range67w68w & wire_w_lg_w_dataa_range57w58w & wire_w_lg_w_dataa_range47w48w & wire_w_lg_w_dataa_range37w38w & wire_w_lg_w_dataa_range27w28w & wire_w_lg_w_dataa_range17w18w & dataa(23));
exp_adj_0pads <= (OTHERS => '0');
exp_adj_dffe21_wi <= (wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w384w OR wire_w383w);
exp_adj_dffe21_wo <= exp_adj_dffe21;
exp_adj_dffe23_wi <= exp_adj_dffe21_wo;
exp_adj_dffe23_wo <= exp_adj_dffe23;
exp_adj_dffe26_wi <= exp_adj_dffe23_wo;
exp_adj_dffe26_wo <= exp_adj_dffe26_wi;
exp_adjust_by_add1 <= "01";
exp_adjust_by_add2 <= "10";
exp_adjustment2_add_sub_dataa_w <= exp_value;
exp_adjustment2_add_sub_datab_w <= exp_adjustment_add_sub_w;
exp_adjustment2_add_sub_w <= wire_add_sub5_result;
exp_adjustment_add_sub_dataa_w <= ( priority_encoder_1pads_w & wire_leading_zeroes_cnt_q);
exp_adjustment_add_sub_datab_w <= ( exp_adj_0pads & exp_adj_dffe26_wo);
exp_adjustment_add_sub_w <= wire_add_sub4_result;
exp_all_ones_w <= (OTHERS => '1');
exp_all_zeros_w <= (OTHERS => '0');
exp_amb_mux_dffe13_wi <= exp_amb_mux_w;
exp_amb_mux_dffe13_wo <= exp_amb_mux_dffe13;
exp_amb_mux_dffe14_wi <= exp_amb_mux_dffe13_wo;
exp_amb_mux_dffe14_wo <= exp_amb_mux_dffe14;
exp_amb_mux_dffe15_wi <= exp_amb_mux_dffe14_wo;
exp_amb_mux_dffe15_wo <= exp_amb_mux_dffe15_wi;
exp_amb_mux_w <= exp_amb_w(8);
exp_amb_w <= wire_add_sub1_result;
exp_b_all_one_w <= ( wire_w_lg_w_datab_range80w85w & wire_w_lg_w_datab_range70w75w & wire_w_lg_w_datab_range60w65w & wire_w_lg_w_datab_range50w55w & wire_w_lg_w_datab_range40w45w & wire_w_lg_w_datab_range30w35w & wire_w_lg_w_datab_range20w25w & datab(23));
exp_b_not_zero_w <= ( wire_w_lg_w_datab_range80w81w & wire_w_lg_w_datab_range70w71w & wire_w_lg_w_datab_range60w61w & wire_w_lg_w_datab_range50w51w & wire_w_lg_w_datab_range40w41w & wire_w_lg_w_datab_range30w31w & wire_w_lg_w_datab_range20w21w & datab(23));
exp_bma_w <= wire_add_sub2_result;
exp_diff_abs_exceed_max_w <= ( wire_w_lg_w_exp_diff_abs_exceed_max_w_range287w289w & wire_w_lg_w_exp_diff_abs_exceed_max_w_range283w286w & exp_diff_abs_w(5));
exp_diff_abs_max_w <= (OTHERS => '1');
exp_diff_abs_w <= (wire_w_lg_w_lg_exp_amb_mux_w276w277w OR wire_w_lg_exp_amb_mux_w274w);
exp_intermediate_res_dffe41_wi <= exp_intermediate_res_dffe42_wo;
exp_intermediate_res_dffe41_wo <= exp_intermediate_res_dffe41;
exp_intermediate_res_dffe42_wi <= exp_intermediate_res_w;
exp_intermediate_res_dffe42_wo <= exp_intermediate_res_dffe42_wi;
exp_intermediate_res_w <= exp_res_dffe3_wo;
exp_out_dffe5_wi <= (wire_w_lg_force_nan_w643w OR wire_w_lg_w_lg_force_nan_w630w642w);
exp_out_dffe5_wo <= exp_out_dffe5;
exp_res_dffe21_wi <= exp_res_dffe27_wo;
exp_res_dffe21_wo <= exp_res_dffe21;
exp_res_dffe22_wi <= exp_res_dffe2_wo;
exp_res_dffe22_wo <= exp_res_dffe22_wi;
exp_res_dffe23_wi <= exp_res_dffe21_wo;
exp_res_dffe23_wo <= exp_res_dffe23;
exp_res_dffe25_wi <= data_exp_dffe1_wo;
exp_res_dffe25_wo <= exp_res_dffe25;
exp_res_dffe26_wi <= exp_res_dffe23_wo;
exp_res_dffe26_wo <= exp_res_dffe26_wi;
exp_res_dffe27_wi <= exp_res_dffe22_wo;
exp_res_dffe27_wo <= exp_res_dffe27;
exp_res_dffe2_wi <= exp_res_dffe25_wo;
exp_res_dffe2_wo <= exp_res_dffe2;
exp_res_dffe32_wi <= wire_w_lg_w_lg_denormal_result_w558w559w;
exp_res_dffe32_wo <= exp_res_dffe32_wi;
exp_res_dffe33_wi <= exp_res_dffe32_wo;
exp_res_dffe33_wo <= exp_res_dffe33_wi;
exp_res_dffe3_wi <= exp_res_dffe33_wo;
exp_res_dffe3_wo <= exp_res_dffe3;
exp_res_dffe4_wi <= exp_rounded_res_w;
exp_res_dffe4_wo <= exp_res_dffe4;
exp_res_max_w <= ( wire_w_lg_w_exp_res_max_w_range553w554w & wire_w_lg_w_exp_res_max_w_range551w552w & wire_w_lg_w_exp_res_max_w_range549w550w & wire_w_lg_w_exp_res_max_w_range547w548w & wire_w_lg_w_exp_res_max_w_range545w546w & wire_w_lg_w_exp_res_max_w_range543w544w & wire_w_lg_w_exp_res_max_w_range540w542w & exp_adjustment2_add_sub_w(0));
exp_res_not_zero_w <= ( wire_w_lg_w_exp_res_not_zero_w_range538w539w & wire_w_lg_w_exp_res_not_zero_w_range535w537w & wire_w_lg_w_exp_res_not_zero_w_range532w534w & wire_w_lg_w_exp_res_not_zero_w_range529w531w & wire_w_lg_w_exp_res_not_zero_w_range526w528w & wire_w_lg_w_exp_res_not_zero_w_range523w525w & wire_w_lg_w_exp_res_not_zero_w_range520w522w & wire_w_lg_w_exp_res_not_zero_w_range516w519w & exp_adjustment2_add_sub_w(0));
exp_res_rounding_adder_dataa_w <= ( "0" & exp_intermediate_res_dffe41_wo);
exp_res_rounding_adder_w <= wire_add_sub6_result;
exp_rounded_res_infinity_w <= exp_rounded_res_max_w(7);
exp_rounded_res_max_w <= ( wire_w_lg_w_exp_rounded_res_max_w_range620w622w & wire_w_lg_w_exp_rounded_res_max_w_range617w619w & wire_w_lg_w_exp_rounded_res_max_w_range614w616w & wire_w_lg_w_exp_rounded_res_max_w_range611w613w & wire_w_lg_w_exp_rounded_res_max_w_range608w610w & wire_w_lg_w_exp_rounded_res_max_w_range605w607w & wire_w_lg_w_exp_rounded_res_max_w_range601w604w & exp_rounded_res_w(0));
exp_rounded_res_w <= exp_res_rounding_adder_w(7 DOWNTO 0);
exp_rounding_adjustment_w <= ( "00000000" & man_res_rounding_add_sub_w(24));
exp_value <= ( "0" & exp_res_dffe26_wo);
force_infinity_w <= ((input_is_infinite_dffe4_wo OR rounded_res_infinity_dffe4_wo) OR infinite_res_dffe4_wo);
force_nan_w <= (infinity_magnitude_sub_dffe4_wo OR input_is_nan_dffe4_wo);
force_zero_w <= wire_w_lg_man_res_is_not_zero_dffe4_wo627w(0);
guard_bit_dffe3_wo <= man_res_w3(0);
infinite_output_sign_dffe1_wi <= (wire_w_lg_w_lg_input_datab_infinite_dffe15_wo338w339w(0) OR wire_w_lg_input_datab_infinite_dffe15_wo337w(0));
infinite_output_sign_dffe1_wo <= infinite_output_sign_dffe1;
infinite_output_sign_dffe21_wi <= infinite_output_sign_dffe27_wo;
infinite_output_sign_dffe21_wo <= infinite_output_sign_dffe21;
infinite_output_sign_dffe22_wi <= infinite_output_sign_dffe2_wo;
infinite_output_sign_dffe22_wo <= infinite_output_sign_dffe22_wi;
infinite_output_sign_dffe23_wi <= infinite_output_sign_dffe21_wo;
infinite_output_sign_dffe23_wo <= infinite_output_sign_dffe23;
infinite_output_sign_dffe25_wi <= infinite_output_sign_dffe1_wo;
infinite_output_sign_dffe25_wo <= infinite_output_sign_dffe25;
infinite_output_sign_dffe26_wi <= infinite_output_sign_dffe23_wo;
infinite_output_sign_dffe26_wo <= infinite_output_sign_dffe26_wi;
infinite_output_sign_dffe27_wi <= infinite_output_sign_dffe22_wo;
infinite_output_sign_dffe27_wo <= infinite_output_sign_dffe27;
infinite_output_sign_dffe2_wi <= infinite_output_sign_dffe25_wo;
infinite_output_sign_dffe2_wo <= infinite_output_sign_dffe2;
infinite_output_sign_dffe31_wi <= infinite_output_sign_dffe26_wo;
infinite_output_sign_dffe31_wo <= infinite_output_sign_dffe31;
infinite_output_sign_dffe32_wi <= infinite_output_sign_dffe31_wo;
infinite_output_sign_dffe32_wo <= infinite_output_sign_dffe32_wi;
infinite_output_sign_dffe33_wi <= infinite_output_sign_dffe32_wo;
infinite_output_sign_dffe33_wo <= infinite_output_sign_dffe33_wi;
infinite_output_sign_dffe3_wi <= infinite_output_sign_dffe33_wo;
infinite_output_sign_dffe3_wo <= infinite_output_sign_dffe3;
infinite_output_sign_dffe41_wi <= infinite_output_sign_dffe42_wo;
infinite_output_sign_dffe41_wo <= infinite_output_sign_dffe41;
infinite_output_sign_dffe42_wi <= infinite_output_sign_dffe3_wo;
infinite_output_sign_dffe42_wo <= infinite_output_sign_dffe42_wi;
infinite_output_sign_dffe4_wi <= infinite_output_sign_dffe41_wo;
infinite_output_sign_dffe4_wo <= infinite_output_sign_dffe4;
infinite_res_dff32_wi <= wire_w_lg_w_exp_res_max_w_range555w561w(0);
infinite_res_dff32_wo <= infinite_res_dff32_wi;
infinite_res_dff33_wi <= infinite_res_dff32_wo;
infinite_res_dff33_wo <= infinite_res_dff33_wi;
infinite_res_dffe3_wi <= infinite_res_dff33_wo;
infinite_res_dffe3_wo <= infinite_res_dffe3;
infinite_res_dffe41_wi <= infinite_res_dffe42_wo;
infinite_res_dffe41_wo <= infinite_res_dffe41;
infinite_res_dffe42_wi <= infinite_res_dffe3_wo;
infinite_res_dffe42_wo <= infinite_res_dffe42_wi;
infinite_res_dffe4_wi <= infinite_res_dffe41_wo;
infinite_res_dffe4_wo <= infinite_res_dffe4;
infinity_magnitude_sub_dffe21_wi <= infinity_magnitude_sub_dffe27_wo;
infinity_magnitude_sub_dffe21_wo <= infinity_magnitude_sub_dffe21;
infinity_magnitude_sub_dffe22_wi <= infinity_magnitude_sub_dffe2_wo;
infinity_magnitude_sub_dffe22_wo <= infinity_magnitude_sub_dffe22_wi;
infinity_magnitude_sub_dffe23_wi <= infinity_magnitude_sub_dffe21_wo;
infinity_magnitude_sub_dffe23_wo <= infinity_magnitude_sub_dffe23;
infinity_magnitude_sub_dffe26_wi <= infinity_magnitude_sub_dffe23_wo;
infinity_magnitude_sub_dffe26_wo <= infinity_magnitude_sub_dffe26_wi;
infinity_magnitude_sub_dffe27_wi <= infinity_magnitude_sub_dffe22_wo;
infinity_magnitude_sub_dffe27_wo <= infinity_magnitude_sub_dffe27;
infinity_magnitude_sub_dffe2_wi <= (wire_w_lg_add_sub_dffe25_wo491w(0) AND both_inputs_are_infinite_dffe25_wo);
infinity_magnitude_sub_dffe2_wo <= infinity_magnitude_sub_dffe2;
infinity_magnitude_sub_dffe31_wi <= infinity_magnitude_sub_dffe26_wo;
infinity_magnitude_sub_dffe31_wo <= infinity_magnitude_sub_dffe31;
infinity_magnitude_sub_dffe32_wi <= infinity_magnitude_sub_dffe31_wo;
infinity_magnitude_sub_dffe32_wo <= infinity_magnitude_sub_dffe32_wi;
infinity_magnitude_sub_dffe33_wi <= infinity_magnitude_sub_dffe32_wo;
infinity_magnitude_sub_dffe33_wo <= infinity_magnitude_sub_dffe33_wi;
infinity_magnitude_sub_dffe3_wi <= infinity_magnitude_sub_dffe33_wo;
infinity_magnitude_sub_dffe3_wo <= infinity_magnitude_sub_dffe3;
infinity_magnitude_sub_dffe41_wi <= infinity_magnitude_sub_dffe42_wo;
infinity_magnitude_sub_dffe41_wo <= infinity_magnitude_sub_dffe41;
infinity_magnitude_sub_dffe42_wi <= infinity_magnitude_sub_dffe3_wo;
infinity_magnitude_sub_dffe42_wo <= infinity_magnitude_sub_dffe42_wi;
infinity_magnitude_sub_dffe4_wi <= infinity_magnitude_sub_dffe41_wo;
infinity_magnitude_sub_dffe4_wo <= infinity_magnitude_sub_dffe4;
input_dataa_denormal_dffe11_wi <= input_dataa_denormal_w;
input_dataa_denormal_dffe11_wo <= input_dataa_denormal_dffe11_wi;
input_dataa_denormal_w <= ((NOT exp_a_not_zero_w(7)) AND man_a_not_zero_w(22));
input_dataa_infinite_dffe11_wi <= input_dataa_infinite_w;
input_dataa_infinite_dffe11_wo <= input_dataa_infinite_dffe11_wi;
input_dataa_infinite_dffe12_wi <= input_dataa_infinite_dffe11_wo;
input_dataa_infinite_dffe12_wo <= input_dataa_infinite_dffe12;
input_dataa_infinite_dffe13_wi <= input_dataa_infinite_dffe12_wo;
input_dataa_infinite_dffe13_wo <= input_dataa_infinite_dffe13;
input_dataa_infinite_dffe14_wi <= input_dataa_infinite_dffe13_wo;
input_dataa_infinite_dffe14_wo <= input_dataa_infinite_dffe14;
input_dataa_infinite_dffe15_wi <= input_dataa_infinite_dffe14_wo;
input_dataa_infinite_dffe15_wo <= input_dataa_infinite_dffe15_wi;
input_dataa_infinite_w <= wire_w_lg_w_exp_a_all_one_w_range84w220w(0);
input_dataa_nan_dffe11_wi <= input_dataa_nan_w;
input_dataa_nan_dffe11_wo <= input_dataa_nan_dffe11_wi;
input_dataa_nan_dffe12_wi <= input_dataa_nan_dffe11_wo;
input_dataa_nan_dffe12_wo <= input_dataa_nan_dffe12;
input_dataa_nan_w <= (exp_a_all_one_w(7) AND man_a_not_zero_w(22));
input_dataa_zero_dffe11_wi <= input_dataa_zero_w;
input_dataa_zero_dffe11_wo <= input_dataa_zero_dffe11_wi;
input_dataa_zero_w <= ((NOT exp_a_not_zero_w(7)) AND wire_w_lg_w_man_a_not_zero_w_range215w219w(0));
input_datab_denormal_dffe11_wi <= input_datab_denormal_w;
input_datab_denormal_dffe11_wo <= input_datab_denormal_dffe11_wi;
input_datab_denormal_w <= ((NOT exp_b_not_zero_w(7)) AND man_b_not_zero_w(22));
input_datab_infinite_dffe11_wi <= input_datab_infinite_w;
input_datab_infinite_dffe11_wo <= input_datab_infinite_dffe11_wi;
input_datab_infinite_dffe12_wi <= input_datab_infinite_dffe11_wo;
input_datab_infinite_dffe12_wo <= input_datab_infinite_dffe12;
input_datab_infinite_dffe13_wi <= input_datab_infinite_dffe12_wo;
input_datab_infinite_dffe13_wo <= input_datab_infinite_dffe13;
input_datab_infinite_dffe14_wi <= input_datab_infinite_dffe13_wo;
input_datab_infinite_dffe14_wo <= input_datab_infinite_dffe14;
input_datab_infinite_dffe15_wi <= input_datab_infinite_dffe14_wo;
input_datab_infinite_dffe15_wo <= input_datab_infinite_dffe15_wi;
input_datab_infinite_w <= wire_w_lg_w_exp_b_all_one_w_range86w226w(0);
input_datab_nan_dffe11_wi <= input_datab_nan_w;
input_datab_nan_dffe11_wo <= input_datab_nan_dffe11_wi;
input_datab_nan_dffe12_wi <= input_datab_nan_dffe11_wo;
input_datab_nan_dffe12_wo <= input_datab_nan_dffe12;
input_datab_nan_w <= (exp_b_all_one_w(7) AND man_b_not_zero_w(22));
input_datab_zero_dffe11_wi <= input_datab_zero_w;
input_datab_zero_dffe11_wo <= input_datab_zero_dffe11_wi;
input_datab_zero_w <= ((NOT exp_b_not_zero_w(7)) AND wire_w_lg_w_man_b_not_zero_w_range218w225w(0));
input_is_infinite_dffe1_wi <= (input_dataa_infinite_dffe15_wo OR input_datab_infinite_dffe15_wo);
input_is_infinite_dffe1_wo <= input_is_infinite_dffe1;
input_is_infinite_dffe21_wi <= input_is_infinite_dffe27_wo;
input_is_infinite_dffe21_wo <= input_is_infinite_dffe21;
input_is_infinite_dffe22_wi <= input_is_infinite_dffe2_wo;
input_is_infinite_dffe22_wo <= input_is_infinite_dffe22_wi;
input_is_infinite_dffe23_wi <= input_is_infinite_dffe21_wo;
input_is_infinite_dffe23_wo <= input_is_infinite_dffe23;
input_is_infinite_dffe25_wi <= input_is_infinite_dffe1_wo;
input_is_infinite_dffe25_wo <= input_is_infinite_dffe25;
input_is_infinite_dffe26_wi <= input_is_infinite_dffe23_wo;
input_is_infinite_dffe26_wo <= input_is_infinite_dffe26_wi;
input_is_infinite_dffe27_wi <= input_is_infinite_dffe22_wo;
input_is_infinite_dffe27_wo <= input_is_infinite_dffe27;
input_is_infinite_dffe2_wi <= input_is_infinite_dffe25_wo;
input_is_infinite_dffe2_wo <= input_is_infinite_dffe2;
input_is_infinite_dffe31_wi <= input_is_infinite_dffe26_wo;
input_is_infinite_dffe31_wo <= input_is_infinite_dffe31;
input_is_infinite_dffe32_wi <= input_is_infinite_dffe31_wo;
input_is_infinite_dffe32_wo <= input_is_infinite_dffe32_wi;
input_is_infinite_dffe33_wi <= input_is_infinite_dffe32_wo;
input_is_infinite_dffe33_wo <= input_is_infinite_dffe33_wi;
input_is_infinite_dffe3_wi <= input_is_infinite_dffe33_wo;
input_is_infinite_dffe3_wo <= input_is_infinite_dffe3;
input_is_infinite_dffe41_wi <= input_is_infinite_dffe42_wo;
input_is_infinite_dffe41_wo <= input_is_infinite_dffe41;
input_is_infinite_dffe42_wi <= input_is_infinite_dffe3_wo;
input_is_infinite_dffe42_wo <= input_is_infinite_dffe42_wi;
input_is_infinite_dffe4_wi <= input_is_infinite_dffe41_wo;
input_is_infinite_dffe4_wo <= input_is_infinite_dffe4;
input_is_nan_dffe13_wi <= (input_dataa_nan_dffe12_wo OR input_datab_nan_dffe12_wo);
input_is_nan_dffe13_wo <= input_is_nan_dffe13;
input_is_nan_dffe14_wi <= input_is_nan_dffe13_wo;
input_is_nan_dffe14_wo <= input_is_nan_dffe14;
input_is_nan_dffe15_wi <= input_is_nan_dffe14_wo;
input_is_nan_dffe15_wo <= input_is_nan_dffe15_wi;
input_is_nan_dffe1_wi <= input_is_nan_dffe15_wo;
input_is_nan_dffe1_wo <= input_is_nan_dffe1;
input_is_nan_dffe21_wi <= input_is_nan_dffe27_wo;
input_is_nan_dffe21_wo <= input_is_nan_dffe21;
input_is_nan_dffe22_wi <= input_is_nan_dffe2_wo;
input_is_nan_dffe22_wo <= input_is_nan_dffe22_wi;
input_is_nan_dffe23_wi <= input_is_nan_dffe21_wo;
input_is_nan_dffe23_wo <= input_is_nan_dffe23;
input_is_nan_dffe25_wi <= input_is_nan_dffe1_wo;
input_is_nan_dffe25_wo <= input_is_nan_dffe25;
input_is_nan_dffe26_wi <= input_is_nan_dffe23_wo;
input_is_nan_dffe26_wo <= input_is_nan_dffe26_wi;
input_is_nan_dffe27_wi <= input_is_nan_dffe22_wo;
input_is_nan_dffe27_wo <= input_is_nan_dffe27;
input_is_nan_dffe2_wi <= input_is_nan_dffe25_wo;
input_is_nan_dffe2_wo <= input_is_nan_dffe2;
input_is_nan_dffe31_wi <= input_is_nan_dffe26_wo;
input_is_nan_dffe31_wo <= input_is_nan_dffe31;
input_is_nan_dffe32_wi <= input_is_nan_dffe31_wo;
input_is_nan_dffe32_wo <= input_is_nan_dffe32_wi;
input_is_nan_dffe33_wi <= input_is_nan_dffe32_wo;
input_is_nan_dffe33_wo <= input_is_nan_dffe33_wi;
input_is_nan_dffe3_wi <= input_is_nan_dffe33_wo;
input_is_nan_dffe3_wo <= input_is_nan_dffe3;
input_is_nan_dffe41_wi <= input_is_nan_dffe42_wo;
input_is_nan_dffe41_wo <= input_is_nan_dffe41;
input_is_nan_dffe42_wi <= input_is_nan_dffe3_wo;
input_is_nan_dffe42_wo <= input_is_nan_dffe42_wi;
input_is_nan_dffe4_wi <= input_is_nan_dffe41_wo;
input_is_nan_dffe4_wo <= input_is_nan_dffe4;
man_2comp_res_dataa_w <= ( pos_sign_bit_ext & datab_man_dffe1_wo);
man_2comp_res_datab_w <= ( pos_sign_bit_ext & dataa_man_dffe1_wo);
man_2comp_res_w <= ( wire_man_2comp_res_lower_w_lg_w_lg_w_lg_cout367w368w369w & wire_man_2comp_res_lower_result);
man_a_not_zero_w <= ( wire_w_lg_w_dataa_range213w214w & wire_w_lg_w_dataa_range207w208w & wire_w_lg_w_dataa_range201w202w & wire_w_lg_w_dataa_range195w196w & wire_w_lg_w_dataa_range189w190w & wire_w_lg_w_dataa_range183w184w & wire_w_lg_w_dataa_range177w178w & wire_w_lg_w_dataa_range171w172w & wire_w_lg_w_dataa_range165w166w & wire_w_lg_w_dataa_range159w160w & wire_w_lg_w_dataa_range153w154w & wire_w_lg_w_dataa_range147w148w & wire_w_lg_w_dataa_range141w142w & wire_w_lg_w_dataa_range135w136w & wire_w_lg_w_dataa_range129w130w & wire_w_lg_w_dataa_range123w124w & wire_w_lg_w_dataa_range117w118w & wire_w_lg_w_dataa_range111w112w & wire_w_lg_w_dataa_range105w106w & wire_w_lg_w_dataa_range99w100w & wire_w_lg_w_dataa_range93w94w & wire_w_lg_w_dataa_range87w88w & dataa(0));
man_add_sub_dataa_w <= ( pos_sign_bit_ext & dataa_man_dffe1_wo);
man_add_sub_datab_w <= ( pos_sign_bit_ext & datab_man_dffe1_wo);
man_add_sub_res_mag_dffe21_wi <= man_res_mag_w2;
man_add_sub_res_mag_dffe21_wo <= man_add_sub_res_mag_dffe21;
man_add_sub_res_mag_dffe23_wi <= man_add_sub_res_mag_dffe21_wo;
man_add_sub_res_mag_dffe23_wo <= man_add_sub_res_mag_dffe23;
man_add_sub_res_mag_dffe26_wi <= man_add_sub_res_mag_dffe23_wo;
man_add_sub_res_mag_dffe26_wo <= man_add_sub_res_mag_dffe26_wi;
man_add_sub_res_mag_dffe27_wi <= man_add_sub_res_mag_w2;
man_add_sub_res_mag_dffe27_wo <= man_add_sub_res_mag_dffe27;
man_add_sub_res_mag_w2 <= (wire_w_lg_w_man_add_sub_w_range372w379w OR wire_w_lg_w_lg_w_man_add_sub_w_range372w375w378w);
man_add_sub_res_sign_dffe21_wo <= man_add_sub_res_sign_dffe21;
man_add_sub_res_sign_dffe23_wi <= man_add_sub_res_sign_dffe21_wo;
man_add_sub_res_sign_dffe23_wo <= man_add_sub_res_sign_dffe23;
man_add_sub_res_sign_dffe26_wi <= man_add_sub_res_sign_dffe23_wo;
man_add_sub_res_sign_dffe26_wo <= man_add_sub_res_sign_dffe26_wi;
man_add_sub_res_sign_dffe27_wi <= man_add_sub_res_sign_w2;
man_add_sub_res_sign_dffe27_wo <= man_add_sub_res_sign_dffe27;
man_add_sub_res_sign_w2 <= (wire_w_lg_need_complement_dffe22_wo376w(0) OR (wire_w_lg_need_complement_dffe22_wo373w(0) AND man_add_sub_w(27)));
man_add_sub_w <= ( wire_man_add_sub_lower_w_lg_w_lg_w_lg_cout354w355w356w & wire_man_add_sub_lower_result);
man_all_zeros_w <= (OTHERS => '0');
man_b_not_zero_w <= ( wire_w_lg_w_datab_range216w217w & wire_w_lg_w_datab_range210w211w & wire_w_lg_w_datab_range204w205w & wire_w_lg_w_datab_range198w199w & wire_w_lg_w_datab_range192w193w & wire_w_lg_w_datab_range186w187w & wire_w_lg_w_datab_range180w181w & wire_w_lg_w_datab_range174w175w & wire_w_lg_w_datab_range168w169w & wire_w_lg_w_datab_range162w163w & wire_w_lg_w_datab_range156w157w & wire_w_lg_w_datab_range150w151w & wire_w_lg_w_datab_range144w145w & wire_w_lg_w_datab_range138w139w & wire_w_lg_w_datab_range132w133w & wire_w_lg_w_datab_range126w127w & wire_w_lg_w_datab_range120w121w & wire_w_lg_w_datab_range114w115w & wire_w_lg_w_datab_range108w109w & wire_w_lg_w_datab_range102w103w & wire_w_lg_w_datab_range96w97w & wire_w_lg_w_datab_range90w91w & datab(0));
man_dffe31_wo <= man_dffe31;
man_intermediate_res_w <= ( "00" & man_res_w3);
man_leading_zeros_cnt_w <= man_leading_zeros_dffe31_wo;
man_leading_zeros_dffe31_wi <= (NOT wire_leading_zeroes_cnt_q);
man_leading_zeros_dffe31_wo <= man_leading_zeros_dffe31;
man_nan_w <= "10000000000000000000000";
man_out_dffe5_wi <= (wire_w_lg_force_nan_w652w OR wire_w_lg_w_lg_force_nan_w630w651w);
man_out_dffe5_wo <= man_out_dffe5;
man_res_dffe4_wi <= man_rounded_res_w;
man_res_dffe4_wo <= man_res_dffe4;
man_res_is_not_zero_dffe31_wi <= man_res_not_zero_dffe26_wo;
man_res_is_not_zero_dffe31_wo <= man_res_is_not_zero_dffe31;
man_res_is_not_zero_dffe32_wi <= man_res_is_not_zero_dffe31_wo;
man_res_is_not_zero_dffe32_wo <= man_res_is_not_zero_dffe32_wi;
man_res_is_not_zero_dffe33_wi <= man_res_is_not_zero_dffe32_wo;
man_res_is_not_zero_dffe33_wo <= man_res_is_not_zero_dffe33_wi;
man_res_is_not_zero_dffe3_wi <= man_res_is_not_zero_dffe33_wo;
man_res_is_not_zero_dffe3_wo <= man_res_is_not_zero_dffe3;
man_res_is_not_zero_dffe41_wi <= man_res_is_not_zero_dffe42_wo;
man_res_is_not_zero_dffe41_wo <= man_res_is_not_zero_dffe41;
man_res_is_not_zero_dffe42_wi <= man_res_is_not_zero_dffe3_wo;
man_res_is_not_zero_dffe42_wo <= man_res_is_not_zero_dffe42_wi;
man_res_is_not_zero_dffe4_wi <= man_res_is_not_zero_dffe41_wo;
man_res_is_not_zero_dffe4_wo <= man_res_is_not_zero_dffe4;
man_res_mag_w2 <= (wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w414w OR wire_w412w);
man_res_not_zero_dffe23_wi <= man_res_not_zero_w2(24);
man_res_not_zero_dffe23_wo <= man_res_not_zero_dffe23;
man_res_not_zero_dffe26_wi <= man_res_not_zero_dffe23_wo;
man_res_not_zero_dffe26_wo <= man_res_not_zero_dffe26_wi;
man_res_not_zero_w2 <= ( wire_w_lg_w_man_res_not_zero_w2_range487w489w & wire_w_lg_w_man_res_not_zero_w2_range484w486w & wire_w_lg_w_man_res_not_zero_w2_range481w483w & wire_w_lg_w_man_res_not_zero_w2_range478w480w & wire_w_lg_w_man_res_not_zero_w2_range475w477w & wire_w_lg_w_man_res_not_zero_w2_range472w474w & wire_w_lg_w_man_res_not_zero_w2_range469w471w & wire_w_lg_w_man_res_not_zero_w2_range466w468w & wire_w_lg_w_man_res_not_zero_w2_range463w465w & wire_w_lg_w_man_res_not_zero_w2_range460w462w & wire_w_lg_w_man_res_not_zero_w2_range457w459w & wire_w_lg_w_man_res_not_zero_w2_range454w456w & wire_w_lg_w_man_res_not_zero_w2_range451w453w & wire_w_lg_w_man_res_not_zero_w2_range448w450w & wire_w_lg_w_man_res_not_zero_w2_range445w447w & wire_w_lg_w_man_res_not_zero_w2_range442w444w & wire_w_lg_w_man_res_not_zero_w2_range439w441w & wire_w_lg_w_man_res_not_zero_w2_range436w438w & wire_w_lg_w_man_res_not_zero_w2_range433w435w & wire_w_lg_w_man_res_not_zero_w2_range430w432w & wire_w_lg_w_man_res_not_zero_w2_range427w429w & wire_w_lg_w_man_res_not_zero_w2_range424w426w & wire_w_lg_w_man_res_not_zero_w2_range421w423w & wire_w_lg_w_man_res_not_zero_w2_range417w420w & man_add_sub_res_mag_dffe21_wo(1));
man_res_rounding_add_sub_datab_w <= ( "0000000000000000000000000" & man_rounding_add_value_w);
man_res_rounding_add_sub_w <= man_res_rounding_add_sub_result_reg;
man_res_w3 <= wire_lbarrel_shift_result(25 DOWNTO 2);
man_rounded_res_w <= (wire_w_lg_w_man_res_rounding_add_sub_w_range585w589w OR wire_w587w);
man_rounding_add_value_w <= (round_bit_dffe3_wo AND (sticky_bit_dffe3_wo OR guard_bit_dffe3_wo));
man_smaller_dffe13_wi <= man_smaller_w;
man_smaller_dffe13_wo <= man_smaller_dffe13;
man_smaller_w <= (wire_w_lg_exp_amb_mux_w280w OR wire_w_lg_w_lg_exp_amb_mux_w276w279w);
need_complement_dffe22_wi <= need_complement_dffe2_wo;
need_complement_dffe22_wo <= need_complement_dffe22_wi;
need_complement_dffe2_wi <= dataa_sign_dffe25_wo;
need_complement_dffe2_wo <= need_complement_dffe2;
pos_sign_bit_ext <= (OTHERS => '0');
priority_encoder_1pads_w <= (OTHERS => '1');
result <= ( sign_out_dffe5_wo & exp_out_dffe5_wo & man_out_dffe5_wo);
round_bit_dffe21_wi <= round_bit_w;
round_bit_dffe21_wo <= round_bit_dffe21;
round_bit_dffe23_wi <= round_bit_dffe21_wo;
round_bit_dffe23_wo <= round_bit_dffe23;
round_bit_dffe26_wi <= round_bit_dffe23_wo;
round_bit_dffe26_wo <= round_bit_dffe26_wi;
round_bit_dffe31_wi <= round_bit_dffe26_wo;
round_bit_dffe31_wo <= round_bit_dffe31;
round_bit_dffe32_wi <= round_bit_dffe31_wo;
round_bit_dffe32_wo <= round_bit_dffe32_wi;
round_bit_dffe33_wi <= round_bit_dffe32_wo;
round_bit_dffe33_wo <= round_bit_dffe33_wi;
round_bit_dffe3_wi <= round_bit_dffe33_wo;
round_bit_dffe3_wo <= round_bit_dffe3;
round_bit_w <= ((((wire_w397w(0) AND man_add_sub_res_mag_dffe27_wo(0)) OR ((wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w382w(0) AND man_add_sub_res_mag_dffe27_wo(25)) AND man_add_sub_res_mag_dffe27_wo(1))) OR (wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w391w(0) AND man_add_sub_res_mag_dffe27_wo(2))) OR ((man_add_sub_res_mag_dffe27_wo(26) AND man_add_sub_res_mag_dffe27_wo(25)) AND man_add_sub_res_mag_dffe27_wo(2)));
rounded_res_infinity_dffe4_wi <= exp_rounded_res_infinity_w;
rounded_res_infinity_dffe4_wo <= rounded_res_infinity_dffe4;
rshift_distance_dffe13_wi <= rshift_distance_w;
rshift_distance_dffe13_wo <= rshift_distance_dffe13;
rshift_distance_dffe14_wi <= rshift_distance_dffe13_wo;
rshift_distance_dffe14_wo <= rshift_distance_dffe14;
rshift_distance_dffe15_wi <= rshift_distance_dffe14_wo;
rshift_distance_dffe15_wo <= rshift_distance_dffe15_wi;
rshift_distance_w <= (wire_w_lg_w_exp_diff_abs_exceed_max_w_range290w294w OR wire_w293w);
sign_dffe31_wi <= ((man_res_not_zero_dffe26_wo AND man_add_sub_res_sign_dffe26_wo) OR wire_w_lg_w_lg_man_res_not_zero_dffe26_wo503w504w(0));
sign_dffe31_wo <= sign_dffe31;
sign_dffe32_wi <= sign_dffe31_wo;
sign_dffe32_wo <= sign_dffe32_wi;
sign_dffe33_wi <= sign_dffe32_wo;
sign_dffe33_wo <= sign_dffe33_wi;
sign_out_dffe5_wi <= (wire_w_lg_force_nan_w630w(0) AND ((force_infinity_w AND infinite_output_sign_dffe4_wo) OR wire_w_lg_w_lg_force_infinity_w629w654w(0)));
sign_out_dffe5_wo <= sign_out_dffe5;
sign_res_dffe3_wi <= sign_dffe33_wo;
sign_res_dffe3_wo <= sign_res_dffe3;
sign_res_dffe41_wi <= sign_res_dffe42_wo;
sign_res_dffe41_wo <= sign_res_dffe41;
sign_res_dffe42_wi <= sign_res_dffe3_wo;
sign_res_dffe42_wo <= sign_res_dffe42_wi;
sign_res_dffe4_wi <= sign_res_dffe41_wo;
sign_res_dffe4_wo <= sign_res_dffe4;
sticky_bit_cnt_dataa_w <= ( "0" & rshift_distance_dffe15_wo);
sticky_bit_cnt_datab_w <= ( "0" & wire_trailing_zeros_cnt_q);
sticky_bit_cnt_res_w <= wire_add_sub3_result;
sticky_bit_dffe1_wi <= wire_trailing_zeros_limit_comparator_agb;
sticky_bit_dffe1_wo <= sticky_bit_dffe1;
sticky_bit_dffe21_wi <= sticky_bit_w;
sticky_bit_dffe21_wo <= sticky_bit_dffe21;
sticky_bit_dffe22_wi <= sticky_bit_dffe2_wo;
sticky_bit_dffe22_wo <= sticky_bit_dffe22_wi;
sticky_bit_dffe23_wi <= sticky_bit_dffe21_wo;
sticky_bit_dffe23_wo <= sticky_bit_dffe23;
sticky_bit_dffe25_wi <= sticky_bit_dffe1_wo;
sticky_bit_dffe25_wo <= sticky_bit_dffe25;
sticky_bit_dffe26_wi <= sticky_bit_dffe23_wo;
sticky_bit_dffe26_wo <= sticky_bit_dffe26_wi;
sticky_bit_dffe27_wi <= sticky_bit_dffe22_wo;
sticky_bit_dffe27_wo <= sticky_bit_dffe27;
sticky_bit_dffe2_wi <= sticky_bit_dffe25_wo;
sticky_bit_dffe2_wo <= sticky_bit_dffe2;
sticky_bit_dffe31_wi <= sticky_bit_dffe26_wo;
sticky_bit_dffe31_wo <= sticky_bit_dffe31;
sticky_bit_dffe32_wi <= sticky_bit_dffe31_wo;
sticky_bit_dffe32_wo <= sticky_bit_dffe32_wi;
sticky_bit_dffe33_wi <= sticky_bit_dffe32_wo;
sticky_bit_dffe33_wo <= sticky_bit_dffe33_wi;
sticky_bit_dffe3_wi <= sticky_bit_dffe33_wo;
sticky_bit_dffe3_wo <= sticky_bit_dffe3;
sticky_bit_w <= (((wire_w_lg_w397w407w(0) OR ((wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w382w(0) AND man_add_sub_res_mag_dffe27_wo(25)) AND wire_w_lg_sticky_bit_dffe27_wo402w(0))) OR (wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w391w(0) AND (wire_w_lg_sticky_bit_dffe27_wo402w(0) OR man_add_sub_res_mag_dffe27_wo(1)))) OR ((man_add_sub_res_mag_dffe27_wo(26) AND man_add_sub_res_mag_dffe27_wo(25)) AND (wire_w_lg_sticky_bit_dffe27_wo402w(0) OR man_add_sub_res_mag_dffe27_wo(1))));
trailing_zeros_limit_w <= "000010";
zero_man_sign_dffe21_wi <= zero_man_sign_dffe27_wo;
zero_man_sign_dffe21_wo <= zero_man_sign_dffe21;
zero_man_sign_dffe22_wi <= zero_man_sign_dffe2_wo;
zero_man_sign_dffe22_wo <= zero_man_sign_dffe22_wi;
zero_man_sign_dffe23_wi <= zero_man_sign_dffe21_wo;
zero_man_sign_dffe23_wo <= zero_man_sign_dffe23;
zero_man_sign_dffe26_wi <= zero_man_sign_dffe23_wo;
zero_man_sign_dffe26_wo <= zero_man_sign_dffe26_wi;
zero_man_sign_dffe27_wi <= zero_man_sign_dffe22_wo;
zero_man_sign_dffe27_wo <= zero_man_sign_dffe27;
zero_man_sign_dffe2_wi <= (dataa_sign_dffe25_wo AND add_sub_dffe25_wo);
zero_man_sign_dffe2_wo <= zero_man_sign_dffe2;
wire_w_aligned_dataa_exp_dffe15_wo_range315w <= aligned_dataa_exp_dffe15_wo(7 DOWNTO 0);
wire_w_aligned_datab_exp_dffe15_wo_range313w <= aligned_datab_exp_dffe15_wo(7 DOWNTO 0);
wire_w_dataa_range141w(0) <= dataa(10);
wire_w_dataa_range147w(0) <= dataa(11);
wire_w_dataa_range153w(0) <= dataa(12);
wire_w_dataa_range159w(0) <= dataa(13);
wire_w_dataa_range165w(0) <= dataa(14);
wire_w_dataa_range171w(0) <= dataa(15);
wire_w_dataa_range177w(0) <= dataa(16);
wire_w_dataa_range183w(0) <= dataa(17);
wire_w_dataa_range189w(0) <= dataa(18);
wire_w_dataa_range195w(0) <= dataa(19);
wire_w_dataa_range87w(0) <= dataa(1);
wire_w_dataa_range201w(0) <= dataa(20);
wire_w_dataa_range207w(0) <= dataa(21);
wire_w_dataa_range213w(0) <= dataa(22);
wire_w_dataa_range17w(0) <= dataa(24);
wire_w_dataa_range27w(0) <= dataa(25);
wire_w_dataa_range37w(0) <= dataa(26);
wire_w_dataa_range47w(0) <= dataa(27);
wire_w_dataa_range57w(0) <= dataa(28);
wire_w_dataa_range67w(0) <= dataa(29);
wire_w_dataa_range93w(0) <= dataa(2);
wire_w_dataa_range77w(0) <= dataa(30);
wire_w_dataa_range99w(0) <= dataa(3);
wire_w_dataa_range105w(0) <= dataa(4);
wire_w_dataa_range111w(0) <= dataa(5);
wire_w_dataa_range117w(0) <= dataa(6);
wire_w_dataa_range123w(0) <= dataa(7);
wire_w_dataa_range129w(0) <= dataa(8);
wire_w_dataa_range135w(0) <= dataa(9);
wire_w_dataa_dffe11_wo_range242w <= dataa_dffe11_wo(22 DOWNTO 0);
wire_w_dataa_dffe11_wo_range232w <= dataa_dffe11_wo(30 DOWNTO 23);
wire_w_datab_range144w(0) <= datab(10);
wire_w_datab_range150w(0) <= datab(11);
wire_w_datab_range156w(0) <= datab(12);
wire_w_datab_range162w(0) <= datab(13);
wire_w_datab_range168w(0) <= datab(14);
wire_w_datab_range174w(0) <= datab(15);
wire_w_datab_range180w(0) <= datab(16);
wire_w_datab_range186w(0) <= datab(17);
wire_w_datab_range192w(0) <= datab(18);
wire_w_datab_range198w(0) <= datab(19);
wire_w_datab_range90w(0) <= datab(1);
wire_w_datab_range204w(0) <= datab(20);
wire_w_datab_range210w(0) <= datab(21);
wire_w_datab_range216w(0) <= datab(22);
wire_w_datab_range20w(0) <= datab(24);
wire_w_datab_range30w(0) <= datab(25);
wire_w_datab_range40w(0) <= datab(26);
wire_w_datab_range50w(0) <= datab(27);
wire_w_datab_range60w(0) <= datab(28);
wire_w_datab_range70w(0) <= datab(29);
wire_w_datab_range96w(0) <= datab(2);
wire_w_datab_range80w(0) <= datab(30);
wire_w_datab_range102w(0) <= datab(3);
wire_w_datab_range108w(0) <= datab(4);
wire_w_datab_range114w(0) <= datab(5);
wire_w_datab_range120w(0) <= datab(6);
wire_w_datab_range126w(0) <= datab(7);
wire_w_datab_range132w(0) <= datab(8);
wire_w_datab_range138w(0) <= datab(9);
wire_w_datab_dffe11_wo_range261w <= datab_dffe11_wo(22 DOWNTO 0);
wire_w_datab_dffe11_wo_range251w <= datab_dffe11_wo(30 DOWNTO 23);
wire_w_exp_a_all_one_w_range7w(0) <= exp_a_all_one_w(0);
wire_w_exp_a_all_one_w_range24w(0) <= exp_a_all_one_w(1);
wire_w_exp_a_all_one_w_range34w(0) <= exp_a_all_one_w(2);
wire_w_exp_a_all_one_w_range44w(0) <= exp_a_all_one_w(3);
wire_w_exp_a_all_one_w_range54w(0) <= exp_a_all_one_w(4);
wire_w_exp_a_all_one_w_range64w(0) <= exp_a_all_one_w(5);
wire_w_exp_a_all_one_w_range74w(0) <= exp_a_all_one_w(6);
wire_w_exp_a_all_one_w_range84w(0) <= exp_a_all_one_w(7);
wire_w_exp_a_not_zero_w_range2w(0) <= exp_a_not_zero_w(0);
wire_w_exp_a_not_zero_w_range19w(0) <= exp_a_not_zero_w(1);
wire_w_exp_a_not_zero_w_range29w(0) <= exp_a_not_zero_w(2);
wire_w_exp_a_not_zero_w_range39w(0) <= exp_a_not_zero_w(3);
wire_w_exp_a_not_zero_w_range49w(0) <= exp_a_not_zero_w(4);
wire_w_exp_a_not_zero_w_range59w(0) <= exp_a_not_zero_w(5);
wire_w_exp_a_not_zero_w_range69w(0) <= exp_a_not_zero_w(6);
wire_w_exp_adjustment2_add_sub_w_range518w(0) <= exp_adjustment2_add_sub_w(1);
wire_w_exp_adjustment2_add_sub_w_range521w(0) <= exp_adjustment2_add_sub_w(2);
wire_w_exp_adjustment2_add_sub_w_range524w(0) <= exp_adjustment2_add_sub_w(3);
wire_w_exp_adjustment2_add_sub_w_range527w(0) <= exp_adjustment2_add_sub_w(4);
wire_w_exp_adjustment2_add_sub_w_range530w(0) <= exp_adjustment2_add_sub_w(5);
wire_w_exp_adjustment2_add_sub_w_range533w(0) <= exp_adjustment2_add_sub_w(6);
wire_w_exp_adjustment2_add_sub_w_range557w <= exp_adjustment2_add_sub_w(7 DOWNTO 0);
wire_w_exp_adjustment2_add_sub_w_range536w(0) <= exp_adjustment2_add_sub_w(7);
wire_w_exp_adjustment2_add_sub_w_range511w(0) <= exp_adjustment2_add_sub_w(8);
wire_w_exp_amb_w_range275w <= exp_amb_w(7 DOWNTO 0);
wire_w_exp_b_all_one_w_range9w(0) <= exp_b_all_one_w(0);
wire_w_exp_b_all_one_w_range26w(0) <= exp_b_all_one_w(1);
wire_w_exp_b_all_one_w_range36w(0) <= exp_b_all_one_w(2);
wire_w_exp_b_all_one_w_range46w(0) <= exp_b_all_one_w(3);
wire_w_exp_b_all_one_w_range56w(0) <= exp_b_all_one_w(4);
wire_w_exp_b_all_one_w_range66w(0) <= exp_b_all_one_w(5);
wire_w_exp_b_all_one_w_range76w(0) <= exp_b_all_one_w(6);
wire_w_exp_b_all_one_w_range86w(0) <= exp_b_all_one_w(7);
wire_w_exp_b_not_zero_w_range5w(0) <= exp_b_not_zero_w(0);
wire_w_exp_b_not_zero_w_range22w(0) <= exp_b_not_zero_w(1);
wire_w_exp_b_not_zero_w_range32w(0) <= exp_b_not_zero_w(2);
wire_w_exp_b_not_zero_w_range42w(0) <= exp_b_not_zero_w(3);
wire_w_exp_b_not_zero_w_range52w(0) <= exp_b_not_zero_w(4);
wire_w_exp_b_not_zero_w_range62w(0) <= exp_b_not_zero_w(5);
wire_w_exp_b_not_zero_w_range72w(0) <= exp_b_not_zero_w(6);
wire_w_exp_bma_w_range273w <= exp_bma_w(7 DOWNTO 0);
wire_w_exp_diff_abs_exceed_max_w_range283w(0) <= exp_diff_abs_exceed_max_w(0);
wire_w_exp_diff_abs_exceed_max_w_range287w(0) <= exp_diff_abs_exceed_max_w(1);
wire_w_exp_diff_abs_exceed_max_w_range290w(0) <= exp_diff_abs_exceed_max_w(2);
wire_w_exp_diff_abs_w_range291w <= exp_diff_abs_w(4 DOWNTO 0);
wire_w_exp_diff_abs_w_range285w(0) <= exp_diff_abs_w(6);
wire_w_exp_diff_abs_w_range288w(0) <= exp_diff_abs_w(7);
wire_w_exp_res_max_w_range540w(0) <= exp_res_max_w(0);
wire_w_exp_res_max_w_range543w(0) <= exp_res_max_w(1);
wire_w_exp_res_max_w_range545w(0) <= exp_res_max_w(2);
wire_w_exp_res_max_w_range547w(0) <= exp_res_max_w(3);
wire_w_exp_res_max_w_range549w(0) <= exp_res_max_w(4);
wire_w_exp_res_max_w_range551w(0) <= exp_res_max_w(5);
wire_w_exp_res_max_w_range553w(0) <= exp_res_max_w(6);
wire_w_exp_res_max_w_range555w(0) <= exp_res_max_w(7);
wire_w_exp_res_not_zero_w_range516w(0) <= exp_res_not_zero_w(0);
wire_w_exp_res_not_zero_w_range520w(0) <= exp_res_not_zero_w(1);
wire_w_exp_res_not_zero_w_range523w(0) <= exp_res_not_zero_w(2);
wire_w_exp_res_not_zero_w_range526w(0) <= exp_res_not_zero_w(3);
wire_w_exp_res_not_zero_w_range529w(0) <= exp_res_not_zero_w(4);
wire_w_exp_res_not_zero_w_range532w(0) <= exp_res_not_zero_w(5);
wire_w_exp_res_not_zero_w_range535w(0) <= exp_res_not_zero_w(6);
wire_w_exp_res_not_zero_w_range538w(0) <= exp_res_not_zero_w(7);
wire_w_exp_rounded_res_max_w_range601w(0) <= exp_rounded_res_max_w(0);
wire_w_exp_rounded_res_max_w_range605w(0) <= exp_rounded_res_max_w(1);
wire_w_exp_rounded_res_max_w_range608w(0) <= exp_rounded_res_max_w(2);
wire_w_exp_rounded_res_max_w_range611w(0) <= exp_rounded_res_max_w(3);
wire_w_exp_rounded_res_max_w_range614w(0) <= exp_rounded_res_max_w(4);
wire_w_exp_rounded_res_max_w_range617w(0) <= exp_rounded_res_max_w(5);
wire_w_exp_rounded_res_max_w_range620w(0) <= exp_rounded_res_max_w(6);
wire_w_exp_rounded_res_w_range603w(0) <= exp_rounded_res_w(1);
wire_w_exp_rounded_res_w_range606w(0) <= exp_rounded_res_w(2);
wire_w_exp_rounded_res_w_range609w(0) <= exp_rounded_res_w(3);
wire_w_exp_rounded_res_w_range612w(0) <= exp_rounded_res_w(4);
wire_w_exp_rounded_res_w_range615w(0) <= exp_rounded_res_w(5);
wire_w_exp_rounded_res_w_range618w(0) <= exp_rounded_res_w(6);
wire_w_exp_rounded_res_w_range621w(0) <= exp_rounded_res_w(7);
wire_w_man_a_not_zero_w_range12w(0) <= man_a_not_zero_w(0);
wire_w_man_a_not_zero_w_range143w(0) <= man_a_not_zero_w(10);
wire_w_man_a_not_zero_w_range149w(0) <= man_a_not_zero_w(11);
wire_w_man_a_not_zero_w_range155w(0) <= man_a_not_zero_w(12);
wire_w_man_a_not_zero_w_range161w(0) <= man_a_not_zero_w(13);
wire_w_man_a_not_zero_w_range167w(0) <= man_a_not_zero_w(14);
wire_w_man_a_not_zero_w_range173w(0) <= man_a_not_zero_w(15);
wire_w_man_a_not_zero_w_range179w(0) <= man_a_not_zero_w(16);
wire_w_man_a_not_zero_w_range185w(0) <= man_a_not_zero_w(17);
wire_w_man_a_not_zero_w_range191w(0) <= man_a_not_zero_w(18);
wire_w_man_a_not_zero_w_range197w(0) <= man_a_not_zero_w(19);
wire_w_man_a_not_zero_w_range89w(0) <= man_a_not_zero_w(1);
wire_w_man_a_not_zero_w_range203w(0) <= man_a_not_zero_w(20);
wire_w_man_a_not_zero_w_range209w(0) <= man_a_not_zero_w(21);
wire_w_man_a_not_zero_w_range215w(0) <= man_a_not_zero_w(22);
wire_w_man_a_not_zero_w_range95w(0) <= man_a_not_zero_w(2);
wire_w_man_a_not_zero_w_range101w(0) <= man_a_not_zero_w(3);
wire_w_man_a_not_zero_w_range107w(0) <= man_a_not_zero_w(4);
wire_w_man_a_not_zero_w_range113w(0) <= man_a_not_zero_w(5);
wire_w_man_a_not_zero_w_range119w(0) <= man_a_not_zero_w(6);
wire_w_man_a_not_zero_w_range125w(0) <= man_a_not_zero_w(7);
wire_w_man_a_not_zero_w_range131w(0) <= man_a_not_zero_w(8);
wire_w_man_a_not_zero_w_range137w(0) <= man_a_not_zero_w(9);
wire_w_man_add_sub_res_mag_dffe21_wo_range443w(0) <= man_add_sub_res_mag_dffe21_wo(10);
wire_w_man_add_sub_res_mag_dffe21_wo_range446w(0) <= man_add_sub_res_mag_dffe21_wo(11);
wire_w_man_add_sub_res_mag_dffe21_wo_range449w(0) <= man_add_sub_res_mag_dffe21_wo(12);
wire_w_man_add_sub_res_mag_dffe21_wo_range452w(0) <= man_add_sub_res_mag_dffe21_wo(13);
wire_w_man_add_sub_res_mag_dffe21_wo_range455w(0) <= man_add_sub_res_mag_dffe21_wo(14);
wire_w_man_add_sub_res_mag_dffe21_wo_range458w(0) <= man_add_sub_res_mag_dffe21_wo(15);
wire_w_man_add_sub_res_mag_dffe21_wo_range461w(0) <= man_add_sub_res_mag_dffe21_wo(16);
wire_w_man_add_sub_res_mag_dffe21_wo_range464w(0) <= man_add_sub_res_mag_dffe21_wo(17);
wire_w_man_add_sub_res_mag_dffe21_wo_range467w(0) <= man_add_sub_res_mag_dffe21_wo(18);
wire_w_man_add_sub_res_mag_dffe21_wo_range470w(0) <= man_add_sub_res_mag_dffe21_wo(19);
wire_w_man_add_sub_res_mag_dffe21_wo_range473w(0) <= man_add_sub_res_mag_dffe21_wo(20);
wire_w_man_add_sub_res_mag_dffe21_wo_range476w(0) <= man_add_sub_res_mag_dffe21_wo(21);
wire_w_man_add_sub_res_mag_dffe21_wo_range479w(0) <= man_add_sub_res_mag_dffe21_wo(22);
wire_w_man_add_sub_res_mag_dffe21_wo_range482w(0) <= man_add_sub_res_mag_dffe21_wo(23);
wire_w_man_add_sub_res_mag_dffe21_wo_range485w(0) <= man_add_sub_res_mag_dffe21_wo(24);
wire_w_man_add_sub_res_mag_dffe21_wo_range488w(0) <= man_add_sub_res_mag_dffe21_wo(25);
wire_w_man_add_sub_res_mag_dffe21_wo_range419w(0) <= man_add_sub_res_mag_dffe21_wo(2);
wire_w_man_add_sub_res_mag_dffe21_wo_range422w(0) <= man_add_sub_res_mag_dffe21_wo(3);
wire_w_man_add_sub_res_mag_dffe21_wo_range425w(0) <= man_add_sub_res_mag_dffe21_wo(4);
wire_w_man_add_sub_res_mag_dffe21_wo_range428w(0) <= man_add_sub_res_mag_dffe21_wo(5);
wire_w_man_add_sub_res_mag_dffe21_wo_range431w(0) <= man_add_sub_res_mag_dffe21_wo(6);
wire_w_man_add_sub_res_mag_dffe21_wo_range434w(0) <= man_add_sub_res_mag_dffe21_wo(7);
wire_w_man_add_sub_res_mag_dffe21_wo_range437w(0) <= man_add_sub_res_mag_dffe21_wo(8);
wire_w_man_add_sub_res_mag_dffe21_wo_range440w(0) <= man_add_sub_res_mag_dffe21_wo(9);
wire_w_man_add_sub_res_mag_dffe27_wo_range396w(0) <= man_add_sub_res_mag_dffe27_wo(0);
wire_w_man_add_sub_res_mag_dffe27_wo_range411w <= man_add_sub_res_mag_dffe27_wo(25 DOWNTO 0);
wire_w_man_add_sub_res_mag_dffe27_wo_range387w(0) <= man_add_sub_res_mag_dffe27_wo(25);
wire_w_man_add_sub_res_mag_dffe27_wo_range413w <= man_add_sub_res_mag_dffe27_wo(26 DOWNTO 1);
wire_w_man_add_sub_res_mag_dffe27_wo_range381w(0) <= man_add_sub_res_mag_dffe27_wo(26);
wire_w_man_add_sub_w_range372w(0) <= man_add_sub_w(27);
wire_w_man_b_not_zero_w_range15w(0) <= man_b_not_zero_w(0);
wire_w_man_b_not_zero_w_range146w(0) <= man_b_not_zero_w(10);
wire_w_man_b_not_zero_w_range152w(0) <= man_b_not_zero_w(11);
wire_w_man_b_not_zero_w_range158w(0) <= man_b_not_zero_w(12);
wire_w_man_b_not_zero_w_range164w(0) <= man_b_not_zero_w(13);
wire_w_man_b_not_zero_w_range170w(0) <= man_b_not_zero_w(14);
wire_w_man_b_not_zero_w_range176w(0) <= man_b_not_zero_w(15);
wire_w_man_b_not_zero_w_range182w(0) <= man_b_not_zero_w(16);
wire_w_man_b_not_zero_w_range188w(0) <= man_b_not_zero_w(17);
wire_w_man_b_not_zero_w_range194w(0) <= man_b_not_zero_w(18);
wire_w_man_b_not_zero_w_range200w(0) <= man_b_not_zero_w(19);
wire_w_man_b_not_zero_w_range92w(0) <= man_b_not_zero_w(1);
wire_w_man_b_not_zero_w_range206w(0) <= man_b_not_zero_w(20);
wire_w_man_b_not_zero_w_range212w(0) <= man_b_not_zero_w(21);
wire_w_man_b_not_zero_w_range218w(0) <= man_b_not_zero_w(22);
wire_w_man_b_not_zero_w_range98w(0) <= man_b_not_zero_w(2);
wire_w_man_b_not_zero_w_range104w(0) <= man_b_not_zero_w(3);
wire_w_man_b_not_zero_w_range110w(0) <= man_b_not_zero_w(4);
wire_w_man_b_not_zero_w_range116w(0) <= man_b_not_zero_w(5);
wire_w_man_b_not_zero_w_range122w(0) <= man_b_not_zero_w(6);
wire_w_man_b_not_zero_w_range128w(0) <= man_b_not_zero_w(7);
wire_w_man_b_not_zero_w_range134w(0) <= man_b_not_zero_w(8);
wire_w_man_b_not_zero_w_range140w(0) <= man_b_not_zero_w(9);
wire_w_man_res_not_zero_w2_range417w(0) <= man_res_not_zero_w2(0);
wire_w_man_res_not_zero_w2_range448w(0) <= man_res_not_zero_w2(10);
wire_w_man_res_not_zero_w2_range451w(0) <= man_res_not_zero_w2(11);
wire_w_man_res_not_zero_w2_range454w(0) <= man_res_not_zero_w2(12);
wire_w_man_res_not_zero_w2_range457w(0) <= man_res_not_zero_w2(13);
wire_w_man_res_not_zero_w2_range460w(0) <= man_res_not_zero_w2(14);
wire_w_man_res_not_zero_w2_range463w(0) <= man_res_not_zero_w2(15);
wire_w_man_res_not_zero_w2_range466w(0) <= man_res_not_zero_w2(16);
wire_w_man_res_not_zero_w2_range469w(0) <= man_res_not_zero_w2(17);
wire_w_man_res_not_zero_w2_range472w(0) <= man_res_not_zero_w2(18);
wire_w_man_res_not_zero_w2_range475w(0) <= man_res_not_zero_w2(19);
wire_w_man_res_not_zero_w2_range421w(0) <= man_res_not_zero_w2(1);
wire_w_man_res_not_zero_w2_range478w(0) <= man_res_not_zero_w2(20);
wire_w_man_res_not_zero_w2_range481w(0) <= man_res_not_zero_w2(21);
wire_w_man_res_not_zero_w2_range484w(0) <= man_res_not_zero_w2(22);
wire_w_man_res_not_zero_w2_range487w(0) <= man_res_not_zero_w2(23);
wire_w_man_res_not_zero_w2_range424w(0) <= man_res_not_zero_w2(2);
wire_w_man_res_not_zero_w2_range427w(0) <= man_res_not_zero_w2(3);
wire_w_man_res_not_zero_w2_range430w(0) <= man_res_not_zero_w2(4);
wire_w_man_res_not_zero_w2_range433w(0) <= man_res_not_zero_w2(5);
wire_w_man_res_not_zero_w2_range436w(0) <= man_res_not_zero_w2(6);
wire_w_man_res_not_zero_w2_range439w(0) <= man_res_not_zero_w2(7);
wire_w_man_res_not_zero_w2_range442w(0) <= man_res_not_zero_w2(8);
wire_w_man_res_not_zero_w2_range445w(0) <= man_res_not_zero_w2(9);
wire_w_man_res_rounding_add_sub_w_range584w <= man_res_rounding_add_sub_w(22 DOWNTO 0);
wire_w_man_res_rounding_add_sub_w_range588w <= man_res_rounding_add_sub_w(23 DOWNTO 1);
wire_w_man_res_rounding_add_sub_w_range585w(0) <= man_res_rounding_add_sub_w(24);
lbarrel_shift : kn_kalman_sub_altbarrel_shift_h0e
PORT MAP (
aclr => aclr,
clk_en => clk_en,
clock => clock,
data => man_dffe31_wo,
distance => man_leading_zeros_cnt_w,
result => wire_lbarrel_shift_result
);
wire_rbarrel_shift_data <= ( man_smaller_dffe13_wo & "00");
rbarrel_shift : kn_kalman_sub_altbarrel_shift_n3g
PORT MAP (
aclr => aclr,
clk_en => clk_en,
clock => clock,
data => wire_rbarrel_shift_data,
distance => rshift_distance_dffe13_wo,
result => wire_rbarrel_shift_result
);
wire_leading_zeroes_cnt_data <= ( man_add_sub_res_mag_dffe21_wo(25 DOWNTO 1) & "1" & "000000");
leading_zeroes_cnt : kn_kalman_sub_altpriority_encoder_ou8
PORT MAP (
aclr => aclr,
clk_en => clk_en,
clock => clock,
data => wire_leading_zeroes_cnt_data,
q => wire_leading_zeroes_cnt_q
);
wire_trailing_zeros_cnt_data <= ( "111111111" & man_smaller_dffe13_wo(22 DOWNTO 0));
trailing_zeros_cnt : kn_kalman_sub_altpriority_encoder_cna
PORT MAP (
aclr => aclr,
clk_en => clk_en,
clock => clock,
data => wire_trailing_zeros_cnt_data,
q => wire_trailing_zeros_cnt_q
);
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN add_sub_dffe25 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN add_sub_dffe25 <= add_sub_dffe25_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN aligned_dataa_exp_dffe12 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN aligned_dataa_exp_dffe12 <= aligned_dataa_exp_dffe12_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN aligned_dataa_exp_dffe13 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN aligned_dataa_exp_dffe13 <= aligned_dataa_exp_dffe13_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN aligned_dataa_exp_dffe14 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN aligned_dataa_exp_dffe14 <= aligned_dataa_exp_dffe14_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN aligned_dataa_man_dffe12 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN aligned_dataa_man_dffe12 <= aligned_dataa_man_dffe12_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN aligned_dataa_man_dffe13 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN aligned_dataa_man_dffe13 <= aligned_dataa_man_dffe13_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN aligned_dataa_man_dffe14 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN aligned_dataa_man_dffe14 <= aligned_dataa_man_dffe14_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN aligned_dataa_sign_dffe12 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN aligned_dataa_sign_dffe12 <= aligned_dataa_sign_dffe12_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN aligned_dataa_sign_dffe13 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN aligned_dataa_sign_dffe13 <= aligned_dataa_sign_dffe13_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN aligned_dataa_sign_dffe14 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN aligned_dataa_sign_dffe14 <= aligned_dataa_sign_dffe14_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN aligned_datab_exp_dffe12 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN aligned_datab_exp_dffe12 <= aligned_datab_exp_dffe12_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN aligned_datab_exp_dffe13 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN aligned_datab_exp_dffe13 <= aligned_datab_exp_dffe13_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN aligned_datab_exp_dffe14 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN aligned_datab_exp_dffe14 <= aligned_datab_exp_dffe14_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN aligned_datab_man_dffe12 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN aligned_datab_man_dffe12 <= aligned_datab_man_dffe12_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN aligned_datab_man_dffe13 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN aligned_datab_man_dffe13 <= aligned_datab_man_dffe13_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN aligned_datab_man_dffe14 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN aligned_datab_man_dffe14 <= aligned_datab_man_dffe14_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN aligned_datab_sign_dffe12 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN aligned_datab_sign_dffe12 <= aligned_datab_sign_dffe12_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN aligned_datab_sign_dffe13 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN aligned_datab_sign_dffe13 <= aligned_datab_sign_dffe13_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN aligned_datab_sign_dffe14 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN aligned_datab_sign_dffe14 <= aligned_datab_sign_dffe14_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN both_inputs_are_infinite_dffe1 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN both_inputs_are_infinite_dffe1 <= both_inputs_are_infinite_dffe1_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN both_inputs_are_infinite_dffe25 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN both_inputs_are_infinite_dffe25 <= both_inputs_are_infinite_dffe25_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN data_exp_dffe1 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN data_exp_dffe1 <= data_exp_dffe1_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN dataa_man_dffe1 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN dataa_man_dffe1 <= dataa_man_dffe1_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN dataa_sign_dffe1 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN dataa_sign_dffe1 <= dataa_sign_dffe1_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN dataa_sign_dffe25 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN dataa_sign_dffe25 <= dataa_sign_dffe25_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN datab_man_dffe1 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN datab_man_dffe1 <= datab_man_dffe1_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN datab_sign_dffe1 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN datab_sign_dffe1 <= datab_sign_dffe1_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN denormal_res_dffe3 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN denormal_res_dffe3 <= denormal_res_dffe3_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN denormal_res_dffe4 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN denormal_res_dffe4 <= denormal_res_dffe4_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN denormal_res_dffe41 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN denormal_res_dffe41 <= denormal_res_dffe41_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN exp_adj_dffe21 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN exp_adj_dffe21 <= exp_adj_dffe21_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN exp_adj_dffe23 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN exp_adj_dffe23 <= exp_adj_dffe23_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN exp_amb_mux_dffe13 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN exp_amb_mux_dffe13 <= exp_amb_mux_dffe13_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN exp_amb_mux_dffe14 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN exp_amb_mux_dffe14 <= exp_amb_mux_dffe14_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN exp_intermediate_res_dffe41 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN exp_intermediate_res_dffe41 <= exp_intermediate_res_dffe41_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN exp_out_dffe5 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN exp_out_dffe5 <= exp_out_dffe5_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN exp_res_dffe2 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN exp_res_dffe2 <= exp_res_dffe2_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN exp_res_dffe21 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN exp_res_dffe21 <= exp_res_dffe21_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN exp_res_dffe23 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN exp_res_dffe23 <= exp_res_dffe23_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN exp_res_dffe25 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN exp_res_dffe25 <= exp_res_dffe25_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN exp_res_dffe27 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN exp_res_dffe27 <= exp_res_dffe27_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN exp_res_dffe3 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN exp_res_dffe3 <= exp_res_dffe3_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN exp_res_dffe4 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN exp_res_dffe4 <= exp_res_dffe4_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN infinite_output_sign_dffe1 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN infinite_output_sign_dffe1 <= infinite_output_sign_dffe1_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN infinite_output_sign_dffe2 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN infinite_output_sign_dffe2 <= infinite_output_sign_dffe2_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN infinite_output_sign_dffe21 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN infinite_output_sign_dffe21 <= infinite_output_sign_dffe21_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN infinite_output_sign_dffe23 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN infinite_output_sign_dffe23 <= infinite_output_sign_dffe23_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN infinite_output_sign_dffe25 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN infinite_output_sign_dffe25 <= infinite_output_sign_dffe25_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN infinite_output_sign_dffe27 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN infinite_output_sign_dffe27 <= infinite_output_sign_dffe27_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN infinite_output_sign_dffe3 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN infinite_output_sign_dffe3 <= infinite_output_sign_dffe3_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN infinite_output_sign_dffe31 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN infinite_output_sign_dffe31 <= infinite_output_sign_dffe31_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN infinite_output_sign_dffe4 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN infinite_output_sign_dffe4 <= infinite_output_sign_dffe4_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN infinite_output_sign_dffe41 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN infinite_output_sign_dffe41 <= infinite_output_sign_dffe41_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN infinite_res_dffe3 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN infinite_res_dffe3 <= infinite_res_dffe3_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN infinite_res_dffe4 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN infinite_res_dffe4 <= infinite_res_dffe4_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN infinite_res_dffe41 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN infinite_res_dffe41 <= infinite_res_dffe41_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN infinity_magnitude_sub_dffe2 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN infinity_magnitude_sub_dffe2 <= infinity_magnitude_sub_dffe2_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN infinity_magnitude_sub_dffe21 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN infinity_magnitude_sub_dffe21 <= infinity_magnitude_sub_dffe21_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN infinity_magnitude_sub_dffe23 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN infinity_magnitude_sub_dffe23 <= infinity_magnitude_sub_dffe23_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN infinity_magnitude_sub_dffe27 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN infinity_magnitude_sub_dffe27 <= infinity_magnitude_sub_dffe27_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN infinity_magnitude_sub_dffe3 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN infinity_magnitude_sub_dffe3 <= infinity_magnitude_sub_dffe3_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN infinity_magnitude_sub_dffe31 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN infinity_magnitude_sub_dffe31 <= infinity_magnitude_sub_dffe31_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN infinity_magnitude_sub_dffe4 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN infinity_magnitude_sub_dffe4 <= infinity_magnitude_sub_dffe4_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN infinity_magnitude_sub_dffe41 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN infinity_magnitude_sub_dffe41 <= infinity_magnitude_sub_dffe41_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_dataa_infinite_dffe12 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_dataa_infinite_dffe12 <= input_dataa_infinite_dffe12_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_dataa_infinite_dffe13 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_dataa_infinite_dffe13 <= input_dataa_infinite_dffe13_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_dataa_infinite_dffe14 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_dataa_infinite_dffe14 <= input_dataa_infinite_dffe14_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_dataa_nan_dffe12 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_dataa_nan_dffe12 <= input_dataa_nan_dffe12_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_datab_infinite_dffe12 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_datab_infinite_dffe12 <= input_datab_infinite_dffe12_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_datab_infinite_dffe13 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_datab_infinite_dffe13 <= input_datab_infinite_dffe13_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_datab_infinite_dffe14 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_datab_infinite_dffe14 <= input_datab_infinite_dffe14_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_datab_nan_dffe12 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_datab_nan_dffe12 <= input_datab_nan_dffe12_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_is_infinite_dffe1 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_is_infinite_dffe1 <= input_is_infinite_dffe1_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_is_infinite_dffe2 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_is_infinite_dffe2 <= input_is_infinite_dffe2_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_is_infinite_dffe21 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_is_infinite_dffe21 <= input_is_infinite_dffe21_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_is_infinite_dffe23 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_is_infinite_dffe23 <= input_is_infinite_dffe23_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_is_infinite_dffe25 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_is_infinite_dffe25 <= input_is_infinite_dffe25_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_is_infinite_dffe27 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_is_infinite_dffe27 <= input_is_infinite_dffe27_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_is_infinite_dffe3 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_is_infinite_dffe3 <= input_is_infinite_dffe3_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_is_infinite_dffe31 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_is_infinite_dffe31 <= input_is_infinite_dffe31_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_is_infinite_dffe4 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_is_infinite_dffe4 <= input_is_infinite_dffe4_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_is_infinite_dffe41 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_is_infinite_dffe41 <= input_is_infinite_dffe41_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_is_nan_dffe1 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_is_nan_dffe1 <= input_is_nan_dffe1_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_is_nan_dffe13 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_is_nan_dffe13 <= input_is_nan_dffe13_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_is_nan_dffe14 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_is_nan_dffe14 <= input_is_nan_dffe14_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_is_nan_dffe2 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_is_nan_dffe2 <= input_is_nan_dffe2_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_is_nan_dffe21 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_is_nan_dffe21 <= input_is_nan_dffe21_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_is_nan_dffe23 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_is_nan_dffe23 <= input_is_nan_dffe23_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_is_nan_dffe25 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_is_nan_dffe25 <= input_is_nan_dffe25_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_is_nan_dffe27 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_is_nan_dffe27 <= input_is_nan_dffe27_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_is_nan_dffe3 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_is_nan_dffe3 <= input_is_nan_dffe3_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_is_nan_dffe31 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_is_nan_dffe31 <= input_is_nan_dffe31_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_is_nan_dffe4 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_is_nan_dffe4 <= input_is_nan_dffe4_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_is_nan_dffe41 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_is_nan_dffe41 <= input_is_nan_dffe41_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN man_add_sub_res_mag_dffe21 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN man_add_sub_res_mag_dffe21 <= man_add_sub_res_mag_dffe21_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN man_add_sub_res_mag_dffe23 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN man_add_sub_res_mag_dffe23 <= man_add_sub_res_mag_dffe23_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN man_add_sub_res_mag_dffe27 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN man_add_sub_res_mag_dffe27 <= man_add_sub_res_mag_dffe27_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN man_add_sub_res_sign_dffe21 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN man_add_sub_res_sign_dffe21 <= man_add_sub_res_sign_dffe27_wo;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN man_add_sub_res_sign_dffe23 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN man_add_sub_res_sign_dffe23 <= man_add_sub_res_sign_dffe23_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN man_add_sub_res_sign_dffe27 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN man_add_sub_res_sign_dffe27 <= man_add_sub_res_sign_dffe27_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN man_dffe31 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN man_dffe31 <= man_add_sub_res_mag_dffe26_wo;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN man_leading_zeros_dffe31 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN man_leading_zeros_dffe31 <= man_leading_zeros_dffe31_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN man_out_dffe5 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN man_out_dffe5 <= man_out_dffe5_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN man_res_dffe4 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN man_res_dffe4 <= man_res_dffe4_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN man_res_is_not_zero_dffe3 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN man_res_is_not_zero_dffe3 <= man_res_is_not_zero_dffe3_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN man_res_is_not_zero_dffe31 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN man_res_is_not_zero_dffe31 <= man_res_is_not_zero_dffe31_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN man_res_is_not_zero_dffe4 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN man_res_is_not_zero_dffe4 <= man_res_is_not_zero_dffe4_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN man_res_is_not_zero_dffe41 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN man_res_is_not_zero_dffe41 <= man_res_is_not_zero_dffe41_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN man_res_not_zero_dffe23 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN man_res_not_zero_dffe23 <= man_res_not_zero_dffe23_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN man_res_rounding_add_sub_result_reg <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN man_res_rounding_add_sub_result_reg <= ( wire_man_res_rounding_add_sub_lower_w_lg_w_lg_w_lg_cout580w581w582w & wire_man_res_rounding_add_sub_lower_result);
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN man_smaller_dffe13 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN man_smaller_dffe13 <= man_smaller_dffe13_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN need_complement_dffe2 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN need_complement_dffe2 <= need_complement_dffe2_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN round_bit_dffe21 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN round_bit_dffe21 <= round_bit_dffe21_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN round_bit_dffe23 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN round_bit_dffe23 <= round_bit_dffe23_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN round_bit_dffe3 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN round_bit_dffe3 <= round_bit_dffe3_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN round_bit_dffe31 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN round_bit_dffe31 <= round_bit_dffe31_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN rounded_res_infinity_dffe4 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN rounded_res_infinity_dffe4 <= rounded_res_infinity_dffe4_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN rshift_distance_dffe13 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN rshift_distance_dffe13 <= rshift_distance_dffe13_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN rshift_distance_dffe14 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN rshift_distance_dffe14 <= rshift_distance_dffe14_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN sign_dffe31 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN sign_dffe31 <= sign_dffe31_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN sign_out_dffe5 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN sign_out_dffe5 <= sign_out_dffe5_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN sign_res_dffe3 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN sign_res_dffe3 <= sign_res_dffe3_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN sign_res_dffe4 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN sign_res_dffe4 <= sign_res_dffe4_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN sign_res_dffe41 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN sign_res_dffe41 <= sign_res_dffe41_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN sticky_bit_dffe1 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN sticky_bit_dffe1 <= sticky_bit_dffe1_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN sticky_bit_dffe2 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN sticky_bit_dffe2 <= sticky_bit_dffe2_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN sticky_bit_dffe21 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN sticky_bit_dffe21 <= sticky_bit_dffe21_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN sticky_bit_dffe23 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN sticky_bit_dffe23 <= sticky_bit_dffe23_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN sticky_bit_dffe25 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN sticky_bit_dffe25 <= sticky_bit_dffe25_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN sticky_bit_dffe27 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN sticky_bit_dffe27 <= sticky_bit_dffe27_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN sticky_bit_dffe3 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN sticky_bit_dffe3 <= sticky_bit_dffe3_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN sticky_bit_dffe31 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN sticky_bit_dffe31 <= sticky_bit_dffe31_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN zero_man_sign_dffe2 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN zero_man_sign_dffe2 <= zero_man_sign_dffe2_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN zero_man_sign_dffe21 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN zero_man_sign_dffe21 <= zero_man_sign_dffe21_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN zero_man_sign_dffe23 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN zero_man_sign_dffe23 <= zero_man_sign_dffe23_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN zero_man_sign_dffe27 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN zero_man_sign_dffe27 <= zero_man_sign_dffe27_wi;
END IF;
END IF;
END PROCESS;
add_sub1 : lpm_add_sub
GENERIC MAP (
LPM_DIRECTION => "SUB",
LPM_PIPELINE => 1,
LPM_REPRESENTATION => "SIGNED",
LPM_WIDTH => 9,
lpm_hint => "USE_WYS=ON"
)
PORT MAP (
aclr => aclr,
clken => clk_en,
clock => clock,
dataa => aligned_dataa_exp_w,
datab => aligned_datab_exp_w,
result => wire_add_sub1_result
);
add_sub2 : lpm_add_sub
GENERIC MAP (
LPM_DIRECTION => "SUB",
LPM_PIPELINE => 1,
LPM_REPRESENTATION => "SIGNED",
LPM_WIDTH => 9,
lpm_hint => "USE_WYS=ON"
)
PORT MAP (
aclr => aclr,
clken => clk_en,
clock => clock,
dataa => aligned_datab_exp_w,
datab => aligned_dataa_exp_w,
result => wire_add_sub2_result
);
add_sub3 : lpm_add_sub
GENERIC MAP (
LPM_DIRECTION => "SUB",
LPM_REPRESENTATION => "SIGNED",
LPM_WIDTH => 6
)
PORT MAP (
dataa => sticky_bit_cnt_dataa_w,
datab => sticky_bit_cnt_datab_w,
result => wire_add_sub3_result
);
add_sub4 : lpm_add_sub
GENERIC MAP (
LPM_DIRECTION => "ADD",
LPM_REPRESENTATION => "SIGNED",
LPM_WIDTH => 9
)
PORT MAP (
dataa => exp_adjustment_add_sub_dataa_w,
datab => exp_adjustment_add_sub_datab_w,
result => wire_add_sub4_result
);
add_sub5 : lpm_add_sub
GENERIC MAP (
LPM_DIRECTION => "ADD",
LPM_PIPELINE => 1,
LPM_REPRESENTATION => "SIGNED",
LPM_WIDTH => 9,
lpm_hint => "USE_WYS=ON"
)
PORT MAP (
aclr => aclr,
clken => clk_en,
clock => clock,
dataa => exp_adjustment2_add_sub_dataa_w,
datab => exp_adjustment2_add_sub_datab_w,
result => wire_add_sub5_result
);
add_sub6 : lpm_add_sub
GENERIC MAP (
LPM_DIRECTION => "ADD",
LPM_REPRESENTATION => "SIGNED",
LPM_WIDTH => 9
)
PORT MAP (
dataa => exp_res_rounding_adder_dataa_w,
datab => exp_rounding_adjustment_w,
result => wire_add_sub6_result
);
loop122 : FOR i IN 0 TO 13 GENERATE
wire_man_2comp_res_lower_w_lg_w_lg_cout367w368w(i) <= wire_man_2comp_res_lower_w_lg_cout367w(0) AND wire_man_2comp_res_upper0_result(i);
END GENERATE loop122;
loop123 : FOR i IN 0 TO 13 GENERATE
wire_man_2comp_res_lower_w_lg_cout366w(i) <= wire_man_2comp_res_lower_cout AND wire_man_2comp_res_upper1_result(i);
END GENERATE loop123;
wire_man_2comp_res_lower_w_lg_cout367w(0) <= NOT wire_man_2comp_res_lower_cout;
loop124 : FOR i IN 0 TO 13 GENERATE
wire_man_2comp_res_lower_w_lg_w_lg_w_lg_cout367w368w369w(i) <= wire_man_2comp_res_lower_w_lg_w_lg_cout367w368w(i) OR wire_man_2comp_res_lower_w_lg_cout366w(i);
END GENERATE loop124;
man_2comp_res_lower : lpm_add_sub
GENERIC MAP (
LPM_PIPELINE => 2,
LPM_REPRESENTATION => "SIGNED",
LPM_WIDTH => 14,
lpm_hint => "USE_WYS=ON"
)
PORT MAP (
aclr => aclr,
add_sub => add_sub_w2,
cin => borrow_w,
clken => clk_en,
clock => clock,
cout => wire_man_2comp_res_lower_cout,
dataa => man_2comp_res_dataa_w(13 DOWNTO 0),
datab => man_2comp_res_datab_w(13 DOWNTO 0),
result => wire_man_2comp_res_lower_result
);
man_2comp_res_upper0 : lpm_add_sub
GENERIC MAP (
LPM_PIPELINE => 2,
LPM_REPRESENTATION => "SIGNED",
LPM_WIDTH => 14,
lpm_hint => "USE_WYS=ON"
)
PORT MAP (
aclr => aclr,
add_sub => add_sub_w2,
cin => wire_gnd,
clken => clk_en,
clock => clock,
dataa => man_2comp_res_dataa_w(27 DOWNTO 14),
datab => man_2comp_res_datab_w(27 DOWNTO 14),
result => wire_man_2comp_res_upper0_result
);
man_2comp_res_upper1 : lpm_add_sub
GENERIC MAP (
LPM_PIPELINE => 2,
LPM_REPRESENTATION => "SIGNED",
LPM_WIDTH => 14,
lpm_hint => "USE_WYS=ON"
)
PORT MAP (
aclr => aclr,
add_sub => add_sub_w2,
cin => wire_vcc,
clken => clk_en,
clock => clock,
dataa => man_2comp_res_dataa_w(27 DOWNTO 14),
datab => man_2comp_res_datab_w(27 DOWNTO 14),
result => wire_man_2comp_res_upper1_result
);
loop125 : FOR i IN 0 TO 13 GENERATE
wire_man_add_sub_lower_w_lg_w_lg_cout354w355w(i) <= wire_man_add_sub_lower_w_lg_cout354w(0) AND wire_man_add_sub_upper0_result(i);
END GENERATE loop125;
loop126 : FOR i IN 0 TO 13 GENERATE
wire_man_add_sub_lower_w_lg_cout353w(i) <= wire_man_add_sub_lower_cout AND wire_man_add_sub_upper1_result(i);
END GENERATE loop126;
wire_man_add_sub_lower_w_lg_cout354w(0) <= NOT wire_man_add_sub_lower_cout;
loop127 : FOR i IN 0 TO 13 GENERATE
wire_man_add_sub_lower_w_lg_w_lg_w_lg_cout354w355w356w(i) <= wire_man_add_sub_lower_w_lg_w_lg_cout354w355w(i) OR wire_man_add_sub_lower_w_lg_cout353w(i);
END GENERATE loop127;
man_add_sub_lower : lpm_add_sub
GENERIC MAP (
LPM_PIPELINE => 2,
LPM_REPRESENTATION => "SIGNED",
LPM_WIDTH => 14,
lpm_hint => "USE_WYS=ON"
)
PORT MAP (
aclr => aclr,
add_sub => add_sub_w2,
cin => borrow_w,
clken => clk_en,
clock => clock,
cout => wire_man_add_sub_lower_cout,
dataa => man_add_sub_dataa_w(13 DOWNTO 0),
datab => man_add_sub_datab_w(13 DOWNTO 0),
result => wire_man_add_sub_lower_result
);
man_add_sub_upper0 : lpm_add_sub
GENERIC MAP (
LPM_PIPELINE => 2,
LPM_REPRESENTATION => "SIGNED",
LPM_WIDTH => 14,
lpm_hint => "USE_WYS=ON"
)
PORT MAP (
aclr => aclr,
add_sub => add_sub_w2,
cin => wire_gnd,
clken => clk_en,
clock => clock,
dataa => man_add_sub_dataa_w(27 DOWNTO 14),
datab => man_add_sub_datab_w(27 DOWNTO 14),
result => wire_man_add_sub_upper0_result
);
man_add_sub_upper1 : lpm_add_sub
GENERIC MAP (
LPM_PIPELINE => 2,
LPM_REPRESENTATION => "SIGNED",
LPM_WIDTH => 14,
lpm_hint => "USE_WYS=ON"
)
PORT MAP (
aclr => aclr,
add_sub => add_sub_w2,
cin => wire_vcc,
clken => clk_en,
clock => clock,
dataa => man_add_sub_dataa_w(27 DOWNTO 14),
datab => man_add_sub_datab_w(27 DOWNTO 14),
result => wire_man_add_sub_upper1_result
);
loop128 : FOR i IN 0 TO 12 GENERATE
wire_man_res_rounding_add_sub_lower_w_lg_w_lg_cout580w581w(i) <= wire_man_res_rounding_add_sub_lower_w_lg_cout580w(0) AND adder_upper_w(i);
END GENERATE loop128;
loop129 : FOR i IN 0 TO 12 GENERATE
wire_man_res_rounding_add_sub_lower_w_lg_cout579w(i) <= wire_man_res_rounding_add_sub_lower_cout AND wire_man_res_rounding_add_sub_upper1_result(i);
END GENERATE loop129;
wire_man_res_rounding_add_sub_lower_w_lg_cout580w(0) <= NOT wire_man_res_rounding_add_sub_lower_cout;
loop130 : FOR i IN 0 TO 12 GENERATE
wire_man_res_rounding_add_sub_lower_w_lg_w_lg_w_lg_cout580w581w582w(i) <= wire_man_res_rounding_add_sub_lower_w_lg_w_lg_cout580w581w(i) OR wire_man_res_rounding_add_sub_lower_w_lg_cout579w(i);
END GENERATE loop130;
man_res_rounding_add_sub_lower : lpm_add_sub
GENERIC MAP (
LPM_DIRECTION => "ADD",
LPM_REPRESENTATION => "SIGNED",
LPM_WIDTH => 13
)
PORT MAP (
cout => wire_man_res_rounding_add_sub_lower_cout,
dataa => man_intermediate_res_w(12 DOWNTO 0),
datab => man_res_rounding_add_sub_datab_w(12 DOWNTO 0),
result => wire_man_res_rounding_add_sub_lower_result
);
man_res_rounding_add_sub_upper1 : lpm_add_sub
GENERIC MAP (
LPM_DIRECTION => "ADD",
LPM_REPRESENTATION => "SIGNED",
LPM_WIDTH => 13
)
PORT MAP (
cin => wire_vcc,
dataa => man_intermediate_res_w(25 DOWNTO 13),
datab => man_res_rounding_add_sub_datab_w(25 DOWNTO 13),
result => wire_man_res_rounding_add_sub_upper1_result
);
trailing_zeros_limit_comparator : lpm_compare
GENERIC MAP (
LPM_REPRESENTATION => "SIGNED",
LPM_WIDTH => 6
)
PORT MAP (
agb => wire_trailing_zeros_limit_comparator_agb,
dataa => sticky_bit_cnt_res_w,
datab => trailing_zeros_limit_w
);
END RTL; --kn_kalman_sub_altfp_add_sub_23j
--VALID FILE
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY kn_kalman_sub IS
PORT
(
clock : IN STD_LOGIC ;
dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
datab : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)
);
END kn_kalman_sub;
ARCHITECTURE RTL OF kn_kalman_sub IS
SIGNAL sub_wire0 : STD_LOGIC_VECTOR (31 DOWNTO 0);
COMPONENT kn_kalman_sub_altfp_add_sub_23j
PORT (
clock : IN STD_LOGIC ;
dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
datab : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)
);
END COMPONENT;
BEGIN
result <= sub_wire0(31 DOWNTO 0);
kn_kalman_sub_altfp_add_sub_23j_component : kn_kalman_sub_altfp_add_sub_23j
PORT MAP (
clock => clock,
dataa => dataa,
datab => datab,
result => sub_wire0
);
END RTL;
-- ============================================================
-- CNX file retrieval info
-- ============================================================
-- Retrieval info: PRIVATE: FPM_FORMAT NUMERIC "0"
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "1"
-- Retrieval info: PRIVATE: WIDTH_DATA NUMERIC "32"
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
-- Retrieval info: CONSTANT: DENORMAL_SUPPORT STRING "NO"
-- Retrieval info: CONSTANT: DIRECTION STRING "SUB"
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
-- Retrieval info: CONSTANT: OPTIMIZE STRING "SPEED"
-- Retrieval info: CONSTANT: PIPELINE NUMERIC "14"
-- Retrieval info: CONSTANT: REDUCED_FUNCTIONALITY STRING "NO"
-- Retrieval info: CONSTANT: WIDTH_EXP NUMERIC "8"
-- Retrieval info: CONSTANT: WIDTH_MAN NUMERIC "23"
-- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL "clock"
-- Retrieval info: USED_PORT: dataa 0 0 32 0 INPUT NODEFVAL "dataa[31..0]"
-- Retrieval info: USED_PORT: datab 0 0 32 0 INPUT NODEFVAL "datab[31..0]"
-- Retrieval info: USED_PORT: result 0 0 32 0 OUTPUT NODEFVAL "result[31..0]"
-- Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0
-- Retrieval info: CONNECT: @dataa 0 0 32 0 dataa 0 0 32 0
-- Retrieval info: CONNECT: @datab 0 0 32 0 datab 0 0 32 0
-- Retrieval info: CONNECT: result 0 0 32 0 @result 0 0 32 0
-- Retrieval info: GEN_FILE: TYPE_NORMAL kn_kalman_sub.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL kn_kalman_sub.inc FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL kn_kalman_sub.cmp TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL kn_kalman_sub.bsf FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL kn_kalman_sub_inst.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL kn_kalman_sub_syn.v TRUE
-- Retrieval info: LIB_FILE: lpm
|
-- megafunction wizard: %ALTFP_ADD_SUB%
-- GENERATION: STANDARD
-- VERSION: WM1.0
-- MODULE: altfp_add_sub
-- ============================================================
-- File Name: kn_kalman_sub.vhd
-- Megafunction Name(s):
-- altfp_add_sub
--
-- Simulation Library Files(s):
-- lpm
-- ============================================================
-- ************************************************************
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
--
-- 11.1 Build 259 01/25/2012 SP 2 SJ Web Edition
-- ************************************************************
--Copyright (C) 1991-2011 Altera Corporation
--Your use of Altera Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--functions, and any output files from any of the foregoing
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--to the terms and conditions of the Altera Program License
--Subscription Agreement, Altera MegaCore Function License
--Agreement, or other applicable license agreement, including,
--without limitation, that your use is for the sole purpose of
--programming logic devices manufactured by Altera and sold by
--Altera or its authorized distributors. Please refer to the
--applicable agreement for further details.
--altfp_add_sub CBX_AUTO_BLACKBOX="ALL" DENORMAL_SUPPORT="NO" DEVICE_FAMILY="Cyclone II" DIRECTION="SUB" OPTIMIZE="SPEED" PIPELINE=14 REDUCED_FUNCTIONALITY="NO" WIDTH_EXP=8 WIDTH_MAN=23 clock dataa datab result
--VERSION_BEGIN 11.1SP2 cbx_altbarrel_shift 2012:01:25:21:13:53:SJ cbx_altfp_add_sub 2012:01:25:21:13:53:SJ cbx_altpriority_encoder 2012:01:25:21:13:53:SJ cbx_cycloneii 2012:01:25:21:13:53:SJ cbx_lpm_add_sub 2012:01:25:21:13:53:SJ cbx_lpm_compare 2012:01:25:21:13:53:SJ cbx_mgl 2012:01:25:21:15:41:SJ cbx_stratix 2012:01:25:21:13:53:SJ cbx_stratixii 2012:01:25:21:13:53:SJ VERSION_END
--altbarrel_shift CBX_AUTO_BLACKBOX="ALL" DEVICE_FAMILY="Cyclone II" PIPELINE=1 SHIFTDIR="LEFT" WIDTH=26 WIDTHDIST=5 aclr clk_en clock data distance result
--VERSION_BEGIN 11.1SP2 cbx_altbarrel_shift 2012:01:25:21:13:53:SJ cbx_mgl 2012:01:25:21:15:41:SJ VERSION_END
--synthesis_resources = reg 27
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY kn_kalman_sub_altbarrel_shift_h0e IS
PORT
(
aclr : IN STD_LOGIC := '0';
clk_en : IN STD_LOGIC := '1';
clock : IN STD_LOGIC := '0';
data : IN STD_LOGIC_VECTOR (25 DOWNTO 0);
distance : IN STD_LOGIC_VECTOR (4 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (25 DOWNTO 0)
);
END kn_kalman_sub_altbarrel_shift_h0e;
ARCHITECTURE RTL OF kn_kalman_sub_altbarrel_shift_h0e IS
SIGNAL dir_pipe : STD_LOGIC_VECTOR(0 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL sbit_piper1d : STD_LOGIC_VECTOR(25 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range668w681w682w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range668w677w678w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range689w702w703w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range689w698w699w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range711w724w725w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range711w720w721w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range733w746w747w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range733w742w743w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range755w768w769w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range755w764w765w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range668w673w674w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range689w694w695w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range711w716w717w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range733w738w739w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range755w760w761w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_sel_w_range668w681w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_sel_w_range668w677w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_sel_w_range689w702w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_sel_w_range689w698w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_sel_w_range711w724w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_sel_w_range711w720w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_sel_w_range733w746w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_sel_w_range733w742w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_sel_w_range755w768w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_sel_w_range755w764w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_dir_w_range665w680w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_dir_w_range687w701w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_dir_w_range708w723w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_dir_w_range730w745w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_dir_w_range752w767w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_sel_w_range668w673w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_sel_w_range689w694w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_sel_w_range711w716w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_sel_w_range733w738w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_sel_w_range755w760w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range668w681w682w683w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range689w702w703w704w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range711w724w725w726w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range733w746w747w748w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range755w768w769w770w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w684w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w705w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w727w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w749w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w771w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL dir_w : STD_LOGIC_VECTOR (5 DOWNTO 0);
SIGNAL direction_w : STD_LOGIC;
SIGNAL pad_w : STD_LOGIC_VECTOR (15 DOWNTO 0);
SIGNAL sbit_w : STD_LOGIC_VECTOR (155 DOWNTO 0);
SIGNAL sel_w : STD_LOGIC_VECTOR (4 DOWNTO 0);
SIGNAL smux_w : STD_LOGIC_VECTOR (129 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w676w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w679w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w697w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w700w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w719w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w722w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w741w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w744w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w763w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w766w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_dir_w_range665w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_dir_w_range687w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_dir_w_range708w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_dir_w_range730w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_dir_w_range752w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_sbit_w_range728w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_sbit_w_range750w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_sbit_w_range663w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_sbit_w_range686w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_sbit_w_range706w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_sel_w_range668w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_sel_w_range689w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_sel_w_range711w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_sel_w_range733w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_sel_w_range755w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_lbarrel_shift_w_smux_w_range759w : STD_LOGIC_VECTOR (25 DOWNTO 0);
BEGIN
loop0 : FOR i IN 0 TO 25 GENERATE
wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range668w681w682w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range668w681w(0) AND wire_lbarrel_shift_w679w(i);
END GENERATE loop0;
loop1 : FOR i IN 0 TO 25 GENERATE
wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range668w677w678w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range668w677w(0) AND wire_lbarrel_shift_w676w(i);
END GENERATE loop1;
loop2 : FOR i IN 0 TO 25 GENERATE
wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range689w702w703w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range689w702w(0) AND wire_lbarrel_shift_w700w(i);
END GENERATE loop2;
loop3 : FOR i IN 0 TO 25 GENERATE
wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range689w698w699w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range689w698w(0) AND wire_lbarrel_shift_w697w(i);
END GENERATE loop3;
loop4 : FOR i IN 0 TO 25 GENERATE
wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range711w724w725w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range711w724w(0) AND wire_lbarrel_shift_w722w(i);
END GENERATE loop4;
loop5 : FOR i IN 0 TO 25 GENERATE
wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range711w720w721w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range711w720w(0) AND wire_lbarrel_shift_w719w(i);
END GENERATE loop5;
loop6 : FOR i IN 0 TO 25 GENERATE
wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range733w746w747w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range733w746w(0) AND wire_lbarrel_shift_w744w(i);
END GENERATE loop6;
loop7 : FOR i IN 0 TO 25 GENERATE
wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range733w742w743w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range733w742w(0) AND wire_lbarrel_shift_w741w(i);
END GENERATE loop7;
loop8 : FOR i IN 0 TO 25 GENERATE
wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range755w768w769w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range755w768w(0) AND wire_lbarrel_shift_w766w(i);
END GENERATE loop8;
loop9 : FOR i IN 0 TO 25 GENERATE
wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range755w764w765w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range755w764w(0) AND wire_lbarrel_shift_w763w(i);
END GENERATE loop9;
loop10 : FOR i IN 0 TO 25 GENERATE
wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range668w673w674w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range668w673w(0) AND wire_lbarrel_shift_w_sbit_w_range663w(i);
END GENERATE loop10;
loop11 : FOR i IN 0 TO 25 GENERATE
wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range689w694w695w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range689w694w(0) AND wire_lbarrel_shift_w_sbit_w_range686w(i);
END GENERATE loop11;
loop12 : FOR i IN 0 TO 25 GENERATE
wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range711w716w717w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range711w716w(0) AND wire_lbarrel_shift_w_sbit_w_range706w(i);
END GENERATE loop12;
loop13 : FOR i IN 0 TO 25 GENERATE
wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range733w738w739w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range733w738w(0) AND wire_lbarrel_shift_w_sbit_w_range728w(i);
END GENERATE loop13;
loop14 : FOR i IN 0 TO 25 GENERATE
wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range755w760w761w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range755w760w(0) AND wire_lbarrel_shift_w_sbit_w_range750w(i);
END GENERATE loop14;
wire_lbarrel_shift_w_lg_w_sel_w_range668w681w(0) <= wire_lbarrel_shift_w_sel_w_range668w(0) AND wire_lbarrel_shift_w_lg_w_dir_w_range665w680w(0);
wire_lbarrel_shift_w_lg_w_sel_w_range668w677w(0) <= wire_lbarrel_shift_w_sel_w_range668w(0) AND wire_lbarrel_shift_w_dir_w_range665w(0);
wire_lbarrel_shift_w_lg_w_sel_w_range689w702w(0) <= wire_lbarrel_shift_w_sel_w_range689w(0) AND wire_lbarrel_shift_w_lg_w_dir_w_range687w701w(0);
wire_lbarrel_shift_w_lg_w_sel_w_range689w698w(0) <= wire_lbarrel_shift_w_sel_w_range689w(0) AND wire_lbarrel_shift_w_dir_w_range687w(0);
wire_lbarrel_shift_w_lg_w_sel_w_range711w724w(0) <= wire_lbarrel_shift_w_sel_w_range711w(0) AND wire_lbarrel_shift_w_lg_w_dir_w_range708w723w(0);
wire_lbarrel_shift_w_lg_w_sel_w_range711w720w(0) <= wire_lbarrel_shift_w_sel_w_range711w(0) AND wire_lbarrel_shift_w_dir_w_range708w(0);
wire_lbarrel_shift_w_lg_w_sel_w_range733w746w(0) <= wire_lbarrel_shift_w_sel_w_range733w(0) AND wire_lbarrel_shift_w_lg_w_dir_w_range730w745w(0);
wire_lbarrel_shift_w_lg_w_sel_w_range733w742w(0) <= wire_lbarrel_shift_w_sel_w_range733w(0) AND wire_lbarrel_shift_w_dir_w_range730w(0);
wire_lbarrel_shift_w_lg_w_sel_w_range755w768w(0) <= wire_lbarrel_shift_w_sel_w_range755w(0) AND wire_lbarrel_shift_w_lg_w_dir_w_range752w767w(0);
wire_lbarrel_shift_w_lg_w_sel_w_range755w764w(0) <= wire_lbarrel_shift_w_sel_w_range755w(0) AND wire_lbarrel_shift_w_dir_w_range752w(0);
wire_lbarrel_shift_w_lg_w_dir_w_range665w680w(0) <= NOT wire_lbarrel_shift_w_dir_w_range665w(0);
wire_lbarrel_shift_w_lg_w_dir_w_range687w701w(0) <= NOT wire_lbarrel_shift_w_dir_w_range687w(0);
wire_lbarrel_shift_w_lg_w_dir_w_range708w723w(0) <= NOT wire_lbarrel_shift_w_dir_w_range708w(0);
wire_lbarrel_shift_w_lg_w_dir_w_range730w745w(0) <= NOT wire_lbarrel_shift_w_dir_w_range730w(0);
wire_lbarrel_shift_w_lg_w_dir_w_range752w767w(0) <= NOT wire_lbarrel_shift_w_dir_w_range752w(0);
wire_lbarrel_shift_w_lg_w_sel_w_range668w673w(0) <= NOT wire_lbarrel_shift_w_sel_w_range668w(0);
wire_lbarrel_shift_w_lg_w_sel_w_range689w694w(0) <= NOT wire_lbarrel_shift_w_sel_w_range689w(0);
wire_lbarrel_shift_w_lg_w_sel_w_range711w716w(0) <= NOT wire_lbarrel_shift_w_sel_w_range711w(0);
wire_lbarrel_shift_w_lg_w_sel_w_range733w738w(0) <= NOT wire_lbarrel_shift_w_sel_w_range733w(0);
wire_lbarrel_shift_w_lg_w_sel_w_range755w760w(0) <= NOT wire_lbarrel_shift_w_sel_w_range755w(0);
loop15 : FOR i IN 0 TO 25 GENERATE
wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range668w681w682w683w(i) <= wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range668w681w682w(i) OR wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range668w677w678w(i);
END GENERATE loop15;
loop16 : FOR i IN 0 TO 25 GENERATE
wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range689w702w703w704w(i) <= wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range689w702w703w(i) OR wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range689w698w699w(i);
END GENERATE loop16;
loop17 : FOR i IN 0 TO 25 GENERATE
wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range711w724w725w726w(i) <= wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range711w724w725w(i) OR wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range711w720w721w(i);
END GENERATE loop17;
loop18 : FOR i IN 0 TO 25 GENERATE
wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range733w746w747w748w(i) <= wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range733w746w747w(i) OR wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range733w742w743w(i);
END GENERATE loop18;
loop19 : FOR i IN 0 TO 25 GENERATE
wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range755w768w769w770w(i) <= wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range755w768w769w(i) OR wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range755w764w765w(i);
END GENERATE loop19;
loop20 : FOR i IN 0 TO 25 GENERATE
wire_lbarrel_shift_w684w(i) <= wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range668w681w682w683w(i) OR wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range668w673w674w(i);
END GENERATE loop20;
loop21 : FOR i IN 0 TO 25 GENERATE
wire_lbarrel_shift_w705w(i) <= wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range689w702w703w704w(i) OR wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range689w694w695w(i);
END GENERATE loop21;
loop22 : FOR i IN 0 TO 25 GENERATE
wire_lbarrel_shift_w727w(i) <= wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range711w724w725w726w(i) OR wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range711w716w717w(i);
END GENERATE loop22;
loop23 : FOR i IN 0 TO 25 GENERATE
wire_lbarrel_shift_w749w(i) <= wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range733w746w747w748w(i) OR wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range733w738w739w(i);
END GENERATE loop23;
loop24 : FOR i IN 0 TO 25 GENERATE
wire_lbarrel_shift_w771w(i) <= wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range755w768w769w770w(i) OR wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range755w760w761w(i);
END GENERATE loop24;
dir_w <= ( dir_pipe(0) & dir_w(3 DOWNTO 0) & direction_w);
direction_w <= '0';
pad_w <= (OTHERS => '0');
result <= sbit_w(155 DOWNTO 130);
sbit_w <= ( sbit_piper1d & smux_w(103 DOWNTO 0) & data);
sel_w <= ( distance(4 DOWNTO 0));
smux_w <= ( wire_lbarrel_shift_w771w & wire_lbarrel_shift_w749w & wire_lbarrel_shift_w727w & wire_lbarrel_shift_w705w & wire_lbarrel_shift_w684w);
wire_lbarrel_shift_w676w <= ( pad_w(0) & sbit_w(25 DOWNTO 1));
wire_lbarrel_shift_w679w <= ( sbit_w(24 DOWNTO 0) & pad_w(0));
wire_lbarrel_shift_w697w <= ( pad_w(1 DOWNTO 0) & sbit_w(51 DOWNTO 28));
wire_lbarrel_shift_w700w <= ( sbit_w(49 DOWNTO 26) & pad_w(1 DOWNTO 0));
wire_lbarrel_shift_w719w <= ( pad_w(3 DOWNTO 0) & sbit_w(77 DOWNTO 56));
wire_lbarrel_shift_w722w <= ( sbit_w(73 DOWNTO 52) & pad_w(3 DOWNTO 0));
wire_lbarrel_shift_w741w <= ( pad_w(7 DOWNTO 0) & sbit_w(103 DOWNTO 86));
wire_lbarrel_shift_w744w <= ( sbit_w(95 DOWNTO 78) & pad_w(7 DOWNTO 0));
wire_lbarrel_shift_w763w <= ( pad_w(15 DOWNTO 0) & sbit_w(129 DOWNTO 120));
wire_lbarrel_shift_w766w <= ( sbit_w(113 DOWNTO 104) & pad_w(15 DOWNTO 0));
wire_lbarrel_shift_w_dir_w_range665w(0) <= dir_w(0);
wire_lbarrel_shift_w_dir_w_range687w(0) <= dir_w(1);
wire_lbarrel_shift_w_dir_w_range708w(0) <= dir_w(2);
wire_lbarrel_shift_w_dir_w_range730w(0) <= dir_w(3);
wire_lbarrel_shift_w_dir_w_range752w(0) <= dir_w(4);
wire_lbarrel_shift_w_sbit_w_range728w <= sbit_w(103 DOWNTO 78);
wire_lbarrel_shift_w_sbit_w_range750w <= sbit_w(129 DOWNTO 104);
wire_lbarrel_shift_w_sbit_w_range663w <= sbit_w(25 DOWNTO 0);
wire_lbarrel_shift_w_sbit_w_range686w <= sbit_w(51 DOWNTO 26);
wire_lbarrel_shift_w_sbit_w_range706w <= sbit_w(77 DOWNTO 52);
wire_lbarrel_shift_w_sel_w_range668w(0) <= sel_w(0);
wire_lbarrel_shift_w_sel_w_range689w(0) <= sel_w(1);
wire_lbarrel_shift_w_sel_w_range711w(0) <= sel_w(2);
wire_lbarrel_shift_w_sel_w_range733w(0) <= sel_w(3);
wire_lbarrel_shift_w_sel_w_range755w(0) <= sel_w(4);
wire_lbarrel_shift_w_smux_w_range759w <= smux_w(129 DOWNTO 104);
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN dir_pipe <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN dir_pipe(0) <= ( dir_w(4));
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN sbit_piper1d <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN sbit_piper1d <= wire_lbarrel_shift_w_smux_w_range759w;
END IF;
END IF;
END PROCESS;
END RTL; --kn_kalman_sub_altbarrel_shift_h0e
--altbarrel_shift CBX_AUTO_BLACKBOX="ALL" DEVICE_FAMILY="Cyclone II" PIPELINE=1 REGISTER_OUTPUT="NO" SHIFTDIR="RIGHT" WIDTH=26 WIDTHDIST=5 aclr clk_en clock data distance result
--VERSION_BEGIN 11.1SP2 cbx_altbarrel_shift 2012:01:25:21:13:53:SJ cbx_mgl 2012:01:25:21:15:41:SJ VERSION_END
--synthesis_resources = reg 29
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY kn_kalman_sub_altbarrel_shift_n3g IS
PORT
(
aclr : IN STD_LOGIC := '0';
clk_en : IN STD_LOGIC := '1';
clock : IN STD_LOGIC := '0';
data : IN STD_LOGIC_VECTOR (25 DOWNTO 0);
distance : IN STD_LOGIC_VECTOR (4 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (25 DOWNTO 0)
);
END kn_kalman_sub_altbarrel_shift_n3g;
ARCHITECTURE RTL OF kn_kalman_sub_altbarrel_shift_n3g IS
SIGNAL dir_pipe : STD_LOGIC_VECTOR(0 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL sbit_piper1d : STD_LOGIC_VECTOR(25 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL sel_pipec3r1d : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL sel_pipec4r1d : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range783w796w797w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range783w792w793w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range804w817w818w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range804w813w814w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range826w839w840w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range826w835w836w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range849w861w862w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range849w857w858w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range868w880w881w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range868w876w877w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range783w788w789w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range804w809w810w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range826w831w832w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range849w853w854w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range868w872w873w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_sel_w_range783w796w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_sel_w_range783w792w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_sel_w_range804w817w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_sel_w_range804w813w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_sel_w_range826w839w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_sel_w_range826w835w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_sel_w_range849w861w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_sel_w_range849w857w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_sel_w_range868w880w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_sel_w_range868w876w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_dir_w_range780w795w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_dir_w_range802w816w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_dir_w_range823w838w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_dir_w_range847w860w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_dir_w_range866w879w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_sel_w_range783w788w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_sel_w_range804w809w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_sel_w_range826w831w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_sel_w_range849w853w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_sel_w_range868w872w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range783w796w797w798w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range804w817w818w819w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range826w839w840w841w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range849w861w862w863w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range868w880w881w882w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w799w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w820w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w842w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w864w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w883w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL dir_w : STD_LOGIC_VECTOR (5 DOWNTO 0);
SIGNAL direction_w : STD_LOGIC;
SIGNAL pad_w : STD_LOGIC_VECTOR (15 DOWNTO 0);
SIGNAL sbit_w : STD_LOGIC_VECTOR (155 DOWNTO 0);
SIGNAL sel_w : STD_LOGIC_VECTOR (4 DOWNTO 0);
SIGNAL smux_w : STD_LOGIC_VECTOR (129 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w791w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w794w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w812w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w815w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w834w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w837w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w856w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w859w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w875w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w878w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_dir_w_range780w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_dir_w_range802w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_dir_w_range823w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_dir_w_range847w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_dir_w_range866w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_sbit_w_range843w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_sbit_w_range865w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_sbit_w_range778w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_sbit_w_range801w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_sbit_w_range821w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_sel_w_range783w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_sel_w_range804w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_sel_w_range826w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_sel_w_range849w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_sel_w_range868w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_rbarrel_shift_w_smux_w_range830w : STD_LOGIC_VECTOR (25 DOWNTO 0);
BEGIN
loop25 : FOR i IN 0 TO 25 GENERATE
wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range783w796w797w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range783w796w(0) AND wire_rbarrel_shift_w794w(i);
END GENERATE loop25;
loop26 : FOR i IN 0 TO 25 GENERATE
wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range783w792w793w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range783w792w(0) AND wire_rbarrel_shift_w791w(i);
END GENERATE loop26;
loop27 : FOR i IN 0 TO 25 GENERATE
wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range804w817w818w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range804w817w(0) AND wire_rbarrel_shift_w815w(i);
END GENERATE loop27;
loop28 : FOR i IN 0 TO 25 GENERATE
wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range804w813w814w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range804w813w(0) AND wire_rbarrel_shift_w812w(i);
END GENERATE loop28;
loop29 : FOR i IN 0 TO 25 GENERATE
wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range826w839w840w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range826w839w(0) AND wire_rbarrel_shift_w837w(i);
END GENERATE loop29;
loop30 : FOR i IN 0 TO 25 GENERATE
wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range826w835w836w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range826w835w(0) AND wire_rbarrel_shift_w834w(i);
END GENERATE loop30;
loop31 : FOR i IN 0 TO 25 GENERATE
wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range849w861w862w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range849w861w(0) AND wire_rbarrel_shift_w859w(i);
END GENERATE loop31;
loop32 : FOR i IN 0 TO 25 GENERATE
wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range849w857w858w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range849w857w(0) AND wire_rbarrel_shift_w856w(i);
END GENERATE loop32;
loop33 : FOR i IN 0 TO 25 GENERATE
wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range868w880w881w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range868w880w(0) AND wire_rbarrel_shift_w878w(i);
END GENERATE loop33;
loop34 : FOR i IN 0 TO 25 GENERATE
wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range868w876w877w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range868w876w(0) AND wire_rbarrel_shift_w875w(i);
END GENERATE loop34;
loop35 : FOR i IN 0 TO 25 GENERATE
wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range783w788w789w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range783w788w(0) AND wire_rbarrel_shift_w_sbit_w_range778w(i);
END GENERATE loop35;
loop36 : FOR i IN 0 TO 25 GENERATE
wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range804w809w810w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range804w809w(0) AND wire_rbarrel_shift_w_sbit_w_range801w(i);
END GENERATE loop36;
loop37 : FOR i IN 0 TO 25 GENERATE
wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range826w831w832w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range826w831w(0) AND wire_rbarrel_shift_w_sbit_w_range821w(i);
END GENERATE loop37;
loop38 : FOR i IN 0 TO 25 GENERATE
wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range849w853w854w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range849w853w(0) AND wire_rbarrel_shift_w_sbit_w_range843w(i);
END GENERATE loop38;
loop39 : FOR i IN 0 TO 25 GENERATE
wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range868w872w873w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range868w872w(0) AND wire_rbarrel_shift_w_sbit_w_range865w(i);
END GENERATE loop39;
wire_rbarrel_shift_w_lg_w_sel_w_range783w796w(0) <= wire_rbarrel_shift_w_sel_w_range783w(0) AND wire_rbarrel_shift_w_lg_w_dir_w_range780w795w(0);
wire_rbarrel_shift_w_lg_w_sel_w_range783w792w(0) <= wire_rbarrel_shift_w_sel_w_range783w(0) AND wire_rbarrel_shift_w_dir_w_range780w(0);
wire_rbarrel_shift_w_lg_w_sel_w_range804w817w(0) <= wire_rbarrel_shift_w_sel_w_range804w(0) AND wire_rbarrel_shift_w_lg_w_dir_w_range802w816w(0);
wire_rbarrel_shift_w_lg_w_sel_w_range804w813w(0) <= wire_rbarrel_shift_w_sel_w_range804w(0) AND wire_rbarrel_shift_w_dir_w_range802w(0);
wire_rbarrel_shift_w_lg_w_sel_w_range826w839w(0) <= wire_rbarrel_shift_w_sel_w_range826w(0) AND wire_rbarrel_shift_w_lg_w_dir_w_range823w838w(0);
wire_rbarrel_shift_w_lg_w_sel_w_range826w835w(0) <= wire_rbarrel_shift_w_sel_w_range826w(0) AND wire_rbarrel_shift_w_dir_w_range823w(0);
wire_rbarrel_shift_w_lg_w_sel_w_range849w861w(0) <= wire_rbarrel_shift_w_sel_w_range849w(0) AND wire_rbarrel_shift_w_lg_w_dir_w_range847w860w(0);
wire_rbarrel_shift_w_lg_w_sel_w_range849w857w(0) <= wire_rbarrel_shift_w_sel_w_range849w(0) AND wire_rbarrel_shift_w_dir_w_range847w(0);
wire_rbarrel_shift_w_lg_w_sel_w_range868w880w(0) <= wire_rbarrel_shift_w_sel_w_range868w(0) AND wire_rbarrel_shift_w_lg_w_dir_w_range866w879w(0);
wire_rbarrel_shift_w_lg_w_sel_w_range868w876w(0) <= wire_rbarrel_shift_w_sel_w_range868w(0) AND wire_rbarrel_shift_w_dir_w_range866w(0);
wire_rbarrel_shift_w_lg_w_dir_w_range780w795w(0) <= NOT wire_rbarrel_shift_w_dir_w_range780w(0);
wire_rbarrel_shift_w_lg_w_dir_w_range802w816w(0) <= NOT wire_rbarrel_shift_w_dir_w_range802w(0);
wire_rbarrel_shift_w_lg_w_dir_w_range823w838w(0) <= NOT wire_rbarrel_shift_w_dir_w_range823w(0);
wire_rbarrel_shift_w_lg_w_dir_w_range847w860w(0) <= NOT wire_rbarrel_shift_w_dir_w_range847w(0);
wire_rbarrel_shift_w_lg_w_dir_w_range866w879w(0) <= NOT wire_rbarrel_shift_w_dir_w_range866w(0);
wire_rbarrel_shift_w_lg_w_sel_w_range783w788w(0) <= NOT wire_rbarrel_shift_w_sel_w_range783w(0);
wire_rbarrel_shift_w_lg_w_sel_w_range804w809w(0) <= NOT wire_rbarrel_shift_w_sel_w_range804w(0);
wire_rbarrel_shift_w_lg_w_sel_w_range826w831w(0) <= NOT wire_rbarrel_shift_w_sel_w_range826w(0);
wire_rbarrel_shift_w_lg_w_sel_w_range849w853w(0) <= NOT wire_rbarrel_shift_w_sel_w_range849w(0);
wire_rbarrel_shift_w_lg_w_sel_w_range868w872w(0) <= NOT wire_rbarrel_shift_w_sel_w_range868w(0);
loop40 : FOR i IN 0 TO 25 GENERATE
wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range783w796w797w798w(i) <= wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range783w796w797w(i) OR wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range783w792w793w(i);
END GENERATE loop40;
loop41 : FOR i IN 0 TO 25 GENERATE
wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range804w817w818w819w(i) <= wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range804w817w818w(i) OR wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range804w813w814w(i);
END GENERATE loop41;
loop42 : FOR i IN 0 TO 25 GENERATE
wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range826w839w840w841w(i) <= wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range826w839w840w(i) OR wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range826w835w836w(i);
END GENERATE loop42;
loop43 : FOR i IN 0 TO 25 GENERATE
wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range849w861w862w863w(i) <= wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range849w861w862w(i) OR wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range849w857w858w(i);
END GENERATE loop43;
loop44 : FOR i IN 0 TO 25 GENERATE
wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range868w880w881w882w(i) <= wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range868w880w881w(i) OR wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range868w876w877w(i);
END GENERATE loop44;
loop45 : FOR i IN 0 TO 25 GENERATE
wire_rbarrel_shift_w799w(i) <= wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range783w796w797w798w(i) OR wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range783w788w789w(i);
END GENERATE loop45;
loop46 : FOR i IN 0 TO 25 GENERATE
wire_rbarrel_shift_w820w(i) <= wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range804w817w818w819w(i) OR wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range804w809w810w(i);
END GENERATE loop46;
loop47 : FOR i IN 0 TO 25 GENERATE
wire_rbarrel_shift_w842w(i) <= wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range826w839w840w841w(i) OR wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range826w831w832w(i);
END GENERATE loop47;
loop48 : FOR i IN 0 TO 25 GENERATE
wire_rbarrel_shift_w864w(i) <= wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range849w861w862w863w(i) OR wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range849w853w854w(i);
END GENERATE loop48;
loop49 : FOR i IN 0 TO 25 GENERATE
wire_rbarrel_shift_w883w(i) <= wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range868w880w881w882w(i) OR wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range868w872w873w(i);
END GENERATE loop49;
dir_w <= ( dir_w(4 DOWNTO 3) & dir_pipe(0) & dir_w(1 DOWNTO 0) & direction_w);
direction_w <= '1';
pad_w <= (OTHERS => '0');
result <= sbit_w(155 DOWNTO 130);
sbit_w <= ( smux_w(129 DOWNTO 78) & sbit_piper1d & smux_w(51 DOWNTO 0) & data);
sel_w <= ( sel_pipec4r1d & sel_pipec3r1d & distance(2 DOWNTO 0));
smux_w <= ( wire_rbarrel_shift_w883w & wire_rbarrel_shift_w864w & wire_rbarrel_shift_w842w & wire_rbarrel_shift_w820w & wire_rbarrel_shift_w799w);
wire_rbarrel_shift_w791w <= ( pad_w(0) & sbit_w(25 DOWNTO 1));
wire_rbarrel_shift_w794w <= ( sbit_w(24 DOWNTO 0) & pad_w(0));
wire_rbarrel_shift_w812w <= ( pad_w(1 DOWNTO 0) & sbit_w(51 DOWNTO 28));
wire_rbarrel_shift_w815w <= ( sbit_w(49 DOWNTO 26) & pad_w(1 DOWNTO 0));
wire_rbarrel_shift_w834w <= ( pad_w(3 DOWNTO 0) & sbit_w(77 DOWNTO 56));
wire_rbarrel_shift_w837w <= ( sbit_w(73 DOWNTO 52) & pad_w(3 DOWNTO 0));
wire_rbarrel_shift_w856w <= ( pad_w(7 DOWNTO 0) & sbit_w(103 DOWNTO 86));
wire_rbarrel_shift_w859w <= ( sbit_w(95 DOWNTO 78) & pad_w(7 DOWNTO 0));
wire_rbarrel_shift_w875w <= ( pad_w(15 DOWNTO 0) & sbit_w(129 DOWNTO 120));
wire_rbarrel_shift_w878w <= ( sbit_w(113 DOWNTO 104) & pad_w(15 DOWNTO 0));
wire_rbarrel_shift_w_dir_w_range780w(0) <= dir_w(0);
wire_rbarrel_shift_w_dir_w_range802w(0) <= dir_w(1);
wire_rbarrel_shift_w_dir_w_range823w(0) <= dir_w(2);
wire_rbarrel_shift_w_dir_w_range847w(0) <= dir_w(3);
wire_rbarrel_shift_w_dir_w_range866w(0) <= dir_w(4);
wire_rbarrel_shift_w_sbit_w_range843w <= sbit_w(103 DOWNTO 78);
wire_rbarrel_shift_w_sbit_w_range865w <= sbit_w(129 DOWNTO 104);
wire_rbarrel_shift_w_sbit_w_range778w <= sbit_w(25 DOWNTO 0);
wire_rbarrel_shift_w_sbit_w_range801w <= sbit_w(51 DOWNTO 26);
wire_rbarrel_shift_w_sbit_w_range821w <= sbit_w(77 DOWNTO 52);
wire_rbarrel_shift_w_sel_w_range783w(0) <= sel_w(0);
wire_rbarrel_shift_w_sel_w_range804w(0) <= sel_w(1);
wire_rbarrel_shift_w_sel_w_range826w(0) <= sel_w(2);
wire_rbarrel_shift_w_sel_w_range849w(0) <= sel_w(3);
wire_rbarrel_shift_w_sel_w_range868w(0) <= sel_w(4);
wire_rbarrel_shift_w_smux_w_range830w <= smux_w(77 DOWNTO 52);
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN dir_pipe <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN dir_pipe(0) <= ( dir_w(2));
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN sbit_piper1d <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN sbit_piper1d <= wire_rbarrel_shift_w_smux_w_range830w;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN sel_pipec3r1d <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN sel_pipec3r1d <= distance(3);
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN sel_pipec4r1d <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN sel_pipec4r1d <= distance(4);
END IF;
END IF;
END PROCESS;
END RTL; --kn_kalman_sub_altbarrel_shift_n3g
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" PIPELINE=1 WIDTH=32 WIDTHAD=5 aclr clk_en clock data q
--VERSION_BEGIN 11.1SP2 cbx_altpriority_encoder 2012:01:25:21:13:53:SJ cbx_mgl 2012:01:25:21:15:41:SJ VERSION_END
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="NO" PIPELINE=0 WIDTH=16 WIDTHAD=4 data q
--VERSION_BEGIN 11.1SP2 cbx_altpriority_encoder 2012:01:25:21:13:53:SJ cbx_mgl 2012:01:25:21:15:41:SJ VERSION_END
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="NO" WIDTH=8 WIDTHAD=3 data q zero
--VERSION_BEGIN 11.1SP2 cbx_altpriority_encoder 2012:01:25:21:13:53:SJ cbx_mgl 2012:01:25:21:15:41:SJ VERSION_END
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="NO" WIDTH=4 WIDTHAD=2 data q zero
--VERSION_BEGIN 11.1SP2 cbx_altpriority_encoder 2012:01:25:21:13:53:SJ cbx_mgl 2012:01:25:21:15:41:SJ VERSION_END
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="NO" WIDTH=2 WIDTHAD=1 data q zero
--VERSION_BEGIN 11.1SP2 cbx_altpriority_encoder 2012:01:25:21:13:53:SJ cbx_mgl 2012:01:25:21:15:41:SJ VERSION_END
--synthesis_resources =
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY kn_kalman_sub_altpriority_encoder_3e8 IS
PORT
(
data : IN STD_LOGIC_VECTOR (1 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR (0 DOWNTO 0);
zero : OUT STD_LOGIC
);
END kn_kalman_sub_altpriority_encoder_3e8;
ARCHITECTURE RTL OF kn_kalman_sub_altpriority_encoder_3e8 IS
BEGIN
q(0) <= ( data(1));
zero <= (NOT (data(0) OR data(1)));
END RTL; --kn_kalman_sub_altpriority_encoder_3e8
--synthesis_resources =
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY kn_kalman_sub_altpriority_encoder_6e8 IS
PORT
(
data : IN STD_LOGIC_VECTOR (3 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR (1 DOWNTO 0);
zero : OUT STD_LOGIC
);
END kn_kalman_sub_altpriority_encoder_6e8;
ARCHITECTURE RTL OF kn_kalman_sub_altpriority_encoder_6e8 IS
SIGNAL wire_altpriority_encoder13_q : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder13_zero : STD_LOGIC;
SIGNAL wire_altpriority_encoder14_w_lg_w_lg_zero919w920w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder14_w_lg_zero921w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder14_w_lg_zero919w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder14_w_lg_w_lg_zero921w922w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder14_q : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder14_zero : STD_LOGIC;
COMPONENT kn_kalman_sub_altpriority_encoder_3e8
PORT
(
data : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
zero : OUT STD_LOGIC
);
END COMPONENT;
BEGIN
q <= ( wire_altpriority_encoder14_w_lg_zero919w & wire_altpriority_encoder14_w_lg_w_lg_zero921w922w);
zero <= (wire_altpriority_encoder13_zero AND wire_altpriority_encoder14_zero);
altpriority_encoder13 : kn_kalman_sub_altpriority_encoder_3e8
PORT MAP (
data => data(1 DOWNTO 0),
q => wire_altpriority_encoder13_q,
zero => wire_altpriority_encoder13_zero
);
wire_altpriority_encoder14_w_lg_w_lg_zero919w920w(0) <= wire_altpriority_encoder14_w_lg_zero919w(0) AND wire_altpriority_encoder14_q(0);
wire_altpriority_encoder14_w_lg_zero921w(0) <= wire_altpriority_encoder14_zero AND wire_altpriority_encoder13_q(0);
wire_altpriority_encoder14_w_lg_zero919w(0) <= NOT wire_altpriority_encoder14_zero;
wire_altpriority_encoder14_w_lg_w_lg_zero921w922w(0) <= wire_altpriority_encoder14_w_lg_zero921w(0) OR wire_altpriority_encoder14_w_lg_w_lg_zero919w920w(0);
altpriority_encoder14 : kn_kalman_sub_altpriority_encoder_3e8
PORT MAP (
data => data(3 DOWNTO 2),
q => wire_altpriority_encoder14_q,
zero => wire_altpriority_encoder14_zero
);
END RTL; --kn_kalman_sub_altpriority_encoder_6e8
--synthesis_resources =
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY kn_kalman_sub_altpriority_encoder_be8 IS
PORT
(
data : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR (2 DOWNTO 0);
zero : OUT STD_LOGIC
);
END kn_kalman_sub_altpriority_encoder_be8;
ARCHITECTURE RTL OF kn_kalman_sub_altpriority_encoder_be8 IS
SIGNAL wire_altpriority_encoder11_q : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL wire_altpriority_encoder11_zero : STD_LOGIC;
SIGNAL wire_altpriority_encoder12_w_lg_w_lg_zero909w910w : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL wire_altpriority_encoder12_w_lg_zero911w : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL wire_altpriority_encoder12_w_lg_zero909w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder12_w_lg_w_lg_zero911w912w : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL wire_altpriority_encoder12_q : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL wire_altpriority_encoder12_zero : STD_LOGIC;
COMPONENT kn_kalman_sub_altpriority_encoder_6e8
PORT
(
data : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
zero : OUT STD_LOGIC
);
END COMPONENT;
BEGIN
q <= ( wire_altpriority_encoder12_w_lg_zero909w & wire_altpriority_encoder12_w_lg_w_lg_zero911w912w);
zero <= (wire_altpriority_encoder11_zero AND wire_altpriority_encoder12_zero);
altpriority_encoder11 : kn_kalman_sub_altpriority_encoder_6e8
PORT MAP (
data => data(3 DOWNTO 0),
q => wire_altpriority_encoder11_q,
zero => wire_altpriority_encoder11_zero
);
loop50 : FOR i IN 0 TO 1 GENERATE
wire_altpriority_encoder12_w_lg_w_lg_zero909w910w(i) <= wire_altpriority_encoder12_w_lg_zero909w(0) AND wire_altpriority_encoder12_q(i);
END GENERATE loop50;
loop51 : FOR i IN 0 TO 1 GENERATE
wire_altpriority_encoder12_w_lg_zero911w(i) <= wire_altpriority_encoder12_zero AND wire_altpriority_encoder11_q(i);
END GENERATE loop51;
wire_altpriority_encoder12_w_lg_zero909w(0) <= NOT wire_altpriority_encoder12_zero;
loop52 : FOR i IN 0 TO 1 GENERATE
wire_altpriority_encoder12_w_lg_w_lg_zero911w912w(i) <= wire_altpriority_encoder12_w_lg_zero911w(i) OR wire_altpriority_encoder12_w_lg_w_lg_zero909w910w(i);
END GENERATE loop52;
altpriority_encoder12 : kn_kalman_sub_altpriority_encoder_6e8
PORT MAP (
data => data(7 DOWNTO 4),
q => wire_altpriority_encoder12_q,
zero => wire_altpriority_encoder12_zero
);
END RTL; --kn_kalman_sub_altpriority_encoder_be8
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="NO" WIDTH=8 WIDTHAD=3 data q
--VERSION_BEGIN 11.1SP2 cbx_altpriority_encoder 2012:01:25:21:13:53:SJ cbx_mgl 2012:01:25:21:15:41:SJ VERSION_END
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="NO" WIDTH=4 WIDTHAD=2 data q
--VERSION_BEGIN 11.1SP2 cbx_altpriority_encoder 2012:01:25:21:13:53:SJ cbx_mgl 2012:01:25:21:15:41:SJ VERSION_END
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="NO" WIDTH=2 WIDTHAD=1 data q
--VERSION_BEGIN 11.1SP2 cbx_altpriority_encoder 2012:01:25:21:13:53:SJ cbx_mgl 2012:01:25:21:15:41:SJ VERSION_END
--synthesis_resources =
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY kn_kalman_sub_altpriority_encoder_3v7 IS
PORT
(
data : IN STD_LOGIC_VECTOR (1 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR (0 DOWNTO 0)
);
END kn_kalman_sub_altpriority_encoder_3v7;
ARCHITECTURE RTL OF kn_kalman_sub_altpriority_encoder_3v7 IS
BEGIN
q(0) <= ( data(1));
END RTL; --kn_kalman_sub_altpriority_encoder_3v7
--synthesis_resources =
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY kn_kalman_sub_altpriority_encoder_6v7 IS
PORT
(
data : IN STD_LOGIC_VECTOR (3 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR (1 DOWNTO 0)
);
END kn_kalman_sub_altpriority_encoder_6v7;
ARCHITECTURE RTL OF kn_kalman_sub_altpriority_encoder_6v7 IS
SIGNAL wire_altpriority_encoder17_q : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder18_w_lg_w_lg_zero944w945w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder18_w_lg_zero946w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder18_w_lg_zero944w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder18_w_lg_w_lg_zero946w947w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder18_q : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder18_zero : STD_LOGIC;
COMPONENT kn_kalman_sub_altpriority_encoder_3v7
PORT
(
data : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR(0 DOWNTO 0)
);
END COMPONENT;
COMPONENT kn_kalman_sub_altpriority_encoder_3e8
PORT
(
data : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
zero : OUT STD_LOGIC
);
END COMPONENT;
BEGIN
q <= ( wire_altpriority_encoder18_w_lg_zero944w & wire_altpriority_encoder18_w_lg_w_lg_zero946w947w);
altpriority_encoder17 : kn_kalman_sub_altpriority_encoder_3v7
PORT MAP (
data => data(1 DOWNTO 0),
q => wire_altpriority_encoder17_q
);
wire_altpriority_encoder18_w_lg_w_lg_zero944w945w(0) <= wire_altpriority_encoder18_w_lg_zero944w(0) AND wire_altpriority_encoder18_q(0);
wire_altpriority_encoder18_w_lg_zero946w(0) <= wire_altpriority_encoder18_zero AND wire_altpriority_encoder17_q(0);
wire_altpriority_encoder18_w_lg_zero944w(0) <= NOT wire_altpriority_encoder18_zero;
wire_altpriority_encoder18_w_lg_w_lg_zero946w947w(0) <= wire_altpriority_encoder18_w_lg_zero946w(0) OR wire_altpriority_encoder18_w_lg_w_lg_zero944w945w(0);
altpriority_encoder18 : kn_kalman_sub_altpriority_encoder_3e8
PORT MAP (
data => data(3 DOWNTO 2),
q => wire_altpriority_encoder18_q,
zero => wire_altpriority_encoder18_zero
);
END RTL; --kn_kalman_sub_altpriority_encoder_6v7
--synthesis_resources =
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY kn_kalman_sub_altpriority_encoder_bv7 IS
PORT
(
data : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR (2 DOWNTO 0)
);
END kn_kalman_sub_altpriority_encoder_bv7;
ARCHITECTURE RTL OF kn_kalman_sub_altpriority_encoder_bv7 IS
SIGNAL wire_altpriority_encoder15_q : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL wire_altpriority_encoder16_w_lg_w_lg_zero935w936w : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL wire_altpriority_encoder16_w_lg_zero937w : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL wire_altpriority_encoder16_w_lg_zero935w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder16_w_lg_w_lg_zero937w938w : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL wire_altpriority_encoder16_q : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL wire_altpriority_encoder16_zero : STD_LOGIC;
COMPONENT kn_kalman_sub_altpriority_encoder_6v7
PORT
(
data : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR(1 DOWNTO 0)
);
END COMPONENT;
COMPONENT kn_kalman_sub_altpriority_encoder_6e8
PORT
(
data : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
zero : OUT STD_LOGIC
);
END COMPONENT;
BEGIN
q <= ( wire_altpriority_encoder16_w_lg_zero935w & wire_altpriority_encoder16_w_lg_w_lg_zero937w938w);
altpriority_encoder15 : kn_kalman_sub_altpriority_encoder_6v7
PORT MAP (
data => data(3 DOWNTO 0),
q => wire_altpriority_encoder15_q
);
loop53 : FOR i IN 0 TO 1 GENERATE
wire_altpriority_encoder16_w_lg_w_lg_zero935w936w(i) <= wire_altpriority_encoder16_w_lg_zero935w(0) AND wire_altpriority_encoder16_q(i);
END GENERATE loop53;
loop54 : FOR i IN 0 TO 1 GENERATE
wire_altpriority_encoder16_w_lg_zero937w(i) <= wire_altpriority_encoder16_zero AND wire_altpriority_encoder15_q(i);
END GENERATE loop54;
wire_altpriority_encoder16_w_lg_zero935w(0) <= NOT wire_altpriority_encoder16_zero;
loop55 : FOR i IN 0 TO 1 GENERATE
wire_altpriority_encoder16_w_lg_w_lg_zero937w938w(i) <= wire_altpriority_encoder16_w_lg_zero937w(i) OR wire_altpriority_encoder16_w_lg_w_lg_zero935w936w(i);
END GENERATE loop55;
altpriority_encoder16 : kn_kalman_sub_altpriority_encoder_6e8
PORT MAP (
data => data(7 DOWNTO 4),
q => wire_altpriority_encoder16_q,
zero => wire_altpriority_encoder16_zero
);
END RTL; --kn_kalman_sub_altpriority_encoder_bv7
--synthesis_resources =
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY kn_kalman_sub_altpriority_encoder_uv8 IS
PORT
(
data : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR (3 DOWNTO 0)
);
END kn_kalman_sub_altpriority_encoder_uv8;
ARCHITECTURE RTL OF kn_kalman_sub_altpriority_encoder_uv8 IS
SIGNAL wire_altpriority_encoder10_w_lg_w_lg_zero900w901w : STD_LOGIC_VECTOR (2 DOWNTO 0);
SIGNAL wire_altpriority_encoder10_w_lg_zero902w : STD_LOGIC_VECTOR (2 DOWNTO 0);
SIGNAL wire_altpriority_encoder10_w_lg_zero900w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder10_w_lg_w_lg_zero902w903w : STD_LOGIC_VECTOR (2 DOWNTO 0);
SIGNAL wire_altpriority_encoder10_q : STD_LOGIC_VECTOR (2 DOWNTO 0);
SIGNAL wire_altpriority_encoder10_zero : STD_LOGIC;
SIGNAL wire_altpriority_encoder9_q : STD_LOGIC_VECTOR (2 DOWNTO 0);
COMPONENT kn_kalman_sub_altpriority_encoder_be8
PORT
(
data : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
zero : OUT STD_LOGIC
);
END COMPONENT;
COMPONENT kn_kalman_sub_altpriority_encoder_bv7
PORT
(
data : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR(2 DOWNTO 0)
);
END COMPONENT;
BEGIN
q <= ( wire_altpriority_encoder10_w_lg_zero900w & wire_altpriority_encoder10_w_lg_w_lg_zero902w903w);
loop56 : FOR i IN 0 TO 2 GENERATE
wire_altpriority_encoder10_w_lg_w_lg_zero900w901w(i) <= wire_altpriority_encoder10_w_lg_zero900w(0) AND wire_altpriority_encoder10_q(i);
END GENERATE loop56;
loop57 : FOR i IN 0 TO 2 GENERATE
wire_altpriority_encoder10_w_lg_zero902w(i) <= wire_altpriority_encoder10_zero AND wire_altpriority_encoder9_q(i);
END GENERATE loop57;
wire_altpriority_encoder10_w_lg_zero900w(0) <= NOT wire_altpriority_encoder10_zero;
loop58 : FOR i IN 0 TO 2 GENERATE
wire_altpriority_encoder10_w_lg_w_lg_zero902w903w(i) <= wire_altpriority_encoder10_w_lg_zero902w(i) OR wire_altpriority_encoder10_w_lg_w_lg_zero900w901w(i);
END GENERATE loop58;
altpriority_encoder10 : kn_kalman_sub_altpriority_encoder_be8
PORT MAP (
data => data(15 DOWNTO 8),
q => wire_altpriority_encoder10_q,
zero => wire_altpriority_encoder10_zero
);
altpriority_encoder9 : kn_kalman_sub_altpriority_encoder_bv7
PORT MAP (
data => data(7 DOWNTO 0),
q => wire_altpriority_encoder9_q
);
END RTL; --kn_kalman_sub_altpriority_encoder_uv8
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="NO" PIPELINE=0 WIDTH=16 WIDTHAD=4 data q zero
--VERSION_BEGIN 11.1SP2 cbx_altpriority_encoder 2012:01:25:21:13:53:SJ cbx_mgl 2012:01:25:21:15:41:SJ VERSION_END
--synthesis_resources =
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY kn_kalman_sub_altpriority_encoder_ue9 IS
PORT
(
data : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR (3 DOWNTO 0);
zero : OUT STD_LOGIC
);
END kn_kalman_sub_altpriority_encoder_ue9;
ARCHITECTURE RTL OF kn_kalman_sub_altpriority_encoder_ue9 IS
SIGNAL wire_altpriority_encoder19_q : STD_LOGIC_VECTOR (2 DOWNTO 0);
SIGNAL wire_altpriority_encoder19_zero : STD_LOGIC;
SIGNAL wire_altpriority_encoder20_w_lg_w_lg_zero956w957w : STD_LOGIC_VECTOR (2 DOWNTO 0);
SIGNAL wire_altpriority_encoder20_w_lg_zero958w : STD_LOGIC_VECTOR (2 DOWNTO 0);
SIGNAL wire_altpriority_encoder20_w_lg_zero956w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder20_w_lg_w_lg_zero958w959w : STD_LOGIC_VECTOR (2 DOWNTO 0);
SIGNAL wire_altpriority_encoder20_q : STD_LOGIC_VECTOR (2 DOWNTO 0);
SIGNAL wire_altpriority_encoder20_zero : STD_LOGIC;
COMPONENT kn_kalman_sub_altpriority_encoder_be8
PORT
(
data : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
zero : OUT STD_LOGIC
);
END COMPONENT;
BEGIN
q <= ( wire_altpriority_encoder20_w_lg_zero956w & wire_altpriority_encoder20_w_lg_w_lg_zero958w959w);
zero <= (wire_altpriority_encoder19_zero AND wire_altpriority_encoder20_zero);
altpriority_encoder19 : kn_kalman_sub_altpriority_encoder_be8
PORT MAP (
data => data(7 DOWNTO 0),
q => wire_altpriority_encoder19_q,
zero => wire_altpriority_encoder19_zero
);
loop59 : FOR i IN 0 TO 2 GENERATE
wire_altpriority_encoder20_w_lg_w_lg_zero956w957w(i) <= wire_altpriority_encoder20_w_lg_zero956w(0) AND wire_altpriority_encoder20_q(i);
END GENERATE loop59;
loop60 : FOR i IN 0 TO 2 GENERATE
wire_altpriority_encoder20_w_lg_zero958w(i) <= wire_altpriority_encoder20_zero AND wire_altpriority_encoder19_q(i);
END GENERATE loop60;
wire_altpriority_encoder20_w_lg_zero956w(0) <= NOT wire_altpriority_encoder20_zero;
loop61 : FOR i IN 0 TO 2 GENERATE
wire_altpriority_encoder20_w_lg_w_lg_zero958w959w(i) <= wire_altpriority_encoder20_w_lg_zero958w(i) OR wire_altpriority_encoder20_w_lg_w_lg_zero956w957w(i);
END GENERATE loop61;
altpriority_encoder20 : kn_kalman_sub_altpriority_encoder_be8
PORT MAP (
data => data(15 DOWNTO 8),
q => wire_altpriority_encoder20_q,
zero => wire_altpriority_encoder20_zero
);
END RTL; --kn_kalman_sub_altpriority_encoder_ue9
--synthesis_resources = reg 5
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY kn_kalman_sub_altpriority_encoder_ou8 IS
PORT
(
aclr : IN STD_LOGIC := '0';
clk_en : IN STD_LOGIC := '1';
clock : IN STD_LOGIC := '0';
data : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR (4 DOWNTO 0)
);
END kn_kalman_sub_altpriority_encoder_ou8;
ARCHITECTURE RTL OF kn_kalman_sub_altpriority_encoder_ou8 IS
SIGNAL wire_altpriority_encoder7_q : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL wire_altpriority_encoder8_w_lg_w_lg_zero890w891w : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL wire_altpriority_encoder8_w_lg_zero892w : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL wire_altpriority_encoder8_w_lg_zero890w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder8_w_lg_w_lg_zero892w893w : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL wire_altpriority_encoder8_q : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL wire_altpriority_encoder8_zero : STD_LOGIC;
SIGNAL pipeline_q_dffe : STD_LOGIC_VECTOR(4 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL tmp_q_wire : STD_LOGIC_VECTOR (4 DOWNTO 0);
COMPONENT kn_kalman_sub_altpriority_encoder_uv8
PORT
(
data : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR(3 DOWNTO 0)
);
END COMPONENT;
COMPONENT kn_kalman_sub_altpriority_encoder_ue9
PORT
(
data : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
zero : OUT STD_LOGIC
);
END COMPONENT;
BEGIN
q <= pipeline_q_dffe;
tmp_q_wire <= ( wire_altpriority_encoder8_w_lg_zero890w & wire_altpriority_encoder8_w_lg_w_lg_zero892w893w);
altpriority_encoder7 : kn_kalman_sub_altpriority_encoder_uv8
PORT MAP (
data => data(15 DOWNTO 0),
q => wire_altpriority_encoder7_q
);
loop62 : FOR i IN 0 TO 3 GENERATE
wire_altpriority_encoder8_w_lg_w_lg_zero890w891w(i) <= wire_altpriority_encoder8_w_lg_zero890w(0) AND wire_altpriority_encoder8_q(i);
END GENERATE loop62;
loop63 : FOR i IN 0 TO 3 GENERATE
wire_altpriority_encoder8_w_lg_zero892w(i) <= wire_altpriority_encoder8_zero AND wire_altpriority_encoder7_q(i);
END GENERATE loop63;
wire_altpriority_encoder8_w_lg_zero890w(0) <= NOT wire_altpriority_encoder8_zero;
loop64 : FOR i IN 0 TO 3 GENERATE
wire_altpriority_encoder8_w_lg_w_lg_zero892w893w(i) <= wire_altpriority_encoder8_w_lg_zero892w(i) OR wire_altpriority_encoder8_w_lg_w_lg_zero890w891w(i);
END GENERATE loop64;
altpriority_encoder8 : kn_kalman_sub_altpriority_encoder_ue9
PORT MAP (
data => data(31 DOWNTO 16),
q => wire_altpriority_encoder8_q,
zero => wire_altpriority_encoder8_zero
);
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN pipeline_q_dffe <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN pipeline_q_dffe <= tmp_q_wire;
END IF;
END IF;
END PROCESS;
END RTL; --kn_kalman_sub_altpriority_encoder_ou8
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="YES" PIPELINE=1 WIDTH=32 WIDTHAD=5 aclr clk_en clock data q
--VERSION_BEGIN 11.1SP2 cbx_altpriority_encoder 2012:01:25:21:13:53:SJ cbx_mgl 2012:01:25:21:15:41:SJ VERSION_END
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="YES" PIPELINE=0 WIDTH=16 WIDTHAD=4 data q zero
--VERSION_BEGIN 11.1SP2 cbx_altpriority_encoder 2012:01:25:21:13:53:SJ cbx_mgl 2012:01:25:21:15:41:SJ VERSION_END
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="YES" WIDTH=8 WIDTHAD=3 data q zero
--VERSION_BEGIN 11.1SP2 cbx_altpriority_encoder 2012:01:25:21:13:53:SJ cbx_mgl 2012:01:25:21:15:41:SJ VERSION_END
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="YES" WIDTH=4 WIDTHAD=2 data q zero
--VERSION_BEGIN 11.1SP2 cbx_altpriority_encoder 2012:01:25:21:13:53:SJ cbx_mgl 2012:01:25:21:15:41:SJ VERSION_END
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="YES" WIDTH=2 WIDTHAD=1 data q zero
--VERSION_BEGIN 11.1SP2 cbx_altpriority_encoder 2012:01:25:21:13:53:SJ cbx_mgl 2012:01:25:21:15:41:SJ VERSION_END
--synthesis_resources =
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY kn_kalman_sub_altpriority_encoder_nh8 IS
PORT
(
data : IN STD_LOGIC_VECTOR (1 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR (0 DOWNTO 0);
zero : OUT STD_LOGIC
);
END kn_kalman_sub_altpriority_encoder_nh8;
ARCHITECTURE RTL OF kn_kalman_sub_altpriority_encoder_nh8 IS
SIGNAL wire_altpriority_encoder27_w_lg_w_data_range1006w1008w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder27_w_data_range1006w : STD_LOGIC_VECTOR (0 DOWNTO 0);
BEGIN
wire_altpriority_encoder27_w_lg_w_data_range1006w1008w(0) <= NOT wire_altpriority_encoder27_w_data_range1006w(0);
q <= ( wire_altpriority_encoder27_w_lg_w_data_range1006w1008w);
zero <= (NOT (data(0) OR data(1)));
wire_altpriority_encoder27_w_data_range1006w(0) <= data(0);
END RTL; --kn_kalman_sub_altpriority_encoder_nh8
--synthesis_resources =
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY kn_kalman_sub_altpriority_encoder_qh8 IS
PORT
(
data : IN STD_LOGIC_VECTOR (3 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR (1 DOWNTO 0);
zero : OUT STD_LOGIC
);
END kn_kalman_sub_altpriority_encoder_qh8;
ARCHITECTURE RTL OF kn_kalman_sub_altpriority_encoder_qh8 IS
SIGNAL wire_altpriority_encoder27_w_lg_w_lg_zero998w999w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder27_w_lg_zero1000w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder27_w_lg_zero998w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder27_w_lg_w_lg_zero1000w1001w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder27_q : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder27_zero : STD_LOGIC;
SIGNAL wire_altpriority_encoder28_q : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder28_zero : STD_LOGIC;
COMPONENT kn_kalman_sub_altpriority_encoder_nh8
PORT
(
data : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
zero : OUT STD_LOGIC
);
END COMPONENT;
BEGIN
q <= ( wire_altpriority_encoder27_zero & wire_altpriority_encoder27_w_lg_w_lg_zero1000w1001w);
zero <= (wire_altpriority_encoder27_zero AND wire_altpriority_encoder28_zero);
wire_altpriority_encoder27_w_lg_w_lg_zero998w999w(0) <= wire_altpriority_encoder27_w_lg_zero998w(0) AND wire_altpriority_encoder27_q(0);
wire_altpriority_encoder27_w_lg_zero1000w(0) <= wire_altpriority_encoder27_zero AND wire_altpriority_encoder28_q(0);
wire_altpriority_encoder27_w_lg_zero998w(0) <= NOT wire_altpriority_encoder27_zero;
wire_altpriority_encoder27_w_lg_w_lg_zero1000w1001w(0) <= wire_altpriority_encoder27_w_lg_zero1000w(0) OR wire_altpriority_encoder27_w_lg_w_lg_zero998w999w(0);
altpriority_encoder27 : kn_kalman_sub_altpriority_encoder_nh8
PORT MAP (
data => data(1 DOWNTO 0),
q => wire_altpriority_encoder27_q,
zero => wire_altpriority_encoder27_zero
);
altpriority_encoder28 : kn_kalman_sub_altpriority_encoder_nh8
PORT MAP (
data => data(3 DOWNTO 2),
q => wire_altpriority_encoder28_q,
zero => wire_altpriority_encoder28_zero
);
END RTL; --kn_kalman_sub_altpriority_encoder_qh8
--synthesis_resources =
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY kn_kalman_sub_altpriority_encoder_vh8 IS
PORT
(
data : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR (2 DOWNTO 0);
zero : OUT STD_LOGIC
);
END kn_kalman_sub_altpriority_encoder_vh8;
ARCHITECTURE RTL OF kn_kalman_sub_altpriority_encoder_vh8 IS
SIGNAL wire_altpriority_encoder25_w_lg_w_lg_zero988w989w : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL wire_altpriority_encoder25_w_lg_zero990w : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL wire_altpriority_encoder25_w_lg_zero988w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder25_w_lg_w_lg_zero990w991w : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL wire_altpriority_encoder25_q : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL wire_altpriority_encoder25_zero : STD_LOGIC;
SIGNAL wire_altpriority_encoder26_q : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL wire_altpriority_encoder26_zero : STD_LOGIC;
COMPONENT kn_kalman_sub_altpriority_encoder_qh8
PORT
(
data : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
zero : OUT STD_LOGIC
);
END COMPONENT;
BEGIN
q <= ( wire_altpriority_encoder25_zero & wire_altpriority_encoder25_w_lg_w_lg_zero990w991w);
zero <= (wire_altpriority_encoder25_zero AND wire_altpriority_encoder26_zero);
loop65 : FOR i IN 0 TO 1 GENERATE
wire_altpriority_encoder25_w_lg_w_lg_zero988w989w(i) <= wire_altpriority_encoder25_w_lg_zero988w(0) AND wire_altpriority_encoder25_q(i);
END GENERATE loop65;
loop66 : FOR i IN 0 TO 1 GENERATE
wire_altpriority_encoder25_w_lg_zero990w(i) <= wire_altpriority_encoder25_zero AND wire_altpriority_encoder26_q(i);
END GENERATE loop66;
wire_altpriority_encoder25_w_lg_zero988w(0) <= NOT wire_altpriority_encoder25_zero;
loop67 : FOR i IN 0 TO 1 GENERATE
wire_altpriority_encoder25_w_lg_w_lg_zero990w991w(i) <= wire_altpriority_encoder25_w_lg_zero990w(i) OR wire_altpriority_encoder25_w_lg_w_lg_zero988w989w(i);
END GENERATE loop67;
altpriority_encoder25 : kn_kalman_sub_altpriority_encoder_qh8
PORT MAP (
data => data(3 DOWNTO 0),
q => wire_altpriority_encoder25_q,
zero => wire_altpriority_encoder25_zero
);
altpriority_encoder26 : kn_kalman_sub_altpriority_encoder_qh8
PORT MAP (
data => data(7 DOWNTO 4),
q => wire_altpriority_encoder26_q,
zero => wire_altpriority_encoder26_zero
);
END RTL; --kn_kalman_sub_altpriority_encoder_vh8
--synthesis_resources =
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY kn_kalman_sub_altpriority_encoder_ii9 IS
PORT
(
data : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR (3 DOWNTO 0);
zero : OUT STD_LOGIC
);
END kn_kalman_sub_altpriority_encoder_ii9;
ARCHITECTURE RTL OF kn_kalman_sub_altpriority_encoder_ii9 IS
SIGNAL wire_altpriority_encoder23_w_lg_w_lg_zero978w979w : STD_LOGIC_VECTOR (2 DOWNTO 0);
SIGNAL wire_altpriority_encoder23_w_lg_zero980w : STD_LOGIC_VECTOR (2 DOWNTO 0);
SIGNAL wire_altpriority_encoder23_w_lg_zero978w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder23_w_lg_w_lg_zero980w981w : STD_LOGIC_VECTOR (2 DOWNTO 0);
SIGNAL wire_altpriority_encoder23_q : STD_LOGIC_VECTOR (2 DOWNTO 0);
SIGNAL wire_altpriority_encoder23_zero : STD_LOGIC;
SIGNAL wire_altpriority_encoder24_q : STD_LOGIC_VECTOR (2 DOWNTO 0);
SIGNAL wire_altpriority_encoder24_zero : STD_LOGIC;
COMPONENT kn_kalman_sub_altpriority_encoder_vh8
PORT
(
data : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
zero : OUT STD_LOGIC
);
END COMPONENT;
BEGIN
q <= ( wire_altpriority_encoder23_zero & wire_altpriority_encoder23_w_lg_w_lg_zero980w981w);
zero <= (wire_altpriority_encoder23_zero AND wire_altpriority_encoder24_zero);
loop68 : FOR i IN 0 TO 2 GENERATE
wire_altpriority_encoder23_w_lg_w_lg_zero978w979w(i) <= wire_altpriority_encoder23_w_lg_zero978w(0) AND wire_altpriority_encoder23_q(i);
END GENERATE loop68;
loop69 : FOR i IN 0 TO 2 GENERATE
wire_altpriority_encoder23_w_lg_zero980w(i) <= wire_altpriority_encoder23_zero AND wire_altpriority_encoder24_q(i);
END GENERATE loop69;
wire_altpriority_encoder23_w_lg_zero978w(0) <= NOT wire_altpriority_encoder23_zero;
loop70 : FOR i IN 0 TO 2 GENERATE
wire_altpriority_encoder23_w_lg_w_lg_zero980w981w(i) <= wire_altpriority_encoder23_w_lg_zero980w(i) OR wire_altpriority_encoder23_w_lg_w_lg_zero978w979w(i);
END GENERATE loop70;
altpriority_encoder23 : kn_kalman_sub_altpriority_encoder_vh8
PORT MAP (
data => data(7 DOWNTO 0),
q => wire_altpriority_encoder23_q,
zero => wire_altpriority_encoder23_zero
);
altpriority_encoder24 : kn_kalman_sub_altpriority_encoder_vh8
PORT MAP (
data => data(15 DOWNTO 8),
q => wire_altpriority_encoder24_q,
zero => wire_altpriority_encoder24_zero
);
END RTL; --kn_kalman_sub_altpriority_encoder_ii9
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="YES" PIPELINE=0 WIDTH=16 WIDTHAD=4 data q
--VERSION_BEGIN 11.1SP2 cbx_altpriority_encoder 2012:01:25:21:13:53:SJ cbx_mgl 2012:01:25:21:15:41:SJ VERSION_END
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="YES" WIDTH=8 WIDTHAD=3 data q
--VERSION_BEGIN 11.1SP2 cbx_altpriority_encoder 2012:01:25:21:13:53:SJ cbx_mgl 2012:01:25:21:15:41:SJ VERSION_END
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="YES" WIDTH=4 WIDTHAD=2 data q
--VERSION_BEGIN 11.1SP2 cbx_altpriority_encoder 2012:01:25:21:13:53:SJ cbx_mgl 2012:01:25:21:15:41:SJ VERSION_END
--altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="YES" WIDTH=2 WIDTHAD=1 data q
--VERSION_BEGIN 11.1SP2 cbx_altpriority_encoder 2012:01:25:21:13:53:SJ cbx_mgl 2012:01:25:21:15:41:SJ VERSION_END
--synthesis_resources =
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY kn_kalman_sub_altpriority_encoder_n28 IS
PORT
(
data : IN STD_LOGIC_VECTOR (1 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR (0 DOWNTO 0)
);
END kn_kalman_sub_altpriority_encoder_n28;
ARCHITECTURE RTL OF kn_kalman_sub_altpriority_encoder_n28 IS
SIGNAL wire_altpriority_encoder34_w_lg_w_data_range1040w1042w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder34_w_data_range1040w : STD_LOGIC_VECTOR (0 DOWNTO 0);
BEGIN
wire_altpriority_encoder34_w_lg_w_data_range1040w1042w(0) <= NOT wire_altpriority_encoder34_w_data_range1040w(0);
q <= ( wire_altpriority_encoder34_w_lg_w_data_range1040w1042w);
wire_altpriority_encoder34_w_data_range1040w(0) <= data(0);
END RTL; --kn_kalman_sub_altpriority_encoder_n28
--synthesis_resources =
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY kn_kalman_sub_altpriority_encoder_q28 IS
PORT
(
data : IN STD_LOGIC_VECTOR (3 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR (1 DOWNTO 0)
);
END kn_kalman_sub_altpriority_encoder_q28;
ARCHITECTURE RTL OF kn_kalman_sub_altpriority_encoder_q28 IS
SIGNAL wire_altpriority_encoder33_w_lg_w_lg_zero1033w1034w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder33_w_lg_zero1035w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder33_w_lg_zero1033w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder33_w_lg_w_lg_zero1035w1036w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder33_q : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder33_zero : STD_LOGIC;
SIGNAL wire_altpriority_encoder34_q : STD_LOGIC_VECTOR (0 DOWNTO 0);
COMPONENT kn_kalman_sub_altpriority_encoder_nh8
PORT
(
data : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
zero : OUT STD_LOGIC
);
END COMPONENT;
COMPONENT kn_kalman_sub_altpriority_encoder_n28
PORT
(
data : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR(0 DOWNTO 0)
);
END COMPONENT;
BEGIN
q <= ( wire_altpriority_encoder33_zero & wire_altpriority_encoder33_w_lg_w_lg_zero1035w1036w);
wire_altpriority_encoder33_w_lg_w_lg_zero1033w1034w(0) <= wire_altpriority_encoder33_w_lg_zero1033w(0) AND wire_altpriority_encoder33_q(0);
wire_altpriority_encoder33_w_lg_zero1035w(0) <= wire_altpriority_encoder33_zero AND wire_altpriority_encoder34_q(0);
wire_altpriority_encoder33_w_lg_zero1033w(0) <= NOT wire_altpriority_encoder33_zero;
wire_altpriority_encoder33_w_lg_w_lg_zero1035w1036w(0) <= wire_altpriority_encoder33_w_lg_zero1035w(0) OR wire_altpriority_encoder33_w_lg_w_lg_zero1033w1034w(0);
altpriority_encoder33 : kn_kalman_sub_altpriority_encoder_nh8
PORT MAP (
data => data(1 DOWNTO 0),
q => wire_altpriority_encoder33_q,
zero => wire_altpriority_encoder33_zero
);
altpriority_encoder34 : kn_kalman_sub_altpriority_encoder_n28
PORT MAP (
data => data(3 DOWNTO 2),
q => wire_altpriority_encoder34_q
);
END RTL; --kn_kalman_sub_altpriority_encoder_q28
--synthesis_resources =
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY kn_kalman_sub_altpriority_encoder_v28 IS
PORT
(
data : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR (2 DOWNTO 0)
);
END kn_kalman_sub_altpriority_encoder_v28;
ARCHITECTURE RTL OF kn_kalman_sub_altpriority_encoder_v28 IS
SIGNAL wire_altpriority_encoder31_w_lg_w_lg_zero1024w1025w : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL wire_altpriority_encoder31_w_lg_zero1026w : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL wire_altpriority_encoder31_w_lg_zero1024w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder31_w_lg_w_lg_zero1026w1027w : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL wire_altpriority_encoder31_q : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL wire_altpriority_encoder31_zero : STD_LOGIC;
SIGNAL wire_altpriority_encoder32_q : STD_LOGIC_VECTOR (1 DOWNTO 0);
COMPONENT kn_kalman_sub_altpriority_encoder_qh8
PORT
(
data : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
zero : OUT STD_LOGIC
);
END COMPONENT;
COMPONENT kn_kalman_sub_altpriority_encoder_q28
PORT
(
data : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR(1 DOWNTO 0)
);
END COMPONENT;
BEGIN
q <= ( wire_altpriority_encoder31_zero & wire_altpriority_encoder31_w_lg_w_lg_zero1026w1027w);
loop71 : FOR i IN 0 TO 1 GENERATE
wire_altpriority_encoder31_w_lg_w_lg_zero1024w1025w(i) <= wire_altpriority_encoder31_w_lg_zero1024w(0) AND wire_altpriority_encoder31_q(i);
END GENERATE loop71;
loop72 : FOR i IN 0 TO 1 GENERATE
wire_altpriority_encoder31_w_lg_zero1026w(i) <= wire_altpriority_encoder31_zero AND wire_altpriority_encoder32_q(i);
END GENERATE loop72;
wire_altpriority_encoder31_w_lg_zero1024w(0) <= NOT wire_altpriority_encoder31_zero;
loop73 : FOR i IN 0 TO 1 GENERATE
wire_altpriority_encoder31_w_lg_w_lg_zero1026w1027w(i) <= wire_altpriority_encoder31_w_lg_zero1026w(i) OR wire_altpriority_encoder31_w_lg_w_lg_zero1024w1025w(i);
END GENERATE loop73;
altpriority_encoder31 : kn_kalman_sub_altpriority_encoder_qh8
PORT MAP (
data => data(3 DOWNTO 0),
q => wire_altpriority_encoder31_q,
zero => wire_altpriority_encoder31_zero
);
altpriority_encoder32 : kn_kalman_sub_altpriority_encoder_q28
PORT MAP (
data => data(7 DOWNTO 4),
q => wire_altpriority_encoder32_q
);
END RTL; --kn_kalman_sub_altpriority_encoder_v28
--synthesis_resources =
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY kn_kalman_sub_altpriority_encoder_i39 IS
PORT
(
data : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR (3 DOWNTO 0)
);
END kn_kalman_sub_altpriority_encoder_i39;
ARCHITECTURE RTL OF kn_kalman_sub_altpriority_encoder_i39 IS
SIGNAL wire_altpriority_encoder29_w_lg_w_lg_zero1015w1016w : STD_LOGIC_VECTOR (2 DOWNTO 0);
SIGNAL wire_altpriority_encoder29_w_lg_zero1017w : STD_LOGIC_VECTOR (2 DOWNTO 0);
SIGNAL wire_altpriority_encoder29_w_lg_zero1015w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder29_w_lg_w_lg_zero1017w1018w : STD_LOGIC_VECTOR (2 DOWNTO 0);
SIGNAL wire_altpriority_encoder29_q : STD_LOGIC_VECTOR (2 DOWNTO 0);
SIGNAL wire_altpriority_encoder29_zero : STD_LOGIC;
SIGNAL wire_altpriority_encoder30_q : STD_LOGIC_VECTOR (2 DOWNTO 0);
COMPONENT kn_kalman_sub_altpriority_encoder_vh8
PORT
(
data : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
zero : OUT STD_LOGIC
);
END COMPONENT;
COMPONENT kn_kalman_sub_altpriority_encoder_v28
PORT
(
data : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR(2 DOWNTO 0)
);
END COMPONENT;
BEGIN
q <= ( wire_altpriority_encoder29_zero & wire_altpriority_encoder29_w_lg_w_lg_zero1017w1018w);
loop74 : FOR i IN 0 TO 2 GENERATE
wire_altpriority_encoder29_w_lg_w_lg_zero1015w1016w(i) <= wire_altpriority_encoder29_w_lg_zero1015w(0) AND wire_altpriority_encoder29_q(i);
END GENERATE loop74;
loop75 : FOR i IN 0 TO 2 GENERATE
wire_altpriority_encoder29_w_lg_zero1017w(i) <= wire_altpriority_encoder29_zero AND wire_altpriority_encoder30_q(i);
END GENERATE loop75;
wire_altpriority_encoder29_w_lg_zero1015w(0) <= NOT wire_altpriority_encoder29_zero;
loop76 : FOR i IN 0 TO 2 GENERATE
wire_altpriority_encoder29_w_lg_w_lg_zero1017w1018w(i) <= wire_altpriority_encoder29_w_lg_zero1017w(i) OR wire_altpriority_encoder29_w_lg_w_lg_zero1015w1016w(i);
END GENERATE loop76;
altpriority_encoder29 : kn_kalman_sub_altpriority_encoder_vh8
PORT MAP (
data => data(7 DOWNTO 0),
q => wire_altpriority_encoder29_q,
zero => wire_altpriority_encoder29_zero
);
altpriority_encoder30 : kn_kalman_sub_altpriority_encoder_v28
PORT MAP (
data => data(15 DOWNTO 8),
q => wire_altpriority_encoder30_q
);
END RTL; --kn_kalman_sub_altpriority_encoder_i39
--synthesis_resources = reg 5
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY kn_kalman_sub_altpriority_encoder_cna IS
PORT
(
aclr : IN STD_LOGIC := '0';
clk_en : IN STD_LOGIC := '1';
clock : IN STD_LOGIC := '0';
data : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR (4 DOWNTO 0)
);
END kn_kalman_sub_altpriority_encoder_cna;
ARCHITECTURE RTL OF kn_kalman_sub_altpriority_encoder_cna IS
SIGNAL wire_altpriority_encoder21_w_lg_w_lg_zero966w967w : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL wire_altpriority_encoder21_w_lg_zero968w : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL wire_altpriority_encoder21_w_lg_zero966w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_altpriority_encoder21_w_lg_w_lg_zero968w969w : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL wire_altpriority_encoder21_q : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL wire_altpriority_encoder21_zero : STD_LOGIC;
SIGNAL wire_altpriority_encoder22_q : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL pipeline_q_dffe : STD_LOGIC_VECTOR(4 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL wire_trailing_zeros_cnt_w_lg_tmp_q_wire974w : STD_LOGIC_VECTOR (4 DOWNTO 0);
SIGNAL tmp_q_wire : STD_LOGIC_VECTOR (4 DOWNTO 0);
COMPONENT kn_kalman_sub_altpriority_encoder_ii9
PORT
(
data : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
zero : OUT STD_LOGIC
);
END COMPONENT;
COMPONENT kn_kalman_sub_altpriority_encoder_i39
PORT
(
data : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR(3 DOWNTO 0)
);
END COMPONENT;
BEGIN
loop77 : FOR i IN 0 TO 4 GENERATE
wire_trailing_zeros_cnt_w_lg_tmp_q_wire974w(i) <= NOT tmp_q_wire(i);
END GENERATE loop77;
q <= (NOT pipeline_q_dffe);
tmp_q_wire <= ( wire_altpriority_encoder21_zero & wire_altpriority_encoder21_w_lg_w_lg_zero968w969w);
loop78 : FOR i IN 0 TO 3 GENERATE
wire_altpriority_encoder21_w_lg_w_lg_zero966w967w(i) <= wire_altpriority_encoder21_w_lg_zero966w(0) AND wire_altpriority_encoder21_q(i);
END GENERATE loop78;
loop79 : FOR i IN 0 TO 3 GENERATE
wire_altpriority_encoder21_w_lg_zero968w(i) <= wire_altpriority_encoder21_zero AND wire_altpriority_encoder22_q(i);
END GENERATE loop79;
wire_altpriority_encoder21_w_lg_zero966w(0) <= NOT wire_altpriority_encoder21_zero;
loop80 : FOR i IN 0 TO 3 GENERATE
wire_altpriority_encoder21_w_lg_w_lg_zero968w969w(i) <= wire_altpriority_encoder21_w_lg_zero968w(i) OR wire_altpriority_encoder21_w_lg_w_lg_zero966w967w(i);
END GENERATE loop80;
altpriority_encoder21 : kn_kalman_sub_altpriority_encoder_ii9
PORT MAP (
data => data(15 DOWNTO 0),
q => wire_altpriority_encoder21_q,
zero => wire_altpriority_encoder21_zero
);
altpriority_encoder22 : kn_kalman_sub_altpriority_encoder_i39
PORT MAP (
data => data(31 DOWNTO 16),
q => wire_altpriority_encoder22_q
);
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN pipeline_q_dffe <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN pipeline_q_dffe <= wire_trailing_zeros_cnt_w_lg_tmp_q_wire974w;
END IF;
END IF;
END PROCESS;
END RTL; --kn_kalman_sub_altpriority_encoder_cna
LIBRARY lpm;
USE lpm.all;
--synthesis_resources = lpm_add_sub 14 lpm_compare 1 reg 716
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY kn_kalman_sub_altfp_add_sub_23j IS
PORT
(
clock : IN STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
datab : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)
);
END kn_kalman_sub_altfp_add_sub_23j;
ARCHITECTURE RTL OF kn_kalman_sub_altfp_add_sub_23j IS
SIGNAL wire_lbarrel_shift_result : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_data : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_rbarrel_shift_result : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_leading_zeroes_cnt_data : STD_LOGIC_VECTOR (31 DOWNTO 0);
SIGNAL wire_leading_zeroes_cnt_q : STD_LOGIC_VECTOR (4 DOWNTO 0);
SIGNAL wire_trailing_zeros_cnt_data : STD_LOGIC_VECTOR (31 DOWNTO 0);
SIGNAL wire_trailing_zeros_cnt_q : STD_LOGIC_VECTOR (4 DOWNTO 0);
SIGNAL add_sub_dffe25 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL aligned_dataa_exp_dffe12 : STD_LOGIC_VECTOR(8 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL aligned_dataa_exp_dffe13 : STD_LOGIC_VECTOR(8 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL aligned_dataa_exp_dffe14 : STD_LOGIC_VECTOR(8 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL aligned_dataa_man_dffe12 : STD_LOGIC_VECTOR(23 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL aligned_dataa_man_dffe13 : STD_LOGIC_VECTOR(23 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL aligned_dataa_man_dffe14 : STD_LOGIC_VECTOR(23 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL aligned_dataa_sign_dffe12 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL aligned_dataa_sign_dffe13 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL aligned_dataa_sign_dffe14 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL aligned_datab_exp_dffe12 : STD_LOGIC_VECTOR(8 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL aligned_datab_exp_dffe13 : STD_LOGIC_VECTOR(8 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL aligned_datab_exp_dffe14 : STD_LOGIC_VECTOR(8 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL aligned_datab_man_dffe12 : STD_LOGIC_VECTOR(23 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL aligned_datab_man_dffe13 : STD_LOGIC_VECTOR(23 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL aligned_datab_man_dffe14 : STD_LOGIC_VECTOR(23 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL aligned_datab_sign_dffe12 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL aligned_datab_sign_dffe13 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL aligned_datab_sign_dffe14 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL both_inputs_are_infinite_dffe1 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL both_inputs_are_infinite_dffe25 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL data_exp_dffe1 : STD_LOGIC_VECTOR(7 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL dataa_man_dffe1 : STD_LOGIC_VECTOR(25 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL dataa_sign_dffe1 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL dataa_sign_dffe25 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL datab_man_dffe1 : STD_LOGIC_VECTOR(25 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL datab_sign_dffe1 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL denormal_res_dffe3 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL denormal_res_dffe4 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL denormal_res_dffe41 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL exp_adj_dffe21 : STD_LOGIC_VECTOR(1 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL exp_adj_dffe23 : STD_LOGIC_VECTOR(1 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL exp_amb_mux_dffe13 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL exp_amb_mux_dffe14 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL exp_intermediate_res_dffe41 : STD_LOGIC_VECTOR(7 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL exp_out_dffe5 : STD_LOGIC_VECTOR(7 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL exp_res_dffe2 : STD_LOGIC_VECTOR(7 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL exp_res_dffe21 : STD_LOGIC_VECTOR(7 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL exp_res_dffe23 : STD_LOGIC_VECTOR(7 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL exp_res_dffe25 : STD_LOGIC_VECTOR(7 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL exp_res_dffe27 : STD_LOGIC_VECTOR(7 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL exp_res_dffe3 : STD_LOGIC_VECTOR(7 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL exp_res_dffe4 : STD_LOGIC_VECTOR(7 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL infinite_output_sign_dffe1 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL infinite_output_sign_dffe2 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL infinite_output_sign_dffe21 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL infinite_output_sign_dffe23 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL infinite_output_sign_dffe25 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL infinite_output_sign_dffe27 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL infinite_output_sign_dffe3 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL infinite_output_sign_dffe31 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL infinite_output_sign_dffe4 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL infinite_output_sign_dffe41 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL infinite_res_dffe3 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL infinite_res_dffe4 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL infinite_res_dffe41 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL infinity_magnitude_sub_dffe2 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL infinity_magnitude_sub_dffe21 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL infinity_magnitude_sub_dffe23 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL infinity_magnitude_sub_dffe27 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL infinity_magnitude_sub_dffe3 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL infinity_magnitude_sub_dffe31 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL infinity_magnitude_sub_dffe4 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL infinity_magnitude_sub_dffe41 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_dataa_infinite_dffe12 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_dataa_infinite_dffe13 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_dataa_infinite_dffe14 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_dataa_nan_dffe12 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_datab_infinite_dffe12 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_datab_infinite_dffe13 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_datab_infinite_dffe14 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_datab_nan_dffe12 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_is_infinite_dffe1 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_is_infinite_dffe2 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_is_infinite_dffe21 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_is_infinite_dffe23 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_is_infinite_dffe25 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_is_infinite_dffe27 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_is_infinite_dffe3 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_is_infinite_dffe31 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_is_infinite_dffe4 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_is_infinite_dffe41 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_is_nan_dffe1 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_is_nan_dffe13 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_is_nan_dffe14 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_is_nan_dffe2 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_is_nan_dffe21 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_is_nan_dffe23 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_is_nan_dffe25 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_is_nan_dffe27 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_is_nan_dffe3 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_is_nan_dffe31 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_is_nan_dffe4 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL input_is_nan_dffe41 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL man_add_sub_res_mag_dffe21 : STD_LOGIC_VECTOR(25 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL man_add_sub_res_mag_dffe23 : STD_LOGIC_VECTOR(25 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL man_add_sub_res_mag_dffe27 : STD_LOGIC_VECTOR(27 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL man_add_sub_res_sign_dffe21 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL man_add_sub_res_sign_dffe23 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL man_add_sub_res_sign_dffe27 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL man_dffe31 : STD_LOGIC_VECTOR(25 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL man_leading_zeros_dffe31 : STD_LOGIC_VECTOR(4 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL man_out_dffe5 : STD_LOGIC_VECTOR(22 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL man_res_dffe4 : STD_LOGIC_VECTOR(22 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL man_res_is_not_zero_dffe3 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL man_res_is_not_zero_dffe31 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL man_res_is_not_zero_dffe4 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL man_res_is_not_zero_dffe41 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL man_res_not_zero_dffe23 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL man_res_rounding_add_sub_result_reg : STD_LOGIC_VECTOR(25 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL man_smaller_dffe13 : STD_LOGIC_VECTOR(23 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL need_complement_dffe2 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL round_bit_dffe21 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL round_bit_dffe23 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL round_bit_dffe3 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL round_bit_dffe31 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL rounded_res_infinity_dffe4 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL rshift_distance_dffe13 : STD_LOGIC_VECTOR(4 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL rshift_distance_dffe14 : STD_LOGIC_VECTOR(4 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL sign_dffe31 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL sign_out_dffe5 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL sign_res_dffe3 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL sign_res_dffe4 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL sign_res_dffe41 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL sticky_bit_dffe1 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL sticky_bit_dffe2 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL sticky_bit_dffe21 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL sticky_bit_dffe23 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL sticky_bit_dffe25 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL sticky_bit_dffe27 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL sticky_bit_dffe3 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL sticky_bit_dffe31 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL zero_man_sign_dffe2 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL zero_man_sign_dffe21 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL zero_man_sign_dffe23 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL zero_man_sign_dffe27 : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL wire_add_sub1_result : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL wire_add_sub2_result : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL wire_add_sub3_result : STD_LOGIC_VECTOR (5 DOWNTO 0);
SIGNAL wire_add_sub4_result : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL wire_add_sub5_result : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL wire_add_sub6_result : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL wire_man_2comp_res_lower_w_lg_w_lg_cout367w368w : STD_LOGIC_VECTOR (13 DOWNTO 0);
SIGNAL wire_man_2comp_res_lower_w_lg_cout366w : STD_LOGIC_VECTOR (13 DOWNTO 0);
SIGNAL wire_man_2comp_res_lower_w_lg_cout367w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_man_2comp_res_lower_w_lg_w_lg_w_lg_cout367w368w369w : STD_LOGIC_VECTOR (13 DOWNTO 0);
SIGNAL wire_man_2comp_res_lower_cout : STD_LOGIC;
SIGNAL wire_man_2comp_res_lower_result : STD_LOGIC_VECTOR (13 DOWNTO 0);
SIGNAL wire_gnd : STD_LOGIC;
SIGNAL wire_man_2comp_res_upper0_result : STD_LOGIC_VECTOR (13 DOWNTO 0);
SIGNAL wire_vcc : STD_LOGIC;
SIGNAL wire_man_2comp_res_upper1_result : STD_LOGIC_VECTOR (13 DOWNTO 0);
SIGNAL wire_man_add_sub_lower_w_lg_w_lg_cout354w355w : STD_LOGIC_VECTOR (13 DOWNTO 0);
SIGNAL wire_man_add_sub_lower_w_lg_cout353w : STD_LOGIC_VECTOR (13 DOWNTO 0);
SIGNAL wire_man_add_sub_lower_w_lg_cout354w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_man_add_sub_lower_w_lg_w_lg_w_lg_cout354w355w356w : STD_LOGIC_VECTOR (13 DOWNTO 0);
SIGNAL wire_man_add_sub_lower_cout : STD_LOGIC;
SIGNAL wire_man_add_sub_lower_result : STD_LOGIC_VECTOR (13 DOWNTO 0);
SIGNAL wire_man_add_sub_upper0_result : STD_LOGIC_VECTOR (13 DOWNTO 0);
SIGNAL wire_man_add_sub_upper1_result : STD_LOGIC_VECTOR (13 DOWNTO 0);
SIGNAL wire_man_res_rounding_add_sub_lower_w_lg_w_lg_cout580w581w : STD_LOGIC_VECTOR (12 DOWNTO 0);
SIGNAL wire_man_res_rounding_add_sub_lower_w_lg_cout579w : STD_LOGIC_VECTOR (12 DOWNTO 0);
SIGNAL wire_man_res_rounding_add_sub_lower_w_lg_cout580w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_man_res_rounding_add_sub_lower_w_lg_w_lg_w_lg_cout580w581w582w : STD_LOGIC_VECTOR (12 DOWNTO 0);
SIGNAL wire_man_res_rounding_add_sub_lower_cout : STD_LOGIC;
SIGNAL wire_man_res_rounding_add_sub_lower_result : STD_LOGIC_VECTOR (12 DOWNTO 0);
SIGNAL wire_man_res_rounding_add_sub_upper1_result : STD_LOGIC_VECTOR (12 DOWNTO 0);
SIGNAL wire_trailing_zeros_limit_comparator_agb : STD_LOGIC;
SIGNAL wire_w248w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w267w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w397w407w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_w_lg_force_zero_w634w635w636w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_w_lg_force_zero_w634w635w645w : STD_LOGIC_VECTOR (22 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_denormal_result_w558w559w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_exp_amb_mux_dffe15_wo316w324w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_exp_amb_mux_dffe15_wo316w331w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_exp_amb_mux_dffe15_wo316w317w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_exp_amb_mux_w276w279w : STD_LOGIC_VECTOR (23 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_exp_amb_mux_w276w277w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_force_infinity_w629w639w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_force_infinity_w629w648w : STD_LOGIC_VECTOR (22 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_force_infinity_w629w654w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_force_nan_w630w642w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_force_nan_w630w651w : STD_LOGIC_VECTOR (22 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_input_dataa_denormal_dffe11_wo233w243w : STD_LOGIC_VECTOR (22 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_input_dataa_denormal_dffe11_wo233w234w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_input_dataa_infinite_dffe11_wo246w247w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_input_datab_denormal_dffe11_wo252w262w : STD_LOGIC_VECTOR (22 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_input_datab_denormal_dffe11_wo252w253w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_input_datab_infinite_dffe11_wo265w266w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_input_datab_infinite_dffe15_wo338w339w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_man_res_not_zero_dffe26_wo503w504w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w293w : STD_LOGIC_VECTOR (4 DOWNTO 0);
SIGNAL wire_w397w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w383w : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL wire_w412w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_w_man_add_sub_w_range372w375w378w : STD_LOGIC_VECTOR (27 DOWNTO 0);
SIGNAL wire_w587w : STD_LOGIC_VECTOR (22 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_force_zero_w634w637w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_force_zero_w634w646w : STD_LOGIC_VECTOR (22 DOWNTO 0);
SIGNAL wire_w_lg_exp_amb_mux_dffe15_wo330w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_w_lg_exp_amb_mux_dffe15_wo323w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_w_lg_exp_amb_mux_dffe15_wo314w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL wire_w_lg_exp_amb_mux_w280w : STD_LOGIC_VECTOR (23 DOWNTO 0);
SIGNAL wire_w_lg_exp_amb_mux_w274w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL wire_w_lg_force_infinity_w640w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL wire_w_lg_force_infinity_w649w : STD_LOGIC_VECTOR (22 DOWNTO 0);
SIGNAL wire_w_lg_force_nan_w643w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL wire_w_lg_force_nan_w652w : STD_LOGIC_VECTOR (22 DOWNTO 0);
SIGNAL wire_w_lg_input_datab_infinite_dffe15_wo337w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_need_complement_dffe22_wo376w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range17w23w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range27w33w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range37w43w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range47w53w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range57w63w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range67w73w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range77w83w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range20w25w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range30w35w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range40w45w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range50w55w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range60w65w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range70w75w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range80w85w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_a_all_one_w_range84w220w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_b_all_one_w_range86w226w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_diff_abs_exceed_max_w_range290w294w : STD_LOGIC_VECTOR (4 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_res_max_w_range540w542w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_res_max_w_range543w544w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_res_max_w_range545w546w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_res_max_w_range547w548w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_res_max_w_range549w550w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_res_max_w_range551w552w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_res_max_w_range553w554w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_res_max_w_range555w561w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_rounded_res_max_w_range601w604w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_rounded_res_max_w_range605w607w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_rounded_res_max_w_range608w610w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_rounded_res_max_w_range611w613w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_rounded_res_max_w_range614w616w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_rounded_res_max_w_range617w619w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_rounded_res_max_w_range620w622w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w391w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w384w : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w414w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_w_lg_w_man_add_sub_w_range372w379w : STD_LOGIC_VECTOR (27 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_rounding_add_sub_w_range585w589w : STD_LOGIC_VECTOR (22 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_force_zero_w634w635w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_add_sub_dffe25_wo491w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_add_sub_w2342w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_aligned_datab_sign_dffe15_wo336w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_denormal_result_w558w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_exp_amb_mux_dffe15_wo316w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_exp_amb_mux_w276w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_force_infinity_w629w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_force_nan_w630w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_force_zero_w628w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_input_dataa_denormal_dffe11_wo233w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_input_dataa_infinite_dffe11_wo246w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_input_dataa_zero_dffe11_wo245w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_input_datab_denormal_dffe11_wo252w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_input_datab_infinite_dffe11_wo265w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_input_datab_infinite_dffe15_wo338w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_input_datab_zero_dffe11_wo264w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_man_res_is_not_zero_dffe4_wo627w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_man_res_not_zero_dffe26_wo503w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_need_complement_dffe22_wo373w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_sticky_bit_dffe1_wo343w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_adjustment2_add_sub_w_range511w560w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_diff_abs_exceed_max_w_range290w292w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_a_not_zero_w_range215w219w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range387w390w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w382w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_add_sub_w_range372w375w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_b_not_zero_w_range218w225w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_rounding_add_sub_w_range585w586w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_w_lg_force_zero_w634w637w638w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_w_lg_force_zero_w634w646w647w : STD_LOGIC_VECTOR (22 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_force_infinity_w640w641w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_force_infinity_w649w650w : STD_LOGIC_VECTOR (22 DOWNTO 0);
SIGNAL wire_w_lg_force_zero_w634w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_sticky_bit_dffe27_wo402w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range141w142w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range147w148w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range153w154w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range159w160w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range165w166w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range171w172w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range177w178w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range183w184w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range189w190w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range195w196w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range87w88w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range201w202w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range207w208w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range213w214w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range17w18w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range27w28w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range37w38w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range47w48w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range57w58w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range67w68w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range93w94w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range77w78w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range99w100w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range105w106w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range111w112w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range117w118w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range123w124w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range129w130w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_dataa_range135w136w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range144w145w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range150w151w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range156w157w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range162w163w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range168w169w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range174w175w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range180w181w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range186w187w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range192w193w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range198w199w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range90w91w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range204w205w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range210w211w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range216w217w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range20w21w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range30w31w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range40w41w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range50w51w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range60w61w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range70w71w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range96w97w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range80w81w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range102w103w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range108w109w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range114w115w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range120w121w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range126w127w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range132w133w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_datab_range138w139w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_diff_abs_exceed_max_w_range283w286w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_diff_abs_exceed_max_w_range287w289w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_res_not_zero_w_range516w519w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_res_not_zero_w_range520w522w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_res_not_zero_w_range523w525w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_res_not_zero_w_range526w528w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_res_not_zero_w_range529w531w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_res_not_zero_w_range532w534w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_res_not_zero_w_range535w537w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_exp_res_not_zero_w_range538w539w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_not_zero_w2_range417w420w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_not_zero_w2_range448w450w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_not_zero_w2_range451w453w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_not_zero_w2_range454w456w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_not_zero_w2_range457w459w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_not_zero_w2_range460w462w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_not_zero_w2_range463w465w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_not_zero_w2_range466w468w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_not_zero_w2_range469w471w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_not_zero_w2_range472w474w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_not_zero_w2_range475w477w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_not_zero_w2_range421w423w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_not_zero_w2_range478w480w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_not_zero_w2_range481w483w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_not_zero_w2_range484w486w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_not_zero_w2_range487w489w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_not_zero_w2_range424w426w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_not_zero_w2_range427w429w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_not_zero_w2_range430w432w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_not_zero_w2_range433w435w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_not_zero_w2_range436w438w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_not_zero_w2_range439w441w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_not_zero_w2_range442w444w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_man_res_not_zero_w2_range445w447w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL aclr : STD_LOGIC;
SIGNAL add_sub_dffe25_wi : STD_LOGIC;
SIGNAL add_sub_dffe25_wo : STD_LOGIC;
SIGNAL add_sub_w2 : STD_LOGIC;
SIGNAL adder_upper_w : STD_LOGIC_VECTOR (12 DOWNTO 0);
SIGNAL aligned_dataa_exp_dffe12_wi : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL aligned_dataa_exp_dffe12_wo : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL aligned_dataa_exp_dffe13_wi : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL aligned_dataa_exp_dffe13_wo : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL aligned_dataa_exp_dffe14_wi : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL aligned_dataa_exp_dffe14_wo : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL aligned_dataa_exp_dffe15_wi : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL aligned_dataa_exp_dffe15_wo : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL aligned_dataa_exp_w : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL aligned_dataa_man_dffe12_wi : STD_LOGIC_VECTOR (23 DOWNTO 0);
SIGNAL aligned_dataa_man_dffe12_wo : STD_LOGIC_VECTOR (23 DOWNTO 0);
SIGNAL aligned_dataa_man_dffe13_wi : STD_LOGIC_VECTOR (23 DOWNTO 0);
SIGNAL aligned_dataa_man_dffe13_wo : STD_LOGIC_VECTOR (23 DOWNTO 0);
SIGNAL aligned_dataa_man_dffe14_wi : STD_LOGIC_VECTOR (23 DOWNTO 0);
SIGNAL aligned_dataa_man_dffe14_wo : STD_LOGIC_VECTOR (23 DOWNTO 0);
SIGNAL aligned_dataa_man_dffe15_w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL aligned_dataa_man_dffe15_wi : STD_LOGIC_VECTOR (23 DOWNTO 0);
SIGNAL aligned_dataa_man_dffe15_wo : STD_LOGIC_VECTOR (23 DOWNTO 0);
SIGNAL aligned_dataa_man_w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL aligned_dataa_sign_dffe12_wi : STD_LOGIC;
SIGNAL aligned_dataa_sign_dffe12_wo : STD_LOGIC;
SIGNAL aligned_dataa_sign_dffe13_wi : STD_LOGIC;
SIGNAL aligned_dataa_sign_dffe13_wo : STD_LOGIC;
SIGNAL aligned_dataa_sign_dffe14_wi : STD_LOGIC;
SIGNAL aligned_dataa_sign_dffe14_wo : STD_LOGIC;
SIGNAL aligned_dataa_sign_dffe15_wi : STD_LOGIC;
SIGNAL aligned_dataa_sign_dffe15_wo : STD_LOGIC;
SIGNAL aligned_dataa_sign_w : STD_LOGIC;
SIGNAL aligned_datab_exp_dffe12_wi : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL aligned_datab_exp_dffe12_wo : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL aligned_datab_exp_dffe13_wi : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL aligned_datab_exp_dffe13_wo : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL aligned_datab_exp_dffe14_wi : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL aligned_datab_exp_dffe14_wo : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL aligned_datab_exp_dffe15_wi : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL aligned_datab_exp_dffe15_wo : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL aligned_datab_exp_w : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL aligned_datab_man_dffe12_wi : STD_LOGIC_VECTOR (23 DOWNTO 0);
SIGNAL aligned_datab_man_dffe12_wo : STD_LOGIC_VECTOR (23 DOWNTO 0);
SIGNAL aligned_datab_man_dffe13_wi : STD_LOGIC_VECTOR (23 DOWNTO 0);
SIGNAL aligned_datab_man_dffe13_wo : STD_LOGIC_VECTOR (23 DOWNTO 0);
SIGNAL aligned_datab_man_dffe14_wi : STD_LOGIC_VECTOR (23 DOWNTO 0);
SIGNAL aligned_datab_man_dffe14_wo : STD_LOGIC_VECTOR (23 DOWNTO 0);
SIGNAL aligned_datab_man_dffe15_w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL aligned_datab_man_dffe15_wi : STD_LOGIC_VECTOR (23 DOWNTO 0);
SIGNAL aligned_datab_man_dffe15_wo : STD_LOGIC_VECTOR (23 DOWNTO 0);
SIGNAL aligned_datab_man_w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL aligned_datab_sign_dffe12_wi : STD_LOGIC;
SIGNAL aligned_datab_sign_dffe12_wo : STD_LOGIC;
SIGNAL aligned_datab_sign_dffe13_wi : STD_LOGIC;
SIGNAL aligned_datab_sign_dffe13_wo : STD_LOGIC;
SIGNAL aligned_datab_sign_dffe14_wi : STD_LOGIC;
SIGNAL aligned_datab_sign_dffe14_wo : STD_LOGIC;
SIGNAL aligned_datab_sign_dffe15_wi : STD_LOGIC;
SIGNAL aligned_datab_sign_dffe15_wo : STD_LOGIC;
SIGNAL aligned_datab_sign_w : STD_LOGIC;
SIGNAL borrow_w : STD_LOGIC;
SIGNAL both_inputs_are_infinite_dffe1_wi : STD_LOGIC;
SIGNAL both_inputs_are_infinite_dffe1_wo : STD_LOGIC;
SIGNAL both_inputs_are_infinite_dffe25_wi : STD_LOGIC;
SIGNAL both_inputs_are_infinite_dffe25_wo : STD_LOGIC;
SIGNAL clk_en : STD_LOGIC;
SIGNAL data_exp_dffe1_wi : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL data_exp_dffe1_wo : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL dataa_dffe11_wi : STD_LOGIC_VECTOR (31 DOWNTO 0);
SIGNAL dataa_dffe11_wo : STD_LOGIC_VECTOR (31 DOWNTO 0);
SIGNAL dataa_man_dffe1_wi : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL dataa_man_dffe1_wo : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL dataa_sign_dffe1_wi : STD_LOGIC;
SIGNAL dataa_sign_dffe1_wo : STD_LOGIC;
SIGNAL dataa_sign_dffe25_wi : STD_LOGIC;
SIGNAL dataa_sign_dffe25_wo : STD_LOGIC;
SIGNAL datab_dffe11_wi : STD_LOGIC_VECTOR (31 DOWNTO 0);
SIGNAL datab_dffe11_wo : STD_LOGIC_VECTOR (31 DOWNTO 0);
SIGNAL datab_man_dffe1_wi : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL datab_man_dffe1_wo : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL datab_sign_dffe1_wi : STD_LOGIC;
SIGNAL datab_sign_dffe1_wo : STD_LOGIC;
SIGNAL denormal_flag_w : STD_LOGIC;
SIGNAL denormal_res_dffe32_wi : STD_LOGIC;
SIGNAL denormal_res_dffe32_wo : STD_LOGIC;
SIGNAL denormal_res_dffe33_wi : STD_LOGIC;
SIGNAL denormal_res_dffe33_wo : STD_LOGIC;
SIGNAL denormal_res_dffe3_wi : STD_LOGIC;
SIGNAL denormal_res_dffe3_wo : STD_LOGIC;
SIGNAL denormal_res_dffe41_wi : STD_LOGIC;
SIGNAL denormal_res_dffe41_wo : STD_LOGIC;
SIGNAL denormal_res_dffe42_wi : STD_LOGIC;
SIGNAL denormal_res_dffe42_wo : STD_LOGIC;
SIGNAL denormal_res_dffe4_wi : STD_LOGIC;
SIGNAL denormal_res_dffe4_wo : STD_LOGIC;
SIGNAL denormal_result_w : STD_LOGIC;
SIGNAL exp_a_all_one_w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_a_not_zero_w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_adj_0pads : STD_LOGIC_VECTOR (6 DOWNTO 0);
SIGNAL exp_adj_dffe21_wi : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL exp_adj_dffe21_wo : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL exp_adj_dffe23_wi : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL exp_adj_dffe23_wo : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL exp_adj_dffe26_wi : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL exp_adj_dffe26_wo : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL exp_adjust_by_add1 : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL exp_adjust_by_add2 : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL exp_adjustment2_add_sub_dataa_w : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL exp_adjustment2_add_sub_datab_w : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL exp_adjustment2_add_sub_w : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL exp_adjustment_add_sub_dataa_w : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL exp_adjustment_add_sub_datab_w : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL exp_adjustment_add_sub_w : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL exp_all_ones_w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_all_zeros_w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_amb_mux_dffe13_wi : STD_LOGIC;
SIGNAL exp_amb_mux_dffe13_wo : STD_LOGIC;
SIGNAL exp_amb_mux_dffe14_wi : STD_LOGIC;
SIGNAL exp_amb_mux_dffe14_wo : STD_LOGIC;
SIGNAL exp_amb_mux_dffe15_wi : STD_LOGIC;
SIGNAL exp_amb_mux_dffe15_wo : STD_LOGIC;
SIGNAL exp_amb_mux_w : STD_LOGIC;
SIGNAL exp_amb_w : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL exp_b_all_one_w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_b_not_zero_w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_bma_w : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL exp_diff_abs_exceed_max_w : STD_LOGIC_VECTOR (2 DOWNTO 0);
SIGNAL exp_diff_abs_max_w : STD_LOGIC_VECTOR (4 DOWNTO 0);
SIGNAL exp_diff_abs_w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_intermediate_res_dffe41_wi : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_intermediate_res_dffe41_wo : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_intermediate_res_dffe42_wi : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_intermediate_res_dffe42_wo : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_intermediate_res_w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_out_dffe5_wi : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_out_dffe5_wo : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_res_dffe21_wi : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_res_dffe21_wo : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_res_dffe22_wi : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_res_dffe22_wo : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_res_dffe23_wi : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_res_dffe23_wo : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_res_dffe25_wi : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_res_dffe25_wo : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_res_dffe26_wi : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_res_dffe26_wo : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_res_dffe27_wi : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_res_dffe27_wo : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_res_dffe2_wi : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_res_dffe2_wo : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_res_dffe32_wi : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_res_dffe32_wo : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_res_dffe33_wi : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_res_dffe33_wo : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_res_dffe3_wi : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_res_dffe3_wo : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_res_dffe4_wi : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_res_dffe4_wo : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_res_max_w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_res_not_zero_w : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL exp_res_rounding_adder_dataa_w : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL exp_res_rounding_adder_w : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL exp_rounded_res_infinity_w : STD_LOGIC;
SIGNAL exp_rounded_res_max_w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_rounded_res_w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL exp_rounding_adjustment_w : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL exp_value : STD_LOGIC_VECTOR (8 DOWNTO 0);
SIGNAL force_infinity_w : STD_LOGIC;
SIGNAL force_nan_w : STD_LOGIC;
SIGNAL force_zero_w : STD_LOGIC;
SIGNAL guard_bit_dffe3_wo : STD_LOGIC;
SIGNAL infinite_output_sign_dffe1_wi : STD_LOGIC;
SIGNAL infinite_output_sign_dffe1_wo : STD_LOGIC;
SIGNAL infinite_output_sign_dffe21_wi : STD_LOGIC;
SIGNAL infinite_output_sign_dffe21_wo : STD_LOGIC;
SIGNAL infinite_output_sign_dffe22_wi : STD_LOGIC;
SIGNAL infinite_output_sign_dffe22_wo : STD_LOGIC;
SIGNAL infinite_output_sign_dffe23_wi : STD_LOGIC;
SIGNAL infinite_output_sign_dffe23_wo : STD_LOGIC;
SIGNAL infinite_output_sign_dffe25_wi : STD_LOGIC;
SIGNAL infinite_output_sign_dffe25_wo : STD_LOGIC;
SIGNAL infinite_output_sign_dffe26_wi : STD_LOGIC;
SIGNAL infinite_output_sign_dffe26_wo : STD_LOGIC;
SIGNAL infinite_output_sign_dffe27_wi : STD_LOGIC;
SIGNAL infinite_output_sign_dffe27_wo : STD_LOGIC;
SIGNAL infinite_output_sign_dffe2_wi : STD_LOGIC;
SIGNAL infinite_output_sign_dffe2_wo : STD_LOGIC;
SIGNAL infinite_output_sign_dffe31_wi : STD_LOGIC;
SIGNAL infinite_output_sign_dffe31_wo : STD_LOGIC;
SIGNAL infinite_output_sign_dffe32_wi : STD_LOGIC;
SIGNAL infinite_output_sign_dffe32_wo : STD_LOGIC;
SIGNAL infinite_output_sign_dffe33_wi : STD_LOGIC;
SIGNAL infinite_output_sign_dffe33_wo : STD_LOGIC;
SIGNAL infinite_output_sign_dffe3_wi : STD_LOGIC;
SIGNAL infinite_output_sign_dffe3_wo : STD_LOGIC;
SIGNAL infinite_output_sign_dffe41_wi : STD_LOGIC;
SIGNAL infinite_output_sign_dffe41_wo : STD_LOGIC;
SIGNAL infinite_output_sign_dffe42_wi : STD_LOGIC;
SIGNAL infinite_output_sign_dffe42_wo : STD_LOGIC;
SIGNAL infinite_output_sign_dffe4_wi : STD_LOGIC;
SIGNAL infinite_output_sign_dffe4_wo : STD_LOGIC;
SIGNAL infinite_res_dff32_wi : STD_LOGIC;
SIGNAL infinite_res_dff32_wo : STD_LOGIC;
SIGNAL infinite_res_dff33_wi : STD_LOGIC;
SIGNAL infinite_res_dff33_wo : STD_LOGIC;
SIGNAL infinite_res_dffe3_wi : STD_LOGIC;
SIGNAL infinite_res_dffe3_wo : STD_LOGIC;
SIGNAL infinite_res_dffe41_wi : STD_LOGIC;
SIGNAL infinite_res_dffe41_wo : STD_LOGIC;
SIGNAL infinite_res_dffe42_wi : STD_LOGIC;
SIGNAL infinite_res_dffe42_wo : STD_LOGIC;
SIGNAL infinite_res_dffe4_wi : STD_LOGIC;
SIGNAL infinite_res_dffe4_wo : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe21_wi : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe21_wo : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe22_wi : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe22_wo : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe23_wi : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe23_wo : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe26_wi : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe26_wo : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe27_wi : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe27_wo : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe2_wi : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe2_wo : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe31_wi : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe31_wo : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe32_wi : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe32_wo : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe33_wi : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe33_wo : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe3_wi : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe3_wo : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe41_wi : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe41_wo : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe42_wi : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe42_wo : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe4_wi : STD_LOGIC;
SIGNAL infinity_magnitude_sub_dffe4_wo : STD_LOGIC;
SIGNAL input_dataa_denormal_dffe11_wi : STD_LOGIC;
SIGNAL input_dataa_denormal_dffe11_wo : STD_LOGIC;
SIGNAL input_dataa_denormal_w : STD_LOGIC;
SIGNAL input_dataa_infinite_dffe11_wi : STD_LOGIC;
SIGNAL input_dataa_infinite_dffe11_wo : STD_LOGIC;
SIGNAL input_dataa_infinite_dffe12_wi : STD_LOGIC;
SIGNAL input_dataa_infinite_dffe12_wo : STD_LOGIC;
SIGNAL input_dataa_infinite_dffe13_wi : STD_LOGIC;
SIGNAL input_dataa_infinite_dffe13_wo : STD_LOGIC;
SIGNAL input_dataa_infinite_dffe14_wi : STD_LOGIC;
SIGNAL input_dataa_infinite_dffe14_wo : STD_LOGIC;
SIGNAL input_dataa_infinite_dffe15_wi : STD_LOGIC;
SIGNAL input_dataa_infinite_dffe15_wo : STD_LOGIC;
SIGNAL input_dataa_infinite_w : STD_LOGIC;
SIGNAL input_dataa_nan_dffe11_wi : STD_LOGIC;
SIGNAL input_dataa_nan_dffe11_wo : STD_LOGIC;
SIGNAL input_dataa_nan_dffe12_wi : STD_LOGIC;
SIGNAL input_dataa_nan_dffe12_wo : STD_LOGIC;
SIGNAL input_dataa_nan_w : STD_LOGIC;
SIGNAL input_dataa_zero_dffe11_wi : STD_LOGIC;
SIGNAL input_dataa_zero_dffe11_wo : STD_LOGIC;
SIGNAL input_dataa_zero_w : STD_LOGIC;
SIGNAL input_datab_denormal_dffe11_wi : STD_LOGIC;
SIGNAL input_datab_denormal_dffe11_wo : STD_LOGIC;
SIGNAL input_datab_denormal_w : STD_LOGIC;
SIGNAL input_datab_infinite_dffe11_wi : STD_LOGIC;
SIGNAL input_datab_infinite_dffe11_wo : STD_LOGIC;
SIGNAL input_datab_infinite_dffe12_wi : STD_LOGIC;
SIGNAL input_datab_infinite_dffe12_wo : STD_LOGIC;
SIGNAL input_datab_infinite_dffe13_wi : STD_LOGIC;
SIGNAL input_datab_infinite_dffe13_wo : STD_LOGIC;
SIGNAL input_datab_infinite_dffe14_wi : STD_LOGIC;
SIGNAL input_datab_infinite_dffe14_wo : STD_LOGIC;
SIGNAL input_datab_infinite_dffe15_wi : STD_LOGIC;
SIGNAL input_datab_infinite_dffe15_wo : STD_LOGIC;
SIGNAL input_datab_infinite_w : STD_LOGIC;
SIGNAL input_datab_nan_dffe11_wi : STD_LOGIC;
SIGNAL input_datab_nan_dffe11_wo : STD_LOGIC;
SIGNAL input_datab_nan_dffe12_wi : STD_LOGIC;
SIGNAL input_datab_nan_dffe12_wo : STD_LOGIC;
SIGNAL input_datab_nan_w : STD_LOGIC;
SIGNAL input_datab_zero_dffe11_wi : STD_LOGIC;
SIGNAL input_datab_zero_dffe11_wo : STD_LOGIC;
SIGNAL input_datab_zero_w : STD_LOGIC;
SIGNAL input_is_infinite_dffe1_wi : STD_LOGIC;
SIGNAL input_is_infinite_dffe1_wo : STD_LOGIC;
SIGNAL input_is_infinite_dffe21_wi : STD_LOGIC;
SIGNAL input_is_infinite_dffe21_wo : STD_LOGIC;
SIGNAL input_is_infinite_dffe22_wi : STD_LOGIC;
SIGNAL input_is_infinite_dffe22_wo : STD_LOGIC;
SIGNAL input_is_infinite_dffe23_wi : STD_LOGIC;
SIGNAL input_is_infinite_dffe23_wo : STD_LOGIC;
SIGNAL input_is_infinite_dffe25_wi : STD_LOGIC;
SIGNAL input_is_infinite_dffe25_wo : STD_LOGIC;
SIGNAL input_is_infinite_dffe26_wi : STD_LOGIC;
SIGNAL input_is_infinite_dffe26_wo : STD_LOGIC;
SIGNAL input_is_infinite_dffe27_wi : STD_LOGIC;
SIGNAL input_is_infinite_dffe27_wo : STD_LOGIC;
SIGNAL input_is_infinite_dffe2_wi : STD_LOGIC;
SIGNAL input_is_infinite_dffe2_wo : STD_LOGIC;
SIGNAL input_is_infinite_dffe31_wi : STD_LOGIC;
SIGNAL input_is_infinite_dffe31_wo : STD_LOGIC;
SIGNAL input_is_infinite_dffe32_wi : STD_LOGIC;
SIGNAL input_is_infinite_dffe32_wo : STD_LOGIC;
SIGNAL input_is_infinite_dffe33_wi : STD_LOGIC;
SIGNAL input_is_infinite_dffe33_wo : STD_LOGIC;
SIGNAL input_is_infinite_dffe3_wi : STD_LOGIC;
SIGNAL input_is_infinite_dffe3_wo : STD_LOGIC;
SIGNAL input_is_infinite_dffe41_wi : STD_LOGIC;
SIGNAL input_is_infinite_dffe41_wo : STD_LOGIC;
SIGNAL input_is_infinite_dffe42_wi : STD_LOGIC;
SIGNAL input_is_infinite_dffe42_wo : STD_LOGIC;
SIGNAL input_is_infinite_dffe4_wi : STD_LOGIC;
SIGNAL input_is_infinite_dffe4_wo : STD_LOGIC;
SIGNAL input_is_nan_dffe13_wi : STD_LOGIC;
SIGNAL input_is_nan_dffe13_wo : STD_LOGIC;
SIGNAL input_is_nan_dffe14_wi : STD_LOGIC;
SIGNAL input_is_nan_dffe14_wo : STD_LOGIC;
SIGNAL input_is_nan_dffe15_wi : STD_LOGIC;
SIGNAL input_is_nan_dffe15_wo : STD_LOGIC;
SIGNAL input_is_nan_dffe1_wi : STD_LOGIC;
SIGNAL input_is_nan_dffe1_wo : STD_LOGIC;
SIGNAL input_is_nan_dffe21_wi : STD_LOGIC;
SIGNAL input_is_nan_dffe21_wo : STD_LOGIC;
SIGNAL input_is_nan_dffe22_wi : STD_LOGIC;
SIGNAL input_is_nan_dffe22_wo : STD_LOGIC;
SIGNAL input_is_nan_dffe23_wi : STD_LOGIC;
SIGNAL input_is_nan_dffe23_wo : STD_LOGIC;
SIGNAL input_is_nan_dffe25_wi : STD_LOGIC;
SIGNAL input_is_nan_dffe25_wo : STD_LOGIC;
SIGNAL input_is_nan_dffe26_wi : STD_LOGIC;
SIGNAL input_is_nan_dffe26_wo : STD_LOGIC;
SIGNAL input_is_nan_dffe27_wi : STD_LOGIC;
SIGNAL input_is_nan_dffe27_wo : STD_LOGIC;
SIGNAL input_is_nan_dffe2_wi : STD_LOGIC;
SIGNAL input_is_nan_dffe2_wo : STD_LOGIC;
SIGNAL input_is_nan_dffe31_wi : STD_LOGIC;
SIGNAL input_is_nan_dffe31_wo : STD_LOGIC;
SIGNAL input_is_nan_dffe32_wi : STD_LOGIC;
SIGNAL input_is_nan_dffe32_wo : STD_LOGIC;
SIGNAL input_is_nan_dffe33_wi : STD_LOGIC;
SIGNAL input_is_nan_dffe33_wo : STD_LOGIC;
SIGNAL input_is_nan_dffe3_wi : STD_LOGIC;
SIGNAL input_is_nan_dffe3_wo : STD_LOGIC;
SIGNAL input_is_nan_dffe41_wi : STD_LOGIC;
SIGNAL input_is_nan_dffe41_wo : STD_LOGIC;
SIGNAL input_is_nan_dffe42_wi : STD_LOGIC;
SIGNAL input_is_nan_dffe42_wo : STD_LOGIC;
SIGNAL input_is_nan_dffe4_wi : STD_LOGIC;
SIGNAL input_is_nan_dffe4_wo : STD_LOGIC;
SIGNAL man_2comp_res_dataa_w : STD_LOGIC_VECTOR (27 DOWNTO 0);
SIGNAL man_2comp_res_datab_w : STD_LOGIC_VECTOR (27 DOWNTO 0);
SIGNAL man_2comp_res_w : STD_LOGIC_VECTOR (27 DOWNTO 0);
SIGNAL man_a_not_zero_w : STD_LOGIC_VECTOR (22 DOWNTO 0);
SIGNAL man_add_sub_dataa_w : STD_LOGIC_VECTOR (27 DOWNTO 0);
SIGNAL man_add_sub_datab_w : STD_LOGIC_VECTOR (27 DOWNTO 0);
SIGNAL man_add_sub_res_mag_dffe21_wi : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL man_add_sub_res_mag_dffe21_wo : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL man_add_sub_res_mag_dffe23_wi : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL man_add_sub_res_mag_dffe23_wo : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL man_add_sub_res_mag_dffe26_wi : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL man_add_sub_res_mag_dffe26_wo : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL man_add_sub_res_mag_dffe27_wi : STD_LOGIC_VECTOR (27 DOWNTO 0);
SIGNAL man_add_sub_res_mag_dffe27_wo : STD_LOGIC_VECTOR (27 DOWNTO 0);
SIGNAL man_add_sub_res_mag_w2 : STD_LOGIC_VECTOR (27 DOWNTO 0);
SIGNAL man_add_sub_res_sign_dffe21_wo : STD_LOGIC;
SIGNAL man_add_sub_res_sign_dffe23_wi : STD_LOGIC;
SIGNAL man_add_sub_res_sign_dffe23_wo : STD_LOGIC;
SIGNAL man_add_sub_res_sign_dffe26_wi : STD_LOGIC;
SIGNAL man_add_sub_res_sign_dffe26_wo : STD_LOGIC;
SIGNAL man_add_sub_res_sign_dffe27_wi : STD_LOGIC;
SIGNAL man_add_sub_res_sign_dffe27_wo : STD_LOGIC;
SIGNAL man_add_sub_res_sign_w2 : STD_LOGIC;
SIGNAL man_add_sub_w : STD_LOGIC_VECTOR (27 DOWNTO 0);
SIGNAL man_all_zeros_w : STD_LOGIC_VECTOR (22 DOWNTO 0);
SIGNAL man_b_not_zero_w : STD_LOGIC_VECTOR (22 DOWNTO 0);
SIGNAL man_dffe31_wo : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL man_intermediate_res_w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL man_leading_zeros_cnt_w : STD_LOGIC_VECTOR (4 DOWNTO 0);
SIGNAL man_leading_zeros_dffe31_wi : STD_LOGIC_VECTOR (4 DOWNTO 0);
SIGNAL man_leading_zeros_dffe31_wo : STD_LOGIC_VECTOR (4 DOWNTO 0);
SIGNAL man_nan_w : STD_LOGIC_VECTOR (22 DOWNTO 0);
SIGNAL man_out_dffe5_wi : STD_LOGIC_VECTOR (22 DOWNTO 0);
SIGNAL man_out_dffe5_wo : STD_LOGIC_VECTOR (22 DOWNTO 0);
SIGNAL man_res_dffe4_wi : STD_LOGIC_VECTOR (22 DOWNTO 0);
SIGNAL man_res_dffe4_wo : STD_LOGIC_VECTOR (22 DOWNTO 0);
SIGNAL man_res_is_not_zero_dffe31_wi : STD_LOGIC;
SIGNAL man_res_is_not_zero_dffe31_wo : STD_LOGIC;
SIGNAL man_res_is_not_zero_dffe32_wi : STD_LOGIC;
SIGNAL man_res_is_not_zero_dffe32_wo : STD_LOGIC;
SIGNAL man_res_is_not_zero_dffe33_wi : STD_LOGIC;
SIGNAL man_res_is_not_zero_dffe33_wo : STD_LOGIC;
SIGNAL man_res_is_not_zero_dffe3_wi : STD_LOGIC;
SIGNAL man_res_is_not_zero_dffe3_wo : STD_LOGIC;
SIGNAL man_res_is_not_zero_dffe41_wi : STD_LOGIC;
SIGNAL man_res_is_not_zero_dffe41_wo : STD_LOGIC;
SIGNAL man_res_is_not_zero_dffe42_wi : STD_LOGIC;
SIGNAL man_res_is_not_zero_dffe42_wo : STD_LOGIC;
SIGNAL man_res_is_not_zero_dffe4_wi : STD_LOGIC;
SIGNAL man_res_is_not_zero_dffe4_wo : STD_LOGIC;
SIGNAL man_res_mag_w2 : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL man_res_not_zero_dffe23_wi : STD_LOGIC;
SIGNAL man_res_not_zero_dffe23_wo : STD_LOGIC;
SIGNAL man_res_not_zero_dffe26_wi : STD_LOGIC;
SIGNAL man_res_not_zero_dffe26_wo : STD_LOGIC;
SIGNAL man_res_not_zero_w2 : STD_LOGIC_VECTOR (24 DOWNTO 0);
SIGNAL man_res_rounding_add_sub_datab_w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL man_res_rounding_add_sub_w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL man_res_w3 : STD_LOGIC_VECTOR (23 DOWNTO 0);
SIGNAL man_rounded_res_w : STD_LOGIC_VECTOR (22 DOWNTO 0);
SIGNAL man_rounding_add_value_w : STD_LOGIC;
SIGNAL man_smaller_dffe13_wi : STD_LOGIC_VECTOR (23 DOWNTO 0);
SIGNAL man_smaller_dffe13_wo : STD_LOGIC_VECTOR (23 DOWNTO 0);
SIGNAL man_smaller_w : STD_LOGIC_VECTOR (23 DOWNTO 0);
SIGNAL need_complement_dffe22_wi : STD_LOGIC;
SIGNAL need_complement_dffe22_wo : STD_LOGIC;
SIGNAL need_complement_dffe2_wi : STD_LOGIC;
SIGNAL need_complement_dffe2_wo : STD_LOGIC;
SIGNAL pos_sign_bit_ext : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL priority_encoder_1pads_w : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL round_bit_dffe21_wi : STD_LOGIC;
SIGNAL round_bit_dffe21_wo : STD_LOGIC;
SIGNAL round_bit_dffe23_wi : STD_LOGIC;
SIGNAL round_bit_dffe23_wo : STD_LOGIC;
SIGNAL round_bit_dffe26_wi : STD_LOGIC;
SIGNAL round_bit_dffe26_wo : STD_LOGIC;
SIGNAL round_bit_dffe31_wi : STD_LOGIC;
SIGNAL round_bit_dffe31_wo : STD_LOGIC;
SIGNAL round_bit_dffe32_wi : STD_LOGIC;
SIGNAL round_bit_dffe32_wo : STD_LOGIC;
SIGNAL round_bit_dffe33_wi : STD_LOGIC;
SIGNAL round_bit_dffe33_wo : STD_LOGIC;
SIGNAL round_bit_dffe3_wi : STD_LOGIC;
SIGNAL round_bit_dffe3_wo : STD_LOGIC;
SIGNAL round_bit_w : STD_LOGIC;
SIGNAL rounded_res_infinity_dffe4_wi : STD_LOGIC;
SIGNAL rounded_res_infinity_dffe4_wo : STD_LOGIC;
SIGNAL rshift_distance_dffe13_wi : STD_LOGIC_VECTOR (4 DOWNTO 0);
SIGNAL rshift_distance_dffe13_wo : STD_LOGIC_VECTOR (4 DOWNTO 0);
SIGNAL rshift_distance_dffe14_wi : STD_LOGIC_VECTOR (4 DOWNTO 0);
SIGNAL rshift_distance_dffe14_wo : STD_LOGIC_VECTOR (4 DOWNTO 0);
SIGNAL rshift_distance_dffe15_wi : STD_LOGIC_VECTOR (4 DOWNTO 0);
SIGNAL rshift_distance_dffe15_wo : STD_LOGIC_VECTOR (4 DOWNTO 0);
SIGNAL rshift_distance_w : STD_LOGIC_VECTOR (4 DOWNTO 0);
SIGNAL sign_dffe31_wi : STD_LOGIC;
SIGNAL sign_dffe31_wo : STD_LOGIC;
SIGNAL sign_dffe32_wi : STD_LOGIC;
SIGNAL sign_dffe32_wo : STD_LOGIC;
SIGNAL sign_dffe33_wi : STD_LOGIC;
SIGNAL sign_dffe33_wo : STD_LOGIC;
SIGNAL sign_out_dffe5_wi : STD_LOGIC;
SIGNAL sign_out_dffe5_wo : STD_LOGIC;
SIGNAL sign_res_dffe3_wi : STD_LOGIC;
SIGNAL sign_res_dffe3_wo : STD_LOGIC;
SIGNAL sign_res_dffe41_wi : STD_LOGIC;
SIGNAL sign_res_dffe41_wo : STD_LOGIC;
SIGNAL sign_res_dffe42_wi : STD_LOGIC;
SIGNAL sign_res_dffe42_wo : STD_LOGIC;
SIGNAL sign_res_dffe4_wi : STD_LOGIC;
SIGNAL sign_res_dffe4_wo : STD_LOGIC;
SIGNAL sticky_bit_cnt_dataa_w : STD_LOGIC_VECTOR (5 DOWNTO 0);
SIGNAL sticky_bit_cnt_datab_w : STD_LOGIC_VECTOR (5 DOWNTO 0);
SIGNAL sticky_bit_cnt_res_w : STD_LOGIC_VECTOR (5 DOWNTO 0);
SIGNAL sticky_bit_dffe1_wi : STD_LOGIC;
SIGNAL sticky_bit_dffe1_wo : STD_LOGIC;
SIGNAL sticky_bit_dffe21_wi : STD_LOGIC;
SIGNAL sticky_bit_dffe21_wo : STD_LOGIC;
SIGNAL sticky_bit_dffe22_wi : STD_LOGIC;
SIGNAL sticky_bit_dffe22_wo : STD_LOGIC;
SIGNAL sticky_bit_dffe23_wi : STD_LOGIC;
SIGNAL sticky_bit_dffe23_wo : STD_LOGIC;
SIGNAL sticky_bit_dffe25_wi : STD_LOGIC;
SIGNAL sticky_bit_dffe25_wo : STD_LOGIC;
SIGNAL sticky_bit_dffe26_wi : STD_LOGIC;
SIGNAL sticky_bit_dffe26_wo : STD_LOGIC;
SIGNAL sticky_bit_dffe27_wi : STD_LOGIC;
SIGNAL sticky_bit_dffe27_wo : STD_LOGIC;
SIGNAL sticky_bit_dffe2_wi : STD_LOGIC;
SIGNAL sticky_bit_dffe2_wo : STD_LOGIC;
SIGNAL sticky_bit_dffe31_wi : STD_LOGIC;
SIGNAL sticky_bit_dffe31_wo : STD_LOGIC;
SIGNAL sticky_bit_dffe32_wi : STD_LOGIC;
SIGNAL sticky_bit_dffe32_wo : STD_LOGIC;
SIGNAL sticky_bit_dffe33_wi : STD_LOGIC;
SIGNAL sticky_bit_dffe33_wo : STD_LOGIC;
SIGNAL sticky_bit_dffe3_wi : STD_LOGIC;
SIGNAL sticky_bit_dffe3_wo : STD_LOGIC;
SIGNAL sticky_bit_w : STD_LOGIC;
SIGNAL trailing_zeros_limit_w : STD_LOGIC_VECTOR (5 DOWNTO 0);
SIGNAL zero_man_sign_dffe21_wi : STD_LOGIC;
SIGNAL zero_man_sign_dffe21_wo : STD_LOGIC;
SIGNAL zero_man_sign_dffe22_wi : STD_LOGIC;
SIGNAL zero_man_sign_dffe22_wo : STD_LOGIC;
SIGNAL zero_man_sign_dffe23_wi : STD_LOGIC;
SIGNAL zero_man_sign_dffe23_wo : STD_LOGIC;
SIGNAL zero_man_sign_dffe26_wi : STD_LOGIC;
SIGNAL zero_man_sign_dffe26_wo : STD_LOGIC;
SIGNAL zero_man_sign_dffe27_wi : STD_LOGIC;
SIGNAL zero_man_sign_dffe27_wo : STD_LOGIC;
SIGNAL zero_man_sign_dffe2_wi : STD_LOGIC;
SIGNAL zero_man_sign_dffe2_wo : STD_LOGIC;
SIGNAL wire_w_aligned_dataa_exp_dffe15_wo_range315w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL wire_w_aligned_datab_exp_dffe15_wo_range313w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL wire_w_dataa_range141w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range147w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range153w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range159w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range165w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range171w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range177w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range183w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range189w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range195w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range87w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range201w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range207w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range213w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range17w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range27w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range37w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range47w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range57w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range67w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range93w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range77w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range99w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range105w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range111w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range117w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range123w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range129w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_range135w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_dataa_dffe11_wo_range242w : STD_LOGIC_VECTOR (22 DOWNTO 0);
SIGNAL wire_w_dataa_dffe11_wo_range232w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL wire_w_datab_range144w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range150w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range156w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range162w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range168w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range174w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range180w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range186w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range192w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range198w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range90w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range204w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range210w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range216w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range20w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range30w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range40w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range50w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range60w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range70w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range96w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range80w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range102w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range108w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range114w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range120w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range126w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range132w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_range138w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_datab_dffe11_wo_range261w : STD_LOGIC_VECTOR (22 DOWNTO 0);
SIGNAL wire_w_datab_dffe11_wo_range251w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL wire_w_exp_a_all_one_w_range7w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_a_all_one_w_range24w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_a_all_one_w_range34w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_a_all_one_w_range44w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_a_all_one_w_range54w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_a_all_one_w_range64w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_a_all_one_w_range74w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_a_all_one_w_range84w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_a_not_zero_w_range2w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_a_not_zero_w_range19w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_a_not_zero_w_range29w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_a_not_zero_w_range39w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_a_not_zero_w_range49w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_a_not_zero_w_range59w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_a_not_zero_w_range69w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_adjustment2_add_sub_w_range518w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_adjustment2_add_sub_w_range521w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_adjustment2_add_sub_w_range524w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_adjustment2_add_sub_w_range527w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_adjustment2_add_sub_w_range530w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_adjustment2_add_sub_w_range533w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_adjustment2_add_sub_w_range557w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL wire_w_exp_adjustment2_add_sub_w_range536w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_adjustment2_add_sub_w_range511w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_amb_w_range275w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL wire_w_exp_b_all_one_w_range9w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_b_all_one_w_range26w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_b_all_one_w_range36w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_b_all_one_w_range46w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_b_all_one_w_range56w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_b_all_one_w_range66w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_b_all_one_w_range76w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_b_all_one_w_range86w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_b_not_zero_w_range5w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_b_not_zero_w_range22w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_b_not_zero_w_range32w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_b_not_zero_w_range42w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_b_not_zero_w_range52w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_b_not_zero_w_range62w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_b_not_zero_w_range72w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_bma_w_range273w : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL wire_w_exp_diff_abs_exceed_max_w_range283w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_diff_abs_exceed_max_w_range287w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_diff_abs_exceed_max_w_range290w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_diff_abs_w_range291w : STD_LOGIC_VECTOR (4 DOWNTO 0);
SIGNAL wire_w_exp_diff_abs_w_range285w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_diff_abs_w_range288w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_res_max_w_range540w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_res_max_w_range543w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_res_max_w_range545w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_res_max_w_range547w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_res_max_w_range549w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_res_max_w_range551w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_res_max_w_range553w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_res_max_w_range555w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_res_not_zero_w_range516w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_res_not_zero_w_range520w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_res_not_zero_w_range523w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_res_not_zero_w_range526w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_res_not_zero_w_range529w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_res_not_zero_w_range532w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_res_not_zero_w_range535w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_res_not_zero_w_range538w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_rounded_res_max_w_range601w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_rounded_res_max_w_range605w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_rounded_res_max_w_range608w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_rounded_res_max_w_range611w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_rounded_res_max_w_range614w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_rounded_res_max_w_range617w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_rounded_res_max_w_range620w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_rounded_res_w_range603w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_rounded_res_w_range606w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_rounded_res_w_range609w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_rounded_res_w_range612w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_rounded_res_w_range615w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_rounded_res_w_range618w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_exp_rounded_res_w_range621w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_a_not_zero_w_range12w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_a_not_zero_w_range143w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_a_not_zero_w_range149w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_a_not_zero_w_range155w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_a_not_zero_w_range161w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_a_not_zero_w_range167w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_a_not_zero_w_range173w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_a_not_zero_w_range179w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_a_not_zero_w_range185w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_a_not_zero_w_range191w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_a_not_zero_w_range197w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_a_not_zero_w_range89w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_a_not_zero_w_range203w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_a_not_zero_w_range209w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_a_not_zero_w_range215w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_a_not_zero_w_range95w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_a_not_zero_w_range101w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_a_not_zero_w_range107w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_a_not_zero_w_range113w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_a_not_zero_w_range119w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_a_not_zero_w_range125w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_a_not_zero_w_range131w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_a_not_zero_w_range137w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range443w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range446w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range449w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range452w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range455w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range458w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range461w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range464w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range467w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range470w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range473w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range476w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range479w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range482w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range485w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range488w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range419w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range422w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range425w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range428w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range431w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range434w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range437w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range440w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe27_wo_range396w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe27_wo_range411w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe27_wo_range387w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe27_wo_range413w : STD_LOGIC_VECTOR (25 DOWNTO 0);
SIGNAL wire_w_man_add_sub_res_mag_dffe27_wo_range381w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_add_sub_w_range372w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_b_not_zero_w_range15w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_b_not_zero_w_range146w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_b_not_zero_w_range152w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_b_not_zero_w_range158w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_b_not_zero_w_range164w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_b_not_zero_w_range170w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_b_not_zero_w_range176w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_b_not_zero_w_range182w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_b_not_zero_w_range188w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_b_not_zero_w_range194w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_b_not_zero_w_range200w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_b_not_zero_w_range92w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_b_not_zero_w_range206w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_b_not_zero_w_range212w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_b_not_zero_w_range218w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_b_not_zero_w_range98w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_b_not_zero_w_range104w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_b_not_zero_w_range110w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_b_not_zero_w_range116w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_b_not_zero_w_range122w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_b_not_zero_w_range128w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_b_not_zero_w_range134w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_b_not_zero_w_range140w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_res_not_zero_w2_range417w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_res_not_zero_w2_range448w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_res_not_zero_w2_range451w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_res_not_zero_w2_range454w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_res_not_zero_w2_range457w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_res_not_zero_w2_range460w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_res_not_zero_w2_range463w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_res_not_zero_w2_range466w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_res_not_zero_w2_range469w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_res_not_zero_w2_range472w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_res_not_zero_w2_range475w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_res_not_zero_w2_range421w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_res_not_zero_w2_range478w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_res_not_zero_w2_range481w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_res_not_zero_w2_range484w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_res_not_zero_w2_range487w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_res_not_zero_w2_range424w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_res_not_zero_w2_range427w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_res_not_zero_w2_range430w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_res_not_zero_w2_range433w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_res_not_zero_w2_range436w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_res_not_zero_w2_range439w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_res_not_zero_w2_range442w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_res_not_zero_w2_range445w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_man_res_rounding_add_sub_w_range584w : STD_LOGIC_VECTOR (22 DOWNTO 0);
SIGNAL wire_w_man_res_rounding_add_sub_w_range588w : STD_LOGIC_VECTOR (22 DOWNTO 0);
SIGNAL wire_w_man_res_rounding_add_sub_w_range585w : STD_LOGIC_VECTOR (0 DOWNTO 0);
COMPONENT kn_kalman_sub_altbarrel_shift_h0e
PORT
(
aclr : IN STD_LOGIC := '0';
clk_en : IN STD_LOGIC := '1';
clock : IN STD_LOGIC := '0';
data : IN STD_LOGIC_VECTOR(25 DOWNTO 0);
distance : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR(25 DOWNTO 0)
);
END COMPONENT;
COMPONENT kn_kalman_sub_altbarrel_shift_n3g
PORT
(
aclr : IN STD_LOGIC := '0';
clk_en : IN STD_LOGIC := '1';
clock : IN STD_LOGIC := '0';
data : IN STD_LOGIC_VECTOR(25 DOWNTO 0);
distance : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR(25 DOWNTO 0)
);
END COMPONENT;
COMPONENT kn_kalman_sub_altpriority_encoder_ou8
PORT
(
aclr : IN STD_LOGIC := '0';
clk_en : IN STD_LOGIC := '1';
clock : IN STD_LOGIC := '0';
data : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR(4 DOWNTO 0)
);
END COMPONENT;
COMPONENT kn_kalman_sub_altpriority_encoder_cna
PORT
(
aclr : IN STD_LOGIC := '0';
clk_en : IN STD_LOGIC := '1';
clock : IN STD_LOGIC := '0';
data : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR(4 DOWNTO 0)
);
END COMPONENT;
COMPONENT lpm_add_sub
GENERIC
(
LPM_DIRECTION : STRING := "DEFAULT";
LPM_PIPELINE : NATURAL := 0;
LPM_REPRESENTATION : STRING := "SIGNED";
LPM_WIDTH : NATURAL;
lpm_hint : STRING := "UNUSED";
lpm_type : STRING := "lpm_add_sub"
);
PORT
(
aclr : IN STD_LOGIC := '0';
add_sub : IN STD_LOGIC := '1';
cin : IN STD_LOGIC := 'Z';
clken : IN STD_LOGIC := '1';
clock : IN STD_LOGIC := '0';
cout : OUT STD_LOGIC;
dataa : IN STD_LOGIC_VECTOR(LPM_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
datab : IN STD_LOGIC_VECTOR(LPM_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
overflow : OUT STD_LOGIC;
result : OUT STD_LOGIC_VECTOR(LPM_WIDTH-1 DOWNTO 0)
);
END COMPONENT;
COMPONENT lpm_compare
GENERIC
(
LPM_PIPELINE : NATURAL := 0;
LPM_REPRESENTATION : STRING := "UNSIGNED";
LPM_WIDTH : NATURAL;
lpm_hint : STRING := "UNUSED";
lpm_type : STRING := "lpm_compare"
);
PORT
(
aclr : IN STD_LOGIC := '0';
aeb : OUT STD_LOGIC;
agb : OUT STD_LOGIC;
ageb : OUT STD_LOGIC;
alb : OUT STD_LOGIC;
aleb : OUT STD_LOGIC;
aneb : OUT STD_LOGIC;
clken : IN STD_LOGIC := '1';
clock : IN STD_LOGIC := '0';
dataa : IN STD_LOGIC_VECTOR(LPM_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
datab : IN STD_LOGIC_VECTOR(LPM_WIDTH-1 DOWNTO 0) := (OTHERS => '0')
);
END COMPONENT;
BEGIN
wire_gnd <= '0';
wire_vcc <= '1';
wire_w248w(0) <= wire_w_lg_w_lg_input_dataa_infinite_dffe11_wo246w247w(0) AND wire_w_lg_input_dataa_zero_dffe11_wo245w(0);
wire_w267w(0) <= wire_w_lg_w_lg_input_datab_infinite_dffe11_wo265w266w(0) AND wire_w_lg_input_datab_zero_dffe11_wo264w(0);
wire_w_lg_w397w407w(0) <= wire_w397w(0) AND sticky_bit_dffe27_wo;
loop81 : FOR i IN 0 TO 7 GENERATE
wire_w_lg_w_lg_w_lg_force_zero_w634w635w636w(i) <= wire_w_lg_w_lg_force_zero_w634w635w(0) AND exp_res_dffe4_wo(i);
END GENERATE loop81;
loop82 : FOR i IN 0 TO 22 GENERATE
wire_w_lg_w_lg_w_lg_force_zero_w634w635w645w(i) <= wire_w_lg_w_lg_force_zero_w634w635w(0) AND man_res_dffe4_wo(i);
END GENERATE loop82;
loop83 : FOR i IN 0 TO 7 GENERATE
wire_w_lg_w_lg_denormal_result_w558w559w(i) <= wire_w_lg_denormal_result_w558w(0) AND wire_w_exp_adjustment2_add_sub_w_range557w(i);
END GENERATE loop83;
loop84 : FOR i IN 0 TO 25 GENERATE
wire_w_lg_w_lg_exp_amb_mux_dffe15_wo316w324w(i) <= wire_w_lg_exp_amb_mux_dffe15_wo316w(0) AND aligned_dataa_man_dffe15_w(i);
END GENERATE loop84;
loop85 : FOR i IN 0 TO 25 GENERATE
wire_w_lg_w_lg_exp_amb_mux_dffe15_wo316w331w(i) <= wire_w_lg_exp_amb_mux_dffe15_wo316w(0) AND wire_rbarrel_shift_result(i);
END GENERATE loop85;
loop86 : FOR i IN 0 TO 7 GENERATE
wire_w_lg_w_lg_exp_amb_mux_dffe15_wo316w317w(i) <= wire_w_lg_exp_amb_mux_dffe15_wo316w(0) AND wire_w_aligned_dataa_exp_dffe15_wo_range315w(i);
END GENERATE loop86;
loop87 : FOR i IN 0 TO 23 GENERATE
wire_w_lg_w_lg_exp_amb_mux_w276w279w(i) <= wire_w_lg_exp_amb_mux_w276w(0) AND aligned_datab_man_dffe12_wo(i);
END GENERATE loop87;
loop88 : FOR i IN 0 TO 7 GENERATE
wire_w_lg_w_lg_exp_amb_mux_w276w277w(i) <= wire_w_lg_exp_amb_mux_w276w(0) AND wire_w_exp_amb_w_range275w(i);
END GENERATE loop88;
loop89 : FOR i IN 0 TO 7 GENERATE
wire_w_lg_w_lg_force_infinity_w629w639w(i) <= wire_w_lg_force_infinity_w629w(0) AND wire_w_lg_w_lg_w_lg_force_zero_w634w637w638w(i);
END GENERATE loop89;
loop90 : FOR i IN 0 TO 22 GENERATE
wire_w_lg_w_lg_force_infinity_w629w648w(i) <= wire_w_lg_force_infinity_w629w(0) AND wire_w_lg_w_lg_w_lg_force_zero_w634w646w647w(i);
END GENERATE loop90;
wire_w_lg_w_lg_force_infinity_w629w654w(0) <= wire_w_lg_force_infinity_w629w(0) AND sign_res_dffe4_wo;
loop91 : FOR i IN 0 TO 7 GENERATE
wire_w_lg_w_lg_force_nan_w630w642w(i) <= wire_w_lg_force_nan_w630w(0) AND wire_w_lg_w_lg_force_infinity_w640w641w(i);
END GENERATE loop91;
loop92 : FOR i IN 0 TO 22 GENERATE
wire_w_lg_w_lg_force_nan_w630w651w(i) <= wire_w_lg_force_nan_w630w(0) AND wire_w_lg_w_lg_force_infinity_w649w650w(i);
END GENERATE loop92;
loop93 : FOR i IN 0 TO 22 GENERATE
wire_w_lg_w_lg_input_dataa_denormal_dffe11_wo233w243w(i) <= wire_w_lg_input_dataa_denormal_dffe11_wo233w(0) AND wire_w_dataa_dffe11_wo_range242w(i);
END GENERATE loop93;
loop94 : FOR i IN 0 TO 7 GENERATE
wire_w_lg_w_lg_input_dataa_denormal_dffe11_wo233w234w(i) <= wire_w_lg_input_dataa_denormal_dffe11_wo233w(0) AND wire_w_dataa_dffe11_wo_range232w(i);
END GENERATE loop94;
wire_w_lg_w_lg_input_dataa_infinite_dffe11_wo246w247w(0) <= wire_w_lg_input_dataa_infinite_dffe11_wo246w(0) AND wire_w_lg_input_dataa_denormal_dffe11_wo233w(0);
loop95 : FOR i IN 0 TO 22 GENERATE
wire_w_lg_w_lg_input_datab_denormal_dffe11_wo252w262w(i) <= wire_w_lg_input_datab_denormal_dffe11_wo252w(0) AND wire_w_datab_dffe11_wo_range261w(i);
END GENERATE loop95;
loop96 : FOR i IN 0 TO 7 GENERATE
wire_w_lg_w_lg_input_datab_denormal_dffe11_wo252w253w(i) <= wire_w_lg_input_datab_denormal_dffe11_wo252w(0) AND wire_w_datab_dffe11_wo_range251w(i);
END GENERATE loop96;
wire_w_lg_w_lg_input_datab_infinite_dffe11_wo265w266w(0) <= wire_w_lg_input_datab_infinite_dffe11_wo265w(0) AND wire_w_lg_input_datab_denormal_dffe11_wo252w(0);
wire_w_lg_w_lg_input_datab_infinite_dffe15_wo338w339w(0) <= wire_w_lg_input_datab_infinite_dffe15_wo338w(0) AND aligned_dataa_sign_dffe15_wo;
wire_w_lg_w_lg_man_res_not_zero_dffe26_wo503w504w(0) <= wire_w_lg_man_res_not_zero_dffe26_wo503w(0) AND zero_man_sign_dffe26_wo;
loop97 : FOR i IN 0 TO 4 GENERATE
wire_w293w(i) <= wire_w_lg_w_exp_diff_abs_exceed_max_w_range290w292w(0) AND wire_w_exp_diff_abs_w_range291w(i);
END GENERATE loop97;
wire_w397w(0) <= wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w382w(0) AND wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range387w390w(0);
loop98 : FOR i IN 0 TO 1 GENERATE
wire_w383w(i) <= wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w382w(0) AND exp_adjust_by_add1(i);
END GENERATE loop98;
loop99 : FOR i IN 0 TO 25 GENERATE
wire_w412w(i) <= wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w382w(0) AND wire_w_man_add_sub_res_mag_dffe27_wo_range411w(i);
END GENERATE loop99;
loop100 : FOR i IN 0 TO 27 GENERATE
wire_w_lg_w_lg_w_man_add_sub_w_range372w375w378w(i) <= wire_w_lg_w_man_add_sub_w_range372w375w(0) AND man_add_sub_w(i);
END GENERATE loop100;
loop101 : FOR i IN 0 TO 22 GENERATE
wire_w587w(i) <= wire_w_lg_w_man_res_rounding_add_sub_w_range585w586w(0) AND wire_w_man_res_rounding_add_sub_w_range584w(i);
END GENERATE loop101;
loop102 : FOR i IN 0 TO 7 GENERATE
wire_w_lg_w_lg_force_zero_w634w637w(i) <= wire_w_lg_force_zero_w634w(0) AND exp_all_zeros_w(i);
END GENERATE loop102;
loop103 : FOR i IN 0 TO 22 GENERATE
wire_w_lg_w_lg_force_zero_w634w646w(i) <= wire_w_lg_force_zero_w634w(0) AND man_all_zeros_w(i);
END GENERATE loop103;
loop104 : FOR i IN 0 TO 25 GENERATE
wire_w_lg_exp_amb_mux_dffe15_wo330w(i) <= exp_amb_mux_dffe15_wo AND aligned_datab_man_dffe15_w(i);
END GENERATE loop104;
loop105 : FOR i IN 0 TO 25 GENERATE
wire_w_lg_exp_amb_mux_dffe15_wo323w(i) <= exp_amb_mux_dffe15_wo AND wire_rbarrel_shift_result(i);
END GENERATE loop105;
loop106 : FOR i IN 0 TO 7 GENERATE
wire_w_lg_exp_amb_mux_dffe15_wo314w(i) <= exp_amb_mux_dffe15_wo AND wire_w_aligned_datab_exp_dffe15_wo_range313w(i);
END GENERATE loop106;
loop107 : FOR i IN 0 TO 23 GENERATE
wire_w_lg_exp_amb_mux_w280w(i) <= exp_amb_mux_w AND aligned_dataa_man_dffe12_wo(i);
END GENERATE loop107;
loop108 : FOR i IN 0 TO 7 GENERATE
wire_w_lg_exp_amb_mux_w274w(i) <= exp_amb_mux_w AND wire_w_exp_bma_w_range273w(i);
END GENERATE loop108;
loop109 : FOR i IN 0 TO 7 GENERATE
wire_w_lg_force_infinity_w640w(i) <= force_infinity_w AND exp_all_ones_w(i);
END GENERATE loop109;
loop110 : FOR i IN 0 TO 22 GENERATE
wire_w_lg_force_infinity_w649w(i) <= force_infinity_w AND man_all_zeros_w(i);
END GENERATE loop110;
loop111 : FOR i IN 0 TO 7 GENERATE
wire_w_lg_force_nan_w643w(i) <= force_nan_w AND exp_all_ones_w(i);
END GENERATE loop111;
loop112 : FOR i IN 0 TO 22 GENERATE
wire_w_lg_force_nan_w652w(i) <= force_nan_w AND man_nan_w(i);
END GENERATE loop112;
wire_w_lg_input_datab_infinite_dffe15_wo337w(0) <= input_datab_infinite_dffe15_wo AND wire_w_lg_aligned_datab_sign_dffe15_wo336w(0);
wire_w_lg_need_complement_dffe22_wo376w(0) <= need_complement_dffe22_wo AND wire_w_lg_w_man_add_sub_w_range372w375w(0);
wire_w_lg_w_dataa_range17w23w(0) <= wire_w_dataa_range17w(0) AND wire_w_exp_a_all_one_w_range7w(0);
wire_w_lg_w_dataa_range27w33w(0) <= wire_w_dataa_range27w(0) AND wire_w_exp_a_all_one_w_range24w(0);
wire_w_lg_w_dataa_range37w43w(0) <= wire_w_dataa_range37w(0) AND wire_w_exp_a_all_one_w_range34w(0);
wire_w_lg_w_dataa_range47w53w(0) <= wire_w_dataa_range47w(0) AND wire_w_exp_a_all_one_w_range44w(0);
wire_w_lg_w_dataa_range57w63w(0) <= wire_w_dataa_range57w(0) AND wire_w_exp_a_all_one_w_range54w(0);
wire_w_lg_w_dataa_range67w73w(0) <= wire_w_dataa_range67w(0) AND wire_w_exp_a_all_one_w_range64w(0);
wire_w_lg_w_dataa_range77w83w(0) <= wire_w_dataa_range77w(0) AND wire_w_exp_a_all_one_w_range74w(0);
wire_w_lg_w_datab_range20w25w(0) <= wire_w_datab_range20w(0) AND wire_w_exp_b_all_one_w_range9w(0);
wire_w_lg_w_datab_range30w35w(0) <= wire_w_datab_range30w(0) AND wire_w_exp_b_all_one_w_range26w(0);
wire_w_lg_w_datab_range40w45w(0) <= wire_w_datab_range40w(0) AND wire_w_exp_b_all_one_w_range36w(0);
wire_w_lg_w_datab_range50w55w(0) <= wire_w_datab_range50w(0) AND wire_w_exp_b_all_one_w_range46w(0);
wire_w_lg_w_datab_range60w65w(0) <= wire_w_datab_range60w(0) AND wire_w_exp_b_all_one_w_range56w(0);
wire_w_lg_w_datab_range70w75w(0) <= wire_w_datab_range70w(0) AND wire_w_exp_b_all_one_w_range66w(0);
wire_w_lg_w_datab_range80w85w(0) <= wire_w_datab_range80w(0) AND wire_w_exp_b_all_one_w_range76w(0);
wire_w_lg_w_exp_a_all_one_w_range84w220w(0) <= wire_w_exp_a_all_one_w_range84w(0) AND wire_w_lg_w_man_a_not_zero_w_range215w219w(0);
wire_w_lg_w_exp_b_all_one_w_range86w226w(0) <= wire_w_exp_b_all_one_w_range86w(0) AND wire_w_lg_w_man_b_not_zero_w_range218w225w(0);
loop113 : FOR i IN 0 TO 4 GENERATE
wire_w_lg_w_exp_diff_abs_exceed_max_w_range290w294w(i) <= wire_w_exp_diff_abs_exceed_max_w_range290w(0) AND exp_diff_abs_max_w(i);
END GENERATE loop113;
wire_w_lg_w_exp_res_max_w_range540w542w(0) <= wire_w_exp_res_max_w_range540w(0) AND wire_w_exp_adjustment2_add_sub_w_range518w(0);
wire_w_lg_w_exp_res_max_w_range543w544w(0) <= wire_w_exp_res_max_w_range543w(0) AND wire_w_exp_adjustment2_add_sub_w_range521w(0);
wire_w_lg_w_exp_res_max_w_range545w546w(0) <= wire_w_exp_res_max_w_range545w(0) AND wire_w_exp_adjustment2_add_sub_w_range524w(0);
wire_w_lg_w_exp_res_max_w_range547w548w(0) <= wire_w_exp_res_max_w_range547w(0) AND wire_w_exp_adjustment2_add_sub_w_range527w(0);
wire_w_lg_w_exp_res_max_w_range549w550w(0) <= wire_w_exp_res_max_w_range549w(0) AND wire_w_exp_adjustment2_add_sub_w_range530w(0);
wire_w_lg_w_exp_res_max_w_range551w552w(0) <= wire_w_exp_res_max_w_range551w(0) AND wire_w_exp_adjustment2_add_sub_w_range533w(0);
wire_w_lg_w_exp_res_max_w_range553w554w(0) <= wire_w_exp_res_max_w_range553w(0) AND wire_w_exp_adjustment2_add_sub_w_range536w(0);
wire_w_lg_w_exp_res_max_w_range555w561w(0) <= wire_w_exp_res_max_w_range555w(0) AND wire_w_lg_w_exp_adjustment2_add_sub_w_range511w560w(0);
wire_w_lg_w_exp_rounded_res_max_w_range601w604w(0) <= wire_w_exp_rounded_res_max_w_range601w(0) AND wire_w_exp_rounded_res_w_range603w(0);
wire_w_lg_w_exp_rounded_res_max_w_range605w607w(0) <= wire_w_exp_rounded_res_max_w_range605w(0) AND wire_w_exp_rounded_res_w_range606w(0);
wire_w_lg_w_exp_rounded_res_max_w_range608w610w(0) <= wire_w_exp_rounded_res_max_w_range608w(0) AND wire_w_exp_rounded_res_w_range609w(0);
wire_w_lg_w_exp_rounded_res_max_w_range611w613w(0) <= wire_w_exp_rounded_res_max_w_range611w(0) AND wire_w_exp_rounded_res_w_range612w(0);
wire_w_lg_w_exp_rounded_res_max_w_range614w616w(0) <= wire_w_exp_rounded_res_max_w_range614w(0) AND wire_w_exp_rounded_res_w_range615w(0);
wire_w_lg_w_exp_rounded_res_max_w_range617w619w(0) <= wire_w_exp_rounded_res_max_w_range617w(0) AND wire_w_exp_rounded_res_w_range618w(0);
wire_w_lg_w_exp_rounded_res_max_w_range620w622w(0) <= wire_w_exp_rounded_res_max_w_range620w(0) AND wire_w_exp_rounded_res_w_range621w(0);
wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w391w(0) <= wire_w_man_add_sub_res_mag_dffe27_wo_range381w(0) AND wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range387w390w(0);
loop114 : FOR i IN 0 TO 1 GENERATE
wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w384w(i) <= wire_w_man_add_sub_res_mag_dffe27_wo_range381w(0) AND exp_adjust_by_add2(i);
END GENERATE loop114;
loop115 : FOR i IN 0 TO 25 GENERATE
wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w414w(i) <= wire_w_man_add_sub_res_mag_dffe27_wo_range381w(0) AND wire_w_man_add_sub_res_mag_dffe27_wo_range413w(i);
END GENERATE loop115;
loop116 : FOR i IN 0 TO 27 GENERATE
wire_w_lg_w_man_add_sub_w_range372w379w(i) <= wire_w_man_add_sub_w_range372w(0) AND man_2comp_res_w(i);
END GENERATE loop116;
loop117 : FOR i IN 0 TO 22 GENERATE
wire_w_lg_w_man_res_rounding_add_sub_w_range585w589w(i) <= wire_w_man_res_rounding_add_sub_w_range585w(0) AND wire_w_man_res_rounding_add_sub_w_range588w(i);
END GENERATE loop117;
wire_w_lg_w_lg_force_zero_w634w635w(0) <= NOT wire_w_lg_force_zero_w634w(0);
wire_w_lg_add_sub_dffe25_wo491w(0) <= NOT add_sub_dffe25_wo;
wire_w_lg_add_sub_w2342w(0) <= NOT add_sub_w2;
wire_w_lg_aligned_datab_sign_dffe15_wo336w(0) <= NOT aligned_datab_sign_dffe15_wo;
wire_w_lg_denormal_result_w558w(0) <= NOT denormal_result_w;
wire_w_lg_exp_amb_mux_dffe15_wo316w(0) <= NOT exp_amb_mux_dffe15_wo;
wire_w_lg_exp_amb_mux_w276w(0) <= NOT exp_amb_mux_w;
wire_w_lg_force_infinity_w629w(0) <= NOT force_infinity_w;
wire_w_lg_force_nan_w630w(0) <= NOT force_nan_w;
wire_w_lg_force_zero_w628w(0) <= NOT force_zero_w;
wire_w_lg_input_dataa_denormal_dffe11_wo233w(0) <= NOT input_dataa_denormal_dffe11_wo;
wire_w_lg_input_dataa_infinite_dffe11_wo246w(0) <= NOT input_dataa_infinite_dffe11_wo;
wire_w_lg_input_dataa_zero_dffe11_wo245w(0) <= NOT input_dataa_zero_dffe11_wo;
wire_w_lg_input_datab_denormal_dffe11_wo252w(0) <= NOT input_datab_denormal_dffe11_wo;
wire_w_lg_input_datab_infinite_dffe11_wo265w(0) <= NOT input_datab_infinite_dffe11_wo;
wire_w_lg_input_datab_infinite_dffe15_wo338w(0) <= NOT input_datab_infinite_dffe15_wo;
wire_w_lg_input_datab_zero_dffe11_wo264w(0) <= NOT input_datab_zero_dffe11_wo;
wire_w_lg_man_res_is_not_zero_dffe4_wo627w(0) <= NOT man_res_is_not_zero_dffe4_wo;
wire_w_lg_man_res_not_zero_dffe26_wo503w(0) <= NOT man_res_not_zero_dffe26_wo;
wire_w_lg_need_complement_dffe22_wo373w(0) <= NOT need_complement_dffe22_wo;
wire_w_lg_sticky_bit_dffe1_wo343w(0) <= NOT sticky_bit_dffe1_wo;
wire_w_lg_w_exp_adjustment2_add_sub_w_range511w560w(0) <= NOT wire_w_exp_adjustment2_add_sub_w_range511w(0);
wire_w_lg_w_exp_diff_abs_exceed_max_w_range290w292w(0) <= NOT wire_w_exp_diff_abs_exceed_max_w_range290w(0);
wire_w_lg_w_man_a_not_zero_w_range215w219w(0) <= NOT wire_w_man_a_not_zero_w_range215w(0);
wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range387w390w(0) <= NOT wire_w_man_add_sub_res_mag_dffe27_wo_range387w(0);
wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w382w(0) <= NOT wire_w_man_add_sub_res_mag_dffe27_wo_range381w(0);
wire_w_lg_w_man_add_sub_w_range372w375w(0) <= NOT wire_w_man_add_sub_w_range372w(0);
wire_w_lg_w_man_b_not_zero_w_range218w225w(0) <= NOT wire_w_man_b_not_zero_w_range218w(0);
wire_w_lg_w_man_res_rounding_add_sub_w_range585w586w(0) <= NOT wire_w_man_res_rounding_add_sub_w_range585w(0);
loop118 : FOR i IN 0 TO 7 GENERATE
wire_w_lg_w_lg_w_lg_force_zero_w634w637w638w(i) <= wire_w_lg_w_lg_force_zero_w634w637w(i) OR wire_w_lg_w_lg_w_lg_force_zero_w634w635w636w(i);
END GENERATE loop118;
loop119 : FOR i IN 0 TO 22 GENERATE
wire_w_lg_w_lg_w_lg_force_zero_w634w646w647w(i) <= wire_w_lg_w_lg_force_zero_w634w646w(i) OR wire_w_lg_w_lg_w_lg_force_zero_w634w635w645w(i);
END GENERATE loop119;
loop120 : FOR i IN 0 TO 7 GENERATE
wire_w_lg_w_lg_force_infinity_w640w641w(i) <= wire_w_lg_force_infinity_w640w(i) OR wire_w_lg_w_lg_force_infinity_w629w639w(i);
END GENERATE loop120;
loop121 : FOR i IN 0 TO 22 GENERATE
wire_w_lg_w_lg_force_infinity_w649w650w(i) <= wire_w_lg_force_infinity_w649w(i) OR wire_w_lg_w_lg_force_infinity_w629w648w(i);
END GENERATE loop121;
wire_w_lg_force_zero_w634w(0) <= force_zero_w OR denormal_flag_w;
wire_w_lg_sticky_bit_dffe27_wo402w(0) <= sticky_bit_dffe27_wo OR wire_w_man_add_sub_res_mag_dffe27_wo_range396w(0);
wire_w_lg_w_dataa_range141w142w(0) <= wire_w_dataa_range141w(0) OR wire_w_man_a_not_zero_w_range137w(0);
wire_w_lg_w_dataa_range147w148w(0) <= wire_w_dataa_range147w(0) OR wire_w_man_a_not_zero_w_range143w(0);
wire_w_lg_w_dataa_range153w154w(0) <= wire_w_dataa_range153w(0) OR wire_w_man_a_not_zero_w_range149w(0);
wire_w_lg_w_dataa_range159w160w(0) <= wire_w_dataa_range159w(0) OR wire_w_man_a_not_zero_w_range155w(0);
wire_w_lg_w_dataa_range165w166w(0) <= wire_w_dataa_range165w(0) OR wire_w_man_a_not_zero_w_range161w(0);
wire_w_lg_w_dataa_range171w172w(0) <= wire_w_dataa_range171w(0) OR wire_w_man_a_not_zero_w_range167w(0);
wire_w_lg_w_dataa_range177w178w(0) <= wire_w_dataa_range177w(0) OR wire_w_man_a_not_zero_w_range173w(0);
wire_w_lg_w_dataa_range183w184w(0) <= wire_w_dataa_range183w(0) OR wire_w_man_a_not_zero_w_range179w(0);
wire_w_lg_w_dataa_range189w190w(0) <= wire_w_dataa_range189w(0) OR wire_w_man_a_not_zero_w_range185w(0);
wire_w_lg_w_dataa_range195w196w(0) <= wire_w_dataa_range195w(0) OR wire_w_man_a_not_zero_w_range191w(0);
wire_w_lg_w_dataa_range87w88w(0) <= wire_w_dataa_range87w(0) OR wire_w_man_a_not_zero_w_range12w(0);
wire_w_lg_w_dataa_range201w202w(0) <= wire_w_dataa_range201w(0) OR wire_w_man_a_not_zero_w_range197w(0);
wire_w_lg_w_dataa_range207w208w(0) <= wire_w_dataa_range207w(0) OR wire_w_man_a_not_zero_w_range203w(0);
wire_w_lg_w_dataa_range213w214w(0) <= wire_w_dataa_range213w(0) OR wire_w_man_a_not_zero_w_range209w(0);
wire_w_lg_w_dataa_range17w18w(0) <= wire_w_dataa_range17w(0) OR wire_w_exp_a_not_zero_w_range2w(0);
wire_w_lg_w_dataa_range27w28w(0) <= wire_w_dataa_range27w(0) OR wire_w_exp_a_not_zero_w_range19w(0);
wire_w_lg_w_dataa_range37w38w(0) <= wire_w_dataa_range37w(0) OR wire_w_exp_a_not_zero_w_range29w(0);
wire_w_lg_w_dataa_range47w48w(0) <= wire_w_dataa_range47w(0) OR wire_w_exp_a_not_zero_w_range39w(0);
wire_w_lg_w_dataa_range57w58w(0) <= wire_w_dataa_range57w(0) OR wire_w_exp_a_not_zero_w_range49w(0);
wire_w_lg_w_dataa_range67w68w(0) <= wire_w_dataa_range67w(0) OR wire_w_exp_a_not_zero_w_range59w(0);
wire_w_lg_w_dataa_range93w94w(0) <= wire_w_dataa_range93w(0) OR wire_w_man_a_not_zero_w_range89w(0);
wire_w_lg_w_dataa_range77w78w(0) <= wire_w_dataa_range77w(0) OR wire_w_exp_a_not_zero_w_range69w(0);
wire_w_lg_w_dataa_range99w100w(0) <= wire_w_dataa_range99w(0) OR wire_w_man_a_not_zero_w_range95w(0);
wire_w_lg_w_dataa_range105w106w(0) <= wire_w_dataa_range105w(0) OR wire_w_man_a_not_zero_w_range101w(0);
wire_w_lg_w_dataa_range111w112w(0) <= wire_w_dataa_range111w(0) OR wire_w_man_a_not_zero_w_range107w(0);
wire_w_lg_w_dataa_range117w118w(0) <= wire_w_dataa_range117w(0) OR wire_w_man_a_not_zero_w_range113w(0);
wire_w_lg_w_dataa_range123w124w(0) <= wire_w_dataa_range123w(0) OR wire_w_man_a_not_zero_w_range119w(0);
wire_w_lg_w_dataa_range129w130w(0) <= wire_w_dataa_range129w(0) OR wire_w_man_a_not_zero_w_range125w(0);
wire_w_lg_w_dataa_range135w136w(0) <= wire_w_dataa_range135w(0) OR wire_w_man_a_not_zero_w_range131w(0);
wire_w_lg_w_datab_range144w145w(0) <= wire_w_datab_range144w(0) OR wire_w_man_b_not_zero_w_range140w(0);
wire_w_lg_w_datab_range150w151w(0) <= wire_w_datab_range150w(0) OR wire_w_man_b_not_zero_w_range146w(0);
wire_w_lg_w_datab_range156w157w(0) <= wire_w_datab_range156w(0) OR wire_w_man_b_not_zero_w_range152w(0);
wire_w_lg_w_datab_range162w163w(0) <= wire_w_datab_range162w(0) OR wire_w_man_b_not_zero_w_range158w(0);
wire_w_lg_w_datab_range168w169w(0) <= wire_w_datab_range168w(0) OR wire_w_man_b_not_zero_w_range164w(0);
wire_w_lg_w_datab_range174w175w(0) <= wire_w_datab_range174w(0) OR wire_w_man_b_not_zero_w_range170w(0);
wire_w_lg_w_datab_range180w181w(0) <= wire_w_datab_range180w(0) OR wire_w_man_b_not_zero_w_range176w(0);
wire_w_lg_w_datab_range186w187w(0) <= wire_w_datab_range186w(0) OR wire_w_man_b_not_zero_w_range182w(0);
wire_w_lg_w_datab_range192w193w(0) <= wire_w_datab_range192w(0) OR wire_w_man_b_not_zero_w_range188w(0);
wire_w_lg_w_datab_range198w199w(0) <= wire_w_datab_range198w(0) OR wire_w_man_b_not_zero_w_range194w(0);
wire_w_lg_w_datab_range90w91w(0) <= wire_w_datab_range90w(0) OR wire_w_man_b_not_zero_w_range15w(0);
wire_w_lg_w_datab_range204w205w(0) <= wire_w_datab_range204w(0) OR wire_w_man_b_not_zero_w_range200w(0);
wire_w_lg_w_datab_range210w211w(0) <= wire_w_datab_range210w(0) OR wire_w_man_b_not_zero_w_range206w(0);
wire_w_lg_w_datab_range216w217w(0) <= wire_w_datab_range216w(0) OR wire_w_man_b_not_zero_w_range212w(0);
wire_w_lg_w_datab_range20w21w(0) <= wire_w_datab_range20w(0) OR wire_w_exp_b_not_zero_w_range5w(0);
wire_w_lg_w_datab_range30w31w(0) <= wire_w_datab_range30w(0) OR wire_w_exp_b_not_zero_w_range22w(0);
wire_w_lg_w_datab_range40w41w(0) <= wire_w_datab_range40w(0) OR wire_w_exp_b_not_zero_w_range32w(0);
wire_w_lg_w_datab_range50w51w(0) <= wire_w_datab_range50w(0) OR wire_w_exp_b_not_zero_w_range42w(0);
wire_w_lg_w_datab_range60w61w(0) <= wire_w_datab_range60w(0) OR wire_w_exp_b_not_zero_w_range52w(0);
wire_w_lg_w_datab_range70w71w(0) <= wire_w_datab_range70w(0) OR wire_w_exp_b_not_zero_w_range62w(0);
wire_w_lg_w_datab_range96w97w(0) <= wire_w_datab_range96w(0) OR wire_w_man_b_not_zero_w_range92w(0);
wire_w_lg_w_datab_range80w81w(0) <= wire_w_datab_range80w(0) OR wire_w_exp_b_not_zero_w_range72w(0);
wire_w_lg_w_datab_range102w103w(0) <= wire_w_datab_range102w(0) OR wire_w_man_b_not_zero_w_range98w(0);
wire_w_lg_w_datab_range108w109w(0) <= wire_w_datab_range108w(0) OR wire_w_man_b_not_zero_w_range104w(0);
wire_w_lg_w_datab_range114w115w(0) <= wire_w_datab_range114w(0) OR wire_w_man_b_not_zero_w_range110w(0);
wire_w_lg_w_datab_range120w121w(0) <= wire_w_datab_range120w(0) OR wire_w_man_b_not_zero_w_range116w(0);
wire_w_lg_w_datab_range126w127w(0) <= wire_w_datab_range126w(0) OR wire_w_man_b_not_zero_w_range122w(0);
wire_w_lg_w_datab_range132w133w(0) <= wire_w_datab_range132w(0) OR wire_w_man_b_not_zero_w_range128w(0);
wire_w_lg_w_datab_range138w139w(0) <= wire_w_datab_range138w(0) OR wire_w_man_b_not_zero_w_range134w(0);
wire_w_lg_w_exp_diff_abs_exceed_max_w_range283w286w(0) <= wire_w_exp_diff_abs_exceed_max_w_range283w(0) OR wire_w_exp_diff_abs_w_range285w(0);
wire_w_lg_w_exp_diff_abs_exceed_max_w_range287w289w(0) <= wire_w_exp_diff_abs_exceed_max_w_range287w(0) OR wire_w_exp_diff_abs_w_range288w(0);
wire_w_lg_w_exp_res_not_zero_w_range516w519w(0) <= wire_w_exp_res_not_zero_w_range516w(0) OR wire_w_exp_adjustment2_add_sub_w_range518w(0);
wire_w_lg_w_exp_res_not_zero_w_range520w522w(0) <= wire_w_exp_res_not_zero_w_range520w(0) OR wire_w_exp_adjustment2_add_sub_w_range521w(0);
wire_w_lg_w_exp_res_not_zero_w_range523w525w(0) <= wire_w_exp_res_not_zero_w_range523w(0) OR wire_w_exp_adjustment2_add_sub_w_range524w(0);
wire_w_lg_w_exp_res_not_zero_w_range526w528w(0) <= wire_w_exp_res_not_zero_w_range526w(0) OR wire_w_exp_adjustment2_add_sub_w_range527w(0);
wire_w_lg_w_exp_res_not_zero_w_range529w531w(0) <= wire_w_exp_res_not_zero_w_range529w(0) OR wire_w_exp_adjustment2_add_sub_w_range530w(0);
wire_w_lg_w_exp_res_not_zero_w_range532w534w(0) <= wire_w_exp_res_not_zero_w_range532w(0) OR wire_w_exp_adjustment2_add_sub_w_range533w(0);
wire_w_lg_w_exp_res_not_zero_w_range535w537w(0) <= wire_w_exp_res_not_zero_w_range535w(0) OR wire_w_exp_adjustment2_add_sub_w_range536w(0);
wire_w_lg_w_exp_res_not_zero_w_range538w539w(0) <= wire_w_exp_res_not_zero_w_range538w(0) OR wire_w_exp_adjustment2_add_sub_w_range511w(0);
wire_w_lg_w_man_res_not_zero_w2_range417w420w(0) <= wire_w_man_res_not_zero_w2_range417w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range419w(0);
wire_w_lg_w_man_res_not_zero_w2_range448w450w(0) <= wire_w_man_res_not_zero_w2_range448w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range449w(0);
wire_w_lg_w_man_res_not_zero_w2_range451w453w(0) <= wire_w_man_res_not_zero_w2_range451w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range452w(0);
wire_w_lg_w_man_res_not_zero_w2_range454w456w(0) <= wire_w_man_res_not_zero_w2_range454w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range455w(0);
wire_w_lg_w_man_res_not_zero_w2_range457w459w(0) <= wire_w_man_res_not_zero_w2_range457w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range458w(0);
wire_w_lg_w_man_res_not_zero_w2_range460w462w(0) <= wire_w_man_res_not_zero_w2_range460w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range461w(0);
wire_w_lg_w_man_res_not_zero_w2_range463w465w(0) <= wire_w_man_res_not_zero_w2_range463w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range464w(0);
wire_w_lg_w_man_res_not_zero_w2_range466w468w(0) <= wire_w_man_res_not_zero_w2_range466w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range467w(0);
wire_w_lg_w_man_res_not_zero_w2_range469w471w(0) <= wire_w_man_res_not_zero_w2_range469w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range470w(0);
wire_w_lg_w_man_res_not_zero_w2_range472w474w(0) <= wire_w_man_res_not_zero_w2_range472w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range473w(0);
wire_w_lg_w_man_res_not_zero_w2_range475w477w(0) <= wire_w_man_res_not_zero_w2_range475w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range476w(0);
wire_w_lg_w_man_res_not_zero_w2_range421w423w(0) <= wire_w_man_res_not_zero_w2_range421w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range422w(0);
wire_w_lg_w_man_res_not_zero_w2_range478w480w(0) <= wire_w_man_res_not_zero_w2_range478w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range479w(0);
wire_w_lg_w_man_res_not_zero_w2_range481w483w(0) <= wire_w_man_res_not_zero_w2_range481w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range482w(0);
wire_w_lg_w_man_res_not_zero_w2_range484w486w(0) <= wire_w_man_res_not_zero_w2_range484w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range485w(0);
wire_w_lg_w_man_res_not_zero_w2_range487w489w(0) <= wire_w_man_res_not_zero_w2_range487w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range488w(0);
wire_w_lg_w_man_res_not_zero_w2_range424w426w(0) <= wire_w_man_res_not_zero_w2_range424w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range425w(0);
wire_w_lg_w_man_res_not_zero_w2_range427w429w(0) <= wire_w_man_res_not_zero_w2_range427w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range428w(0);
wire_w_lg_w_man_res_not_zero_w2_range430w432w(0) <= wire_w_man_res_not_zero_w2_range430w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range431w(0);
wire_w_lg_w_man_res_not_zero_w2_range433w435w(0) <= wire_w_man_res_not_zero_w2_range433w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range434w(0);
wire_w_lg_w_man_res_not_zero_w2_range436w438w(0) <= wire_w_man_res_not_zero_w2_range436w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range437w(0);
wire_w_lg_w_man_res_not_zero_w2_range439w441w(0) <= wire_w_man_res_not_zero_w2_range439w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range440w(0);
wire_w_lg_w_man_res_not_zero_w2_range442w444w(0) <= wire_w_man_res_not_zero_w2_range442w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range443w(0);
wire_w_lg_w_man_res_not_zero_w2_range445w447w(0) <= wire_w_man_res_not_zero_w2_range445w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range446w(0);
aclr <= '0';
add_sub_dffe25_wi <= add_sub_w2;
add_sub_dffe25_wo <= add_sub_dffe25;
add_sub_w2 <= (dataa_sign_dffe1_wo XOR datab_sign_dffe1_wo);
adder_upper_w <= man_intermediate_res_w(25 DOWNTO 13);
aligned_dataa_exp_dffe12_wi <= aligned_dataa_exp_w;
aligned_dataa_exp_dffe12_wo <= aligned_dataa_exp_dffe12;
aligned_dataa_exp_dffe13_wi <= aligned_dataa_exp_dffe12_wo;
aligned_dataa_exp_dffe13_wo <= aligned_dataa_exp_dffe13;
aligned_dataa_exp_dffe14_wi <= aligned_dataa_exp_dffe13_wo;
aligned_dataa_exp_dffe14_wo <= aligned_dataa_exp_dffe14;
aligned_dataa_exp_dffe15_wi <= aligned_dataa_exp_dffe14_wo;
aligned_dataa_exp_dffe15_wo <= aligned_dataa_exp_dffe15_wi;
aligned_dataa_exp_w <= ( "0" & wire_w_lg_w_lg_input_dataa_denormal_dffe11_wo233w234w);
aligned_dataa_man_dffe12_wi <= aligned_dataa_man_w(25 DOWNTO 2);
aligned_dataa_man_dffe12_wo <= aligned_dataa_man_dffe12;
aligned_dataa_man_dffe13_wi <= aligned_dataa_man_dffe12_wo;
aligned_dataa_man_dffe13_wo <= aligned_dataa_man_dffe13;
aligned_dataa_man_dffe14_wi <= aligned_dataa_man_dffe13_wo;
aligned_dataa_man_dffe14_wo <= aligned_dataa_man_dffe14;
aligned_dataa_man_dffe15_w <= ( aligned_dataa_man_dffe15_wo & "00");
aligned_dataa_man_dffe15_wi <= aligned_dataa_man_dffe14_wo;
aligned_dataa_man_dffe15_wo <= aligned_dataa_man_dffe15_wi;
aligned_dataa_man_w <= ( wire_w248w & wire_w_lg_w_lg_input_dataa_denormal_dffe11_wo233w243w & "00");
aligned_dataa_sign_dffe12_wi <= aligned_dataa_sign_w;
aligned_dataa_sign_dffe12_wo <= aligned_dataa_sign_dffe12;
aligned_dataa_sign_dffe13_wi <= aligned_dataa_sign_dffe12_wo;
aligned_dataa_sign_dffe13_wo <= aligned_dataa_sign_dffe13;
aligned_dataa_sign_dffe14_wi <= aligned_dataa_sign_dffe13_wo;
aligned_dataa_sign_dffe14_wo <= aligned_dataa_sign_dffe14;
aligned_dataa_sign_dffe15_wi <= aligned_dataa_sign_dffe14_wo;
aligned_dataa_sign_dffe15_wo <= aligned_dataa_sign_dffe15_wi;
aligned_dataa_sign_w <= dataa_dffe11_wo(31);
aligned_datab_exp_dffe12_wi <= aligned_datab_exp_w;
aligned_datab_exp_dffe12_wo <= aligned_datab_exp_dffe12;
aligned_datab_exp_dffe13_wi <= aligned_datab_exp_dffe12_wo;
aligned_datab_exp_dffe13_wo <= aligned_datab_exp_dffe13;
aligned_datab_exp_dffe14_wi <= aligned_datab_exp_dffe13_wo;
aligned_datab_exp_dffe14_wo <= aligned_datab_exp_dffe14;
aligned_datab_exp_dffe15_wi <= aligned_datab_exp_dffe14_wo;
aligned_datab_exp_dffe15_wo <= aligned_datab_exp_dffe15_wi;
aligned_datab_exp_w <= ( "0" & wire_w_lg_w_lg_input_datab_denormal_dffe11_wo252w253w);
aligned_datab_man_dffe12_wi <= aligned_datab_man_w(25 DOWNTO 2);
aligned_datab_man_dffe12_wo <= aligned_datab_man_dffe12;
aligned_datab_man_dffe13_wi <= aligned_datab_man_dffe12_wo;
aligned_datab_man_dffe13_wo <= aligned_datab_man_dffe13;
aligned_datab_man_dffe14_wi <= aligned_datab_man_dffe13_wo;
aligned_datab_man_dffe14_wo <= aligned_datab_man_dffe14;
aligned_datab_man_dffe15_w <= ( aligned_datab_man_dffe15_wo & "00");
aligned_datab_man_dffe15_wi <= aligned_datab_man_dffe14_wo;
aligned_datab_man_dffe15_wo <= aligned_datab_man_dffe15_wi;
aligned_datab_man_w <= ( wire_w267w & wire_w_lg_w_lg_input_datab_denormal_dffe11_wo252w262w & "00");
aligned_datab_sign_dffe12_wi <= aligned_datab_sign_w;
aligned_datab_sign_dffe12_wo <= aligned_datab_sign_dffe12;
aligned_datab_sign_dffe13_wi <= aligned_datab_sign_dffe12_wo;
aligned_datab_sign_dffe13_wo <= aligned_datab_sign_dffe13;
aligned_datab_sign_dffe14_wi <= aligned_datab_sign_dffe13_wo;
aligned_datab_sign_dffe14_wo <= aligned_datab_sign_dffe14;
aligned_datab_sign_dffe15_wi <= aligned_datab_sign_dffe14_wo;
aligned_datab_sign_dffe15_wo <= aligned_datab_sign_dffe15_wi;
aligned_datab_sign_w <= datab_dffe11_wo(31);
borrow_w <= (wire_w_lg_sticky_bit_dffe1_wo343w(0) AND wire_w_lg_add_sub_w2342w(0));
both_inputs_are_infinite_dffe1_wi <= (input_dataa_infinite_dffe15_wo AND input_datab_infinite_dffe15_wo);
both_inputs_are_infinite_dffe1_wo <= both_inputs_are_infinite_dffe1;
both_inputs_are_infinite_dffe25_wi <= both_inputs_are_infinite_dffe1_wo;
both_inputs_are_infinite_dffe25_wo <= both_inputs_are_infinite_dffe25;
clk_en <= '1';
data_exp_dffe1_wi <= (wire_w_lg_w_lg_exp_amb_mux_dffe15_wo316w317w OR wire_w_lg_exp_amb_mux_dffe15_wo314w);
data_exp_dffe1_wo <= data_exp_dffe1;
dataa_dffe11_wi <= dataa;
dataa_dffe11_wo <= dataa_dffe11_wi;
dataa_man_dffe1_wi <= (wire_w_lg_w_lg_exp_amb_mux_dffe15_wo316w324w OR wire_w_lg_exp_amb_mux_dffe15_wo323w);
dataa_man_dffe1_wo <= dataa_man_dffe1;
dataa_sign_dffe1_wi <= aligned_dataa_sign_dffe15_wo;
dataa_sign_dffe1_wo <= dataa_sign_dffe1;
dataa_sign_dffe25_wi <= dataa_sign_dffe1_wo;
dataa_sign_dffe25_wo <= dataa_sign_dffe25;
datab_dffe11_wi <= datab;
datab_dffe11_wo <= datab_dffe11_wi;
datab_man_dffe1_wi <= (wire_w_lg_w_lg_exp_amb_mux_dffe15_wo316w331w OR wire_w_lg_exp_amb_mux_dffe15_wo330w);
datab_man_dffe1_wo <= datab_man_dffe1;
datab_sign_dffe1_wi <= aligned_datab_sign_dffe15_wo;
datab_sign_dffe1_wo <= datab_sign_dffe1;
denormal_flag_w <= (((wire_w_lg_force_nan_w630w(0) AND wire_w_lg_force_infinity_w629w(0)) AND wire_w_lg_force_zero_w628w(0)) AND denormal_res_dffe4_wo);
denormal_res_dffe32_wi <= denormal_result_w;
denormal_res_dffe32_wo <= denormal_res_dffe32_wi;
denormal_res_dffe33_wi <= denormal_res_dffe32_wo;
denormal_res_dffe33_wo <= denormal_res_dffe33_wi;
denormal_res_dffe3_wi <= denormal_res_dffe33_wo;
denormal_res_dffe3_wo <= denormal_res_dffe3;
denormal_res_dffe41_wi <= denormal_res_dffe42_wo;
denormal_res_dffe41_wo <= denormal_res_dffe41;
denormal_res_dffe42_wi <= denormal_res_dffe3_wo;
denormal_res_dffe42_wo <= denormal_res_dffe42_wi;
denormal_res_dffe4_wi <= denormal_res_dffe41_wo;
denormal_res_dffe4_wo <= denormal_res_dffe4;
denormal_result_w <= ((NOT exp_res_not_zero_w(8)) OR exp_adjustment2_add_sub_w(8));
exp_a_all_one_w <= ( wire_w_lg_w_dataa_range77w83w & wire_w_lg_w_dataa_range67w73w & wire_w_lg_w_dataa_range57w63w & wire_w_lg_w_dataa_range47w53w & wire_w_lg_w_dataa_range37w43w & wire_w_lg_w_dataa_range27w33w & wire_w_lg_w_dataa_range17w23w & dataa(23));
exp_a_not_zero_w <= ( wire_w_lg_w_dataa_range77w78w & wire_w_lg_w_dataa_range67w68w & wire_w_lg_w_dataa_range57w58w & wire_w_lg_w_dataa_range47w48w & wire_w_lg_w_dataa_range37w38w & wire_w_lg_w_dataa_range27w28w & wire_w_lg_w_dataa_range17w18w & dataa(23));
exp_adj_0pads <= (OTHERS => '0');
exp_adj_dffe21_wi <= (wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w384w OR wire_w383w);
exp_adj_dffe21_wo <= exp_adj_dffe21;
exp_adj_dffe23_wi <= exp_adj_dffe21_wo;
exp_adj_dffe23_wo <= exp_adj_dffe23;
exp_adj_dffe26_wi <= exp_adj_dffe23_wo;
exp_adj_dffe26_wo <= exp_adj_dffe26_wi;
exp_adjust_by_add1 <= "01";
exp_adjust_by_add2 <= "10";
exp_adjustment2_add_sub_dataa_w <= exp_value;
exp_adjustment2_add_sub_datab_w <= exp_adjustment_add_sub_w;
exp_adjustment2_add_sub_w <= wire_add_sub5_result;
exp_adjustment_add_sub_dataa_w <= ( priority_encoder_1pads_w & wire_leading_zeroes_cnt_q);
exp_adjustment_add_sub_datab_w <= ( exp_adj_0pads & exp_adj_dffe26_wo);
exp_adjustment_add_sub_w <= wire_add_sub4_result;
exp_all_ones_w <= (OTHERS => '1');
exp_all_zeros_w <= (OTHERS => '0');
exp_amb_mux_dffe13_wi <= exp_amb_mux_w;
exp_amb_mux_dffe13_wo <= exp_amb_mux_dffe13;
exp_amb_mux_dffe14_wi <= exp_amb_mux_dffe13_wo;
exp_amb_mux_dffe14_wo <= exp_amb_mux_dffe14;
exp_amb_mux_dffe15_wi <= exp_amb_mux_dffe14_wo;
exp_amb_mux_dffe15_wo <= exp_amb_mux_dffe15_wi;
exp_amb_mux_w <= exp_amb_w(8);
exp_amb_w <= wire_add_sub1_result;
exp_b_all_one_w <= ( wire_w_lg_w_datab_range80w85w & wire_w_lg_w_datab_range70w75w & wire_w_lg_w_datab_range60w65w & wire_w_lg_w_datab_range50w55w & wire_w_lg_w_datab_range40w45w & wire_w_lg_w_datab_range30w35w & wire_w_lg_w_datab_range20w25w & datab(23));
exp_b_not_zero_w <= ( wire_w_lg_w_datab_range80w81w & wire_w_lg_w_datab_range70w71w & wire_w_lg_w_datab_range60w61w & wire_w_lg_w_datab_range50w51w & wire_w_lg_w_datab_range40w41w & wire_w_lg_w_datab_range30w31w & wire_w_lg_w_datab_range20w21w & datab(23));
exp_bma_w <= wire_add_sub2_result;
exp_diff_abs_exceed_max_w <= ( wire_w_lg_w_exp_diff_abs_exceed_max_w_range287w289w & wire_w_lg_w_exp_diff_abs_exceed_max_w_range283w286w & exp_diff_abs_w(5));
exp_diff_abs_max_w <= (OTHERS => '1');
exp_diff_abs_w <= (wire_w_lg_w_lg_exp_amb_mux_w276w277w OR wire_w_lg_exp_amb_mux_w274w);
exp_intermediate_res_dffe41_wi <= exp_intermediate_res_dffe42_wo;
exp_intermediate_res_dffe41_wo <= exp_intermediate_res_dffe41;
exp_intermediate_res_dffe42_wi <= exp_intermediate_res_w;
exp_intermediate_res_dffe42_wo <= exp_intermediate_res_dffe42_wi;
exp_intermediate_res_w <= exp_res_dffe3_wo;
exp_out_dffe5_wi <= (wire_w_lg_force_nan_w643w OR wire_w_lg_w_lg_force_nan_w630w642w);
exp_out_dffe5_wo <= exp_out_dffe5;
exp_res_dffe21_wi <= exp_res_dffe27_wo;
exp_res_dffe21_wo <= exp_res_dffe21;
exp_res_dffe22_wi <= exp_res_dffe2_wo;
exp_res_dffe22_wo <= exp_res_dffe22_wi;
exp_res_dffe23_wi <= exp_res_dffe21_wo;
exp_res_dffe23_wo <= exp_res_dffe23;
exp_res_dffe25_wi <= data_exp_dffe1_wo;
exp_res_dffe25_wo <= exp_res_dffe25;
exp_res_dffe26_wi <= exp_res_dffe23_wo;
exp_res_dffe26_wo <= exp_res_dffe26_wi;
exp_res_dffe27_wi <= exp_res_dffe22_wo;
exp_res_dffe27_wo <= exp_res_dffe27;
exp_res_dffe2_wi <= exp_res_dffe25_wo;
exp_res_dffe2_wo <= exp_res_dffe2;
exp_res_dffe32_wi <= wire_w_lg_w_lg_denormal_result_w558w559w;
exp_res_dffe32_wo <= exp_res_dffe32_wi;
exp_res_dffe33_wi <= exp_res_dffe32_wo;
exp_res_dffe33_wo <= exp_res_dffe33_wi;
exp_res_dffe3_wi <= exp_res_dffe33_wo;
exp_res_dffe3_wo <= exp_res_dffe3;
exp_res_dffe4_wi <= exp_rounded_res_w;
exp_res_dffe4_wo <= exp_res_dffe4;
exp_res_max_w <= ( wire_w_lg_w_exp_res_max_w_range553w554w & wire_w_lg_w_exp_res_max_w_range551w552w & wire_w_lg_w_exp_res_max_w_range549w550w & wire_w_lg_w_exp_res_max_w_range547w548w & wire_w_lg_w_exp_res_max_w_range545w546w & wire_w_lg_w_exp_res_max_w_range543w544w & wire_w_lg_w_exp_res_max_w_range540w542w & exp_adjustment2_add_sub_w(0));
exp_res_not_zero_w <= ( wire_w_lg_w_exp_res_not_zero_w_range538w539w & wire_w_lg_w_exp_res_not_zero_w_range535w537w & wire_w_lg_w_exp_res_not_zero_w_range532w534w & wire_w_lg_w_exp_res_not_zero_w_range529w531w & wire_w_lg_w_exp_res_not_zero_w_range526w528w & wire_w_lg_w_exp_res_not_zero_w_range523w525w & wire_w_lg_w_exp_res_not_zero_w_range520w522w & wire_w_lg_w_exp_res_not_zero_w_range516w519w & exp_adjustment2_add_sub_w(0));
exp_res_rounding_adder_dataa_w <= ( "0" & exp_intermediate_res_dffe41_wo);
exp_res_rounding_adder_w <= wire_add_sub6_result;
exp_rounded_res_infinity_w <= exp_rounded_res_max_w(7);
exp_rounded_res_max_w <= ( wire_w_lg_w_exp_rounded_res_max_w_range620w622w & wire_w_lg_w_exp_rounded_res_max_w_range617w619w & wire_w_lg_w_exp_rounded_res_max_w_range614w616w & wire_w_lg_w_exp_rounded_res_max_w_range611w613w & wire_w_lg_w_exp_rounded_res_max_w_range608w610w & wire_w_lg_w_exp_rounded_res_max_w_range605w607w & wire_w_lg_w_exp_rounded_res_max_w_range601w604w & exp_rounded_res_w(0));
exp_rounded_res_w <= exp_res_rounding_adder_w(7 DOWNTO 0);
exp_rounding_adjustment_w <= ( "00000000" & man_res_rounding_add_sub_w(24));
exp_value <= ( "0" & exp_res_dffe26_wo);
force_infinity_w <= ((input_is_infinite_dffe4_wo OR rounded_res_infinity_dffe4_wo) OR infinite_res_dffe4_wo);
force_nan_w <= (infinity_magnitude_sub_dffe4_wo OR input_is_nan_dffe4_wo);
force_zero_w <= wire_w_lg_man_res_is_not_zero_dffe4_wo627w(0);
guard_bit_dffe3_wo <= man_res_w3(0);
infinite_output_sign_dffe1_wi <= (wire_w_lg_w_lg_input_datab_infinite_dffe15_wo338w339w(0) OR wire_w_lg_input_datab_infinite_dffe15_wo337w(0));
infinite_output_sign_dffe1_wo <= infinite_output_sign_dffe1;
infinite_output_sign_dffe21_wi <= infinite_output_sign_dffe27_wo;
infinite_output_sign_dffe21_wo <= infinite_output_sign_dffe21;
infinite_output_sign_dffe22_wi <= infinite_output_sign_dffe2_wo;
infinite_output_sign_dffe22_wo <= infinite_output_sign_dffe22_wi;
infinite_output_sign_dffe23_wi <= infinite_output_sign_dffe21_wo;
infinite_output_sign_dffe23_wo <= infinite_output_sign_dffe23;
infinite_output_sign_dffe25_wi <= infinite_output_sign_dffe1_wo;
infinite_output_sign_dffe25_wo <= infinite_output_sign_dffe25;
infinite_output_sign_dffe26_wi <= infinite_output_sign_dffe23_wo;
infinite_output_sign_dffe26_wo <= infinite_output_sign_dffe26_wi;
infinite_output_sign_dffe27_wi <= infinite_output_sign_dffe22_wo;
infinite_output_sign_dffe27_wo <= infinite_output_sign_dffe27;
infinite_output_sign_dffe2_wi <= infinite_output_sign_dffe25_wo;
infinite_output_sign_dffe2_wo <= infinite_output_sign_dffe2;
infinite_output_sign_dffe31_wi <= infinite_output_sign_dffe26_wo;
infinite_output_sign_dffe31_wo <= infinite_output_sign_dffe31;
infinite_output_sign_dffe32_wi <= infinite_output_sign_dffe31_wo;
infinite_output_sign_dffe32_wo <= infinite_output_sign_dffe32_wi;
infinite_output_sign_dffe33_wi <= infinite_output_sign_dffe32_wo;
infinite_output_sign_dffe33_wo <= infinite_output_sign_dffe33_wi;
infinite_output_sign_dffe3_wi <= infinite_output_sign_dffe33_wo;
infinite_output_sign_dffe3_wo <= infinite_output_sign_dffe3;
infinite_output_sign_dffe41_wi <= infinite_output_sign_dffe42_wo;
infinite_output_sign_dffe41_wo <= infinite_output_sign_dffe41;
infinite_output_sign_dffe42_wi <= infinite_output_sign_dffe3_wo;
infinite_output_sign_dffe42_wo <= infinite_output_sign_dffe42_wi;
infinite_output_sign_dffe4_wi <= infinite_output_sign_dffe41_wo;
infinite_output_sign_dffe4_wo <= infinite_output_sign_dffe4;
infinite_res_dff32_wi <= wire_w_lg_w_exp_res_max_w_range555w561w(0);
infinite_res_dff32_wo <= infinite_res_dff32_wi;
infinite_res_dff33_wi <= infinite_res_dff32_wo;
infinite_res_dff33_wo <= infinite_res_dff33_wi;
infinite_res_dffe3_wi <= infinite_res_dff33_wo;
infinite_res_dffe3_wo <= infinite_res_dffe3;
infinite_res_dffe41_wi <= infinite_res_dffe42_wo;
infinite_res_dffe41_wo <= infinite_res_dffe41;
infinite_res_dffe42_wi <= infinite_res_dffe3_wo;
infinite_res_dffe42_wo <= infinite_res_dffe42_wi;
infinite_res_dffe4_wi <= infinite_res_dffe41_wo;
infinite_res_dffe4_wo <= infinite_res_dffe4;
infinity_magnitude_sub_dffe21_wi <= infinity_magnitude_sub_dffe27_wo;
infinity_magnitude_sub_dffe21_wo <= infinity_magnitude_sub_dffe21;
infinity_magnitude_sub_dffe22_wi <= infinity_magnitude_sub_dffe2_wo;
infinity_magnitude_sub_dffe22_wo <= infinity_magnitude_sub_dffe22_wi;
infinity_magnitude_sub_dffe23_wi <= infinity_magnitude_sub_dffe21_wo;
infinity_magnitude_sub_dffe23_wo <= infinity_magnitude_sub_dffe23;
infinity_magnitude_sub_dffe26_wi <= infinity_magnitude_sub_dffe23_wo;
infinity_magnitude_sub_dffe26_wo <= infinity_magnitude_sub_dffe26_wi;
infinity_magnitude_sub_dffe27_wi <= infinity_magnitude_sub_dffe22_wo;
infinity_magnitude_sub_dffe27_wo <= infinity_magnitude_sub_dffe27;
infinity_magnitude_sub_dffe2_wi <= (wire_w_lg_add_sub_dffe25_wo491w(0) AND both_inputs_are_infinite_dffe25_wo);
infinity_magnitude_sub_dffe2_wo <= infinity_magnitude_sub_dffe2;
infinity_magnitude_sub_dffe31_wi <= infinity_magnitude_sub_dffe26_wo;
infinity_magnitude_sub_dffe31_wo <= infinity_magnitude_sub_dffe31;
infinity_magnitude_sub_dffe32_wi <= infinity_magnitude_sub_dffe31_wo;
infinity_magnitude_sub_dffe32_wo <= infinity_magnitude_sub_dffe32_wi;
infinity_magnitude_sub_dffe33_wi <= infinity_magnitude_sub_dffe32_wo;
infinity_magnitude_sub_dffe33_wo <= infinity_magnitude_sub_dffe33_wi;
infinity_magnitude_sub_dffe3_wi <= infinity_magnitude_sub_dffe33_wo;
infinity_magnitude_sub_dffe3_wo <= infinity_magnitude_sub_dffe3;
infinity_magnitude_sub_dffe41_wi <= infinity_magnitude_sub_dffe42_wo;
infinity_magnitude_sub_dffe41_wo <= infinity_magnitude_sub_dffe41;
infinity_magnitude_sub_dffe42_wi <= infinity_magnitude_sub_dffe3_wo;
infinity_magnitude_sub_dffe42_wo <= infinity_magnitude_sub_dffe42_wi;
infinity_magnitude_sub_dffe4_wi <= infinity_magnitude_sub_dffe41_wo;
infinity_magnitude_sub_dffe4_wo <= infinity_magnitude_sub_dffe4;
input_dataa_denormal_dffe11_wi <= input_dataa_denormal_w;
input_dataa_denormal_dffe11_wo <= input_dataa_denormal_dffe11_wi;
input_dataa_denormal_w <= ((NOT exp_a_not_zero_w(7)) AND man_a_not_zero_w(22));
input_dataa_infinite_dffe11_wi <= input_dataa_infinite_w;
input_dataa_infinite_dffe11_wo <= input_dataa_infinite_dffe11_wi;
input_dataa_infinite_dffe12_wi <= input_dataa_infinite_dffe11_wo;
input_dataa_infinite_dffe12_wo <= input_dataa_infinite_dffe12;
input_dataa_infinite_dffe13_wi <= input_dataa_infinite_dffe12_wo;
input_dataa_infinite_dffe13_wo <= input_dataa_infinite_dffe13;
input_dataa_infinite_dffe14_wi <= input_dataa_infinite_dffe13_wo;
input_dataa_infinite_dffe14_wo <= input_dataa_infinite_dffe14;
input_dataa_infinite_dffe15_wi <= input_dataa_infinite_dffe14_wo;
input_dataa_infinite_dffe15_wo <= input_dataa_infinite_dffe15_wi;
input_dataa_infinite_w <= wire_w_lg_w_exp_a_all_one_w_range84w220w(0);
input_dataa_nan_dffe11_wi <= input_dataa_nan_w;
input_dataa_nan_dffe11_wo <= input_dataa_nan_dffe11_wi;
input_dataa_nan_dffe12_wi <= input_dataa_nan_dffe11_wo;
input_dataa_nan_dffe12_wo <= input_dataa_nan_dffe12;
input_dataa_nan_w <= (exp_a_all_one_w(7) AND man_a_not_zero_w(22));
input_dataa_zero_dffe11_wi <= input_dataa_zero_w;
input_dataa_zero_dffe11_wo <= input_dataa_zero_dffe11_wi;
input_dataa_zero_w <= ((NOT exp_a_not_zero_w(7)) AND wire_w_lg_w_man_a_not_zero_w_range215w219w(0));
input_datab_denormal_dffe11_wi <= input_datab_denormal_w;
input_datab_denormal_dffe11_wo <= input_datab_denormal_dffe11_wi;
input_datab_denormal_w <= ((NOT exp_b_not_zero_w(7)) AND man_b_not_zero_w(22));
input_datab_infinite_dffe11_wi <= input_datab_infinite_w;
input_datab_infinite_dffe11_wo <= input_datab_infinite_dffe11_wi;
input_datab_infinite_dffe12_wi <= input_datab_infinite_dffe11_wo;
input_datab_infinite_dffe12_wo <= input_datab_infinite_dffe12;
input_datab_infinite_dffe13_wi <= input_datab_infinite_dffe12_wo;
input_datab_infinite_dffe13_wo <= input_datab_infinite_dffe13;
input_datab_infinite_dffe14_wi <= input_datab_infinite_dffe13_wo;
input_datab_infinite_dffe14_wo <= input_datab_infinite_dffe14;
input_datab_infinite_dffe15_wi <= input_datab_infinite_dffe14_wo;
input_datab_infinite_dffe15_wo <= input_datab_infinite_dffe15_wi;
input_datab_infinite_w <= wire_w_lg_w_exp_b_all_one_w_range86w226w(0);
input_datab_nan_dffe11_wi <= input_datab_nan_w;
input_datab_nan_dffe11_wo <= input_datab_nan_dffe11_wi;
input_datab_nan_dffe12_wi <= input_datab_nan_dffe11_wo;
input_datab_nan_dffe12_wo <= input_datab_nan_dffe12;
input_datab_nan_w <= (exp_b_all_one_w(7) AND man_b_not_zero_w(22));
input_datab_zero_dffe11_wi <= input_datab_zero_w;
input_datab_zero_dffe11_wo <= input_datab_zero_dffe11_wi;
input_datab_zero_w <= ((NOT exp_b_not_zero_w(7)) AND wire_w_lg_w_man_b_not_zero_w_range218w225w(0));
input_is_infinite_dffe1_wi <= (input_dataa_infinite_dffe15_wo OR input_datab_infinite_dffe15_wo);
input_is_infinite_dffe1_wo <= input_is_infinite_dffe1;
input_is_infinite_dffe21_wi <= input_is_infinite_dffe27_wo;
input_is_infinite_dffe21_wo <= input_is_infinite_dffe21;
input_is_infinite_dffe22_wi <= input_is_infinite_dffe2_wo;
input_is_infinite_dffe22_wo <= input_is_infinite_dffe22_wi;
input_is_infinite_dffe23_wi <= input_is_infinite_dffe21_wo;
input_is_infinite_dffe23_wo <= input_is_infinite_dffe23;
input_is_infinite_dffe25_wi <= input_is_infinite_dffe1_wo;
input_is_infinite_dffe25_wo <= input_is_infinite_dffe25;
input_is_infinite_dffe26_wi <= input_is_infinite_dffe23_wo;
input_is_infinite_dffe26_wo <= input_is_infinite_dffe26_wi;
input_is_infinite_dffe27_wi <= input_is_infinite_dffe22_wo;
input_is_infinite_dffe27_wo <= input_is_infinite_dffe27;
input_is_infinite_dffe2_wi <= input_is_infinite_dffe25_wo;
input_is_infinite_dffe2_wo <= input_is_infinite_dffe2;
input_is_infinite_dffe31_wi <= input_is_infinite_dffe26_wo;
input_is_infinite_dffe31_wo <= input_is_infinite_dffe31;
input_is_infinite_dffe32_wi <= input_is_infinite_dffe31_wo;
input_is_infinite_dffe32_wo <= input_is_infinite_dffe32_wi;
input_is_infinite_dffe33_wi <= input_is_infinite_dffe32_wo;
input_is_infinite_dffe33_wo <= input_is_infinite_dffe33_wi;
input_is_infinite_dffe3_wi <= input_is_infinite_dffe33_wo;
input_is_infinite_dffe3_wo <= input_is_infinite_dffe3;
input_is_infinite_dffe41_wi <= input_is_infinite_dffe42_wo;
input_is_infinite_dffe41_wo <= input_is_infinite_dffe41;
input_is_infinite_dffe42_wi <= input_is_infinite_dffe3_wo;
input_is_infinite_dffe42_wo <= input_is_infinite_dffe42_wi;
input_is_infinite_dffe4_wi <= input_is_infinite_dffe41_wo;
input_is_infinite_dffe4_wo <= input_is_infinite_dffe4;
input_is_nan_dffe13_wi <= (input_dataa_nan_dffe12_wo OR input_datab_nan_dffe12_wo);
input_is_nan_dffe13_wo <= input_is_nan_dffe13;
input_is_nan_dffe14_wi <= input_is_nan_dffe13_wo;
input_is_nan_dffe14_wo <= input_is_nan_dffe14;
input_is_nan_dffe15_wi <= input_is_nan_dffe14_wo;
input_is_nan_dffe15_wo <= input_is_nan_dffe15_wi;
input_is_nan_dffe1_wi <= input_is_nan_dffe15_wo;
input_is_nan_dffe1_wo <= input_is_nan_dffe1;
input_is_nan_dffe21_wi <= input_is_nan_dffe27_wo;
input_is_nan_dffe21_wo <= input_is_nan_dffe21;
input_is_nan_dffe22_wi <= input_is_nan_dffe2_wo;
input_is_nan_dffe22_wo <= input_is_nan_dffe22_wi;
input_is_nan_dffe23_wi <= input_is_nan_dffe21_wo;
input_is_nan_dffe23_wo <= input_is_nan_dffe23;
input_is_nan_dffe25_wi <= input_is_nan_dffe1_wo;
input_is_nan_dffe25_wo <= input_is_nan_dffe25;
input_is_nan_dffe26_wi <= input_is_nan_dffe23_wo;
input_is_nan_dffe26_wo <= input_is_nan_dffe26_wi;
input_is_nan_dffe27_wi <= input_is_nan_dffe22_wo;
input_is_nan_dffe27_wo <= input_is_nan_dffe27;
input_is_nan_dffe2_wi <= input_is_nan_dffe25_wo;
input_is_nan_dffe2_wo <= input_is_nan_dffe2;
input_is_nan_dffe31_wi <= input_is_nan_dffe26_wo;
input_is_nan_dffe31_wo <= input_is_nan_dffe31;
input_is_nan_dffe32_wi <= input_is_nan_dffe31_wo;
input_is_nan_dffe32_wo <= input_is_nan_dffe32_wi;
input_is_nan_dffe33_wi <= input_is_nan_dffe32_wo;
input_is_nan_dffe33_wo <= input_is_nan_dffe33_wi;
input_is_nan_dffe3_wi <= input_is_nan_dffe33_wo;
input_is_nan_dffe3_wo <= input_is_nan_dffe3;
input_is_nan_dffe41_wi <= input_is_nan_dffe42_wo;
input_is_nan_dffe41_wo <= input_is_nan_dffe41;
input_is_nan_dffe42_wi <= input_is_nan_dffe3_wo;
input_is_nan_dffe42_wo <= input_is_nan_dffe42_wi;
input_is_nan_dffe4_wi <= input_is_nan_dffe41_wo;
input_is_nan_dffe4_wo <= input_is_nan_dffe4;
man_2comp_res_dataa_w <= ( pos_sign_bit_ext & datab_man_dffe1_wo);
man_2comp_res_datab_w <= ( pos_sign_bit_ext & dataa_man_dffe1_wo);
man_2comp_res_w <= ( wire_man_2comp_res_lower_w_lg_w_lg_w_lg_cout367w368w369w & wire_man_2comp_res_lower_result);
man_a_not_zero_w <= ( wire_w_lg_w_dataa_range213w214w & wire_w_lg_w_dataa_range207w208w & wire_w_lg_w_dataa_range201w202w & wire_w_lg_w_dataa_range195w196w & wire_w_lg_w_dataa_range189w190w & wire_w_lg_w_dataa_range183w184w & wire_w_lg_w_dataa_range177w178w & wire_w_lg_w_dataa_range171w172w & wire_w_lg_w_dataa_range165w166w & wire_w_lg_w_dataa_range159w160w & wire_w_lg_w_dataa_range153w154w & wire_w_lg_w_dataa_range147w148w & wire_w_lg_w_dataa_range141w142w & wire_w_lg_w_dataa_range135w136w & wire_w_lg_w_dataa_range129w130w & wire_w_lg_w_dataa_range123w124w & wire_w_lg_w_dataa_range117w118w & wire_w_lg_w_dataa_range111w112w & wire_w_lg_w_dataa_range105w106w & wire_w_lg_w_dataa_range99w100w & wire_w_lg_w_dataa_range93w94w & wire_w_lg_w_dataa_range87w88w & dataa(0));
man_add_sub_dataa_w <= ( pos_sign_bit_ext & dataa_man_dffe1_wo);
man_add_sub_datab_w <= ( pos_sign_bit_ext & datab_man_dffe1_wo);
man_add_sub_res_mag_dffe21_wi <= man_res_mag_w2;
man_add_sub_res_mag_dffe21_wo <= man_add_sub_res_mag_dffe21;
man_add_sub_res_mag_dffe23_wi <= man_add_sub_res_mag_dffe21_wo;
man_add_sub_res_mag_dffe23_wo <= man_add_sub_res_mag_dffe23;
man_add_sub_res_mag_dffe26_wi <= man_add_sub_res_mag_dffe23_wo;
man_add_sub_res_mag_dffe26_wo <= man_add_sub_res_mag_dffe26_wi;
man_add_sub_res_mag_dffe27_wi <= man_add_sub_res_mag_w2;
man_add_sub_res_mag_dffe27_wo <= man_add_sub_res_mag_dffe27;
man_add_sub_res_mag_w2 <= (wire_w_lg_w_man_add_sub_w_range372w379w OR wire_w_lg_w_lg_w_man_add_sub_w_range372w375w378w);
man_add_sub_res_sign_dffe21_wo <= man_add_sub_res_sign_dffe21;
man_add_sub_res_sign_dffe23_wi <= man_add_sub_res_sign_dffe21_wo;
man_add_sub_res_sign_dffe23_wo <= man_add_sub_res_sign_dffe23;
man_add_sub_res_sign_dffe26_wi <= man_add_sub_res_sign_dffe23_wo;
man_add_sub_res_sign_dffe26_wo <= man_add_sub_res_sign_dffe26_wi;
man_add_sub_res_sign_dffe27_wi <= man_add_sub_res_sign_w2;
man_add_sub_res_sign_dffe27_wo <= man_add_sub_res_sign_dffe27;
man_add_sub_res_sign_w2 <= (wire_w_lg_need_complement_dffe22_wo376w(0) OR (wire_w_lg_need_complement_dffe22_wo373w(0) AND man_add_sub_w(27)));
man_add_sub_w <= ( wire_man_add_sub_lower_w_lg_w_lg_w_lg_cout354w355w356w & wire_man_add_sub_lower_result);
man_all_zeros_w <= (OTHERS => '0');
man_b_not_zero_w <= ( wire_w_lg_w_datab_range216w217w & wire_w_lg_w_datab_range210w211w & wire_w_lg_w_datab_range204w205w & wire_w_lg_w_datab_range198w199w & wire_w_lg_w_datab_range192w193w & wire_w_lg_w_datab_range186w187w & wire_w_lg_w_datab_range180w181w & wire_w_lg_w_datab_range174w175w & wire_w_lg_w_datab_range168w169w & wire_w_lg_w_datab_range162w163w & wire_w_lg_w_datab_range156w157w & wire_w_lg_w_datab_range150w151w & wire_w_lg_w_datab_range144w145w & wire_w_lg_w_datab_range138w139w & wire_w_lg_w_datab_range132w133w & wire_w_lg_w_datab_range126w127w & wire_w_lg_w_datab_range120w121w & wire_w_lg_w_datab_range114w115w & wire_w_lg_w_datab_range108w109w & wire_w_lg_w_datab_range102w103w & wire_w_lg_w_datab_range96w97w & wire_w_lg_w_datab_range90w91w & datab(0));
man_dffe31_wo <= man_dffe31;
man_intermediate_res_w <= ( "00" & man_res_w3);
man_leading_zeros_cnt_w <= man_leading_zeros_dffe31_wo;
man_leading_zeros_dffe31_wi <= (NOT wire_leading_zeroes_cnt_q);
man_leading_zeros_dffe31_wo <= man_leading_zeros_dffe31;
man_nan_w <= "10000000000000000000000";
man_out_dffe5_wi <= (wire_w_lg_force_nan_w652w OR wire_w_lg_w_lg_force_nan_w630w651w);
man_out_dffe5_wo <= man_out_dffe5;
man_res_dffe4_wi <= man_rounded_res_w;
man_res_dffe4_wo <= man_res_dffe4;
man_res_is_not_zero_dffe31_wi <= man_res_not_zero_dffe26_wo;
man_res_is_not_zero_dffe31_wo <= man_res_is_not_zero_dffe31;
man_res_is_not_zero_dffe32_wi <= man_res_is_not_zero_dffe31_wo;
man_res_is_not_zero_dffe32_wo <= man_res_is_not_zero_dffe32_wi;
man_res_is_not_zero_dffe33_wi <= man_res_is_not_zero_dffe32_wo;
man_res_is_not_zero_dffe33_wo <= man_res_is_not_zero_dffe33_wi;
man_res_is_not_zero_dffe3_wi <= man_res_is_not_zero_dffe33_wo;
man_res_is_not_zero_dffe3_wo <= man_res_is_not_zero_dffe3;
man_res_is_not_zero_dffe41_wi <= man_res_is_not_zero_dffe42_wo;
man_res_is_not_zero_dffe41_wo <= man_res_is_not_zero_dffe41;
man_res_is_not_zero_dffe42_wi <= man_res_is_not_zero_dffe3_wo;
man_res_is_not_zero_dffe42_wo <= man_res_is_not_zero_dffe42_wi;
man_res_is_not_zero_dffe4_wi <= man_res_is_not_zero_dffe41_wo;
man_res_is_not_zero_dffe4_wo <= man_res_is_not_zero_dffe4;
man_res_mag_w2 <= (wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w414w OR wire_w412w);
man_res_not_zero_dffe23_wi <= man_res_not_zero_w2(24);
man_res_not_zero_dffe23_wo <= man_res_not_zero_dffe23;
man_res_not_zero_dffe26_wi <= man_res_not_zero_dffe23_wo;
man_res_not_zero_dffe26_wo <= man_res_not_zero_dffe26_wi;
man_res_not_zero_w2 <= ( wire_w_lg_w_man_res_not_zero_w2_range487w489w & wire_w_lg_w_man_res_not_zero_w2_range484w486w & wire_w_lg_w_man_res_not_zero_w2_range481w483w & wire_w_lg_w_man_res_not_zero_w2_range478w480w & wire_w_lg_w_man_res_not_zero_w2_range475w477w & wire_w_lg_w_man_res_not_zero_w2_range472w474w & wire_w_lg_w_man_res_not_zero_w2_range469w471w & wire_w_lg_w_man_res_not_zero_w2_range466w468w & wire_w_lg_w_man_res_not_zero_w2_range463w465w & wire_w_lg_w_man_res_not_zero_w2_range460w462w & wire_w_lg_w_man_res_not_zero_w2_range457w459w & wire_w_lg_w_man_res_not_zero_w2_range454w456w & wire_w_lg_w_man_res_not_zero_w2_range451w453w & wire_w_lg_w_man_res_not_zero_w2_range448w450w & wire_w_lg_w_man_res_not_zero_w2_range445w447w & wire_w_lg_w_man_res_not_zero_w2_range442w444w & wire_w_lg_w_man_res_not_zero_w2_range439w441w & wire_w_lg_w_man_res_not_zero_w2_range436w438w & wire_w_lg_w_man_res_not_zero_w2_range433w435w & wire_w_lg_w_man_res_not_zero_w2_range430w432w & wire_w_lg_w_man_res_not_zero_w2_range427w429w & wire_w_lg_w_man_res_not_zero_w2_range424w426w & wire_w_lg_w_man_res_not_zero_w2_range421w423w & wire_w_lg_w_man_res_not_zero_w2_range417w420w & man_add_sub_res_mag_dffe21_wo(1));
man_res_rounding_add_sub_datab_w <= ( "0000000000000000000000000" & man_rounding_add_value_w);
man_res_rounding_add_sub_w <= man_res_rounding_add_sub_result_reg;
man_res_w3 <= wire_lbarrel_shift_result(25 DOWNTO 2);
man_rounded_res_w <= (wire_w_lg_w_man_res_rounding_add_sub_w_range585w589w OR wire_w587w);
man_rounding_add_value_w <= (round_bit_dffe3_wo AND (sticky_bit_dffe3_wo OR guard_bit_dffe3_wo));
man_smaller_dffe13_wi <= man_smaller_w;
man_smaller_dffe13_wo <= man_smaller_dffe13;
man_smaller_w <= (wire_w_lg_exp_amb_mux_w280w OR wire_w_lg_w_lg_exp_amb_mux_w276w279w);
need_complement_dffe22_wi <= need_complement_dffe2_wo;
need_complement_dffe22_wo <= need_complement_dffe22_wi;
need_complement_dffe2_wi <= dataa_sign_dffe25_wo;
need_complement_dffe2_wo <= need_complement_dffe2;
pos_sign_bit_ext <= (OTHERS => '0');
priority_encoder_1pads_w <= (OTHERS => '1');
result <= ( sign_out_dffe5_wo & exp_out_dffe5_wo & man_out_dffe5_wo);
round_bit_dffe21_wi <= round_bit_w;
round_bit_dffe21_wo <= round_bit_dffe21;
round_bit_dffe23_wi <= round_bit_dffe21_wo;
round_bit_dffe23_wo <= round_bit_dffe23;
round_bit_dffe26_wi <= round_bit_dffe23_wo;
round_bit_dffe26_wo <= round_bit_dffe26_wi;
round_bit_dffe31_wi <= round_bit_dffe26_wo;
round_bit_dffe31_wo <= round_bit_dffe31;
round_bit_dffe32_wi <= round_bit_dffe31_wo;
round_bit_dffe32_wo <= round_bit_dffe32_wi;
round_bit_dffe33_wi <= round_bit_dffe32_wo;
round_bit_dffe33_wo <= round_bit_dffe33_wi;
round_bit_dffe3_wi <= round_bit_dffe33_wo;
round_bit_dffe3_wo <= round_bit_dffe3;
round_bit_w <= ((((wire_w397w(0) AND man_add_sub_res_mag_dffe27_wo(0)) OR ((wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w382w(0) AND man_add_sub_res_mag_dffe27_wo(25)) AND man_add_sub_res_mag_dffe27_wo(1))) OR (wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w391w(0) AND man_add_sub_res_mag_dffe27_wo(2))) OR ((man_add_sub_res_mag_dffe27_wo(26) AND man_add_sub_res_mag_dffe27_wo(25)) AND man_add_sub_res_mag_dffe27_wo(2)));
rounded_res_infinity_dffe4_wi <= exp_rounded_res_infinity_w;
rounded_res_infinity_dffe4_wo <= rounded_res_infinity_dffe4;
rshift_distance_dffe13_wi <= rshift_distance_w;
rshift_distance_dffe13_wo <= rshift_distance_dffe13;
rshift_distance_dffe14_wi <= rshift_distance_dffe13_wo;
rshift_distance_dffe14_wo <= rshift_distance_dffe14;
rshift_distance_dffe15_wi <= rshift_distance_dffe14_wo;
rshift_distance_dffe15_wo <= rshift_distance_dffe15_wi;
rshift_distance_w <= (wire_w_lg_w_exp_diff_abs_exceed_max_w_range290w294w OR wire_w293w);
sign_dffe31_wi <= ((man_res_not_zero_dffe26_wo AND man_add_sub_res_sign_dffe26_wo) OR wire_w_lg_w_lg_man_res_not_zero_dffe26_wo503w504w(0));
sign_dffe31_wo <= sign_dffe31;
sign_dffe32_wi <= sign_dffe31_wo;
sign_dffe32_wo <= sign_dffe32_wi;
sign_dffe33_wi <= sign_dffe32_wo;
sign_dffe33_wo <= sign_dffe33_wi;
sign_out_dffe5_wi <= (wire_w_lg_force_nan_w630w(0) AND ((force_infinity_w AND infinite_output_sign_dffe4_wo) OR wire_w_lg_w_lg_force_infinity_w629w654w(0)));
sign_out_dffe5_wo <= sign_out_dffe5;
sign_res_dffe3_wi <= sign_dffe33_wo;
sign_res_dffe3_wo <= sign_res_dffe3;
sign_res_dffe41_wi <= sign_res_dffe42_wo;
sign_res_dffe41_wo <= sign_res_dffe41;
sign_res_dffe42_wi <= sign_res_dffe3_wo;
sign_res_dffe42_wo <= sign_res_dffe42_wi;
sign_res_dffe4_wi <= sign_res_dffe41_wo;
sign_res_dffe4_wo <= sign_res_dffe4;
sticky_bit_cnt_dataa_w <= ( "0" & rshift_distance_dffe15_wo);
sticky_bit_cnt_datab_w <= ( "0" & wire_trailing_zeros_cnt_q);
sticky_bit_cnt_res_w <= wire_add_sub3_result;
sticky_bit_dffe1_wi <= wire_trailing_zeros_limit_comparator_agb;
sticky_bit_dffe1_wo <= sticky_bit_dffe1;
sticky_bit_dffe21_wi <= sticky_bit_w;
sticky_bit_dffe21_wo <= sticky_bit_dffe21;
sticky_bit_dffe22_wi <= sticky_bit_dffe2_wo;
sticky_bit_dffe22_wo <= sticky_bit_dffe22_wi;
sticky_bit_dffe23_wi <= sticky_bit_dffe21_wo;
sticky_bit_dffe23_wo <= sticky_bit_dffe23;
sticky_bit_dffe25_wi <= sticky_bit_dffe1_wo;
sticky_bit_dffe25_wo <= sticky_bit_dffe25;
sticky_bit_dffe26_wi <= sticky_bit_dffe23_wo;
sticky_bit_dffe26_wo <= sticky_bit_dffe26_wi;
sticky_bit_dffe27_wi <= sticky_bit_dffe22_wo;
sticky_bit_dffe27_wo <= sticky_bit_dffe27;
sticky_bit_dffe2_wi <= sticky_bit_dffe25_wo;
sticky_bit_dffe2_wo <= sticky_bit_dffe2;
sticky_bit_dffe31_wi <= sticky_bit_dffe26_wo;
sticky_bit_dffe31_wo <= sticky_bit_dffe31;
sticky_bit_dffe32_wi <= sticky_bit_dffe31_wo;
sticky_bit_dffe32_wo <= sticky_bit_dffe32_wi;
sticky_bit_dffe33_wi <= sticky_bit_dffe32_wo;
sticky_bit_dffe33_wo <= sticky_bit_dffe33_wi;
sticky_bit_dffe3_wi <= sticky_bit_dffe33_wo;
sticky_bit_dffe3_wo <= sticky_bit_dffe3;
sticky_bit_w <= (((wire_w_lg_w397w407w(0) OR ((wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w382w(0) AND man_add_sub_res_mag_dffe27_wo(25)) AND wire_w_lg_sticky_bit_dffe27_wo402w(0))) OR (wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w391w(0) AND (wire_w_lg_sticky_bit_dffe27_wo402w(0) OR man_add_sub_res_mag_dffe27_wo(1)))) OR ((man_add_sub_res_mag_dffe27_wo(26) AND man_add_sub_res_mag_dffe27_wo(25)) AND (wire_w_lg_sticky_bit_dffe27_wo402w(0) OR man_add_sub_res_mag_dffe27_wo(1))));
trailing_zeros_limit_w <= "000010";
zero_man_sign_dffe21_wi <= zero_man_sign_dffe27_wo;
zero_man_sign_dffe21_wo <= zero_man_sign_dffe21;
zero_man_sign_dffe22_wi <= zero_man_sign_dffe2_wo;
zero_man_sign_dffe22_wo <= zero_man_sign_dffe22_wi;
zero_man_sign_dffe23_wi <= zero_man_sign_dffe21_wo;
zero_man_sign_dffe23_wo <= zero_man_sign_dffe23;
zero_man_sign_dffe26_wi <= zero_man_sign_dffe23_wo;
zero_man_sign_dffe26_wo <= zero_man_sign_dffe26_wi;
zero_man_sign_dffe27_wi <= zero_man_sign_dffe22_wo;
zero_man_sign_dffe27_wo <= zero_man_sign_dffe27;
zero_man_sign_dffe2_wi <= (dataa_sign_dffe25_wo AND add_sub_dffe25_wo);
zero_man_sign_dffe2_wo <= zero_man_sign_dffe2;
wire_w_aligned_dataa_exp_dffe15_wo_range315w <= aligned_dataa_exp_dffe15_wo(7 DOWNTO 0);
wire_w_aligned_datab_exp_dffe15_wo_range313w <= aligned_datab_exp_dffe15_wo(7 DOWNTO 0);
wire_w_dataa_range141w(0) <= dataa(10);
wire_w_dataa_range147w(0) <= dataa(11);
wire_w_dataa_range153w(0) <= dataa(12);
wire_w_dataa_range159w(0) <= dataa(13);
wire_w_dataa_range165w(0) <= dataa(14);
wire_w_dataa_range171w(0) <= dataa(15);
wire_w_dataa_range177w(0) <= dataa(16);
wire_w_dataa_range183w(0) <= dataa(17);
wire_w_dataa_range189w(0) <= dataa(18);
wire_w_dataa_range195w(0) <= dataa(19);
wire_w_dataa_range87w(0) <= dataa(1);
wire_w_dataa_range201w(0) <= dataa(20);
wire_w_dataa_range207w(0) <= dataa(21);
wire_w_dataa_range213w(0) <= dataa(22);
wire_w_dataa_range17w(0) <= dataa(24);
wire_w_dataa_range27w(0) <= dataa(25);
wire_w_dataa_range37w(0) <= dataa(26);
wire_w_dataa_range47w(0) <= dataa(27);
wire_w_dataa_range57w(0) <= dataa(28);
wire_w_dataa_range67w(0) <= dataa(29);
wire_w_dataa_range93w(0) <= dataa(2);
wire_w_dataa_range77w(0) <= dataa(30);
wire_w_dataa_range99w(0) <= dataa(3);
wire_w_dataa_range105w(0) <= dataa(4);
wire_w_dataa_range111w(0) <= dataa(5);
wire_w_dataa_range117w(0) <= dataa(6);
wire_w_dataa_range123w(0) <= dataa(7);
wire_w_dataa_range129w(0) <= dataa(8);
wire_w_dataa_range135w(0) <= dataa(9);
wire_w_dataa_dffe11_wo_range242w <= dataa_dffe11_wo(22 DOWNTO 0);
wire_w_dataa_dffe11_wo_range232w <= dataa_dffe11_wo(30 DOWNTO 23);
wire_w_datab_range144w(0) <= datab(10);
wire_w_datab_range150w(0) <= datab(11);
wire_w_datab_range156w(0) <= datab(12);
wire_w_datab_range162w(0) <= datab(13);
wire_w_datab_range168w(0) <= datab(14);
wire_w_datab_range174w(0) <= datab(15);
wire_w_datab_range180w(0) <= datab(16);
wire_w_datab_range186w(0) <= datab(17);
wire_w_datab_range192w(0) <= datab(18);
wire_w_datab_range198w(0) <= datab(19);
wire_w_datab_range90w(0) <= datab(1);
wire_w_datab_range204w(0) <= datab(20);
wire_w_datab_range210w(0) <= datab(21);
wire_w_datab_range216w(0) <= datab(22);
wire_w_datab_range20w(0) <= datab(24);
wire_w_datab_range30w(0) <= datab(25);
wire_w_datab_range40w(0) <= datab(26);
wire_w_datab_range50w(0) <= datab(27);
wire_w_datab_range60w(0) <= datab(28);
wire_w_datab_range70w(0) <= datab(29);
wire_w_datab_range96w(0) <= datab(2);
wire_w_datab_range80w(0) <= datab(30);
wire_w_datab_range102w(0) <= datab(3);
wire_w_datab_range108w(0) <= datab(4);
wire_w_datab_range114w(0) <= datab(5);
wire_w_datab_range120w(0) <= datab(6);
wire_w_datab_range126w(0) <= datab(7);
wire_w_datab_range132w(0) <= datab(8);
wire_w_datab_range138w(0) <= datab(9);
wire_w_datab_dffe11_wo_range261w <= datab_dffe11_wo(22 DOWNTO 0);
wire_w_datab_dffe11_wo_range251w <= datab_dffe11_wo(30 DOWNTO 23);
wire_w_exp_a_all_one_w_range7w(0) <= exp_a_all_one_w(0);
wire_w_exp_a_all_one_w_range24w(0) <= exp_a_all_one_w(1);
wire_w_exp_a_all_one_w_range34w(0) <= exp_a_all_one_w(2);
wire_w_exp_a_all_one_w_range44w(0) <= exp_a_all_one_w(3);
wire_w_exp_a_all_one_w_range54w(0) <= exp_a_all_one_w(4);
wire_w_exp_a_all_one_w_range64w(0) <= exp_a_all_one_w(5);
wire_w_exp_a_all_one_w_range74w(0) <= exp_a_all_one_w(6);
wire_w_exp_a_all_one_w_range84w(0) <= exp_a_all_one_w(7);
wire_w_exp_a_not_zero_w_range2w(0) <= exp_a_not_zero_w(0);
wire_w_exp_a_not_zero_w_range19w(0) <= exp_a_not_zero_w(1);
wire_w_exp_a_not_zero_w_range29w(0) <= exp_a_not_zero_w(2);
wire_w_exp_a_not_zero_w_range39w(0) <= exp_a_not_zero_w(3);
wire_w_exp_a_not_zero_w_range49w(0) <= exp_a_not_zero_w(4);
wire_w_exp_a_not_zero_w_range59w(0) <= exp_a_not_zero_w(5);
wire_w_exp_a_not_zero_w_range69w(0) <= exp_a_not_zero_w(6);
wire_w_exp_adjustment2_add_sub_w_range518w(0) <= exp_adjustment2_add_sub_w(1);
wire_w_exp_adjustment2_add_sub_w_range521w(0) <= exp_adjustment2_add_sub_w(2);
wire_w_exp_adjustment2_add_sub_w_range524w(0) <= exp_adjustment2_add_sub_w(3);
wire_w_exp_adjustment2_add_sub_w_range527w(0) <= exp_adjustment2_add_sub_w(4);
wire_w_exp_adjustment2_add_sub_w_range530w(0) <= exp_adjustment2_add_sub_w(5);
wire_w_exp_adjustment2_add_sub_w_range533w(0) <= exp_adjustment2_add_sub_w(6);
wire_w_exp_adjustment2_add_sub_w_range557w <= exp_adjustment2_add_sub_w(7 DOWNTO 0);
wire_w_exp_adjustment2_add_sub_w_range536w(0) <= exp_adjustment2_add_sub_w(7);
wire_w_exp_adjustment2_add_sub_w_range511w(0) <= exp_adjustment2_add_sub_w(8);
wire_w_exp_amb_w_range275w <= exp_amb_w(7 DOWNTO 0);
wire_w_exp_b_all_one_w_range9w(0) <= exp_b_all_one_w(0);
wire_w_exp_b_all_one_w_range26w(0) <= exp_b_all_one_w(1);
wire_w_exp_b_all_one_w_range36w(0) <= exp_b_all_one_w(2);
wire_w_exp_b_all_one_w_range46w(0) <= exp_b_all_one_w(3);
wire_w_exp_b_all_one_w_range56w(0) <= exp_b_all_one_w(4);
wire_w_exp_b_all_one_w_range66w(0) <= exp_b_all_one_w(5);
wire_w_exp_b_all_one_w_range76w(0) <= exp_b_all_one_w(6);
wire_w_exp_b_all_one_w_range86w(0) <= exp_b_all_one_w(7);
wire_w_exp_b_not_zero_w_range5w(0) <= exp_b_not_zero_w(0);
wire_w_exp_b_not_zero_w_range22w(0) <= exp_b_not_zero_w(1);
wire_w_exp_b_not_zero_w_range32w(0) <= exp_b_not_zero_w(2);
wire_w_exp_b_not_zero_w_range42w(0) <= exp_b_not_zero_w(3);
wire_w_exp_b_not_zero_w_range52w(0) <= exp_b_not_zero_w(4);
wire_w_exp_b_not_zero_w_range62w(0) <= exp_b_not_zero_w(5);
wire_w_exp_b_not_zero_w_range72w(0) <= exp_b_not_zero_w(6);
wire_w_exp_bma_w_range273w <= exp_bma_w(7 DOWNTO 0);
wire_w_exp_diff_abs_exceed_max_w_range283w(0) <= exp_diff_abs_exceed_max_w(0);
wire_w_exp_diff_abs_exceed_max_w_range287w(0) <= exp_diff_abs_exceed_max_w(1);
wire_w_exp_diff_abs_exceed_max_w_range290w(0) <= exp_diff_abs_exceed_max_w(2);
wire_w_exp_diff_abs_w_range291w <= exp_diff_abs_w(4 DOWNTO 0);
wire_w_exp_diff_abs_w_range285w(0) <= exp_diff_abs_w(6);
wire_w_exp_diff_abs_w_range288w(0) <= exp_diff_abs_w(7);
wire_w_exp_res_max_w_range540w(0) <= exp_res_max_w(0);
wire_w_exp_res_max_w_range543w(0) <= exp_res_max_w(1);
wire_w_exp_res_max_w_range545w(0) <= exp_res_max_w(2);
wire_w_exp_res_max_w_range547w(0) <= exp_res_max_w(3);
wire_w_exp_res_max_w_range549w(0) <= exp_res_max_w(4);
wire_w_exp_res_max_w_range551w(0) <= exp_res_max_w(5);
wire_w_exp_res_max_w_range553w(0) <= exp_res_max_w(6);
wire_w_exp_res_max_w_range555w(0) <= exp_res_max_w(7);
wire_w_exp_res_not_zero_w_range516w(0) <= exp_res_not_zero_w(0);
wire_w_exp_res_not_zero_w_range520w(0) <= exp_res_not_zero_w(1);
wire_w_exp_res_not_zero_w_range523w(0) <= exp_res_not_zero_w(2);
wire_w_exp_res_not_zero_w_range526w(0) <= exp_res_not_zero_w(3);
wire_w_exp_res_not_zero_w_range529w(0) <= exp_res_not_zero_w(4);
wire_w_exp_res_not_zero_w_range532w(0) <= exp_res_not_zero_w(5);
wire_w_exp_res_not_zero_w_range535w(0) <= exp_res_not_zero_w(6);
wire_w_exp_res_not_zero_w_range538w(0) <= exp_res_not_zero_w(7);
wire_w_exp_rounded_res_max_w_range601w(0) <= exp_rounded_res_max_w(0);
wire_w_exp_rounded_res_max_w_range605w(0) <= exp_rounded_res_max_w(1);
wire_w_exp_rounded_res_max_w_range608w(0) <= exp_rounded_res_max_w(2);
wire_w_exp_rounded_res_max_w_range611w(0) <= exp_rounded_res_max_w(3);
wire_w_exp_rounded_res_max_w_range614w(0) <= exp_rounded_res_max_w(4);
wire_w_exp_rounded_res_max_w_range617w(0) <= exp_rounded_res_max_w(5);
wire_w_exp_rounded_res_max_w_range620w(0) <= exp_rounded_res_max_w(6);
wire_w_exp_rounded_res_w_range603w(0) <= exp_rounded_res_w(1);
wire_w_exp_rounded_res_w_range606w(0) <= exp_rounded_res_w(2);
wire_w_exp_rounded_res_w_range609w(0) <= exp_rounded_res_w(3);
wire_w_exp_rounded_res_w_range612w(0) <= exp_rounded_res_w(4);
wire_w_exp_rounded_res_w_range615w(0) <= exp_rounded_res_w(5);
wire_w_exp_rounded_res_w_range618w(0) <= exp_rounded_res_w(6);
wire_w_exp_rounded_res_w_range621w(0) <= exp_rounded_res_w(7);
wire_w_man_a_not_zero_w_range12w(0) <= man_a_not_zero_w(0);
wire_w_man_a_not_zero_w_range143w(0) <= man_a_not_zero_w(10);
wire_w_man_a_not_zero_w_range149w(0) <= man_a_not_zero_w(11);
wire_w_man_a_not_zero_w_range155w(0) <= man_a_not_zero_w(12);
wire_w_man_a_not_zero_w_range161w(0) <= man_a_not_zero_w(13);
wire_w_man_a_not_zero_w_range167w(0) <= man_a_not_zero_w(14);
wire_w_man_a_not_zero_w_range173w(0) <= man_a_not_zero_w(15);
wire_w_man_a_not_zero_w_range179w(0) <= man_a_not_zero_w(16);
wire_w_man_a_not_zero_w_range185w(0) <= man_a_not_zero_w(17);
wire_w_man_a_not_zero_w_range191w(0) <= man_a_not_zero_w(18);
wire_w_man_a_not_zero_w_range197w(0) <= man_a_not_zero_w(19);
wire_w_man_a_not_zero_w_range89w(0) <= man_a_not_zero_w(1);
wire_w_man_a_not_zero_w_range203w(0) <= man_a_not_zero_w(20);
wire_w_man_a_not_zero_w_range209w(0) <= man_a_not_zero_w(21);
wire_w_man_a_not_zero_w_range215w(0) <= man_a_not_zero_w(22);
wire_w_man_a_not_zero_w_range95w(0) <= man_a_not_zero_w(2);
wire_w_man_a_not_zero_w_range101w(0) <= man_a_not_zero_w(3);
wire_w_man_a_not_zero_w_range107w(0) <= man_a_not_zero_w(4);
wire_w_man_a_not_zero_w_range113w(0) <= man_a_not_zero_w(5);
wire_w_man_a_not_zero_w_range119w(0) <= man_a_not_zero_w(6);
wire_w_man_a_not_zero_w_range125w(0) <= man_a_not_zero_w(7);
wire_w_man_a_not_zero_w_range131w(0) <= man_a_not_zero_w(8);
wire_w_man_a_not_zero_w_range137w(0) <= man_a_not_zero_w(9);
wire_w_man_add_sub_res_mag_dffe21_wo_range443w(0) <= man_add_sub_res_mag_dffe21_wo(10);
wire_w_man_add_sub_res_mag_dffe21_wo_range446w(0) <= man_add_sub_res_mag_dffe21_wo(11);
wire_w_man_add_sub_res_mag_dffe21_wo_range449w(0) <= man_add_sub_res_mag_dffe21_wo(12);
wire_w_man_add_sub_res_mag_dffe21_wo_range452w(0) <= man_add_sub_res_mag_dffe21_wo(13);
wire_w_man_add_sub_res_mag_dffe21_wo_range455w(0) <= man_add_sub_res_mag_dffe21_wo(14);
wire_w_man_add_sub_res_mag_dffe21_wo_range458w(0) <= man_add_sub_res_mag_dffe21_wo(15);
wire_w_man_add_sub_res_mag_dffe21_wo_range461w(0) <= man_add_sub_res_mag_dffe21_wo(16);
wire_w_man_add_sub_res_mag_dffe21_wo_range464w(0) <= man_add_sub_res_mag_dffe21_wo(17);
wire_w_man_add_sub_res_mag_dffe21_wo_range467w(0) <= man_add_sub_res_mag_dffe21_wo(18);
wire_w_man_add_sub_res_mag_dffe21_wo_range470w(0) <= man_add_sub_res_mag_dffe21_wo(19);
wire_w_man_add_sub_res_mag_dffe21_wo_range473w(0) <= man_add_sub_res_mag_dffe21_wo(20);
wire_w_man_add_sub_res_mag_dffe21_wo_range476w(0) <= man_add_sub_res_mag_dffe21_wo(21);
wire_w_man_add_sub_res_mag_dffe21_wo_range479w(0) <= man_add_sub_res_mag_dffe21_wo(22);
wire_w_man_add_sub_res_mag_dffe21_wo_range482w(0) <= man_add_sub_res_mag_dffe21_wo(23);
wire_w_man_add_sub_res_mag_dffe21_wo_range485w(0) <= man_add_sub_res_mag_dffe21_wo(24);
wire_w_man_add_sub_res_mag_dffe21_wo_range488w(0) <= man_add_sub_res_mag_dffe21_wo(25);
wire_w_man_add_sub_res_mag_dffe21_wo_range419w(0) <= man_add_sub_res_mag_dffe21_wo(2);
wire_w_man_add_sub_res_mag_dffe21_wo_range422w(0) <= man_add_sub_res_mag_dffe21_wo(3);
wire_w_man_add_sub_res_mag_dffe21_wo_range425w(0) <= man_add_sub_res_mag_dffe21_wo(4);
wire_w_man_add_sub_res_mag_dffe21_wo_range428w(0) <= man_add_sub_res_mag_dffe21_wo(5);
wire_w_man_add_sub_res_mag_dffe21_wo_range431w(0) <= man_add_sub_res_mag_dffe21_wo(6);
wire_w_man_add_sub_res_mag_dffe21_wo_range434w(0) <= man_add_sub_res_mag_dffe21_wo(7);
wire_w_man_add_sub_res_mag_dffe21_wo_range437w(0) <= man_add_sub_res_mag_dffe21_wo(8);
wire_w_man_add_sub_res_mag_dffe21_wo_range440w(0) <= man_add_sub_res_mag_dffe21_wo(9);
wire_w_man_add_sub_res_mag_dffe27_wo_range396w(0) <= man_add_sub_res_mag_dffe27_wo(0);
wire_w_man_add_sub_res_mag_dffe27_wo_range411w <= man_add_sub_res_mag_dffe27_wo(25 DOWNTO 0);
wire_w_man_add_sub_res_mag_dffe27_wo_range387w(0) <= man_add_sub_res_mag_dffe27_wo(25);
wire_w_man_add_sub_res_mag_dffe27_wo_range413w <= man_add_sub_res_mag_dffe27_wo(26 DOWNTO 1);
wire_w_man_add_sub_res_mag_dffe27_wo_range381w(0) <= man_add_sub_res_mag_dffe27_wo(26);
wire_w_man_add_sub_w_range372w(0) <= man_add_sub_w(27);
wire_w_man_b_not_zero_w_range15w(0) <= man_b_not_zero_w(0);
wire_w_man_b_not_zero_w_range146w(0) <= man_b_not_zero_w(10);
wire_w_man_b_not_zero_w_range152w(0) <= man_b_not_zero_w(11);
wire_w_man_b_not_zero_w_range158w(0) <= man_b_not_zero_w(12);
wire_w_man_b_not_zero_w_range164w(0) <= man_b_not_zero_w(13);
wire_w_man_b_not_zero_w_range170w(0) <= man_b_not_zero_w(14);
wire_w_man_b_not_zero_w_range176w(0) <= man_b_not_zero_w(15);
wire_w_man_b_not_zero_w_range182w(0) <= man_b_not_zero_w(16);
wire_w_man_b_not_zero_w_range188w(0) <= man_b_not_zero_w(17);
wire_w_man_b_not_zero_w_range194w(0) <= man_b_not_zero_w(18);
wire_w_man_b_not_zero_w_range200w(0) <= man_b_not_zero_w(19);
wire_w_man_b_not_zero_w_range92w(0) <= man_b_not_zero_w(1);
wire_w_man_b_not_zero_w_range206w(0) <= man_b_not_zero_w(20);
wire_w_man_b_not_zero_w_range212w(0) <= man_b_not_zero_w(21);
wire_w_man_b_not_zero_w_range218w(0) <= man_b_not_zero_w(22);
wire_w_man_b_not_zero_w_range98w(0) <= man_b_not_zero_w(2);
wire_w_man_b_not_zero_w_range104w(0) <= man_b_not_zero_w(3);
wire_w_man_b_not_zero_w_range110w(0) <= man_b_not_zero_w(4);
wire_w_man_b_not_zero_w_range116w(0) <= man_b_not_zero_w(5);
wire_w_man_b_not_zero_w_range122w(0) <= man_b_not_zero_w(6);
wire_w_man_b_not_zero_w_range128w(0) <= man_b_not_zero_w(7);
wire_w_man_b_not_zero_w_range134w(0) <= man_b_not_zero_w(8);
wire_w_man_b_not_zero_w_range140w(0) <= man_b_not_zero_w(9);
wire_w_man_res_not_zero_w2_range417w(0) <= man_res_not_zero_w2(0);
wire_w_man_res_not_zero_w2_range448w(0) <= man_res_not_zero_w2(10);
wire_w_man_res_not_zero_w2_range451w(0) <= man_res_not_zero_w2(11);
wire_w_man_res_not_zero_w2_range454w(0) <= man_res_not_zero_w2(12);
wire_w_man_res_not_zero_w2_range457w(0) <= man_res_not_zero_w2(13);
wire_w_man_res_not_zero_w2_range460w(0) <= man_res_not_zero_w2(14);
wire_w_man_res_not_zero_w2_range463w(0) <= man_res_not_zero_w2(15);
wire_w_man_res_not_zero_w2_range466w(0) <= man_res_not_zero_w2(16);
wire_w_man_res_not_zero_w2_range469w(0) <= man_res_not_zero_w2(17);
wire_w_man_res_not_zero_w2_range472w(0) <= man_res_not_zero_w2(18);
wire_w_man_res_not_zero_w2_range475w(0) <= man_res_not_zero_w2(19);
wire_w_man_res_not_zero_w2_range421w(0) <= man_res_not_zero_w2(1);
wire_w_man_res_not_zero_w2_range478w(0) <= man_res_not_zero_w2(20);
wire_w_man_res_not_zero_w2_range481w(0) <= man_res_not_zero_w2(21);
wire_w_man_res_not_zero_w2_range484w(0) <= man_res_not_zero_w2(22);
wire_w_man_res_not_zero_w2_range487w(0) <= man_res_not_zero_w2(23);
wire_w_man_res_not_zero_w2_range424w(0) <= man_res_not_zero_w2(2);
wire_w_man_res_not_zero_w2_range427w(0) <= man_res_not_zero_w2(3);
wire_w_man_res_not_zero_w2_range430w(0) <= man_res_not_zero_w2(4);
wire_w_man_res_not_zero_w2_range433w(0) <= man_res_not_zero_w2(5);
wire_w_man_res_not_zero_w2_range436w(0) <= man_res_not_zero_w2(6);
wire_w_man_res_not_zero_w2_range439w(0) <= man_res_not_zero_w2(7);
wire_w_man_res_not_zero_w2_range442w(0) <= man_res_not_zero_w2(8);
wire_w_man_res_not_zero_w2_range445w(0) <= man_res_not_zero_w2(9);
wire_w_man_res_rounding_add_sub_w_range584w <= man_res_rounding_add_sub_w(22 DOWNTO 0);
wire_w_man_res_rounding_add_sub_w_range588w <= man_res_rounding_add_sub_w(23 DOWNTO 1);
wire_w_man_res_rounding_add_sub_w_range585w(0) <= man_res_rounding_add_sub_w(24);
lbarrel_shift : kn_kalman_sub_altbarrel_shift_h0e
PORT MAP (
aclr => aclr,
clk_en => clk_en,
clock => clock,
data => man_dffe31_wo,
distance => man_leading_zeros_cnt_w,
result => wire_lbarrel_shift_result
);
wire_rbarrel_shift_data <= ( man_smaller_dffe13_wo & "00");
rbarrel_shift : kn_kalman_sub_altbarrel_shift_n3g
PORT MAP (
aclr => aclr,
clk_en => clk_en,
clock => clock,
data => wire_rbarrel_shift_data,
distance => rshift_distance_dffe13_wo,
result => wire_rbarrel_shift_result
);
wire_leading_zeroes_cnt_data <= ( man_add_sub_res_mag_dffe21_wo(25 DOWNTO 1) & "1" & "000000");
leading_zeroes_cnt : kn_kalman_sub_altpriority_encoder_ou8
PORT MAP (
aclr => aclr,
clk_en => clk_en,
clock => clock,
data => wire_leading_zeroes_cnt_data,
q => wire_leading_zeroes_cnt_q
);
wire_trailing_zeros_cnt_data <= ( "111111111" & man_smaller_dffe13_wo(22 DOWNTO 0));
trailing_zeros_cnt : kn_kalman_sub_altpriority_encoder_cna
PORT MAP (
aclr => aclr,
clk_en => clk_en,
clock => clock,
data => wire_trailing_zeros_cnt_data,
q => wire_trailing_zeros_cnt_q
);
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN add_sub_dffe25 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN add_sub_dffe25 <= add_sub_dffe25_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN aligned_dataa_exp_dffe12 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN aligned_dataa_exp_dffe12 <= aligned_dataa_exp_dffe12_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN aligned_dataa_exp_dffe13 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN aligned_dataa_exp_dffe13 <= aligned_dataa_exp_dffe13_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN aligned_dataa_exp_dffe14 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN aligned_dataa_exp_dffe14 <= aligned_dataa_exp_dffe14_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN aligned_dataa_man_dffe12 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN aligned_dataa_man_dffe12 <= aligned_dataa_man_dffe12_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN aligned_dataa_man_dffe13 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN aligned_dataa_man_dffe13 <= aligned_dataa_man_dffe13_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN aligned_dataa_man_dffe14 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN aligned_dataa_man_dffe14 <= aligned_dataa_man_dffe14_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN aligned_dataa_sign_dffe12 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN aligned_dataa_sign_dffe12 <= aligned_dataa_sign_dffe12_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN aligned_dataa_sign_dffe13 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN aligned_dataa_sign_dffe13 <= aligned_dataa_sign_dffe13_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN aligned_dataa_sign_dffe14 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN aligned_dataa_sign_dffe14 <= aligned_dataa_sign_dffe14_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN aligned_datab_exp_dffe12 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN aligned_datab_exp_dffe12 <= aligned_datab_exp_dffe12_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN aligned_datab_exp_dffe13 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN aligned_datab_exp_dffe13 <= aligned_datab_exp_dffe13_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN aligned_datab_exp_dffe14 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN aligned_datab_exp_dffe14 <= aligned_datab_exp_dffe14_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN aligned_datab_man_dffe12 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN aligned_datab_man_dffe12 <= aligned_datab_man_dffe12_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN aligned_datab_man_dffe13 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN aligned_datab_man_dffe13 <= aligned_datab_man_dffe13_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN aligned_datab_man_dffe14 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN aligned_datab_man_dffe14 <= aligned_datab_man_dffe14_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN aligned_datab_sign_dffe12 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN aligned_datab_sign_dffe12 <= aligned_datab_sign_dffe12_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN aligned_datab_sign_dffe13 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN aligned_datab_sign_dffe13 <= aligned_datab_sign_dffe13_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN aligned_datab_sign_dffe14 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN aligned_datab_sign_dffe14 <= aligned_datab_sign_dffe14_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN both_inputs_are_infinite_dffe1 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN both_inputs_are_infinite_dffe1 <= both_inputs_are_infinite_dffe1_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN both_inputs_are_infinite_dffe25 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN both_inputs_are_infinite_dffe25 <= both_inputs_are_infinite_dffe25_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN data_exp_dffe1 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN data_exp_dffe1 <= data_exp_dffe1_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN dataa_man_dffe1 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN dataa_man_dffe1 <= dataa_man_dffe1_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN dataa_sign_dffe1 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN dataa_sign_dffe1 <= dataa_sign_dffe1_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN dataa_sign_dffe25 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN dataa_sign_dffe25 <= dataa_sign_dffe25_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN datab_man_dffe1 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN datab_man_dffe1 <= datab_man_dffe1_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN datab_sign_dffe1 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN datab_sign_dffe1 <= datab_sign_dffe1_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN denormal_res_dffe3 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN denormal_res_dffe3 <= denormal_res_dffe3_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN denormal_res_dffe4 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN denormal_res_dffe4 <= denormal_res_dffe4_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN denormal_res_dffe41 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN denormal_res_dffe41 <= denormal_res_dffe41_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN exp_adj_dffe21 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN exp_adj_dffe21 <= exp_adj_dffe21_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN exp_adj_dffe23 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN exp_adj_dffe23 <= exp_adj_dffe23_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN exp_amb_mux_dffe13 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN exp_amb_mux_dffe13 <= exp_amb_mux_dffe13_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN exp_amb_mux_dffe14 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN exp_amb_mux_dffe14 <= exp_amb_mux_dffe14_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN exp_intermediate_res_dffe41 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN exp_intermediate_res_dffe41 <= exp_intermediate_res_dffe41_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN exp_out_dffe5 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN exp_out_dffe5 <= exp_out_dffe5_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN exp_res_dffe2 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN exp_res_dffe2 <= exp_res_dffe2_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN exp_res_dffe21 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN exp_res_dffe21 <= exp_res_dffe21_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN exp_res_dffe23 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN exp_res_dffe23 <= exp_res_dffe23_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN exp_res_dffe25 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN exp_res_dffe25 <= exp_res_dffe25_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN exp_res_dffe27 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN exp_res_dffe27 <= exp_res_dffe27_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN exp_res_dffe3 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN exp_res_dffe3 <= exp_res_dffe3_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN exp_res_dffe4 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN exp_res_dffe4 <= exp_res_dffe4_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN infinite_output_sign_dffe1 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN infinite_output_sign_dffe1 <= infinite_output_sign_dffe1_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN infinite_output_sign_dffe2 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN infinite_output_sign_dffe2 <= infinite_output_sign_dffe2_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN infinite_output_sign_dffe21 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN infinite_output_sign_dffe21 <= infinite_output_sign_dffe21_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN infinite_output_sign_dffe23 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN infinite_output_sign_dffe23 <= infinite_output_sign_dffe23_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN infinite_output_sign_dffe25 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN infinite_output_sign_dffe25 <= infinite_output_sign_dffe25_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN infinite_output_sign_dffe27 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN infinite_output_sign_dffe27 <= infinite_output_sign_dffe27_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN infinite_output_sign_dffe3 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN infinite_output_sign_dffe3 <= infinite_output_sign_dffe3_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN infinite_output_sign_dffe31 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN infinite_output_sign_dffe31 <= infinite_output_sign_dffe31_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN infinite_output_sign_dffe4 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN infinite_output_sign_dffe4 <= infinite_output_sign_dffe4_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN infinite_output_sign_dffe41 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN infinite_output_sign_dffe41 <= infinite_output_sign_dffe41_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN infinite_res_dffe3 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN infinite_res_dffe3 <= infinite_res_dffe3_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN infinite_res_dffe4 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN infinite_res_dffe4 <= infinite_res_dffe4_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN infinite_res_dffe41 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN infinite_res_dffe41 <= infinite_res_dffe41_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN infinity_magnitude_sub_dffe2 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN infinity_magnitude_sub_dffe2 <= infinity_magnitude_sub_dffe2_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN infinity_magnitude_sub_dffe21 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN infinity_magnitude_sub_dffe21 <= infinity_magnitude_sub_dffe21_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN infinity_magnitude_sub_dffe23 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN infinity_magnitude_sub_dffe23 <= infinity_magnitude_sub_dffe23_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN infinity_magnitude_sub_dffe27 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN infinity_magnitude_sub_dffe27 <= infinity_magnitude_sub_dffe27_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN infinity_magnitude_sub_dffe3 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN infinity_magnitude_sub_dffe3 <= infinity_magnitude_sub_dffe3_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN infinity_magnitude_sub_dffe31 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN infinity_magnitude_sub_dffe31 <= infinity_magnitude_sub_dffe31_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN infinity_magnitude_sub_dffe4 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN infinity_magnitude_sub_dffe4 <= infinity_magnitude_sub_dffe4_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN infinity_magnitude_sub_dffe41 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN infinity_magnitude_sub_dffe41 <= infinity_magnitude_sub_dffe41_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_dataa_infinite_dffe12 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_dataa_infinite_dffe12 <= input_dataa_infinite_dffe12_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_dataa_infinite_dffe13 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_dataa_infinite_dffe13 <= input_dataa_infinite_dffe13_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_dataa_infinite_dffe14 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_dataa_infinite_dffe14 <= input_dataa_infinite_dffe14_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_dataa_nan_dffe12 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_dataa_nan_dffe12 <= input_dataa_nan_dffe12_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_datab_infinite_dffe12 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_datab_infinite_dffe12 <= input_datab_infinite_dffe12_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_datab_infinite_dffe13 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_datab_infinite_dffe13 <= input_datab_infinite_dffe13_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_datab_infinite_dffe14 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_datab_infinite_dffe14 <= input_datab_infinite_dffe14_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_datab_nan_dffe12 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_datab_nan_dffe12 <= input_datab_nan_dffe12_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_is_infinite_dffe1 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_is_infinite_dffe1 <= input_is_infinite_dffe1_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_is_infinite_dffe2 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_is_infinite_dffe2 <= input_is_infinite_dffe2_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_is_infinite_dffe21 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_is_infinite_dffe21 <= input_is_infinite_dffe21_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_is_infinite_dffe23 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_is_infinite_dffe23 <= input_is_infinite_dffe23_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_is_infinite_dffe25 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_is_infinite_dffe25 <= input_is_infinite_dffe25_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_is_infinite_dffe27 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_is_infinite_dffe27 <= input_is_infinite_dffe27_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_is_infinite_dffe3 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_is_infinite_dffe3 <= input_is_infinite_dffe3_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_is_infinite_dffe31 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_is_infinite_dffe31 <= input_is_infinite_dffe31_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_is_infinite_dffe4 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_is_infinite_dffe4 <= input_is_infinite_dffe4_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_is_infinite_dffe41 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_is_infinite_dffe41 <= input_is_infinite_dffe41_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_is_nan_dffe1 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_is_nan_dffe1 <= input_is_nan_dffe1_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_is_nan_dffe13 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_is_nan_dffe13 <= input_is_nan_dffe13_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_is_nan_dffe14 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_is_nan_dffe14 <= input_is_nan_dffe14_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_is_nan_dffe2 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_is_nan_dffe2 <= input_is_nan_dffe2_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_is_nan_dffe21 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_is_nan_dffe21 <= input_is_nan_dffe21_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_is_nan_dffe23 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_is_nan_dffe23 <= input_is_nan_dffe23_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_is_nan_dffe25 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_is_nan_dffe25 <= input_is_nan_dffe25_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_is_nan_dffe27 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_is_nan_dffe27 <= input_is_nan_dffe27_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_is_nan_dffe3 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_is_nan_dffe3 <= input_is_nan_dffe3_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_is_nan_dffe31 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_is_nan_dffe31 <= input_is_nan_dffe31_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_is_nan_dffe4 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_is_nan_dffe4 <= input_is_nan_dffe4_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN input_is_nan_dffe41 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN input_is_nan_dffe41 <= input_is_nan_dffe41_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN man_add_sub_res_mag_dffe21 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN man_add_sub_res_mag_dffe21 <= man_add_sub_res_mag_dffe21_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN man_add_sub_res_mag_dffe23 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN man_add_sub_res_mag_dffe23 <= man_add_sub_res_mag_dffe23_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN man_add_sub_res_mag_dffe27 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN man_add_sub_res_mag_dffe27 <= man_add_sub_res_mag_dffe27_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN man_add_sub_res_sign_dffe21 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN man_add_sub_res_sign_dffe21 <= man_add_sub_res_sign_dffe27_wo;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN man_add_sub_res_sign_dffe23 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN man_add_sub_res_sign_dffe23 <= man_add_sub_res_sign_dffe23_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN man_add_sub_res_sign_dffe27 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN man_add_sub_res_sign_dffe27 <= man_add_sub_res_sign_dffe27_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN man_dffe31 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN man_dffe31 <= man_add_sub_res_mag_dffe26_wo;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN man_leading_zeros_dffe31 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN man_leading_zeros_dffe31 <= man_leading_zeros_dffe31_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN man_out_dffe5 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN man_out_dffe5 <= man_out_dffe5_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN man_res_dffe4 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN man_res_dffe4 <= man_res_dffe4_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN man_res_is_not_zero_dffe3 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN man_res_is_not_zero_dffe3 <= man_res_is_not_zero_dffe3_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN man_res_is_not_zero_dffe31 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN man_res_is_not_zero_dffe31 <= man_res_is_not_zero_dffe31_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN man_res_is_not_zero_dffe4 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN man_res_is_not_zero_dffe4 <= man_res_is_not_zero_dffe4_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN man_res_is_not_zero_dffe41 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN man_res_is_not_zero_dffe41 <= man_res_is_not_zero_dffe41_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN man_res_not_zero_dffe23 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN man_res_not_zero_dffe23 <= man_res_not_zero_dffe23_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN man_res_rounding_add_sub_result_reg <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN man_res_rounding_add_sub_result_reg <= ( wire_man_res_rounding_add_sub_lower_w_lg_w_lg_w_lg_cout580w581w582w & wire_man_res_rounding_add_sub_lower_result);
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN man_smaller_dffe13 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN man_smaller_dffe13 <= man_smaller_dffe13_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN need_complement_dffe2 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN need_complement_dffe2 <= need_complement_dffe2_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN round_bit_dffe21 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN round_bit_dffe21 <= round_bit_dffe21_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN round_bit_dffe23 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN round_bit_dffe23 <= round_bit_dffe23_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN round_bit_dffe3 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN round_bit_dffe3 <= round_bit_dffe3_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN round_bit_dffe31 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN round_bit_dffe31 <= round_bit_dffe31_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN rounded_res_infinity_dffe4 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN rounded_res_infinity_dffe4 <= rounded_res_infinity_dffe4_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN rshift_distance_dffe13 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN rshift_distance_dffe13 <= rshift_distance_dffe13_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN rshift_distance_dffe14 <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN rshift_distance_dffe14 <= rshift_distance_dffe14_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN sign_dffe31 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN sign_dffe31 <= sign_dffe31_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN sign_out_dffe5 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN sign_out_dffe5 <= sign_out_dffe5_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN sign_res_dffe3 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN sign_res_dffe3 <= sign_res_dffe3_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN sign_res_dffe4 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN sign_res_dffe4 <= sign_res_dffe4_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN sign_res_dffe41 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN sign_res_dffe41 <= sign_res_dffe41_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN sticky_bit_dffe1 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN sticky_bit_dffe1 <= sticky_bit_dffe1_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN sticky_bit_dffe2 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN sticky_bit_dffe2 <= sticky_bit_dffe2_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN sticky_bit_dffe21 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN sticky_bit_dffe21 <= sticky_bit_dffe21_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN sticky_bit_dffe23 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN sticky_bit_dffe23 <= sticky_bit_dffe23_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN sticky_bit_dffe25 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN sticky_bit_dffe25 <= sticky_bit_dffe25_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN sticky_bit_dffe27 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN sticky_bit_dffe27 <= sticky_bit_dffe27_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN sticky_bit_dffe3 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN sticky_bit_dffe3 <= sticky_bit_dffe3_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN sticky_bit_dffe31 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN sticky_bit_dffe31 <= sticky_bit_dffe31_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN zero_man_sign_dffe2 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN zero_man_sign_dffe2 <= zero_man_sign_dffe2_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN zero_man_sign_dffe21 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN zero_man_sign_dffe21 <= zero_man_sign_dffe21_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN zero_man_sign_dffe23 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN zero_man_sign_dffe23 <= zero_man_sign_dffe23_wi;
END IF;
END IF;
END PROCESS;
PROCESS (clock, aclr)
BEGIN
IF (aclr = '1') THEN zero_man_sign_dffe27 <= '0';
ELSIF (clock = '1' AND clock'event) THEN
IF (clk_en = '1') THEN zero_man_sign_dffe27 <= zero_man_sign_dffe27_wi;
END IF;
END IF;
END PROCESS;
add_sub1 : lpm_add_sub
GENERIC MAP (
LPM_DIRECTION => "SUB",
LPM_PIPELINE => 1,
LPM_REPRESENTATION => "SIGNED",
LPM_WIDTH => 9,
lpm_hint => "USE_WYS=ON"
)
PORT MAP (
aclr => aclr,
clken => clk_en,
clock => clock,
dataa => aligned_dataa_exp_w,
datab => aligned_datab_exp_w,
result => wire_add_sub1_result
);
add_sub2 : lpm_add_sub
GENERIC MAP (
LPM_DIRECTION => "SUB",
LPM_PIPELINE => 1,
LPM_REPRESENTATION => "SIGNED",
LPM_WIDTH => 9,
lpm_hint => "USE_WYS=ON"
)
PORT MAP (
aclr => aclr,
clken => clk_en,
clock => clock,
dataa => aligned_datab_exp_w,
datab => aligned_dataa_exp_w,
result => wire_add_sub2_result
);
add_sub3 : lpm_add_sub
GENERIC MAP (
LPM_DIRECTION => "SUB",
LPM_REPRESENTATION => "SIGNED",
LPM_WIDTH => 6
)
PORT MAP (
dataa => sticky_bit_cnt_dataa_w,
datab => sticky_bit_cnt_datab_w,
result => wire_add_sub3_result
);
add_sub4 : lpm_add_sub
GENERIC MAP (
LPM_DIRECTION => "ADD",
LPM_REPRESENTATION => "SIGNED",
LPM_WIDTH => 9
)
PORT MAP (
dataa => exp_adjustment_add_sub_dataa_w,
datab => exp_adjustment_add_sub_datab_w,
result => wire_add_sub4_result
);
add_sub5 : lpm_add_sub
GENERIC MAP (
LPM_DIRECTION => "ADD",
LPM_PIPELINE => 1,
LPM_REPRESENTATION => "SIGNED",
LPM_WIDTH => 9,
lpm_hint => "USE_WYS=ON"
)
PORT MAP (
aclr => aclr,
clken => clk_en,
clock => clock,
dataa => exp_adjustment2_add_sub_dataa_w,
datab => exp_adjustment2_add_sub_datab_w,
result => wire_add_sub5_result
);
add_sub6 : lpm_add_sub
GENERIC MAP (
LPM_DIRECTION => "ADD",
LPM_REPRESENTATION => "SIGNED",
LPM_WIDTH => 9
)
PORT MAP (
dataa => exp_res_rounding_adder_dataa_w,
datab => exp_rounding_adjustment_w,
result => wire_add_sub6_result
);
loop122 : FOR i IN 0 TO 13 GENERATE
wire_man_2comp_res_lower_w_lg_w_lg_cout367w368w(i) <= wire_man_2comp_res_lower_w_lg_cout367w(0) AND wire_man_2comp_res_upper0_result(i);
END GENERATE loop122;
loop123 : FOR i IN 0 TO 13 GENERATE
wire_man_2comp_res_lower_w_lg_cout366w(i) <= wire_man_2comp_res_lower_cout AND wire_man_2comp_res_upper1_result(i);
END GENERATE loop123;
wire_man_2comp_res_lower_w_lg_cout367w(0) <= NOT wire_man_2comp_res_lower_cout;
loop124 : FOR i IN 0 TO 13 GENERATE
wire_man_2comp_res_lower_w_lg_w_lg_w_lg_cout367w368w369w(i) <= wire_man_2comp_res_lower_w_lg_w_lg_cout367w368w(i) OR wire_man_2comp_res_lower_w_lg_cout366w(i);
END GENERATE loop124;
man_2comp_res_lower : lpm_add_sub
GENERIC MAP (
LPM_PIPELINE => 2,
LPM_REPRESENTATION => "SIGNED",
LPM_WIDTH => 14,
lpm_hint => "USE_WYS=ON"
)
PORT MAP (
aclr => aclr,
add_sub => add_sub_w2,
cin => borrow_w,
clken => clk_en,
clock => clock,
cout => wire_man_2comp_res_lower_cout,
dataa => man_2comp_res_dataa_w(13 DOWNTO 0),
datab => man_2comp_res_datab_w(13 DOWNTO 0),
result => wire_man_2comp_res_lower_result
);
man_2comp_res_upper0 : lpm_add_sub
GENERIC MAP (
LPM_PIPELINE => 2,
LPM_REPRESENTATION => "SIGNED",
LPM_WIDTH => 14,
lpm_hint => "USE_WYS=ON"
)
PORT MAP (
aclr => aclr,
add_sub => add_sub_w2,
cin => wire_gnd,
clken => clk_en,
clock => clock,
dataa => man_2comp_res_dataa_w(27 DOWNTO 14),
datab => man_2comp_res_datab_w(27 DOWNTO 14),
result => wire_man_2comp_res_upper0_result
);
man_2comp_res_upper1 : lpm_add_sub
GENERIC MAP (
LPM_PIPELINE => 2,
LPM_REPRESENTATION => "SIGNED",
LPM_WIDTH => 14,
lpm_hint => "USE_WYS=ON"
)
PORT MAP (
aclr => aclr,
add_sub => add_sub_w2,
cin => wire_vcc,
clken => clk_en,
clock => clock,
dataa => man_2comp_res_dataa_w(27 DOWNTO 14),
datab => man_2comp_res_datab_w(27 DOWNTO 14),
result => wire_man_2comp_res_upper1_result
);
loop125 : FOR i IN 0 TO 13 GENERATE
wire_man_add_sub_lower_w_lg_w_lg_cout354w355w(i) <= wire_man_add_sub_lower_w_lg_cout354w(0) AND wire_man_add_sub_upper0_result(i);
END GENERATE loop125;
loop126 : FOR i IN 0 TO 13 GENERATE
wire_man_add_sub_lower_w_lg_cout353w(i) <= wire_man_add_sub_lower_cout AND wire_man_add_sub_upper1_result(i);
END GENERATE loop126;
wire_man_add_sub_lower_w_lg_cout354w(0) <= NOT wire_man_add_sub_lower_cout;
loop127 : FOR i IN 0 TO 13 GENERATE
wire_man_add_sub_lower_w_lg_w_lg_w_lg_cout354w355w356w(i) <= wire_man_add_sub_lower_w_lg_w_lg_cout354w355w(i) OR wire_man_add_sub_lower_w_lg_cout353w(i);
END GENERATE loop127;
man_add_sub_lower : lpm_add_sub
GENERIC MAP (
LPM_PIPELINE => 2,
LPM_REPRESENTATION => "SIGNED",
LPM_WIDTH => 14,
lpm_hint => "USE_WYS=ON"
)
PORT MAP (
aclr => aclr,
add_sub => add_sub_w2,
cin => borrow_w,
clken => clk_en,
clock => clock,
cout => wire_man_add_sub_lower_cout,
dataa => man_add_sub_dataa_w(13 DOWNTO 0),
datab => man_add_sub_datab_w(13 DOWNTO 0),
result => wire_man_add_sub_lower_result
);
man_add_sub_upper0 : lpm_add_sub
GENERIC MAP (
LPM_PIPELINE => 2,
LPM_REPRESENTATION => "SIGNED",
LPM_WIDTH => 14,
lpm_hint => "USE_WYS=ON"
)
PORT MAP (
aclr => aclr,
add_sub => add_sub_w2,
cin => wire_gnd,
clken => clk_en,
clock => clock,
dataa => man_add_sub_dataa_w(27 DOWNTO 14),
datab => man_add_sub_datab_w(27 DOWNTO 14),
result => wire_man_add_sub_upper0_result
);
man_add_sub_upper1 : lpm_add_sub
GENERIC MAP (
LPM_PIPELINE => 2,
LPM_REPRESENTATION => "SIGNED",
LPM_WIDTH => 14,
lpm_hint => "USE_WYS=ON"
)
PORT MAP (
aclr => aclr,
add_sub => add_sub_w2,
cin => wire_vcc,
clken => clk_en,
clock => clock,
dataa => man_add_sub_dataa_w(27 DOWNTO 14),
datab => man_add_sub_datab_w(27 DOWNTO 14),
result => wire_man_add_sub_upper1_result
);
loop128 : FOR i IN 0 TO 12 GENERATE
wire_man_res_rounding_add_sub_lower_w_lg_w_lg_cout580w581w(i) <= wire_man_res_rounding_add_sub_lower_w_lg_cout580w(0) AND adder_upper_w(i);
END GENERATE loop128;
loop129 : FOR i IN 0 TO 12 GENERATE
wire_man_res_rounding_add_sub_lower_w_lg_cout579w(i) <= wire_man_res_rounding_add_sub_lower_cout AND wire_man_res_rounding_add_sub_upper1_result(i);
END GENERATE loop129;
wire_man_res_rounding_add_sub_lower_w_lg_cout580w(0) <= NOT wire_man_res_rounding_add_sub_lower_cout;
loop130 : FOR i IN 0 TO 12 GENERATE
wire_man_res_rounding_add_sub_lower_w_lg_w_lg_w_lg_cout580w581w582w(i) <= wire_man_res_rounding_add_sub_lower_w_lg_w_lg_cout580w581w(i) OR wire_man_res_rounding_add_sub_lower_w_lg_cout579w(i);
END GENERATE loop130;
man_res_rounding_add_sub_lower : lpm_add_sub
GENERIC MAP (
LPM_DIRECTION => "ADD",
LPM_REPRESENTATION => "SIGNED",
LPM_WIDTH => 13
)
PORT MAP (
cout => wire_man_res_rounding_add_sub_lower_cout,
dataa => man_intermediate_res_w(12 DOWNTO 0),
datab => man_res_rounding_add_sub_datab_w(12 DOWNTO 0),
result => wire_man_res_rounding_add_sub_lower_result
);
man_res_rounding_add_sub_upper1 : lpm_add_sub
GENERIC MAP (
LPM_DIRECTION => "ADD",
LPM_REPRESENTATION => "SIGNED",
LPM_WIDTH => 13
)
PORT MAP (
cin => wire_vcc,
dataa => man_intermediate_res_w(25 DOWNTO 13),
datab => man_res_rounding_add_sub_datab_w(25 DOWNTO 13),
result => wire_man_res_rounding_add_sub_upper1_result
);
trailing_zeros_limit_comparator : lpm_compare
GENERIC MAP (
LPM_REPRESENTATION => "SIGNED",
LPM_WIDTH => 6
)
PORT MAP (
agb => wire_trailing_zeros_limit_comparator_agb,
dataa => sticky_bit_cnt_res_w,
datab => trailing_zeros_limit_w
);
END RTL; --kn_kalman_sub_altfp_add_sub_23j
--VALID FILE
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY kn_kalman_sub IS
PORT
(
clock : IN STD_LOGIC ;
dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
datab : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)
);
END kn_kalman_sub;
ARCHITECTURE RTL OF kn_kalman_sub IS
SIGNAL sub_wire0 : STD_LOGIC_VECTOR (31 DOWNTO 0);
COMPONENT kn_kalman_sub_altfp_add_sub_23j
PORT (
clock : IN STD_LOGIC ;
dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
datab : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)
);
END COMPONENT;
BEGIN
result <= sub_wire0(31 DOWNTO 0);
kn_kalman_sub_altfp_add_sub_23j_component : kn_kalman_sub_altfp_add_sub_23j
PORT MAP (
clock => clock,
dataa => dataa,
datab => datab,
result => sub_wire0
);
END RTL;
-- ============================================================
-- CNX file retrieval info
-- ============================================================
-- Retrieval info: PRIVATE: FPM_FORMAT NUMERIC "0"
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "1"
-- Retrieval info: PRIVATE: WIDTH_DATA NUMERIC "32"
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
-- Retrieval info: CONSTANT: DENORMAL_SUPPORT STRING "NO"
-- Retrieval info: CONSTANT: DIRECTION STRING "SUB"
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
-- Retrieval info: CONSTANT: OPTIMIZE STRING "SPEED"
-- Retrieval info: CONSTANT: PIPELINE NUMERIC "14"
-- Retrieval info: CONSTANT: REDUCED_FUNCTIONALITY STRING "NO"
-- Retrieval info: CONSTANT: WIDTH_EXP NUMERIC "8"
-- Retrieval info: CONSTANT: WIDTH_MAN NUMERIC "23"
-- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL "clock"
-- Retrieval info: USED_PORT: dataa 0 0 32 0 INPUT NODEFVAL "dataa[31..0]"
-- Retrieval info: USED_PORT: datab 0 0 32 0 INPUT NODEFVAL "datab[31..0]"
-- Retrieval info: USED_PORT: result 0 0 32 0 OUTPUT NODEFVAL "result[31..0]"
-- Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0
-- Retrieval info: CONNECT: @dataa 0 0 32 0 dataa 0 0 32 0
-- Retrieval info: CONNECT: @datab 0 0 32 0 datab 0 0 32 0
-- Retrieval info: CONNECT: result 0 0 32 0 @result 0 0 32 0
-- Retrieval info: GEN_FILE: TYPE_NORMAL kn_kalman_sub.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL kn_kalman_sub.inc FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL kn_kalman_sub.cmp TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL kn_kalman_sub.bsf FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL kn_kalman_sub_inst.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL kn_kalman_sub_syn.v TRUE
-- Retrieval info: LIB_FILE: lpm
|
library ieee;
use ieee.std_logic_1164.all;
-----------------------------------------------------------------------------
-- UART Transmitter ---------------------------------------------------------
entity uart_tx is
generic (
fullbit : integer );
port (
clk : in std_logic;
reset : in std_logic;
--
din : in std_logic_vector(7 downto 0);
wr : in std_logic;
busy : out std_logic;
--
txd : out std_logic );
end uart_tx;
-----------------------------------------------------------------------------
-- Implemenattion -----------------------------------------------------------
architecture rtl of uart_tx is
constant halfbit : integer := fullbit / 2;
-- Signals
signal bitcount : integer range 0 to 10;
signal count : integer range 0 to fullbit;
signal shiftreg : std_logic_vector(7 downto 0);
begin
proc: process(clk, reset)
begin
if reset='1' then
count <= 0;
bitcount <= 0;
busy <= '0';
txd <= '1';
elsif clk'event and clk='1' then
if count/=0 then
count <= count - 1;
else
if bitcount=0 then
busy <= '0';
if wr='1' then -- START BIT
shiftreg <= din;
busy <= '1';
txd <= '0';
bitcount <= bitcount + 1;
count <= fullbit;
end if;
elsif bitcount=9 then -- STOP BIT
txd <= '1';
bitcount <= 0;
count <= fullbit;
else -- DATA BIT
shiftreg(6 downto 0) <= shiftreg(7 downto 1);
txd <= shiftreg(0);
bitcount <= bitcount + 1;
count <= fullbit;
end if;
end if;
end if;
end process;
end rtl; |
library ieee;
use ieee.std_logic_1164.all;
-----------------------------------------------------------------------------
-- UART Transmitter ---------------------------------------------------------
entity uart_tx is
generic (
fullbit : integer );
port (
clk : in std_logic;
reset : in std_logic;
--
din : in std_logic_vector(7 downto 0);
wr : in std_logic;
busy : out std_logic;
--
txd : out std_logic );
end uart_tx;
-----------------------------------------------------------------------------
-- Implemenattion -----------------------------------------------------------
architecture rtl of uart_tx is
constant halfbit : integer := fullbit / 2;
-- Signals
signal bitcount : integer range 0 to 10;
signal count : integer range 0 to fullbit;
signal shiftreg : std_logic_vector(7 downto 0);
begin
proc: process(clk, reset)
begin
if reset='1' then
count <= 0;
bitcount <= 0;
busy <= '0';
txd <= '1';
elsif clk'event and clk='1' then
if count/=0 then
count <= count - 1;
else
if bitcount=0 then
busy <= '0';
if wr='1' then -- START BIT
shiftreg <= din;
busy <= '1';
txd <= '0';
bitcount <= bitcount + 1;
count <= fullbit;
end if;
elsif bitcount=9 then -- STOP BIT
txd <= '1';
bitcount <= 0;
count <= fullbit;
else -- DATA BIT
shiftreg(6 downto 0) <= shiftreg(7 downto 1);
txd <= shiftreg(0);
bitcount <= bitcount + 1;
count <= fullbit;
end if;
end if;
end if;
end process;
end rtl; |
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
QdzUb2n8DhDTc2Uci/NBke5Pz+g8WpUTmLRhjn3680cckTEdVp/wVQuvRMNsIQX00viBYrs+bBOe
3mvq52HQfw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
WP1cMFTgIspJQLUh+R8FLTl+h7Zhf6kCSDF+Ii0V71QQPLsyfjFLXKTaM/Ji1myAmw8ZBNrO2Lkb
P0JnXJofJjQaPflahiVgsyTO4o0LR0EJUhTUnCnSB7dVbK8+kSMtDuET7gua4pP7GD2VbOT2FZOf
N2O1pLWewRIJdOSMuK8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
UeJNSU0aAxg1wXxDEr31LYBYMbyBBgYaqbh8My7QQGqhptaeK6pPfHrZJapXYIoWtk7W3AGge5Dm
ko8tiMcWAQ3ugHt+L16IEAj3+HOVm+sXH11DRkHnWTNUvgO9iN0ycUQYYh28DL8ykX6Qm07kgiNG
3fcqPfEiAOA2iPVumfoVbK+ivG9VdP1R3C19211IGPkmtDaNvs8n2tvH8amxtSPmBIAHOPA6KlXU
Cs40sHbq0WrY/jTFUEC8Nt12dku5Cl72/N/2bReBNrtJUEdmpP2yhZf4z0C9CCVaDYZo7Kvv2hR4
6P0dR/xmLznKxpw5l8g5FcRb64x9yzU/d4FKXA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
pjfL93237HKZzHwNFLK2HTxxr9u4aRcGg7RtLVMqeEe1amtzfA+OE/FblYbiBw/k3SN40IYP2YkY
Y365QpHV+99ABy+Tqh7diCzPlK38yYX6EAXS4hswsRkKk8JKD/ddkWm8p/Q95bU9qC1MarfJlGFv
Lmm4X1z+y9SMURV+Uhw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
mWTiDpovmiGEBqpQev/yy49Oh66/4b3/2ok6QNMQXbfU5KnTVujd7ZAaOF36hxkaJ5HxI1M8eyts
jJ7IBs8rwSoEKIwo8UDrFGlF8v7WJGdmiLIuzM1gm70zBhYLKVhGSgkrK47tRbjKR4d+E1A4xy4g
85nq0aWD6FVFAzdbwqqcAlF6rFisw3JmmQJrmIAdqvYXkc1ZkxbtpTinTqBMnn7cPvgYs8K/pgM7
t9D8rKFyUcPeRLsW0cHlYVanV/Xc484aT9VXEIFoMJHBr/W0iIyOyBoJiY6afoXfHkTvFl84aqnz
DWH89aWmrL1D1Umeg8NbGjnQ/BDNASbbeR1plw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 44832)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
QdzUb2n8DhDTc2Uci/NBke5Pz+g8WpUTmLRhjn3680cckTEdVp/wVQuvRMNsIQX00viBYrs+bBOe
3mvq52HQfw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
WP1cMFTgIspJQLUh+R8FLTl+h7Zhf6kCSDF+Ii0V71QQPLsyfjFLXKTaM/Ji1myAmw8ZBNrO2Lkb
P0JnXJofJjQaPflahiVgsyTO4o0LR0EJUhTUnCnSB7dVbK8+kSMtDuET7gua4pP7GD2VbOT2FZOf
N2O1pLWewRIJdOSMuK8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
UeJNSU0aAxg1wXxDEr31LYBYMbyBBgYaqbh8My7QQGqhptaeK6pPfHrZJapXYIoWtk7W3AGge5Dm
ko8tiMcWAQ3ugHt+L16IEAj3+HOVm+sXH11DRkHnWTNUvgO9iN0ycUQYYh28DL8ykX6Qm07kgiNG
3fcqPfEiAOA2iPVumfoVbK+ivG9VdP1R3C19211IGPkmtDaNvs8n2tvH8amxtSPmBIAHOPA6KlXU
Cs40sHbq0WrY/jTFUEC8Nt12dku5Cl72/N/2bReBNrtJUEdmpP2yhZf4z0C9CCVaDYZo7Kvv2hR4
6P0dR/xmLznKxpw5l8g5FcRb64x9yzU/d4FKXA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
pjfL93237HKZzHwNFLK2HTxxr9u4aRcGg7RtLVMqeEe1amtzfA+OE/FblYbiBw/k3SN40IYP2YkY
Y365QpHV+99ABy+Tqh7diCzPlK38yYX6EAXS4hswsRkKk8JKD/ddkWm8p/Q95bU9qC1MarfJlGFv
Lmm4X1z+y9SMURV+Uhw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
mWTiDpovmiGEBqpQev/yy49Oh66/4b3/2ok6QNMQXbfU5KnTVujd7ZAaOF36hxkaJ5HxI1M8eyts
jJ7IBs8rwSoEKIwo8UDrFGlF8v7WJGdmiLIuzM1gm70zBhYLKVhGSgkrK47tRbjKR4d+E1A4xy4g
85nq0aWD6FVFAzdbwqqcAlF6rFisw3JmmQJrmIAdqvYXkc1ZkxbtpTinTqBMnn7cPvgYs8K/pgM7
t9D8rKFyUcPeRLsW0cHlYVanV/Xc484aT9VXEIFoMJHBr/W0iIyOyBoJiY6afoXfHkTvFl84aqnz
DWH89aWmrL1D1Umeg8NbGjnQ/BDNASbbeR1plw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 44832)
`protect data_block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`protect end_protected
|
-------------------------------------------------------------------------------
-- qspi_fifo_ifmodule.vhd - Entity and architecture
-------------------------------------------------------------------------------
--
-- *******************************************************************
-- ** (c) Copyright [2010] - [2012] Xilinx, Inc. All rights reserved.*
-- ** *
-- ** This file contains confidential and proprietary information *
-- ** of Xilinx, Inc. and is protected under U.S. and *
-- ** international copyright and other intellectual property *
-- ** laws. *
-- ** *
-- ** DISCLAIMER *
-- ** This disclaimer is not a license and does not grant any *
-- ** rights to the materials distributed herewith. Except as *
-- ** otherwise provided in a valid license issued to you by *
-- ** Xilinx, and to the maximum extent permitted by applicable *
-- ** law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND *
-- ** WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES *
-- ** AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING *
-- ** BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- *
-- ** INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and *
-- ** (2) Xilinx shall not be liable (whether in contract or tort, *
-- ** including negligence, or under any other theory of *
-- ** liability) for any loss or damage of any kind or nature *
-- ** related to, arising under or in connection with these *
-- ** materials, including for any direct, or any indirect, *
-- ** special, incidental, or consequential loss or damage *
-- ** (including loss of data, profits, goodwill, or any type of *
-- ** loss or damage suffered as a result of any action brought *
-- ** by a third party) even if such damage or loss was *
-- ** reasonably foreseeable or Xilinx had been advised of the *
-- ** possibility of the same. *
-- ** *
-- ** CRITICAL APPLICATIONS *
-- ** Xilinx products are not designed or intended to be fail- *
-- ** safe, or for use in any application requiring fail-safe *
-- ** performance, such as life-support or safety devices or *
-- ** systems, Class III medical devices, nuclear facilities, *
-- ** applications related to the deployment of airbags, or any *
-- ** other applications that could lead to death, personal *
-- ** injury, or severe property or environmental damage *
-- ** (individually and collectively, "Critical *
-- ** Applications"). Customer assumes the sole risk and *
-- ** liability of any use of Xilinx products in Critical *
-- ** Applications, subject only to applicable laws and *
-- ** regulations governing limitations on product liability. *
-- ** *
-- ** THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS *
-- ** PART OF THIS FILE AT ALL TIMES. *
-- *******************************************************************
--
-------------------------------------------------------------------------------
-- Filename: qspi_fifo_ifmodule.vhd
-- Version: v3.0
-- Description: Quad Serial Peripheral Interface (QSPI) Module for interfacing
-- with a 32-bit axi Bus. FIFO Interface module
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library lib_pkg_v1_0_2;
use lib_pkg_v1_0_2.all;
use lib_pkg_v1_0_2.lib_pkg.RESET_ACTIVE;
-------------------------------------------------------------------------------
-- Definition of Generics
-------------------------------------------------------------------------------
-- C_NUM_TRANSFER_BITS -- SPI Serial transfer width.
-- Can be 8, 16 or 32 bit wide
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Definition of Ports
-------------------------------------------------------------------------------
-- SYSTEM
-- Bus2IP_Clk -- Bus to IP clock
-- Soft_Reset_op -- Soft_Reset_op Signal
-- SLAVE ATTACHMENT INTERFACE
-- Bus2IP_RcFIFO_RdCE -- Bus2IP receive FIFO read CE
-- Bus2IP_TxFIFO_WrCE -- Bus2IP transmit FIFO write CE
-- Rd_ce_reduce_ack_gen -- commong logid to generate the write ACK
-- Wr_ce_reduce_ack_gen -- commong logid to generate the write ACK
-- IP2Bus_RX_FIFO_Data -- Data to send on the bus
-- Transmit_ip2bus_error -- Transmit FIFO error signal
-- Receive_ip2bus_error -- Receive FIFO error signal
-- FIFO INTERFACE
-- Data_From_TxFIFO -- Data from transmit FIFO
-- Tx_FIFO_Data_WithZero -- Components to put zeros on input
-- to Shift Register when FIFO is empty
-- Data_From_Rc_FIFO -- Receive FIFO data output
-- Rc_FIFO_Empty -- Receive FIFO empty
-- Rc_FIFO_Full -- Receive FIFO full
-- Rc_FIFO_Full_strobe -- 1 cycle wide receive FIFO full strobe
-- Tx_FIFO_Empty -- Transmit FIFO empty
-- Tx_FIFO_Empty_strobe -- 1 cycle wide transmit FIFO full strobe
-- Tx_FIFO_Full -- Transmit FIFO full
-- Tx_FIFO_Occpncy_MSB -- Transmit FIFO occupancy register
-- MSB bit
-- Tx_FIFO_less_half -- Transmit FIFO less than half empty
-- SPI MODULE INTERFACE
-- DRR_Overrun -- DRR Overrun bit
-- SPIXfer_done -- SPI transfer done flag
-- DTR_Underrun_strobe -- DTR Underrun Strobe bit
-- DTR_underrun -- DTR underrun generation signal
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Entity Declaration
-------------------------------------------------------------------------------
entity qspi_fifo_ifmodule is
generic
(
C_NUM_TRANSFER_BITS : integer
----------------------------
);
port
(
Bus2IP_Clk : in std_logic;
Soft_Reset_op : in std_logic;
-- Slave attachment ports
Bus2IP_RcFIFO_RdCE : in std_logic;
Bus2IP_TxFIFO_WrCE : in std_logic;
Rd_ce_reduce_ack_gen : in std_logic;
-- FIFO ports
Data_From_TxFIFO : in std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1));
Data_From_Rc_FIFO : in std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1));
Tx_FIFO_Data_WithZero: out std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1));
IP2Bus_RX_FIFO_Data : out std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1));
---------------------
Rc_FIFO_Full : in std_logic;
Rc_FIFO_Full_strobe : out std_logic;
---------------------
Tx_FIFO_Empty : in std_logic;
Tx_FIFO_Empty_strobe : out std_logic;
---------------------
Rc_FIFO_Empty : in std_logic;
Receive_ip2bus_error : out std_logic;
Tx_FIFO_Full : in std_logic;
Transmit_ip2bus_error: out std_logic;
---------------------
Tx_FIFO_Occpncy_MSB : in std_logic;
Tx_FIFO_less_half : out std_logic;
---------------------
DTR_underrun : in std_logic;
DTR_Underrun_strobe : out std_logic;
---------------------
SPIXfer_done : in std_logic;
rready : in std_logic
--DRR_Overrun_reg : out std_logic
---------------------
);
end qspi_fifo_ifmodule;
-------------------------------------------------------------------------------
-- Architecture
---------------
architecture imp of qspi_fifo_ifmodule is
---------------------------------------------------
----------------------------------------------------------------------------------
-- below attributes are added to reduce the synth warnings in Vivado tool
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes";
----------------------------------------------------------------------------------
-- Signal Declarations
----------------------
-- signal drr_Overrun_i : std_logic;
signal rc_FIFO_Full_d1 : std_logic;
signal dtr_Underrun_strobe_i : std_logic;
signal tx_FIFO_Empty_d1 : std_logic;
signal tx_FIFO_Occpncy_MSB_d1 : std_logic;
signal dtr_underrun_d1 : std_logic;
signal RST_TxFIFO_ptr_int : std_logic;
--signal DRR_Overrun_reg_int : std_logic;
---------------------------------------------
begin
-----
-- Combinatorial operations
-------------------------------------------------------------------------------
-- DRR_Overrun_reg <= DRR_Overrun_reg_int;
-------------------------------------------------------------------------------
-- SPI_RECEIVE_FIFO_RD_GENERATE : Read of SPI receive FIFO
----------------------------------
SPI_RECEIVE_FIFO_RD_GENERATE: for i in 0 to C_NUM_TRANSFER_BITS-1 generate
-----
begin
-----
IP2Bus_RX_FIFO_Data(i) <= Data_From_Rc_FIFO(i) and
(
(Rd_ce_reduce_ack_gen or rready) and
Bus2IP_RcFIFO_RdCE
);
end generate SPI_RECEIVE_FIFO_RD_GENERATE;
-------------------------------------------------------------------------------
-- PUT_ZEROS_IN_SR_GENERATE : Put zeros on input to SR when FIFO is empty.
-- Requested by software designers
------------------------------
PUT_ZEROS_IN_SR_GENERATE: for i in 0 to C_NUM_TRANSFER_BITS-1 generate
begin
-----
Tx_FIFO_Data_WithZero(i) <= Data_From_TxFIFO(i) and (not Tx_FIFO_Empty);
end generate PUT_ZEROS_IN_SR_GENERATE;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- RX_ERROR_ACK_REG_PROCESS : Strobe error when receive FIFO is empty.
-------------------------------- This signal will be OR'ed to generate IP2Bus_Error signal.
RX_ERROR_ACK_REG_PROCESS:process(Bus2IP_Clk) is
-----
begin
-----
if (Bus2IP_Clk'event and Bus2IP_Clk='1') then
if (Soft_Reset_op = RESET_ACTIVE) then
Receive_ip2bus_error <= '0';
else
Receive_ip2bus_error <= Rc_FIFO_Empty and Bus2IP_RcFIFO_RdCE;
end if;
end if;
end process RX_ERROR_ACK_REG_PROCESS;
-------------------------------------------------------------------------------
-- TX_ERROR_ACK_REG_PROCESS : Strobe error when transmit FIFO is full
-------------------------------- This signal will be OR'ed to generate IP2Bus_Error signal.
TX_ERROR_ACK_REG_PROCESS:process(Bus2IP_Clk) is
begin
-----
if (Bus2IP_Clk'event and Bus2IP_Clk='1') then
if (Soft_Reset_op = RESET_ACTIVE) then
Transmit_ip2bus_error <= '0';
else
Transmit_ip2bus_error <= Tx_FIFO_Full and Bus2IP_TxFIFO_WrCE;
end if;
end if;
end process TX_ERROR_ACK_REG_PROCESS;
-------------------------------------------------------------------------------
-- **********************************************************
-- Below logic will generate the inputs to the Interrupt bits
-- **********************************************************
-------------------------------------------------------------------------------
-- I_DRR_OVERRUN_REG_PROCESS:DRR overrun strobe-1 cycle strobe will be generated
-----------------------------
--DRR_OVERRUN_REG_PROCESS:process(Bus2IP_Clk) is
-------
--begin
-------
-- if (Bus2IP_Clk'event and Bus2IP_Clk='1') then
-- if (Soft_Reset_op = RESET_ACTIVE) then
-- DRR_Overrun_reg_int <= '0';
-- else
-- DRR_Overrun_reg_int <= not(DRR_Overrun_reg_int or Soft_Reset_op) and
-- Rc_FIFO_Full and
-- SPIXfer_done;
-- end if;
-- end if;
--end process DRR_OVERRUN_REG_PROCESS;
-------------------------------------------------------------------------------
-- RX_FIFO_STROBE_REG_PROCESS : Strobe when receive FIFO is full
----------------------------------
RX_FIFO_STROBE_REG_PROCESS:process(Bus2IP_Clk) is
begin
-----
if (Bus2IP_Clk'event and Bus2IP_Clk='1') then
if (Soft_Reset_op = RESET_ACTIVE) then
rc_FIFO_Full_d1 <= '0';
else
rc_FIFO_Full_d1 <= Rc_FIFO_Full;
end if;
end if;
end process RX_FIFO_STROBE_REG_PROCESS;
-----------------------------------------
Rc_FIFO_Full_strobe <= (not rc_FIFO_Full_d1) and Rc_FIFO_Full;
-- TX_FIFO_STROBE_REG_PROCESS : Strobe when transmit FIFO is empty
----------------------------------
TX_FIFO_STROBE_REG_PROCESS:process(Bus2IP_Clk)is
begin
-----
if (Bus2IP_Clk'event and Bus2IP_Clk='1') then
if (Soft_Reset_op = RESET_ACTIVE) then
tx_FIFO_Empty_d1 <= '1';
else
tx_FIFO_Empty_d1 <= Tx_FIFO_Empty;
end if;
end if;
end process TX_FIFO_STROBE_REG_PROCESS;
-----------------------------------------
Tx_FIFO_Empty_strobe <= (not tx_FIFO_Empty_d1) and Tx_FIFO_Empty;
-------------------------------------------------------------------------------
-- DTR_UNDERRUN_REG_PROCESS_P : Strobe to interrupt for transmit data underrun
-- which happens only in slave mode
-----------------------------
DTR_UNDERRUN_REG_PROCESS_P:process(Bus2IP_Clk)is
begin
-----
if (Bus2IP_Clk'event and Bus2IP_Clk='1') then
if (Soft_Reset_op = RESET_ACTIVE) then
dtr_underrun_d1 <= '0';
else
dtr_underrun_d1 <= DTR_underrun;
end if;
end if;
end process DTR_UNDERRUN_REG_PROCESS_P;
---------------------------------------
DTR_Underrun_strobe <= DTR_underrun and (not dtr_underrun_d1);
-------------------------------------------------------------------------------
-- TX_FIFO_HALFFULL_STROBE_REG_PROCESS_P : Strobe for when transmit FIFO is
-- less than half full
-------------------------------------------
TX_FIFO_HALFFULL_STROBE_REG_PROCESS_P:process(Bus2IP_Clk) is
-----
begin
-----
if (Bus2IP_Clk'event and Bus2IP_Clk='1') then
if (Soft_Reset_op = RESET_ACTIVE) then
tx_FIFO_Occpncy_MSB_d1 <= '0';
else
tx_FIFO_Occpncy_MSB_d1 <= Tx_FIFO_Occpncy_MSB;
end if;
end if;
end process TX_FIFO_HALFFULL_STROBE_REG_PROCESS_P;
--------------------------------------------------
Tx_FIFO_less_half <= tx_FIFO_Occpncy_MSB_d1 and (not Tx_FIFO_Occpncy_MSB);
--------------------------------------------------------------------------
end imp;
--------------------------------------------------------------------------------
|
-------------------------------------------------------------------------------
-- qspi_fifo_ifmodule.vhd - Entity and architecture
-------------------------------------------------------------------------------
--
-- *******************************************************************
-- ** (c) Copyright [2010] - [2012] Xilinx, Inc. All rights reserved.*
-- ** *
-- ** This file contains confidential and proprietary information *
-- ** of Xilinx, Inc. and is protected under U.S. and *
-- ** international copyright and other intellectual property *
-- ** laws. *
-- ** *
-- ** DISCLAIMER *
-- ** This disclaimer is not a license and does not grant any *
-- ** rights to the materials distributed herewith. Except as *
-- ** otherwise provided in a valid license issued to you by *
-- ** Xilinx, and to the maximum extent permitted by applicable *
-- ** law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND *
-- ** WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES *
-- ** AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING *
-- ** BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- *
-- ** INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and *
-- ** (2) Xilinx shall not be liable (whether in contract or tort, *
-- ** including negligence, or under any other theory of *
-- ** liability) for any loss or damage of any kind or nature *
-- ** related to, arising under or in connection with these *
-- ** materials, including for any direct, or any indirect, *
-- ** special, incidental, or consequential loss or damage *
-- ** (including loss of data, profits, goodwill, or any type of *
-- ** loss or damage suffered as a result of any action brought *
-- ** by a third party) even if such damage or loss was *
-- ** reasonably foreseeable or Xilinx had been advised of the *
-- ** possibility of the same. *
-- ** *
-- ** CRITICAL APPLICATIONS *
-- ** Xilinx products are not designed or intended to be fail- *
-- ** safe, or for use in any application requiring fail-safe *
-- ** performance, such as life-support or safety devices or *
-- ** systems, Class III medical devices, nuclear facilities, *
-- ** applications related to the deployment of airbags, or any *
-- ** other applications that could lead to death, personal *
-- ** injury, or severe property or environmental damage *
-- ** (individually and collectively, "Critical *
-- ** Applications"). Customer assumes the sole risk and *
-- ** liability of any use of Xilinx products in Critical *
-- ** Applications, subject only to applicable laws and *
-- ** regulations governing limitations on product liability. *
-- ** *
-- ** THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS *
-- ** PART OF THIS FILE AT ALL TIMES. *
-- *******************************************************************
--
-------------------------------------------------------------------------------
-- Filename: qspi_fifo_ifmodule.vhd
-- Version: v3.0
-- Description: Quad Serial Peripheral Interface (QSPI) Module for interfacing
-- with a 32-bit axi Bus. FIFO Interface module
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library lib_pkg_v1_0_2;
use lib_pkg_v1_0_2.all;
use lib_pkg_v1_0_2.lib_pkg.RESET_ACTIVE;
-------------------------------------------------------------------------------
-- Definition of Generics
-------------------------------------------------------------------------------
-- C_NUM_TRANSFER_BITS -- SPI Serial transfer width.
-- Can be 8, 16 or 32 bit wide
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Definition of Ports
-------------------------------------------------------------------------------
-- SYSTEM
-- Bus2IP_Clk -- Bus to IP clock
-- Soft_Reset_op -- Soft_Reset_op Signal
-- SLAVE ATTACHMENT INTERFACE
-- Bus2IP_RcFIFO_RdCE -- Bus2IP receive FIFO read CE
-- Bus2IP_TxFIFO_WrCE -- Bus2IP transmit FIFO write CE
-- Rd_ce_reduce_ack_gen -- commong logid to generate the write ACK
-- Wr_ce_reduce_ack_gen -- commong logid to generate the write ACK
-- IP2Bus_RX_FIFO_Data -- Data to send on the bus
-- Transmit_ip2bus_error -- Transmit FIFO error signal
-- Receive_ip2bus_error -- Receive FIFO error signal
-- FIFO INTERFACE
-- Data_From_TxFIFO -- Data from transmit FIFO
-- Tx_FIFO_Data_WithZero -- Components to put zeros on input
-- to Shift Register when FIFO is empty
-- Data_From_Rc_FIFO -- Receive FIFO data output
-- Rc_FIFO_Empty -- Receive FIFO empty
-- Rc_FIFO_Full -- Receive FIFO full
-- Rc_FIFO_Full_strobe -- 1 cycle wide receive FIFO full strobe
-- Tx_FIFO_Empty -- Transmit FIFO empty
-- Tx_FIFO_Empty_strobe -- 1 cycle wide transmit FIFO full strobe
-- Tx_FIFO_Full -- Transmit FIFO full
-- Tx_FIFO_Occpncy_MSB -- Transmit FIFO occupancy register
-- MSB bit
-- Tx_FIFO_less_half -- Transmit FIFO less than half empty
-- SPI MODULE INTERFACE
-- DRR_Overrun -- DRR Overrun bit
-- SPIXfer_done -- SPI transfer done flag
-- DTR_Underrun_strobe -- DTR Underrun Strobe bit
-- DTR_underrun -- DTR underrun generation signal
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Entity Declaration
-------------------------------------------------------------------------------
entity qspi_fifo_ifmodule is
generic
(
C_NUM_TRANSFER_BITS : integer
----------------------------
);
port
(
Bus2IP_Clk : in std_logic;
Soft_Reset_op : in std_logic;
-- Slave attachment ports
Bus2IP_RcFIFO_RdCE : in std_logic;
Bus2IP_TxFIFO_WrCE : in std_logic;
Rd_ce_reduce_ack_gen : in std_logic;
-- FIFO ports
Data_From_TxFIFO : in std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1));
Data_From_Rc_FIFO : in std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1));
Tx_FIFO_Data_WithZero: out std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1));
IP2Bus_RX_FIFO_Data : out std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1));
---------------------
Rc_FIFO_Full : in std_logic;
Rc_FIFO_Full_strobe : out std_logic;
---------------------
Tx_FIFO_Empty : in std_logic;
Tx_FIFO_Empty_strobe : out std_logic;
---------------------
Rc_FIFO_Empty : in std_logic;
Receive_ip2bus_error : out std_logic;
Tx_FIFO_Full : in std_logic;
Transmit_ip2bus_error: out std_logic;
---------------------
Tx_FIFO_Occpncy_MSB : in std_logic;
Tx_FIFO_less_half : out std_logic;
---------------------
DTR_underrun : in std_logic;
DTR_Underrun_strobe : out std_logic;
---------------------
SPIXfer_done : in std_logic;
rready : in std_logic
--DRR_Overrun_reg : out std_logic
---------------------
);
end qspi_fifo_ifmodule;
-------------------------------------------------------------------------------
-- Architecture
---------------
architecture imp of qspi_fifo_ifmodule is
---------------------------------------------------
----------------------------------------------------------------------------------
-- below attributes are added to reduce the synth warnings in Vivado tool
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes";
----------------------------------------------------------------------------------
-- Signal Declarations
----------------------
-- signal drr_Overrun_i : std_logic;
signal rc_FIFO_Full_d1 : std_logic;
signal dtr_Underrun_strobe_i : std_logic;
signal tx_FIFO_Empty_d1 : std_logic;
signal tx_FIFO_Occpncy_MSB_d1 : std_logic;
signal dtr_underrun_d1 : std_logic;
signal RST_TxFIFO_ptr_int : std_logic;
--signal DRR_Overrun_reg_int : std_logic;
---------------------------------------------
begin
-----
-- Combinatorial operations
-------------------------------------------------------------------------------
-- DRR_Overrun_reg <= DRR_Overrun_reg_int;
-------------------------------------------------------------------------------
-- SPI_RECEIVE_FIFO_RD_GENERATE : Read of SPI receive FIFO
----------------------------------
SPI_RECEIVE_FIFO_RD_GENERATE: for i in 0 to C_NUM_TRANSFER_BITS-1 generate
-----
begin
-----
IP2Bus_RX_FIFO_Data(i) <= Data_From_Rc_FIFO(i) and
(
(Rd_ce_reduce_ack_gen or rready) and
Bus2IP_RcFIFO_RdCE
);
end generate SPI_RECEIVE_FIFO_RD_GENERATE;
-------------------------------------------------------------------------------
-- PUT_ZEROS_IN_SR_GENERATE : Put zeros on input to SR when FIFO is empty.
-- Requested by software designers
------------------------------
PUT_ZEROS_IN_SR_GENERATE: for i in 0 to C_NUM_TRANSFER_BITS-1 generate
begin
-----
Tx_FIFO_Data_WithZero(i) <= Data_From_TxFIFO(i) and (not Tx_FIFO_Empty);
end generate PUT_ZEROS_IN_SR_GENERATE;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- RX_ERROR_ACK_REG_PROCESS : Strobe error when receive FIFO is empty.
-------------------------------- This signal will be OR'ed to generate IP2Bus_Error signal.
RX_ERROR_ACK_REG_PROCESS:process(Bus2IP_Clk) is
-----
begin
-----
if (Bus2IP_Clk'event and Bus2IP_Clk='1') then
if (Soft_Reset_op = RESET_ACTIVE) then
Receive_ip2bus_error <= '0';
else
Receive_ip2bus_error <= Rc_FIFO_Empty and Bus2IP_RcFIFO_RdCE;
end if;
end if;
end process RX_ERROR_ACK_REG_PROCESS;
-------------------------------------------------------------------------------
-- TX_ERROR_ACK_REG_PROCESS : Strobe error when transmit FIFO is full
-------------------------------- This signal will be OR'ed to generate IP2Bus_Error signal.
TX_ERROR_ACK_REG_PROCESS:process(Bus2IP_Clk) is
begin
-----
if (Bus2IP_Clk'event and Bus2IP_Clk='1') then
if (Soft_Reset_op = RESET_ACTIVE) then
Transmit_ip2bus_error <= '0';
else
Transmit_ip2bus_error <= Tx_FIFO_Full and Bus2IP_TxFIFO_WrCE;
end if;
end if;
end process TX_ERROR_ACK_REG_PROCESS;
-------------------------------------------------------------------------------
-- **********************************************************
-- Below logic will generate the inputs to the Interrupt bits
-- **********************************************************
-------------------------------------------------------------------------------
-- I_DRR_OVERRUN_REG_PROCESS:DRR overrun strobe-1 cycle strobe will be generated
-----------------------------
--DRR_OVERRUN_REG_PROCESS:process(Bus2IP_Clk) is
-------
--begin
-------
-- if (Bus2IP_Clk'event and Bus2IP_Clk='1') then
-- if (Soft_Reset_op = RESET_ACTIVE) then
-- DRR_Overrun_reg_int <= '0';
-- else
-- DRR_Overrun_reg_int <= not(DRR_Overrun_reg_int or Soft_Reset_op) and
-- Rc_FIFO_Full and
-- SPIXfer_done;
-- end if;
-- end if;
--end process DRR_OVERRUN_REG_PROCESS;
-------------------------------------------------------------------------------
-- RX_FIFO_STROBE_REG_PROCESS : Strobe when receive FIFO is full
----------------------------------
RX_FIFO_STROBE_REG_PROCESS:process(Bus2IP_Clk) is
begin
-----
if (Bus2IP_Clk'event and Bus2IP_Clk='1') then
if (Soft_Reset_op = RESET_ACTIVE) then
rc_FIFO_Full_d1 <= '0';
else
rc_FIFO_Full_d1 <= Rc_FIFO_Full;
end if;
end if;
end process RX_FIFO_STROBE_REG_PROCESS;
-----------------------------------------
Rc_FIFO_Full_strobe <= (not rc_FIFO_Full_d1) and Rc_FIFO_Full;
-- TX_FIFO_STROBE_REG_PROCESS : Strobe when transmit FIFO is empty
----------------------------------
TX_FIFO_STROBE_REG_PROCESS:process(Bus2IP_Clk)is
begin
-----
if (Bus2IP_Clk'event and Bus2IP_Clk='1') then
if (Soft_Reset_op = RESET_ACTIVE) then
tx_FIFO_Empty_d1 <= '1';
else
tx_FIFO_Empty_d1 <= Tx_FIFO_Empty;
end if;
end if;
end process TX_FIFO_STROBE_REG_PROCESS;
-----------------------------------------
Tx_FIFO_Empty_strobe <= (not tx_FIFO_Empty_d1) and Tx_FIFO_Empty;
-------------------------------------------------------------------------------
-- DTR_UNDERRUN_REG_PROCESS_P : Strobe to interrupt for transmit data underrun
-- which happens only in slave mode
-----------------------------
DTR_UNDERRUN_REG_PROCESS_P:process(Bus2IP_Clk)is
begin
-----
if (Bus2IP_Clk'event and Bus2IP_Clk='1') then
if (Soft_Reset_op = RESET_ACTIVE) then
dtr_underrun_d1 <= '0';
else
dtr_underrun_d1 <= DTR_underrun;
end if;
end if;
end process DTR_UNDERRUN_REG_PROCESS_P;
---------------------------------------
DTR_Underrun_strobe <= DTR_underrun and (not dtr_underrun_d1);
-------------------------------------------------------------------------------
-- TX_FIFO_HALFFULL_STROBE_REG_PROCESS_P : Strobe for when transmit FIFO is
-- less than half full
-------------------------------------------
TX_FIFO_HALFFULL_STROBE_REG_PROCESS_P:process(Bus2IP_Clk) is
-----
begin
-----
if (Bus2IP_Clk'event and Bus2IP_Clk='1') then
if (Soft_Reset_op = RESET_ACTIVE) then
tx_FIFO_Occpncy_MSB_d1 <= '0';
else
tx_FIFO_Occpncy_MSB_d1 <= Tx_FIFO_Occpncy_MSB;
end if;
end if;
end process TX_FIFO_HALFFULL_STROBE_REG_PROCESS_P;
--------------------------------------------------
Tx_FIFO_less_half <= tx_FIFO_Occpncy_MSB_d1 and (not Tx_FIFO_Occpncy_MSB);
--------------------------------------------------------------------------
end imp;
--------------------------------------------------------------------------------
|
library ieee;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
entity scf_hot is
port(
clock: in std_logic;
input: in std_logic_vector(26 downto 0);
output: out std_logic_vector(55 downto 0)
);
end scf_hot;
architecture behaviour of scf_hot is
constant state1: std_logic_vector(120 downto 0) := "1000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant state3: std_logic_vector(120 downto 0) := "0100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant state2: std_logic_vector(120 downto 0) := "0010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant state4: std_logic_vector(120 downto 0) := "0001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant state5: std_logic_vector(120 downto 0) := "0000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant state7: std_logic_vector(120 downto 0) := "0000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant state6: std_logic_vector(120 downto 0) := "0000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant state9: std_logic_vector(120 downto 0) := "0000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant state8: std_logic_vector(120 downto 0) := "0000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant state17: std_logic_vector(120 downto 0) := "0000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant state12: std_logic_vector(120 downto 0) := "0000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant state10: std_logic_vector(120 downto 0) := "0000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant state11: std_logic_vector(120 downto 0) := "0000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant state15: std_logic_vector(120 downto 0) := "0000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant state13: std_logic_vector(120 downto 0) := "0000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant state29: std_logic_vector(120 downto 0) := "0000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant state14: std_logic_vector(120 downto 0) := "0000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant state59: std_logic_vector(120 downto 0) := "0000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant state16: std_logic_vector(120 downto 0) := "0000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant state18: std_logic_vector(120 downto 0) := "0000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant state19: std_logic_vector(120 downto 0) := "0000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant state21: std_logic_vector(120 downto 0) := "0000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant state20: std_logic_vector(120 downto 0) := "0000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant state22: std_logic_vector(120 downto 0) := "0000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant state23: std_logic_vector(120 downto 0) := "0000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant state24: std_logic_vector(120 downto 0) := "0000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant state26: std_logic_vector(120 downto 0) := "0000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant state25: std_logic_vector(120 downto 0) := "0000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant state28: std_logic_vector(120 downto 0) := "0000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant state27: std_logic_vector(120 downto 0) := "0000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant state36: std_logic_vector(120 downto 0) := "0000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant state34: std_logic_vector(120 downto 0) := "0000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant state32: std_logic_vector(120 downto 0) := "0000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant state30: std_logic_vector(120 downto 0) := "0000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant state38: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant state31: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant state37: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant state55: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant state33: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant state57: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant state35: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant state43: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant state41: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant state39: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000";
constant state45: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000";
constant state40: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000";
constant state44: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000";
constant state50: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000";
constant state42: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000";
constant state48: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000";
constant state46: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000";
constant state47: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000";
constant state49: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000";
constant state52: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000";
constant state51: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000";
constant state54: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000";
constant state53: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000";
constant state56: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000";
constant state58: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000";
constant state67: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000";
constant state60: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000";
constant state65: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000";
constant state63: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000";
constant state61: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000";
constant state82: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000";
constant state62: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000";
constant state83: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000";
constant state64: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000";
constant state89: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000";
constant state66: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000";
constant state81: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000";
constant state80: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000";
constant state78: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000";
constant state76: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000";
constant state74: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000";
constant state72: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000";
constant state70: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000";
constant state68: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000";
constant state96: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000";
constant state69: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000";
constant state98: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000";
constant state71: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000";
constant state103: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000";
constant state73: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000";
constant state107: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000";
constant state75: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000";
constant state115: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000";
constant state77: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000";
constant state117: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000";
constant state79: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000";
constant state84: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000";
constant state86: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000";
constant state85: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000";
constant state88: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000";
constant state87: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000";
constant state91: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000";
constant state90: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000";
constant state92: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000";
constant state95: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000";
constant state93: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000";
constant state94: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000";
constant state97: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000";
constant state101: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000";
constant state99: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000";
constant state100: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000";
constant state102: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000";
constant state105: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000";
constant state104: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000";
constant state106: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000";
constant state112: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000";
constant state108: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000";
constant state110: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000";
constant state109: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000";
constant state111: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000";
constant state114: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000";
constant state113: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000";
constant state116: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000";
constant state118: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000";
constant state121: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100";
constant state119: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010";
constant state120: std_logic_vector(120 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001";
signal current_state, next_state: std_logic_vector(120 downto 0);
begin
process(clock) begin
if rising_edge(clock) then current_state <= next_state;
end if;
end process;
process(input, current_state) begin
next_state <= "-------------------------------------------------------------------------------------------------------------------------"; output <= "--------------------------------------------------------";
if std_match(input, "0--------------------------") then next_state <= state1; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
else
case current_state is
when state1 =>
if std_match(input, "1--------------------------") then next_state <= state3; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state2 =>
if std_match(input, "1--------------------------") then next_state <= state1; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state3 =>
if std_match(input, "1--------------------------") then next_state <= state4; output <= "00000010010000001-0000000-00-0001001000010-0-----00-0---";
end if;
when state4 =>
if std_match(input, "1--------------------------") then next_state <= state5; output <= "00000010000000000-0000000-00-0000000110101-0-----00-0---";
end if;
when state5 =>
if std_match(input, "1--------------------------") then next_state <= state7; output <= "00000001000000000-0000000-00-0000000001000-0-----00-0---";
end if;
when state6 =>
if std_match(input, "1--------------------------") then next_state <= state2; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state7 =>
if std_match(input, "1-----0--------------------") then next_state <= state9; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
elsif std_match(input, "1-----1--------------------") then next_state <= state8; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state8 =>
if std_match(input, "1--------------------------") then next_state <= state17; output <= "00000010000000000-0000000-00-0000000001000-0-----00-0---";
end if;
when state9 =>
if std_match(input, "1----0---------------------") then next_state <= state12; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
elsif std_match(input, "1----1---------------------") then next_state <= state10; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state10 =>
if std_match(input, "1--------------------------") then next_state <= state11; output <= "00000010000000000-0000001-00-0000000000001-0-----00-0---";
end if;
when state11 =>
if std_match(input, "1--------------------------") then next_state <= state12; output <= "00000000000000000-0000000-00-0000010000000-0-----00-0---";
end if;
when state12 =>
if std_match(input, "1---0----------------------") then next_state <= state15; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
elsif std_match(input, "1---1----------------------") then next_state <= state13; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state13 =>
if std_match(input, "1--------------------------") then next_state <= state29; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state14 =>
if std_match(input, "1--------------------------") then next_state <= state17; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state15 =>
if std_match(input, "1--------------------------") then next_state <= state59; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state16 =>
if std_match(input, "1--------------------------") then next_state <= state17; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state17 =>
if std_match(input, "1--------------------------") then next_state <= state18; output <= "0000000000010100001000000-10-000000000000011-----00-0---";
end if;
when state18 =>
if std_match(input, "1--------------------------") then next_state <= state19; output <= "00100000000000000-0000000-00-0000000000000-0000--00-0---";
end if;
when state19 =>
if std_match(input, "1--0-----------------------") then next_state <= state21; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
elsif std_match(input, "1--1-----------------------") then next_state <= state20; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state20 =>
if std_match(input, "1--------------------------") then next_state <= state21; output <= "00000001000000000-0000000-00-0000000100000-0-----00-0---";
end if;
when state21 =>
if std_match(input, "1--------------------------") then next_state <= state22; output <= "01000000000100000-0000000-10-100000000000000000001001---";
end if;
when state22 =>
if std_match(input, "1--------------------------") then next_state <= state23; output <= "00010000000000000-0000000-00-0000000000000-0000--01-0---";
end if;
when state23 =>
if std_match(input, "1--------------------------") then next_state <= state24; output <= "00000000100000000-0000000-00-0000000000000-0---0000-0---";
end if;
when state24 =>
if std_match(input, "1-0------------------------") then next_state <= state26; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
elsif std_match(input, "1-1------------------------") then next_state <= state25; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state25 =>
if std_match(input, "1--------------------------") then next_state <= state26; output <= "00000001000000000-0000000-00-0000000010000-0-----00-0---";
end if;
when state26 =>
if std_match(input, "10-------------------------") then next_state <= state28; output <= "00000000010000010-0000000-00-0000000000000-0-----00-0---";
elsif std_match(input, "11-------------------------") then next_state <= state27; output <= "00000000010000010-0000000-00-0000000000000-0-----00-0---";
end if;
when state27 =>
if std_match(input, "1--------------------------") then next_state <= state28; output <= "00000000000000000-0000000-00-0010000000000-0-----00-0---";
end if;
when state28 =>
if std_match(input, "1--------------------------") then next_state <= state7; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state29 =>
if std_match(input, "1------1-------------------") then next_state <= state36; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
elsif std_match(input, "1------01------------------") then next_state <= state36; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
elsif std_match(input, "1------0011----------------") then next_state <= state36; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
elsif std_match(input, "1------0010----------------") then next_state <= state34; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
elsif std_match(input, "1------0001----------------") then next_state <= state32; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
elsif std_match(input, "1------0000----------------") then next_state <= state30; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state30 =>
if std_match(input, "1--------------------------") then next_state <= state38; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state31 =>
if std_match(input, "1--------------------------") then next_state <= state37; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state32 =>
if std_match(input, "1--------------------------") then next_state <= state55; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state33 =>
if std_match(input, "1--------------------------") then next_state <= state37; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state34 =>
if std_match(input, "1--------------------------") then next_state <= state57; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state35 =>
if std_match(input, "1--------------------------") then next_state <= state37; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state36 =>
if std_match(input, "1--------------------------") then next_state <= state37; output <= "00000001000000000-0000000-00-0000000010000-0-----00-0---";
end if;
when state37 =>
if std_match(input, "1--------------------------") then next_state <= state14; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state38 =>
if std_match(input, "1----------1---------------") then next_state <= state43; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
elsif std_match(input, "1----------01--------------") then next_state <= state43; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
elsif std_match(input, "1----------001-------------") then next_state <= state43; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
elsif std_match(input, "1----------0001------------") then next_state <= state41; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
elsif std_match(input, "1----------0000------------") then next_state <= state39; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state39 =>
if std_match(input, "1--------------------------") then next_state <= state45; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state40 =>
if std_match(input, "1--------------------------") then next_state <= state44; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state41 =>
if std_match(input, "1--------------------------") then next_state <= state50; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state42 =>
if std_match(input, "1--------------------------") then next_state <= state44; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state43 =>
if std_match(input, "1--------------------------") then next_state <= state44; output <= "00000001000000000-0000000-00-0000000010000-0-----00-0---";
end if;
when state44 =>
if std_match(input, "1--------------------------") then next_state <= state31; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state45 =>
if std_match(input, "1--------------0-----------") then next_state <= state48; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
elsif std_match(input, "1--------------1-----------") then next_state <= state46; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state46 =>
if std_match(input, "1--------------------------") then next_state <= state47; output <= "0000000001000101001000000-00-0000000000000-0-----00-0---";
end if;
when state47 =>
if std_match(input, "1--------------------------") then next_state <= state49; output <= "00000000000000000-0000000-00-0000100000000-0-----00-0---";
end if;
when state48 =>
if std_match(input, "1--------------------------") then next_state <= state49; output <= "0000000000100000111001010-00-0000000000000-0-----00-0---";
end if;
when state49 =>
if std_match(input, "1--------------------------") then next_state <= state40; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state50 =>
if std_match(input, "1--------------0-----------") then next_state <= state52; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
elsif std_match(input, "1--------------1-----------") then next_state <= state51; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state51 =>
if std_match(input, "1--------------------------") then next_state <= state54; output <= "0000000000100000111001010-00-0000000000000-0-----00-0---";
end if;
when state52 =>
if std_match(input, "1--------------------------") then next_state <= state53; output <= "0000000000000101001000000-00-0000000000000-0-----00-0---";
end if;
when state53 =>
if std_match(input, "1--------------------------") then next_state <= state54; output <= "00000000000000000-0000000-00-0000100000000-0-----00-0---";
end if;
when state54 =>
if std_match(input, "1--------------------------") then next_state <= state42; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state55 =>
if std_match(input, "1--------------------------") then next_state <= state56; output <= "0000000000100000111001010-00-0000000000000-0-----00-0---";
end if;
when state56 =>
if std_match(input, "1--------------------------") then next_state <= state33; output <= "00000000000000000-0000000-00-0000100000000-0-----00-0---";
end if;
when state57 =>
if std_match(input, "1--------------------------") then next_state <= state58; output <= "00000000001000001-0001000-00-0000000000000-0-----00-0---";
end if;
when state58 =>
if std_match(input, "1--------------------------") then next_state <= state35; output <= "00000000000000000-0000000-00-0000100000000-0-----00-0---";
end if;
when state59 =>
if std_match(input, "1---------------0----------") then next_state <= state67; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
elsif std_match(input, "1---------------1----------") then next_state <= state60; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state60 =>
if std_match(input, "1------1-------------------") then next_state <= state67; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
elsif std_match(input, "1------01------------------") then next_state <= state67; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
elsif std_match(input, "1------0011----------------") then next_state <= state67; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
elsif std_match(input, "1------0010----------------") then next_state <= state65; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
elsif std_match(input, "1------0001----------------") then next_state <= state63; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
elsif std_match(input, "1------0000----------------") then next_state <= state61; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state61 =>
if std_match(input, "1--------------------------") then next_state <= state82; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state62 =>
if std_match(input, "1--------------------------") then next_state <= state67; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state63 =>
if std_match(input, "1--------------------------") then next_state <= state83; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state64 =>
if std_match(input, "1--------------------------") then next_state <= state67; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state65 =>
if std_match(input, "1--------------------------") then next_state <= state89; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state66 =>
if std_match(input, "1--------------------------") then next_state <= state81; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state67 =>
if std_match(input, "1------1-------------------") then next_state <= state80; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
elsif std_match(input, "1------011-----------------") then next_state <= state80; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
elsif std_match(input, "1------0101----------------") then next_state <= state78; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
elsif std_match(input, "1------0100----------------") then next_state <= state76; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
elsif std_match(input, "1------0011----------------") then next_state <= state74; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
elsif std_match(input, "1------0010----------------") then next_state <= state72; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
elsif std_match(input, "1------0001----------------") then next_state <= state70; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
elsif std_match(input, "1------0000----------------") then next_state <= state68; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state68 =>
if std_match(input, "1--------------------------") then next_state <= state96; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state69 =>
if std_match(input, "1--------------------------") then next_state <= state81; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state70 =>
if std_match(input, "1--------------------------") then next_state <= state98; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state71 =>
if std_match(input, "1--------------------------") then next_state <= state81; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state72 =>
if std_match(input, "1--------------------------") then next_state <= state103; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state73 =>
if std_match(input, "1--------------------------") then next_state <= state81; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state74 =>
if std_match(input, "1--------------------------") then next_state <= state107; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state75 =>
if std_match(input, "1--------------------------") then next_state <= state81; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state76 =>
if std_match(input, "1--------------------------") then next_state <= state115; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state77 =>
if std_match(input, "1--------------------------") then next_state <= state81; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state78 =>
if std_match(input, "1--------------------------") then next_state <= state117; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state79 =>
if std_match(input, "1--------------------------") then next_state <= state81; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state80 =>
if std_match(input, "1--------------------------") then next_state <= state81; output <= "00000001000000000-0000000-00-0000000010000-0-----00-0---";
end if;
when state81 =>
if std_match(input, "1--------------------------") then next_state <= state16; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state82 =>
if std_match(input, "1--------------------------") then next_state <= state62; output <= "00000001000000000-0000000-00-0000000010000-0-----00-0---";
end if;
when state83 =>
if std_match(input, "1--------------------------") then next_state <= state84; output <= "00000001000000000-0000000-00-0000000001000-0-----00-0---";
end if;
when state84 =>
if std_match(input, "1--------------------------") then next_state <= state86; output <= "00001000000001001-0000000-00-0000000000000-0100--00-0---";
end if;
when state85 =>
if std_match(input, "1--------------------------") then next_state <= state64; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state86 =>
if std_match(input, "1----------------0---------") then next_state <= state88; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
elsif std_match(input, "1----------------1---------") then next_state <= state87; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state87 =>
if std_match(input, "1--------------------------") then next_state <= state16; output <= "00000001000000000-0000000-00-0000100001000-0-----00-0---";
end if;
when state88 =>
if std_match(input, "1--------------------------") then next_state <= state86; output <= "00000000000000000-0000000-00-0000100000000-0-----00-0---";
end if;
when state89 =>
if std_match(input, "1--------------------------") then next_state <= state91; output <= "00001001000000000-0000000-00-0001000001000-0-----00-0---";
end if;
when state90 =>
if std_match(input, "1--------------------------") then next_state <= state66; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state91 =>
if std_match(input, "1--------------------------") then next_state <= state92; output <= "00000010000000000-0000000-00-0000000000000-0010--00-0---";
end if;
when state92 =>
if std_match(input, "1--0-----------------------") then next_state <= state95; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
elsif std_match(input, "1--1-----------------------") then next_state <= state93; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state93 =>
if std_match(input, "1--------------------------") then next_state <= state94; output <= "00000000000100001-0000000-10-0000000000000-0-----00-0---";
end if;
when state94 =>
if std_match(input, "1--------------------------") then next_state <= state16; output <= "00000000000000000-0000000-00-0000100000000-0-----00-0---";
end if;
when state95 =>
if std_match(input, "1--------------------------") then next_state <= state91; output <= "00000000000000000-0000000-00-0010100000000-0-----00-0---";
end if;
when state96 =>
if std_match(input, "1--------------------------") then next_state <= state97; output <= "00000100000001000-0000000-00-000000000000011101111011101";
end if;
when state97 =>
if std_match(input, "1--------------------------") then next_state <= state69; output <= "001000010000000100101010010110100000000001-0-----00-0---";
end if;
when state98 =>
if std_match(input, "1--------------0-----------") then next_state <= state101; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
elsif std_match(input, "1--------------1-----------") then next_state <= state99; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state99 =>
if std_match(input, "1--------------------------") then next_state <= state100; output <= "00000100000001000-0000000-00-000000000000011101111011101";
end if;
when state100 =>
if std_match(input, "1--------------------------") then next_state <= state102; output <= "001000010000000100101010010110100000000001-0-----00-0---";
end if;
when state101 =>
if std_match(input, "1--------------------------") then next_state <= state102; output <= "00000100000001001-0000000-00-0000000001000-0100--00-0---";
end if;
when state102 =>
if std_match(input, "1--------------------------") then next_state <= state71; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state103 =>
if std_match(input, "1--------------0-----------") then next_state <= state105; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
elsif std_match(input, "1--------------1-----------") then next_state <= state104; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state104 =>
if std_match(input, "1--------------------------") then next_state <= state106; output <= "0000000000000101001000000-00-0000000000000-0-----00-0---";
end if;
when state105 =>
if std_match(input, "1--------------------------") then next_state <= state106; output <= "00000100000001001-0000000-00-0000000001000-0100--00-0---";
end if;
when state106 =>
if std_match(input, "1--------------------------") then next_state <= state73; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state107 =>
if std_match(input, "1--------------0-----------") then next_state <= state112; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
elsif std_match(input, "1--------------1-----------") then next_state <= state108; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state108 =>
if std_match(input, "1-----------------00000000-") then next_state <= state110; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
elsif std_match(input, "1-----------------1--------") then next_state <= state110; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
elsif std_match(input, "1-----------------01-------") then next_state <= state110; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
elsif std_match(input, "1-----------------001------") then next_state <= state110; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
elsif std_match(input, "1-----------------0001-----") then next_state <= state110; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
elsif std_match(input, "1-----------------00001----") then next_state <= state110; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
elsif std_match(input, "1-----------------000001---") then next_state <= state110; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
elsif std_match(input, "1-----------------0000001--") then next_state <= state110; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
elsif std_match(input, "1-----------------00000001-") then next_state <= state109; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state109 =>
if std_match(input, "1--------------------------") then next_state <= state112; output <= "0000000000001100101000000-00-0000000000000-0-----00-0100";
end if;
when state110 =>
if std_match(input, "1--------------------------") then next_state <= state111; output <= "0000010000000101001010000-0000100000000000111000110-0100";
end if;
when state111 =>
if std_match(input, "1--------------------------") then next_state <= state114; output <= "00000001000000000-0000100001-0000000000001-0-----00-0---";
end if;
when state112 =>
if std_match(input, "1--------------------------") then next_state <= state113; output <= "00000100000001001-0000000-00-0000000000000-0100--00-0---";
end if;
when state113 =>
if std_match(input, "1--------------------------") then next_state <= state114; output <= "00000001000000000-0000000-00-0000000001000-0-----00-0---";
end if;
when state114 =>
if std_match(input, "1--------------------------") then next_state <= state75; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state115 =>
if std_match(input, "1--------------------------") then next_state <= state116; output <= "00001000000010001-0010000-00-0000000000000-01011010-0101";
end if;
when state116 =>
if std_match(input, "1--------------------------") then next_state <= state77; output <= "10000001000000000-0000100-00-0001000001101-0-----00-0---";
end if;
when state117 =>
if std_match(input, "1--------------------------") then next_state <= state118; output <= "00000010000000000-0000000-00-0000000000000-0-----00-0011";
end if;
when state118 =>
if std_match(input, "1-------------------------0") then next_state <= state121; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
elsif std_match(input, "1-------------------------1") then next_state <= state119; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when state119 =>
if std_match(input, "1--------------------------") then next_state <= state120; output <= "0000010000001000001000000-00-0000000000000111011010-0101";
end if;
when state120 =>
if std_match(input, "1--------------------------") then next_state <= state16; output <= "00010010000000010-000000000110100000000100-0-----00-0---";
end if;
when state121 =>
if std_match(input, "1--------------------------") then next_state <= state79; output <= "00000000000000000-0000000-00-0000000000000-0-----00-0---";
end if;
when others => next_state <= "-------------------------------------------------------------------------------------------------------------------------"; output <= "--------------------------------------------------------";
end case;
end if;
end process;
end behaviour;
|
-------------------------------------------------------------------------------
-- axi_vdma_sfifo.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2013 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_vdma_sfifo.vhd
-- Version: initial
-- Description:
-- This file contains the logic to generate a CoreGen call to create a
-- synchronous FIFO as part of the synthesis process of XST. This eliminates
-- the need for multiple fixed netlists for various sizes and widths of FIFOs.
--
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library fifo_generator_v13_1_1;
use fifo_generator_v13_1_1.all;
library lib_pkg_v1_0_2;
use lib_pkg_v1_0_2.lib_pkg.all;
--use proc_common_v4_0_2.coregen_comp_defs.all;
--use proc_common_v4_0_2.family_support.all;
-- synopsys translate_off
--library XilinxCoreLib;
--use XilinxCoreLib.all;
-- synopsys translate_on
library axi_vdma_v6_2_8;
use axi_vdma_v6_2_8.axi_vdma_pkg.all;
-------------------------------------------------------------------------------
ENTITY axi_vdma_sfifo IS
GENERIC (
-------------------------------------------------------------------------
-- Generic Declarations
-------------------------------------------------------------------------
C_FAMILY : STRING := "virtex7"; --
C_FULL_FLAGS_RST_VAL : INTEGER := 1; -- 0,1 ; Default 1
UW_DATA_WIDTH : INTEGER := 16; -- 1 - 1024; Default 16
UW_FIFO_DEPTH : INTEGER := 1024 -- 16 - 256K; Default 1K
);
PORT (
-- Common signal
rst : in std_logic := '0';
sleep : in std_logic := '0';
wr_rst_busy : out std_logic := '0';
rd_rst_busy : out std_logic := '0';
-- Write Domain signals
clk : in std_logic := '0';
din : in std_logic_vector(UW_DATA_WIDTH-1 downto 0) := (others => '0');
wr_en : in std_logic := '0';
full : out std_logic := '0';
data_count : out std_logic_vector(clog2(uw_fifo_depth)-1 downto 0) := (others => '0');
-- Read Domain signals
rd_en : in std_logic := '0';
dout : out std_logic_vector(UW_DATA_WIDTH-1 downto 0) := (others => '0');
empty : out std_logic := '1'
);
END ENTITY axi_vdma_sfifo;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
ARCHITECTURE xilinx OF axi_vdma_sfifo IS
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of xilinx : architecture is "yes";
--CONSTANT GND : std_logic := '0';
CONSTANT VCC : std_logic := '1';
CONSTANT clog2_uw_fifo_depth : integer := clog2(uw_fifo_depth);
CONSTANT clog2_uw_fifo_depth_plus_1 : integer := clog2(uw_fifo_depth) + 1;
--Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE"
signal ZERO_pntr : std_logic_vector(clog2_uw_fifo_depth-1 downto 0) := (others => '0');
signal GND : std_logic := '0';
signal ALMOST_FULL : std_logic;
signal WR_ACK : std_logic;
signal OVERFLOW : std_logic;
signal ALMOST_EMPTY : std_logic;
signal VALID : std_logic;
signal UNDERFLOW : std_logic;
signal PROG_FULL : std_logic;
signal PROG_EMPTY : std_logic;
signal SBITERR : std_logic;
signal DBITERR : std_logic;
signal S_AXI_AWREADY : std_logic;
signal S_AXI_WREADY : std_logic;
signal S_AXI_BID : std_logic_vector(3 DOWNTO 0);
signal S_AXI_BRESP : std_logic_vector(2-1 DOWNTO 0);
signal S_AXI_BUSER : std_logic_vector(0 downto 0);
signal S_AXI_BVALID : std_logic;
-- AXI Full/Lite Master Write Channel (Read side)
signal M_AXI_AWID : std_logic_vector(3 DOWNTO 0);
signal M_AXI_AWADDR : std_logic_vector(31 DOWNTO 0);
signal M_AXI_AWLEN : std_logic_vector(8-1 DOWNTO 0);
signal M_AXI_AWSIZE : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_AWBURST : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_AWLOCK : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_AWCACHE : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_AWPROT : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_AWQOS : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_AWREGION : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_AWUSER : std_logic_vector(0 downto 0);
signal M_AXI_AWVALID : std_logic;
signal M_AXI_WID : std_logic_vector(3 DOWNTO 0);
signal M_AXI_WDATA : std_logic_vector(63 DOWNTO 0);
signal M_AXI_WSTRB : std_logic_vector(7 DOWNTO 0);
signal M_AXI_WLAST : std_logic;
signal M_AXI_WUSER : std_logic_vector(0 downto 0);
signal M_AXI_WVALID : std_logic;
signal M_AXI_BREADY : std_logic;
-- AXI Full/Lite Slave Read Channel (Write side)
signal S_AXI_ARREADY : std_logic;
signal S_AXI_RID : std_logic_vector(3 DOWNTO 0);
signal S_AXI_RDATA : std_logic_vector(63 DOWNTO 0);
signal S_AXI_RRESP : std_logic_vector(2-1 DOWNTO 0);
signal S_AXI_RLAST : std_logic;
signal S_AXI_RUSER : std_logic_vector(0 downto 0);
signal S_AXI_RVALID : std_logic;
-- AXI Full/Lite Master Read Channel (Read side)
signal M_AXI_ARID : std_logic_vector(3 DOWNTO 0);
signal M_AXI_ARADDR : std_logic_vector(31 DOWNTO 0);
signal M_AXI_ARLEN : std_logic_vector(8-1 DOWNTO 0);
signal M_AXI_ARSIZE : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_ARBURST : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_ARLOCK : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_ARCACHE : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_ARPROT : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_ARQOS : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_ARREGION : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_ARUSER : std_logic_vector(0 downto 0);
signal M_AXI_ARVALID : std_logic;
signal M_AXI_RREADY : std_logic;
-- AXI Streaming Slave Signals (Write side)
signal S_AXIS_TREADY : std_logic;
-- AXI Streaming Master Signals (Read side)
signal M_AXIS_TVALID : std_logic;
signal M_AXIS_TDATA : std_logic_vector(63 DOWNTO 0);
signal M_AXIS_TSTRB : std_logic_vector(3 DOWNTO 0);
signal M_AXIS_TKEEP : std_logic_vector(3 DOWNTO 0);
signal M_AXIS_TLAST : std_logic;
signal M_AXIS_TID : std_logic_vector(7 DOWNTO 0);
signal M_AXIS_TDEST : std_logic_vector(3 DOWNTO 0);
signal M_AXIS_TUSER : std_logic_vector(3 DOWNTO 0);
-- AXI Full/Lite Write Address Channel Signals
signal AXI_AW_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AW_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AW_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AW_SBITERR : std_logic;
signal AXI_AW_DBITERR : std_logic;
signal AXI_AW_OVERFLOW : std_logic;
signal AXI_AW_UNDERFLOW : std_logic;
signal AXI_AW_PROG_FULL : STD_LOGIC;
signal AXI_AW_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Write Data Channel Signals
signal AXI_W_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_W_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_W_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_W_SBITERR : std_logic;
signal AXI_W_DBITERR : std_logic;
signal AXI_W_OVERFLOW : std_logic;
signal AXI_W_UNDERFLOW : std_logic;
signal AXI_W_PROG_FULL : STD_LOGIC;
signal AXI_W_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Write Response Channel Signals
signal AXI_B_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_B_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_B_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_B_SBITERR : std_logic;
signal AXI_B_DBITERR : std_logic;
signal AXI_B_OVERFLOW : std_logic;
signal AXI_B_UNDERFLOW : std_logic;
signal AXI_B_PROG_FULL : STD_LOGIC;
signal AXI_B_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Read Address Channel Signals
signal AXI_AR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AR_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AR_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AR_SBITERR : std_logic;
signal AXI_AR_DBITERR : std_logic;
signal AXI_AR_OVERFLOW : std_logic;
signal AXI_AR_UNDERFLOW : std_logic;
signal AXI_AR_PROG_FULL : STD_LOGIC;
signal AXI_AR_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Read Data Channel Signals
signal AXI_R_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_R_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_R_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_R_SBITERR : std_logic;
signal AXI_R_DBITERR : std_logic;
signal AXI_R_OVERFLOW : std_logic;
signal AXI_R_UNDERFLOW : std_logic;
signal AXI_R_PROG_FULL : STD_LOGIC;
signal AXI_R_PROG_EMPTY : STD_LOGIC;
-- AXI Streaming FIFO Related Signals
signal AXIS_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXIS_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXIS_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXIS_SBITERR : std_logic;
signal AXIS_DBITERR : std_logic;
signal AXIS_OVERFLOW : std_logic;
signal AXIS_UNDERFLOW : std_logic;
signal AXIS_PROG_FULL : STD_LOGIC;
signal AXIS_PROG_EMPTY : STD_LOGIC;
--Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE"
signal RD_DATA_COUNT : std_logic_vector(clog2_uw_fifo_depth-1 DOWNTO 0);
signal WR_DATA_COUNT : std_logic_vector(clog2_uw_fifo_depth-1 DOWNTO 0);
signal wr_rst_busy_sig : std_logic := '0';
signal rd_rst_busy_sig : std_logic := '0';
signal sig_data_count : std_logic_vector(clog2(uw_fifo_depth) downto 0) := (others => '0');
begin
--FAMILY_8 : if ((C_FAMILY = "kintexu") or (C_FAMILY = "virtexu") or (C_FAMILY = "artixu")) generate
--begin
--
--wr_rst_busy <= wr_rst_busy_sig;
--rd_rst_busy <= rd_rst_busy_sig;
--
--
--end generate FAMILY_8;
--
--FAMILY_NOT_8 : if ((C_FAMILY /= "kintexu") and (C_FAMILY /= "virtexu") and (C_FAMILY /= "artixu")) generate
--begin
--
--wr_rst_busy <= '0';
--rd_rst_busy <= '0';
--
--
--end generate FAMILY_NOT_8;
FAMILY_NOT_7 : if ((C_FAMILY /= "kintex7") and (C_FAMILY /= "virtex7") and (C_FAMILY /= "artix7") and (C_FAMILY /= "zynq")) generate
begin
wr_rst_busy <= wr_rst_busy_sig;
rd_rst_busy <= rd_rst_busy_sig;
end generate FAMILY_NOT_7;
FAMILY_7 : if ((C_FAMILY = "kintex7") or (C_FAMILY = "virtex7") or (C_FAMILY = "artix7") or (C_FAMILY = "zynq")) generate
begin
wr_rst_busy <= '0';
rd_rst_busy <= '0';
end generate FAMILY_7;
data_count <= sig_data_count(clog2(uw_fifo_depth)-1 downto 0);
ZERO_pntr <= (others => '0');
GND <= '0';
fg_inst : entity fifo_generator_v13_1_1.fifo_generator_v13_1_1
GENERIC MAP (
C_COMMON_CLOCK => 1,
-- C_COUNT_TYPE => C_COUNT_TYPE,
C_COUNT_TYPE => 0, --my
-- C_DATA_COUNT_WIDTH => C_DATA_COUNT_WIDTH,
C_DATA_COUNT_WIDTH => clog2_uw_fifo_depth_plus_1, --my
-- C_DEFAULT_VALUE => C_DEFAULT_VALUE,
C_DIN_WIDTH => uw_data_width,
-- C_DOUT_RST_VAL => C_DOUT_RST_VAL,
C_DOUT_WIDTH => uw_data_width,
-- C_ENABLE_RLOCS => C_ENABLE_RLOCS,
--C_FAMILY => "virtex7",
C_FAMILY => C_FAMILY, --my
--C_FULL_FLAGS_RST_VAL => uw_full_flags_rst_val,
C_FULL_FLAGS_RST_VAL => C_FULL_FLAGS_RST_VAL, --my
-- C_HAS_ALMOST_EMPTY => C_HAS_ALMOST_EMPTY,
-- C_HAS_ALMOST_FULL => C_HAS_ALMOST_FULL,
-- C_HAS_BACKUP => C_HAS_BACKUP,
C_HAS_DATA_COUNT => 1, --my
-- C_HAS_DATA_COUNT => C_HAS_DATA_COUNT,
-- C_HAS_INT_CLK => C_HAS_INT_CLK,
-- C_HAS_MEMINIT_FILE => C_HAS_MEMINIT_FILE,
-- C_HAS_OVERFLOW => C_HAS_OVERFLOW,
C_HAS_RD_DATA_COUNT => 0, --my
-- C_HAS_RD_DATA_COUNT => C_HAS_RD_DATA_COUNT,
-- C_HAS_RD_RST => C_HAS_RD_RST,
C_EN_SAFETY_CKT => 0,
C_HAS_RST => 0,
C_HAS_SRST => 1,
-- C_HAS_UNDERFLOW => C_HAS_UNDERFLOW,
-- C_HAS_VALID => C_HAS_VALID,
-- C_HAS_WR_ACK => C_HAS_WR_ACK,
C_HAS_WR_DATA_COUNT => 0, --my
-- C_HAS_WR_DATA_COUNT => C_HAS_WR_DATA_COUNT,
-- C_HAS_WR_RST => C_HAS_WR_RST,
--C_IMPLEMENTATION_TYPE => C_IMPLEMENTATION_TYPE,
C_IMPLEMENTATION_TYPE => 0, --my --Block RAM
-- C_INIT_WR_PNTR_VAL => C_INIT_WR_PNTR_VAL,
--C_MEMORY_TYPE => C_MEMORY_TYPE,
C_MEMORY_TYPE => 1, --my --Block RAM
-- C_MIF_FILE_NAME => C_MIF_FILE_NAME,
-- C_OPTIMIZATION_MODE => C_OPTIMIZATION_MODE,
-- C_OVERFLOW_LOW => C_OVERFLOW_LOW,
--C_PRELOAD_LATENCY => C_PRELOAD_LATENCY,
--C_PRELOAD_REGS => C_PRELOAD_REGS,
C_PRELOAD_LATENCY => 0, --my
C_PRELOAD_REGS => 1, --my
--C_PRIM_FIFO_TYPE => C_PRIM_FIFO_TYPE,
C_PRIM_FIFO_TYPE => "512x36", -- only used for V5 Hard FIFO
C_PROG_EMPTY_THRESH_ASSERT_VAL => 10,
C_PROG_EMPTY_THRESH_NEGATE_VAL => 9,
C_PROG_EMPTY_TYPE => 0,
--C_PROG_FULL_THRESH_ASSERT_VAL => if_then_else((UW_FIFO_TYPE = "BUILT_IN"), UW_FIFO_DEPTH-150, 14), --my
--C_PROG_FULL_THRESH_NEGATE_VAL => if_then_else((UW_FIFO_TYPE = "BUILT_IN"), UW_FIFO_DEPTH-160, 12), --my
C_PROG_FULL_TYPE => 0,
C_RD_DATA_COUNT_WIDTH => clog2_uw_fifo_depth, --my
-- C_RD_DATA_COUNT_WIDTH => C_RD_DATA_COUNT_WIDTH,
C_RD_DEPTH => uw_fifo_depth,
--C_RD_FREQ => C_RD_FREQ,
C_RD_FREQ => 1, --my
C_RD_PNTR_WIDTH => clog2_uw_fifo_depth,
-- C_UNDERFLOW_LOW => C_UNDERFLOW_LOW,
-- C_USE_DOUT_RST => C_USE_DOUT_RST,
-- C_USE_ECC => C_USE_ECC,
C_USE_EMBEDDED_REG => 1, --my
-- C_USE_EMBEDDED_REG => C_USE_EMBEDDED_REG,
-- C_USE_FIFO16_FLAGS => C_USE_FIFO16_FLAGS,
C_USE_FWFT_DATA_COUNT => 1, --my
-- C_USE_FWFT_DATA_COUNT => C_USE_FWFT_DATA_COUNT,
-- C_VALID_LOW => C_VALID_LOW,
-- C_WR_ACK_LOW => C_WR_ACK_LOW,
C_WR_DATA_COUNT_WIDTH => clog2_uw_fifo_depth, --my
-- C_WR_DATA_COUNT_WIDTH => C_WR_DATA_COUNT_WIDTH,
C_WR_DEPTH => uw_fifo_depth,
--C_WR_FREQ => C_WR_FREQ,
C_WR_FREQ => 1, --my
C_WR_PNTR_WIDTH => clog2_uw_fifo_depth,
-- C_WR_RESPONSE_LATENCY => C_WR_RESPONSE_LATENCY,
-- C_MSGON_VAL => C_MSGON_VAL,
-- C_ENABLE_RST_SYNC => C_ENABLE_RST_SYNC,
-- C_ERROR_INJECTION_TYPE => C_ERROR_INJECTION_TYPE,
C_SYNCHRONIZER_STAGE => MTBF_STAGES,
-- AXI Interface related parameters start here
C_INTERFACE_TYPE => 0, -- : integer := 0; -- 0: Native Interface; 1: AXI Interface
C_AXI_TYPE => 0, -- : integer := 0; -- 0: AXI Stream; 1: AXI Full; 2: AXI Lite
C_HAS_AXI_WR_CHANNEL => 0, -- : integer := 0;
C_HAS_AXI_RD_CHANNEL => 0, -- : integer := 0;
C_HAS_SLAVE_CE => 0, -- : integer := 0;
C_HAS_MASTER_CE => 0, -- : integer := 0;
C_ADD_NGC_CONSTRAINT => 0, -- : integer := 0;
C_USE_COMMON_OVERFLOW => 0, -- : integer := 0;
C_USE_COMMON_UNDERFLOW => 0, -- : integer := 0;
C_USE_DEFAULT_SETTINGS => 0, -- : integer := 0;
-- AXI Full/Lite
C_AXI_ID_WIDTH => 4 , -- : integer := 0;
C_AXI_ADDR_WIDTH => 32, -- : integer := 0;
C_AXI_DATA_WIDTH => 64, -- : integer := 0;
C_AXI_LEN_WIDTH => 8, -- : integer := 8;
C_AXI_LOCK_WIDTH => 2, -- : integer := 2;
C_HAS_AXI_ID => 0, -- : integer := 0;
C_HAS_AXI_AWUSER => 0 , -- : integer := 0;
C_HAS_AXI_WUSER => 0 , -- : integer := 0;
C_HAS_AXI_BUSER => 0 , -- : integer := 0;
C_HAS_AXI_ARUSER => 0 , -- : integer := 0;
C_HAS_AXI_RUSER => 0 , -- : integer := 0;
C_AXI_ARUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_AWUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_WUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_BUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_RUSER_WIDTH => 1 , -- : integer := 0;
-- AXI Streaming
C_HAS_AXIS_TDATA => 0 , -- : integer := 0;
C_HAS_AXIS_TID => 0 , -- : integer := 0;
C_HAS_AXIS_TDEST => 0 , -- : integer := 0;
C_HAS_AXIS_TUSER => 0 , -- : integer := 0;
C_HAS_AXIS_TREADY => 1 , -- : integer := 0;
C_HAS_AXIS_TLAST => 0 , -- : integer := 0;
C_HAS_AXIS_TSTRB => 0 , -- : integer := 0;
C_HAS_AXIS_TKEEP => 0 , -- : integer := 0;
C_AXIS_TDATA_WIDTH => 64, -- : integer := 1;
C_AXIS_TID_WIDTH => 8 , -- : integer := 1;
C_AXIS_TDEST_WIDTH => 4 , -- : integer := 1;
C_AXIS_TUSER_WIDTH => 4 , -- : integer := 1;
C_AXIS_TSTRB_WIDTH => 4 , -- : integer := 1;
C_AXIS_TKEEP_WIDTH => 4 , -- : integer := 1;
-- AXI Channel Type
-- WACH --> Write Address Channel
-- WDCH --> Write Data Channel
-- WRCH --> Write Response Channel
-- RACH --> Read Address Channel
-- RDCH --> Read Data Channel
-- AXIS --> AXI Streaming
C_WACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic
C_WDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_WRCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_RACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_RDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_AXIS_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
-- AXI Implementation Type
-- 1 = Common Clock Block RAM FIFO
-- 2 = Common Clock Distributed RAM FIFO
-- 11 = Independent Clock Block RAM FIFO
-- 12 = Independent Clock Distributed RAM FIFO
C_IMPLEMENTATION_TYPE_WACH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_WDCH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_WRCH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_RACH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_RDCH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_AXIS => 1, -- : integer := 0;
-- AXI FIFO Type
-- 0 = Data FIFO
-- 1 = Packet FIFO
-- 2 = Low Latency Data FIFO
C_APPLICATION_TYPE_WACH => 0, -- : integer := 0;
C_APPLICATION_TYPE_WDCH => 0, -- : integer := 0;
C_APPLICATION_TYPE_WRCH => 0, -- : integer := 0;
C_APPLICATION_TYPE_RACH => 0, -- : integer := 0;
C_APPLICATION_TYPE_RDCH => 0, -- : integer := 0;
C_APPLICATION_TYPE_AXIS => 0, -- : integer := 0;
-- Enable ECC
-- 0 = ECC disabled
-- 1 = ECC enabled
C_USE_ECC_WACH => 0, -- : integer := 0;
C_USE_ECC_WDCH => 0, -- : integer := 0;
C_USE_ECC_WRCH => 0, -- : integer := 0;
C_USE_ECC_RACH => 0, -- : integer := 0;
C_USE_ECC_RDCH => 0, -- : integer := 0;
C_USE_ECC_AXIS => 0, -- : integer := 0;
-- ECC Error Injection Type
-- 0 = No Error Injection
-- 1 = Single Bit Error Injection
-- 2 = Double Bit Error Injection
-- 3 = Single Bit and Double Bit Error Injection
C_ERROR_INJECTION_TYPE_WACH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_WDCH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_WRCH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_RACH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_RDCH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_AXIS => 0, -- : integer := 0;
-- Input Data Width
-- Accumulation of all AXI input signal's width
C_DIN_WIDTH_WACH => 32, -- : integer := 1;
C_DIN_WIDTH_WDCH => 64, -- : integer := 1;
C_DIN_WIDTH_WRCH => 2 , -- : integer := 1;
C_DIN_WIDTH_RACH => 32, -- : integer := 1;
C_DIN_WIDTH_RDCH => 64, -- : integer := 1;
C_DIN_WIDTH_AXIS => 1 , -- : integer := 1;
C_WR_DEPTH_WACH => 16 , -- : integer := 16;
C_WR_DEPTH_WDCH => 1024, -- : integer := 16;
C_WR_DEPTH_WRCH => 16 , -- : integer := 16;
C_WR_DEPTH_RACH => 16 , -- : integer := 16;
C_WR_DEPTH_RDCH => 1024, -- : integer := 16;
C_WR_DEPTH_AXIS => 1024, -- : integer := 16;
C_WR_PNTR_WIDTH_WACH => 4 , -- : integer := 4;
C_WR_PNTR_WIDTH_WDCH => 10, -- : integer := 4;
C_WR_PNTR_WIDTH_WRCH => 4 , -- : integer := 4;
C_WR_PNTR_WIDTH_RACH => 4 , -- : integer := 4;
C_WR_PNTR_WIDTH_RDCH => 10, -- : integer := 4;
C_WR_PNTR_WIDTH_AXIS => 10, -- : integer := 4;
C_HAS_DATA_COUNTS_WACH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_WDCH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_WRCH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_RACH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_RDCH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_AXIS => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_WACH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_WDCH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_WRCH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_RACH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_RDCH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_AXIS => 0, -- : integer := 0;
C_PROG_FULL_TYPE_WACH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_WDCH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_WRCH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_RACH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_RDCH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_AXIS => 5 , -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, -- : integer := 0;
C_PROG_EMPTY_TYPE_WACH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_WDCH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_WRCH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_RACH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_RDCH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_AXIS => 5 , -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, -- : integer := 0;
C_REG_SLICE_MODE_WACH => 0, -- : integer := 0;
C_REG_SLICE_MODE_WDCH => 0, -- : integer := 0;
C_REG_SLICE_MODE_WRCH => 0, -- : integer := 0;
C_REG_SLICE_MODE_RACH => 0, -- : integer := 0;
C_REG_SLICE_MODE_RDCH => 0, -- : integer := 0;
C_REG_SLICE_MODE_AXIS => 0 -- : integer := 0
)
PORT MAP (
backup => GND,
backup_marker => GND,
clk => clk,
rst => GND,
srst => rst,
wr_clk => GND,
wr_rst => GND,
rd_clk => GND,
rd_rst => GND,
din => din,
wr_en => wr_en,
rd_en => rd_en,
sleep => sleep,
wr_rst_busy => wr_rst_busy_sig,
rd_rst_busy => rd_rst_busy_sig,
prog_empty_thresh => ZERO_pntr,
prog_empty_thresh_assert => ZERO_pntr,
prog_empty_thresh_negate => ZERO_pntr,
prog_full_thresh => ZERO_pntr,
prog_full_thresh_assert => ZERO_pntr,
prog_full_thresh_negate => ZERO_pntr,
int_clk => GND,
injectdbiterr => GND,
injectsbiterr => GND,
dout => dout,
full => full,
empty => empty,
almost_full => ALMOST_FULL,
wr_ack => WR_ACK,
overflow => OVERFLOW,
almost_empty => ALMOST_EMPTY,
valid => VALID,
underflow => UNDERFLOW,
data_count => sig_data_count,
rd_data_count => RD_DATA_COUNT,
wr_data_count => WR_DATA_COUNT,
prog_full => PROG_FULL,
prog_empty => PROG_EMPTY,
sbiterr => SBITERR,
dbiterr => DBITERR,
m_aclk => '0', -- : IN std_logic := '0';
s_aclk => '0', -- : IN std_logic := '0';
s_aresetn => '0', -- : IN std_logic := '0';
m_aclk_en => '0', -- : IN std_logic := '0';
s_aclk_en => '0', -- : IN std_logic := '0';
-- AXI Full/Lite Slave Write Channel (write side)
s_axi_awid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awaddr => "00000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awlen => "00000000", --(others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awsize => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awburst => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awlock => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awcache => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awprot => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awqos => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awregion => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awvalid => '0', -- : IN std_logic := '0';
s_axi_awready => S_AXI_AWREADY, -- : OUT std_logic;
s_axi_wid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wstrb => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wlast => '0', -- : IN std_logic := '0';
s_axi_wuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wvalid => '0', -- : IN std_logic := '0';
s_axi_wready => S_AXI_WREADY, -- : OUT std_logic;
s_axi_bid => S_AXI_BID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_bresp => S_AXI_BRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0);
s_axi_buser => S_AXI_BUSER, -- : OUT std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0);
s_axi_bvalid => S_AXI_BVALID, -- : OUT std_logic;
s_axi_bready => '0', -- : IN std_logic := '0';
-- AXI Full/Lite Master Write Channel (Read side)
m_axi_awid => M_AXI_AWID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
m_axi_awaddr => M_AXI_AWADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0);
m_axi_awlen => M_AXI_AWLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0);
m_axi_awsize => M_AXI_AWSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_awburst => M_AXI_AWBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_awlock => M_AXI_AWLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_awcache => M_AXI_AWCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_awprot => M_AXI_AWPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_awqos => M_AXI_AWQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_awregion => M_AXI_AWREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_awuser => M_AXI_AWUSER, -- : OUT std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0);
m_axi_awvalid => M_AXI_AWVALID, -- : OUT std_logic;
m_axi_awready => '0', -- : IN std_logic := '0';
m_axi_wid => M_AXI_WID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
m_axi_wdata => M_AXI_WDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0);
m_axi_wstrb => M_AXI_WSTRB, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0);
m_axi_wlast => M_AXI_WLAST, -- : OUT std_logic;
m_axi_wuser => M_AXI_WUSER, -- : OUT std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0);
m_axi_wvalid => M_AXI_WVALID, -- : OUT std_logic;
m_axi_wready => '0', -- : IN std_logic := '0';
m_axi_bid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_bresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
m_axi_buser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_bvalid => '0', -- : IN std_logic := '0';
m_axi_bready => M_AXI_BREADY, -- : OUT std_logic;
-- AXI Full/Lite Slave Read Channel (Write side)
s_axi_arid => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_araddr => "00000000000000000000000000000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arlen => "00000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arsize => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arburst => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arlock => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arcache => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arprot => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arqos => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arregion => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_aruser => "0", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arvalid => '0', -- : IN std_logic := '0';
s_axi_arready => S_AXI_ARREADY, -- : OUT std_logic;
s_axi_rid => S_AXI_RID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
s_axi_rdata => S_AXI_RDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0);
s_axi_rresp => S_AXI_RRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0);
s_axi_rlast => S_AXI_RLAST, -- : OUT std_logic;
s_axi_ruser => S_AXI_RUSER, -- : OUT std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0);
s_axi_rvalid => S_AXI_RVALID, -- : OUT std_logic;
s_axi_rready => '0', -- : IN std_logic := '0';
-- AXI Full/Lite Master Read Channel (Read side)
m_axi_arid => M_AXI_ARID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
m_axi_araddr => M_AXI_ARADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0);
m_axi_arlen => M_AXI_ARLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0);
m_axi_arsize => M_AXI_ARSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_arburst => M_AXI_ARBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_arlock => M_AXI_ARLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_arcache => M_AXI_ARCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_arprot => M_AXI_ARPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_arqos => M_AXI_ARQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_arregion => M_AXI_ARREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_aruser => M_AXI_ARUSER, -- : OUT std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0);
m_axi_arvalid => M_AXI_ARVALID, -- : OUT std_logic;
m_axi_arready => '0', -- : IN std_logic := '0';
m_axi_rid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rlast => '0', -- : IN std_logic := '0';
m_axi_ruser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rvalid => '0', -- : IN std_logic := '0';
m_axi_rready => M_AXI_RREADY, -- : OUT std_logic;
-- AXI Streaming Slave Signals (Write side)
s_axis_tvalid => '0', -- : IN std_logic := '0';
s_axis_tready => S_AXIS_TREADY, -- : OUT std_logic;
s_axis_tdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tstrb => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tkeep => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tlast => '0', -- : IN std_logic := '0';
s_axis_tid => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tdest => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tuser => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
-- AXI Streaming Master Signals (Read side)
m_axis_tvalid => M_AXIS_TVALID, -- : OUT std_logic;
m_axis_tready => '0', -- : IN std_logic := '0';
m_axis_tdata => M_AXIS_TDATA, -- : OUT std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0);
m_axis_tstrb => M_AXIS_TSTRB, -- : OUT std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0);
m_axis_tkeep => M_AXIS_TKEEP, -- : OUT std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0);
m_axis_tlast => M_AXIS_TLAST, -- : OUT std_logic;
m_axis_tid => M_AXIS_TID, -- : OUT std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0);
m_axis_tdest => M_AXIS_TDEST, -- : OUT std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0);
m_axis_tuser => M_AXIS_TUSER, -- : OUT std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0);
-- AXI Full/Lite Write Address Channel Signals
axi_aw_injectsbiterr => '0', -- : IN std_logic := '0';
axi_aw_injectdbiterr => '0', -- : IN std_logic := '0';
axi_aw_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0');
axi_aw_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0');
axi_aw_data_count => AXI_AW_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0);
axi_aw_wr_data_count => AXI_AW_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0);
axi_aw_rd_data_count => AXI_AW_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0);
axi_aw_sbiterr => AXI_AW_SBITERR, -- : OUT std_logic;
axi_aw_dbiterr => AXI_AW_DBITERR, -- : OUT std_logic;
axi_aw_overflow => AXI_AW_OVERFLOW, -- : OUT std_logic;
axi_aw_underflow => AXI_AW_UNDERFLOW, -- : OUT std_logic;
axi_aw_prog_full => AXI_AW_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_aw_prog_empty => AXI_AW_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Write Data Channel Signals
axi_w_injectsbiterr => '0', -- : IN std_logic := '0';
axi_w_injectdbiterr => '0', -- : IN std_logic := '0';
axi_w_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_w_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_w_data_count => AXI_W_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0);
axi_w_wr_data_count => AXI_W_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0);
axi_w_rd_data_count => AXI_W_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0);
axi_w_sbiterr => AXI_W_SBITERR, -- : OUT std_logic;
axi_w_dbiterr => AXI_W_DBITERR, -- : OUT std_logic;
axi_w_overflow => AXI_W_OVERFLOW, -- : OUT std_logic;
axi_w_underflow => AXI_W_UNDERFLOW, -- : OUT std_logic;
axi_w_prog_full => AXI_W_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_w_prog_empty => AXI_W_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Write Response Channel Signals
axi_b_injectsbiterr => '0', -- : IN std_logic := '0';
axi_b_injectdbiterr => '0', -- : IN std_logic := '0';
axi_b_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0');
axi_b_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0');
axi_b_data_count => AXI_B_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0);
axi_b_wr_data_count => AXI_B_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0);
axi_b_rd_data_count => AXI_B_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0);
axi_b_sbiterr => AXI_B_SBITERR, -- : OUT std_logic;
axi_b_dbiterr => AXI_B_DBITERR, -- : OUT std_logic;
axi_b_overflow => AXI_B_OVERFLOW, -- : OUT std_logic;
axi_b_underflow => AXI_B_UNDERFLOW, -- : OUT std_logic;
axi_b_prog_full => AXI_B_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_b_prog_empty => AXI_B_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Read Address Channel Signals
axi_ar_injectsbiterr => '0', -- : IN std_logic := '0';
axi_ar_injectdbiterr => '0', -- : IN std_logic := '0';
axi_ar_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0');
axi_ar_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0');
axi_ar_data_count => AXI_AR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0);
axi_ar_wr_data_count => AXI_AR_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0);
axi_ar_rd_data_count => AXI_AR_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0);
axi_ar_sbiterr => AXI_AR_SBITERR, -- : OUT std_logic;
axi_ar_dbiterr => AXI_AR_DBITERR, -- : OUT std_logic;
axi_ar_overflow => AXI_AR_OVERFLOW, -- : OUT std_logic;
axi_ar_underflow => AXI_AR_UNDERFLOW, -- : OUT std_logic;
axi_ar_prog_full => AXI_AR_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_ar_prog_empty => AXI_AR_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Read Data Channel Signals
axi_r_injectsbiterr => '0', -- : IN std_logic := '0';
axi_r_injectdbiterr => '0', -- : IN std_logic := '0';
axi_r_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_r_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_r_data_count => AXI_R_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0);
axi_r_wr_data_count => AXI_R_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0);
axi_r_rd_data_count => AXI_R_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0);
axi_r_sbiterr => AXI_R_SBITERR, -- : OUT std_logic;
axi_r_dbiterr => AXI_R_DBITERR, -- : OUT std_logic;
axi_r_overflow => AXI_R_OVERFLOW, -- : OUT std_logic;
axi_r_underflow => AXI_R_UNDERFLOW, -- : OUT std_logic;
axi_r_prog_full => AXI_R_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_r_prog_empty => AXI_R_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Streaming FIFO Related Signals
axis_injectsbiterr => '0', -- : IN std_logic := '0';
axis_injectdbiterr => '0', -- : IN std_logic := '0';
axis_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0');
axis_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0');
axis_data_count => AXIS_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0);
axis_wr_data_count => AXIS_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0);
axis_rd_data_count => AXIS_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0);
axis_sbiterr => AXIS_SBITERR, -- : OUT std_logic;
axis_dbiterr => AXIS_DBITERR, -- : OUT std_logic;
axis_overflow => AXIS_OVERFLOW, -- : OUT std_logic;
axis_underflow => AXIS_UNDERFLOW, -- : OUT std_logic
axis_prog_full => AXIS_PROG_FULL, -- : OUT STD_LOGIC := '0';
axis_prog_empty => AXIS_PROG_EMPTY -- : OUT STD_LOGIC := '1';
);
END ARCHITECTURE xilinx;
|
-------------------------------------------------------------------------------
-- axi_vdma_sfifo.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2013 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_vdma_sfifo.vhd
-- Version: initial
-- Description:
-- This file contains the logic to generate a CoreGen call to create a
-- synchronous FIFO as part of the synthesis process of XST. This eliminates
-- the need for multiple fixed netlists for various sizes and widths of FIFOs.
--
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library fifo_generator_v13_1_1;
use fifo_generator_v13_1_1.all;
library lib_pkg_v1_0_2;
use lib_pkg_v1_0_2.lib_pkg.all;
--use proc_common_v4_0_2.coregen_comp_defs.all;
--use proc_common_v4_0_2.family_support.all;
-- synopsys translate_off
--library XilinxCoreLib;
--use XilinxCoreLib.all;
-- synopsys translate_on
library axi_vdma_v6_2_8;
use axi_vdma_v6_2_8.axi_vdma_pkg.all;
-------------------------------------------------------------------------------
ENTITY axi_vdma_sfifo IS
GENERIC (
-------------------------------------------------------------------------
-- Generic Declarations
-------------------------------------------------------------------------
C_FAMILY : STRING := "virtex7"; --
C_FULL_FLAGS_RST_VAL : INTEGER := 1; -- 0,1 ; Default 1
UW_DATA_WIDTH : INTEGER := 16; -- 1 - 1024; Default 16
UW_FIFO_DEPTH : INTEGER := 1024 -- 16 - 256K; Default 1K
);
PORT (
-- Common signal
rst : in std_logic := '0';
sleep : in std_logic := '0';
wr_rst_busy : out std_logic := '0';
rd_rst_busy : out std_logic := '0';
-- Write Domain signals
clk : in std_logic := '0';
din : in std_logic_vector(UW_DATA_WIDTH-1 downto 0) := (others => '0');
wr_en : in std_logic := '0';
full : out std_logic := '0';
data_count : out std_logic_vector(clog2(uw_fifo_depth)-1 downto 0) := (others => '0');
-- Read Domain signals
rd_en : in std_logic := '0';
dout : out std_logic_vector(UW_DATA_WIDTH-1 downto 0) := (others => '0');
empty : out std_logic := '1'
);
END ENTITY axi_vdma_sfifo;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
ARCHITECTURE xilinx OF axi_vdma_sfifo IS
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of xilinx : architecture is "yes";
--CONSTANT GND : std_logic := '0';
CONSTANT VCC : std_logic := '1';
CONSTANT clog2_uw_fifo_depth : integer := clog2(uw_fifo_depth);
CONSTANT clog2_uw_fifo_depth_plus_1 : integer := clog2(uw_fifo_depth) + 1;
--Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE"
signal ZERO_pntr : std_logic_vector(clog2_uw_fifo_depth-1 downto 0) := (others => '0');
signal GND : std_logic := '0';
signal ALMOST_FULL : std_logic;
signal WR_ACK : std_logic;
signal OVERFLOW : std_logic;
signal ALMOST_EMPTY : std_logic;
signal VALID : std_logic;
signal UNDERFLOW : std_logic;
signal PROG_FULL : std_logic;
signal PROG_EMPTY : std_logic;
signal SBITERR : std_logic;
signal DBITERR : std_logic;
signal S_AXI_AWREADY : std_logic;
signal S_AXI_WREADY : std_logic;
signal S_AXI_BID : std_logic_vector(3 DOWNTO 0);
signal S_AXI_BRESP : std_logic_vector(2-1 DOWNTO 0);
signal S_AXI_BUSER : std_logic_vector(0 downto 0);
signal S_AXI_BVALID : std_logic;
-- AXI Full/Lite Master Write Channel (Read side)
signal M_AXI_AWID : std_logic_vector(3 DOWNTO 0);
signal M_AXI_AWADDR : std_logic_vector(31 DOWNTO 0);
signal M_AXI_AWLEN : std_logic_vector(8-1 DOWNTO 0);
signal M_AXI_AWSIZE : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_AWBURST : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_AWLOCK : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_AWCACHE : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_AWPROT : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_AWQOS : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_AWREGION : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_AWUSER : std_logic_vector(0 downto 0);
signal M_AXI_AWVALID : std_logic;
signal M_AXI_WID : std_logic_vector(3 DOWNTO 0);
signal M_AXI_WDATA : std_logic_vector(63 DOWNTO 0);
signal M_AXI_WSTRB : std_logic_vector(7 DOWNTO 0);
signal M_AXI_WLAST : std_logic;
signal M_AXI_WUSER : std_logic_vector(0 downto 0);
signal M_AXI_WVALID : std_logic;
signal M_AXI_BREADY : std_logic;
-- AXI Full/Lite Slave Read Channel (Write side)
signal S_AXI_ARREADY : std_logic;
signal S_AXI_RID : std_logic_vector(3 DOWNTO 0);
signal S_AXI_RDATA : std_logic_vector(63 DOWNTO 0);
signal S_AXI_RRESP : std_logic_vector(2-1 DOWNTO 0);
signal S_AXI_RLAST : std_logic;
signal S_AXI_RUSER : std_logic_vector(0 downto 0);
signal S_AXI_RVALID : std_logic;
-- AXI Full/Lite Master Read Channel (Read side)
signal M_AXI_ARID : std_logic_vector(3 DOWNTO 0);
signal M_AXI_ARADDR : std_logic_vector(31 DOWNTO 0);
signal M_AXI_ARLEN : std_logic_vector(8-1 DOWNTO 0);
signal M_AXI_ARSIZE : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_ARBURST : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_ARLOCK : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_ARCACHE : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_ARPROT : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_ARQOS : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_ARREGION : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_ARUSER : std_logic_vector(0 downto 0);
signal M_AXI_ARVALID : std_logic;
signal M_AXI_RREADY : std_logic;
-- AXI Streaming Slave Signals (Write side)
signal S_AXIS_TREADY : std_logic;
-- AXI Streaming Master Signals (Read side)
signal M_AXIS_TVALID : std_logic;
signal M_AXIS_TDATA : std_logic_vector(63 DOWNTO 0);
signal M_AXIS_TSTRB : std_logic_vector(3 DOWNTO 0);
signal M_AXIS_TKEEP : std_logic_vector(3 DOWNTO 0);
signal M_AXIS_TLAST : std_logic;
signal M_AXIS_TID : std_logic_vector(7 DOWNTO 0);
signal M_AXIS_TDEST : std_logic_vector(3 DOWNTO 0);
signal M_AXIS_TUSER : std_logic_vector(3 DOWNTO 0);
-- AXI Full/Lite Write Address Channel Signals
signal AXI_AW_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AW_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AW_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AW_SBITERR : std_logic;
signal AXI_AW_DBITERR : std_logic;
signal AXI_AW_OVERFLOW : std_logic;
signal AXI_AW_UNDERFLOW : std_logic;
signal AXI_AW_PROG_FULL : STD_LOGIC;
signal AXI_AW_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Write Data Channel Signals
signal AXI_W_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_W_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_W_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_W_SBITERR : std_logic;
signal AXI_W_DBITERR : std_logic;
signal AXI_W_OVERFLOW : std_logic;
signal AXI_W_UNDERFLOW : std_logic;
signal AXI_W_PROG_FULL : STD_LOGIC;
signal AXI_W_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Write Response Channel Signals
signal AXI_B_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_B_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_B_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_B_SBITERR : std_logic;
signal AXI_B_DBITERR : std_logic;
signal AXI_B_OVERFLOW : std_logic;
signal AXI_B_UNDERFLOW : std_logic;
signal AXI_B_PROG_FULL : STD_LOGIC;
signal AXI_B_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Read Address Channel Signals
signal AXI_AR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AR_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AR_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AR_SBITERR : std_logic;
signal AXI_AR_DBITERR : std_logic;
signal AXI_AR_OVERFLOW : std_logic;
signal AXI_AR_UNDERFLOW : std_logic;
signal AXI_AR_PROG_FULL : STD_LOGIC;
signal AXI_AR_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Read Data Channel Signals
signal AXI_R_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_R_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_R_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_R_SBITERR : std_logic;
signal AXI_R_DBITERR : std_logic;
signal AXI_R_OVERFLOW : std_logic;
signal AXI_R_UNDERFLOW : std_logic;
signal AXI_R_PROG_FULL : STD_LOGIC;
signal AXI_R_PROG_EMPTY : STD_LOGIC;
-- AXI Streaming FIFO Related Signals
signal AXIS_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXIS_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXIS_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXIS_SBITERR : std_logic;
signal AXIS_DBITERR : std_logic;
signal AXIS_OVERFLOW : std_logic;
signal AXIS_UNDERFLOW : std_logic;
signal AXIS_PROG_FULL : STD_LOGIC;
signal AXIS_PROG_EMPTY : STD_LOGIC;
--Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE"
signal RD_DATA_COUNT : std_logic_vector(clog2_uw_fifo_depth-1 DOWNTO 0);
signal WR_DATA_COUNT : std_logic_vector(clog2_uw_fifo_depth-1 DOWNTO 0);
signal wr_rst_busy_sig : std_logic := '0';
signal rd_rst_busy_sig : std_logic := '0';
signal sig_data_count : std_logic_vector(clog2(uw_fifo_depth) downto 0) := (others => '0');
begin
--FAMILY_8 : if ((C_FAMILY = "kintexu") or (C_FAMILY = "virtexu") or (C_FAMILY = "artixu")) generate
--begin
--
--wr_rst_busy <= wr_rst_busy_sig;
--rd_rst_busy <= rd_rst_busy_sig;
--
--
--end generate FAMILY_8;
--
--FAMILY_NOT_8 : if ((C_FAMILY /= "kintexu") and (C_FAMILY /= "virtexu") and (C_FAMILY /= "artixu")) generate
--begin
--
--wr_rst_busy <= '0';
--rd_rst_busy <= '0';
--
--
--end generate FAMILY_NOT_8;
FAMILY_NOT_7 : if ((C_FAMILY /= "kintex7") and (C_FAMILY /= "virtex7") and (C_FAMILY /= "artix7") and (C_FAMILY /= "zynq")) generate
begin
wr_rst_busy <= wr_rst_busy_sig;
rd_rst_busy <= rd_rst_busy_sig;
end generate FAMILY_NOT_7;
FAMILY_7 : if ((C_FAMILY = "kintex7") or (C_FAMILY = "virtex7") or (C_FAMILY = "artix7") or (C_FAMILY = "zynq")) generate
begin
wr_rst_busy <= '0';
rd_rst_busy <= '0';
end generate FAMILY_7;
data_count <= sig_data_count(clog2(uw_fifo_depth)-1 downto 0);
ZERO_pntr <= (others => '0');
GND <= '0';
fg_inst : entity fifo_generator_v13_1_1.fifo_generator_v13_1_1
GENERIC MAP (
C_COMMON_CLOCK => 1,
-- C_COUNT_TYPE => C_COUNT_TYPE,
C_COUNT_TYPE => 0, --my
-- C_DATA_COUNT_WIDTH => C_DATA_COUNT_WIDTH,
C_DATA_COUNT_WIDTH => clog2_uw_fifo_depth_plus_1, --my
-- C_DEFAULT_VALUE => C_DEFAULT_VALUE,
C_DIN_WIDTH => uw_data_width,
-- C_DOUT_RST_VAL => C_DOUT_RST_VAL,
C_DOUT_WIDTH => uw_data_width,
-- C_ENABLE_RLOCS => C_ENABLE_RLOCS,
--C_FAMILY => "virtex7",
C_FAMILY => C_FAMILY, --my
--C_FULL_FLAGS_RST_VAL => uw_full_flags_rst_val,
C_FULL_FLAGS_RST_VAL => C_FULL_FLAGS_RST_VAL, --my
-- C_HAS_ALMOST_EMPTY => C_HAS_ALMOST_EMPTY,
-- C_HAS_ALMOST_FULL => C_HAS_ALMOST_FULL,
-- C_HAS_BACKUP => C_HAS_BACKUP,
C_HAS_DATA_COUNT => 1, --my
-- C_HAS_DATA_COUNT => C_HAS_DATA_COUNT,
-- C_HAS_INT_CLK => C_HAS_INT_CLK,
-- C_HAS_MEMINIT_FILE => C_HAS_MEMINIT_FILE,
-- C_HAS_OVERFLOW => C_HAS_OVERFLOW,
C_HAS_RD_DATA_COUNT => 0, --my
-- C_HAS_RD_DATA_COUNT => C_HAS_RD_DATA_COUNT,
-- C_HAS_RD_RST => C_HAS_RD_RST,
C_EN_SAFETY_CKT => 0,
C_HAS_RST => 0,
C_HAS_SRST => 1,
-- C_HAS_UNDERFLOW => C_HAS_UNDERFLOW,
-- C_HAS_VALID => C_HAS_VALID,
-- C_HAS_WR_ACK => C_HAS_WR_ACK,
C_HAS_WR_DATA_COUNT => 0, --my
-- C_HAS_WR_DATA_COUNT => C_HAS_WR_DATA_COUNT,
-- C_HAS_WR_RST => C_HAS_WR_RST,
--C_IMPLEMENTATION_TYPE => C_IMPLEMENTATION_TYPE,
C_IMPLEMENTATION_TYPE => 0, --my --Block RAM
-- C_INIT_WR_PNTR_VAL => C_INIT_WR_PNTR_VAL,
--C_MEMORY_TYPE => C_MEMORY_TYPE,
C_MEMORY_TYPE => 1, --my --Block RAM
-- C_MIF_FILE_NAME => C_MIF_FILE_NAME,
-- C_OPTIMIZATION_MODE => C_OPTIMIZATION_MODE,
-- C_OVERFLOW_LOW => C_OVERFLOW_LOW,
--C_PRELOAD_LATENCY => C_PRELOAD_LATENCY,
--C_PRELOAD_REGS => C_PRELOAD_REGS,
C_PRELOAD_LATENCY => 0, --my
C_PRELOAD_REGS => 1, --my
--C_PRIM_FIFO_TYPE => C_PRIM_FIFO_TYPE,
C_PRIM_FIFO_TYPE => "512x36", -- only used for V5 Hard FIFO
C_PROG_EMPTY_THRESH_ASSERT_VAL => 10,
C_PROG_EMPTY_THRESH_NEGATE_VAL => 9,
C_PROG_EMPTY_TYPE => 0,
--C_PROG_FULL_THRESH_ASSERT_VAL => if_then_else((UW_FIFO_TYPE = "BUILT_IN"), UW_FIFO_DEPTH-150, 14), --my
--C_PROG_FULL_THRESH_NEGATE_VAL => if_then_else((UW_FIFO_TYPE = "BUILT_IN"), UW_FIFO_DEPTH-160, 12), --my
C_PROG_FULL_TYPE => 0,
C_RD_DATA_COUNT_WIDTH => clog2_uw_fifo_depth, --my
-- C_RD_DATA_COUNT_WIDTH => C_RD_DATA_COUNT_WIDTH,
C_RD_DEPTH => uw_fifo_depth,
--C_RD_FREQ => C_RD_FREQ,
C_RD_FREQ => 1, --my
C_RD_PNTR_WIDTH => clog2_uw_fifo_depth,
-- C_UNDERFLOW_LOW => C_UNDERFLOW_LOW,
-- C_USE_DOUT_RST => C_USE_DOUT_RST,
-- C_USE_ECC => C_USE_ECC,
C_USE_EMBEDDED_REG => 1, --my
-- C_USE_EMBEDDED_REG => C_USE_EMBEDDED_REG,
-- C_USE_FIFO16_FLAGS => C_USE_FIFO16_FLAGS,
C_USE_FWFT_DATA_COUNT => 1, --my
-- C_USE_FWFT_DATA_COUNT => C_USE_FWFT_DATA_COUNT,
-- C_VALID_LOW => C_VALID_LOW,
-- C_WR_ACK_LOW => C_WR_ACK_LOW,
C_WR_DATA_COUNT_WIDTH => clog2_uw_fifo_depth, --my
-- C_WR_DATA_COUNT_WIDTH => C_WR_DATA_COUNT_WIDTH,
C_WR_DEPTH => uw_fifo_depth,
--C_WR_FREQ => C_WR_FREQ,
C_WR_FREQ => 1, --my
C_WR_PNTR_WIDTH => clog2_uw_fifo_depth,
-- C_WR_RESPONSE_LATENCY => C_WR_RESPONSE_LATENCY,
-- C_MSGON_VAL => C_MSGON_VAL,
-- C_ENABLE_RST_SYNC => C_ENABLE_RST_SYNC,
-- C_ERROR_INJECTION_TYPE => C_ERROR_INJECTION_TYPE,
C_SYNCHRONIZER_STAGE => MTBF_STAGES,
-- AXI Interface related parameters start here
C_INTERFACE_TYPE => 0, -- : integer := 0; -- 0: Native Interface; 1: AXI Interface
C_AXI_TYPE => 0, -- : integer := 0; -- 0: AXI Stream; 1: AXI Full; 2: AXI Lite
C_HAS_AXI_WR_CHANNEL => 0, -- : integer := 0;
C_HAS_AXI_RD_CHANNEL => 0, -- : integer := 0;
C_HAS_SLAVE_CE => 0, -- : integer := 0;
C_HAS_MASTER_CE => 0, -- : integer := 0;
C_ADD_NGC_CONSTRAINT => 0, -- : integer := 0;
C_USE_COMMON_OVERFLOW => 0, -- : integer := 0;
C_USE_COMMON_UNDERFLOW => 0, -- : integer := 0;
C_USE_DEFAULT_SETTINGS => 0, -- : integer := 0;
-- AXI Full/Lite
C_AXI_ID_WIDTH => 4 , -- : integer := 0;
C_AXI_ADDR_WIDTH => 32, -- : integer := 0;
C_AXI_DATA_WIDTH => 64, -- : integer := 0;
C_AXI_LEN_WIDTH => 8, -- : integer := 8;
C_AXI_LOCK_WIDTH => 2, -- : integer := 2;
C_HAS_AXI_ID => 0, -- : integer := 0;
C_HAS_AXI_AWUSER => 0 , -- : integer := 0;
C_HAS_AXI_WUSER => 0 , -- : integer := 0;
C_HAS_AXI_BUSER => 0 , -- : integer := 0;
C_HAS_AXI_ARUSER => 0 , -- : integer := 0;
C_HAS_AXI_RUSER => 0 , -- : integer := 0;
C_AXI_ARUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_AWUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_WUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_BUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_RUSER_WIDTH => 1 , -- : integer := 0;
-- AXI Streaming
C_HAS_AXIS_TDATA => 0 , -- : integer := 0;
C_HAS_AXIS_TID => 0 , -- : integer := 0;
C_HAS_AXIS_TDEST => 0 , -- : integer := 0;
C_HAS_AXIS_TUSER => 0 , -- : integer := 0;
C_HAS_AXIS_TREADY => 1 , -- : integer := 0;
C_HAS_AXIS_TLAST => 0 , -- : integer := 0;
C_HAS_AXIS_TSTRB => 0 , -- : integer := 0;
C_HAS_AXIS_TKEEP => 0 , -- : integer := 0;
C_AXIS_TDATA_WIDTH => 64, -- : integer := 1;
C_AXIS_TID_WIDTH => 8 , -- : integer := 1;
C_AXIS_TDEST_WIDTH => 4 , -- : integer := 1;
C_AXIS_TUSER_WIDTH => 4 , -- : integer := 1;
C_AXIS_TSTRB_WIDTH => 4 , -- : integer := 1;
C_AXIS_TKEEP_WIDTH => 4 , -- : integer := 1;
-- AXI Channel Type
-- WACH --> Write Address Channel
-- WDCH --> Write Data Channel
-- WRCH --> Write Response Channel
-- RACH --> Read Address Channel
-- RDCH --> Read Data Channel
-- AXIS --> AXI Streaming
C_WACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic
C_WDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_WRCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_RACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_RDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_AXIS_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
-- AXI Implementation Type
-- 1 = Common Clock Block RAM FIFO
-- 2 = Common Clock Distributed RAM FIFO
-- 11 = Independent Clock Block RAM FIFO
-- 12 = Independent Clock Distributed RAM FIFO
C_IMPLEMENTATION_TYPE_WACH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_WDCH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_WRCH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_RACH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_RDCH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_AXIS => 1, -- : integer := 0;
-- AXI FIFO Type
-- 0 = Data FIFO
-- 1 = Packet FIFO
-- 2 = Low Latency Data FIFO
C_APPLICATION_TYPE_WACH => 0, -- : integer := 0;
C_APPLICATION_TYPE_WDCH => 0, -- : integer := 0;
C_APPLICATION_TYPE_WRCH => 0, -- : integer := 0;
C_APPLICATION_TYPE_RACH => 0, -- : integer := 0;
C_APPLICATION_TYPE_RDCH => 0, -- : integer := 0;
C_APPLICATION_TYPE_AXIS => 0, -- : integer := 0;
-- Enable ECC
-- 0 = ECC disabled
-- 1 = ECC enabled
C_USE_ECC_WACH => 0, -- : integer := 0;
C_USE_ECC_WDCH => 0, -- : integer := 0;
C_USE_ECC_WRCH => 0, -- : integer := 0;
C_USE_ECC_RACH => 0, -- : integer := 0;
C_USE_ECC_RDCH => 0, -- : integer := 0;
C_USE_ECC_AXIS => 0, -- : integer := 0;
-- ECC Error Injection Type
-- 0 = No Error Injection
-- 1 = Single Bit Error Injection
-- 2 = Double Bit Error Injection
-- 3 = Single Bit and Double Bit Error Injection
C_ERROR_INJECTION_TYPE_WACH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_WDCH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_WRCH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_RACH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_RDCH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_AXIS => 0, -- : integer := 0;
-- Input Data Width
-- Accumulation of all AXI input signal's width
C_DIN_WIDTH_WACH => 32, -- : integer := 1;
C_DIN_WIDTH_WDCH => 64, -- : integer := 1;
C_DIN_WIDTH_WRCH => 2 , -- : integer := 1;
C_DIN_WIDTH_RACH => 32, -- : integer := 1;
C_DIN_WIDTH_RDCH => 64, -- : integer := 1;
C_DIN_WIDTH_AXIS => 1 , -- : integer := 1;
C_WR_DEPTH_WACH => 16 , -- : integer := 16;
C_WR_DEPTH_WDCH => 1024, -- : integer := 16;
C_WR_DEPTH_WRCH => 16 , -- : integer := 16;
C_WR_DEPTH_RACH => 16 , -- : integer := 16;
C_WR_DEPTH_RDCH => 1024, -- : integer := 16;
C_WR_DEPTH_AXIS => 1024, -- : integer := 16;
C_WR_PNTR_WIDTH_WACH => 4 , -- : integer := 4;
C_WR_PNTR_WIDTH_WDCH => 10, -- : integer := 4;
C_WR_PNTR_WIDTH_WRCH => 4 , -- : integer := 4;
C_WR_PNTR_WIDTH_RACH => 4 , -- : integer := 4;
C_WR_PNTR_WIDTH_RDCH => 10, -- : integer := 4;
C_WR_PNTR_WIDTH_AXIS => 10, -- : integer := 4;
C_HAS_DATA_COUNTS_WACH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_WDCH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_WRCH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_RACH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_RDCH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_AXIS => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_WACH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_WDCH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_WRCH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_RACH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_RDCH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_AXIS => 0, -- : integer := 0;
C_PROG_FULL_TYPE_WACH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_WDCH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_WRCH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_RACH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_RDCH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_AXIS => 5 , -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, -- : integer := 0;
C_PROG_EMPTY_TYPE_WACH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_WDCH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_WRCH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_RACH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_RDCH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_AXIS => 5 , -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, -- : integer := 0;
C_REG_SLICE_MODE_WACH => 0, -- : integer := 0;
C_REG_SLICE_MODE_WDCH => 0, -- : integer := 0;
C_REG_SLICE_MODE_WRCH => 0, -- : integer := 0;
C_REG_SLICE_MODE_RACH => 0, -- : integer := 0;
C_REG_SLICE_MODE_RDCH => 0, -- : integer := 0;
C_REG_SLICE_MODE_AXIS => 0 -- : integer := 0
)
PORT MAP (
backup => GND,
backup_marker => GND,
clk => clk,
rst => GND,
srst => rst,
wr_clk => GND,
wr_rst => GND,
rd_clk => GND,
rd_rst => GND,
din => din,
wr_en => wr_en,
rd_en => rd_en,
sleep => sleep,
wr_rst_busy => wr_rst_busy_sig,
rd_rst_busy => rd_rst_busy_sig,
prog_empty_thresh => ZERO_pntr,
prog_empty_thresh_assert => ZERO_pntr,
prog_empty_thresh_negate => ZERO_pntr,
prog_full_thresh => ZERO_pntr,
prog_full_thresh_assert => ZERO_pntr,
prog_full_thresh_negate => ZERO_pntr,
int_clk => GND,
injectdbiterr => GND,
injectsbiterr => GND,
dout => dout,
full => full,
empty => empty,
almost_full => ALMOST_FULL,
wr_ack => WR_ACK,
overflow => OVERFLOW,
almost_empty => ALMOST_EMPTY,
valid => VALID,
underflow => UNDERFLOW,
data_count => sig_data_count,
rd_data_count => RD_DATA_COUNT,
wr_data_count => WR_DATA_COUNT,
prog_full => PROG_FULL,
prog_empty => PROG_EMPTY,
sbiterr => SBITERR,
dbiterr => DBITERR,
m_aclk => '0', -- : IN std_logic := '0';
s_aclk => '0', -- : IN std_logic := '0';
s_aresetn => '0', -- : IN std_logic := '0';
m_aclk_en => '0', -- : IN std_logic := '0';
s_aclk_en => '0', -- : IN std_logic := '0';
-- AXI Full/Lite Slave Write Channel (write side)
s_axi_awid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awaddr => "00000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awlen => "00000000", --(others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awsize => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awburst => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awlock => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awcache => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awprot => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awqos => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awregion => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awvalid => '0', -- : IN std_logic := '0';
s_axi_awready => S_AXI_AWREADY, -- : OUT std_logic;
s_axi_wid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wstrb => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wlast => '0', -- : IN std_logic := '0';
s_axi_wuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wvalid => '0', -- : IN std_logic := '0';
s_axi_wready => S_AXI_WREADY, -- : OUT std_logic;
s_axi_bid => S_AXI_BID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_bresp => S_AXI_BRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0);
s_axi_buser => S_AXI_BUSER, -- : OUT std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0);
s_axi_bvalid => S_AXI_BVALID, -- : OUT std_logic;
s_axi_bready => '0', -- : IN std_logic := '0';
-- AXI Full/Lite Master Write Channel (Read side)
m_axi_awid => M_AXI_AWID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
m_axi_awaddr => M_AXI_AWADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0);
m_axi_awlen => M_AXI_AWLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0);
m_axi_awsize => M_AXI_AWSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_awburst => M_AXI_AWBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_awlock => M_AXI_AWLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_awcache => M_AXI_AWCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_awprot => M_AXI_AWPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_awqos => M_AXI_AWQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_awregion => M_AXI_AWREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_awuser => M_AXI_AWUSER, -- : OUT std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0);
m_axi_awvalid => M_AXI_AWVALID, -- : OUT std_logic;
m_axi_awready => '0', -- : IN std_logic := '0';
m_axi_wid => M_AXI_WID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
m_axi_wdata => M_AXI_WDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0);
m_axi_wstrb => M_AXI_WSTRB, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0);
m_axi_wlast => M_AXI_WLAST, -- : OUT std_logic;
m_axi_wuser => M_AXI_WUSER, -- : OUT std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0);
m_axi_wvalid => M_AXI_WVALID, -- : OUT std_logic;
m_axi_wready => '0', -- : IN std_logic := '0';
m_axi_bid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_bresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
m_axi_buser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_bvalid => '0', -- : IN std_logic := '0';
m_axi_bready => M_AXI_BREADY, -- : OUT std_logic;
-- AXI Full/Lite Slave Read Channel (Write side)
s_axi_arid => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_araddr => "00000000000000000000000000000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arlen => "00000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arsize => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arburst => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arlock => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arcache => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arprot => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arqos => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arregion => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_aruser => "0", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arvalid => '0', -- : IN std_logic := '0';
s_axi_arready => S_AXI_ARREADY, -- : OUT std_logic;
s_axi_rid => S_AXI_RID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
s_axi_rdata => S_AXI_RDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0);
s_axi_rresp => S_AXI_RRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0);
s_axi_rlast => S_AXI_RLAST, -- : OUT std_logic;
s_axi_ruser => S_AXI_RUSER, -- : OUT std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0);
s_axi_rvalid => S_AXI_RVALID, -- : OUT std_logic;
s_axi_rready => '0', -- : IN std_logic := '0';
-- AXI Full/Lite Master Read Channel (Read side)
m_axi_arid => M_AXI_ARID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
m_axi_araddr => M_AXI_ARADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0);
m_axi_arlen => M_AXI_ARLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0);
m_axi_arsize => M_AXI_ARSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_arburst => M_AXI_ARBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_arlock => M_AXI_ARLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_arcache => M_AXI_ARCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_arprot => M_AXI_ARPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_arqos => M_AXI_ARQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_arregion => M_AXI_ARREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_aruser => M_AXI_ARUSER, -- : OUT std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0);
m_axi_arvalid => M_AXI_ARVALID, -- : OUT std_logic;
m_axi_arready => '0', -- : IN std_logic := '0';
m_axi_rid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rlast => '0', -- : IN std_logic := '0';
m_axi_ruser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rvalid => '0', -- : IN std_logic := '0';
m_axi_rready => M_AXI_RREADY, -- : OUT std_logic;
-- AXI Streaming Slave Signals (Write side)
s_axis_tvalid => '0', -- : IN std_logic := '0';
s_axis_tready => S_AXIS_TREADY, -- : OUT std_logic;
s_axis_tdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tstrb => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tkeep => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tlast => '0', -- : IN std_logic := '0';
s_axis_tid => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tdest => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tuser => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
-- AXI Streaming Master Signals (Read side)
m_axis_tvalid => M_AXIS_TVALID, -- : OUT std_logic;
m_axis_tready => '0', -- : IN std_logic := '0';
m_axis_tdata => M_AXIS_TDATA, -- : OUT std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0);
m_axis_tstrb => M_AXIS_TSTRB, -- : OUT std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0);
m_axis_tkeep => M_AXIS_TKEEP, -- : OUT std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0);
m_axis_tlast => M_AXIS_TLAST, -- : OUT std_logic;
m_axis_tid => M_AXIS_TID, -- : OUT std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0);
m_axis_tdest => M_AXIS_TDEST, -- : OUT std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0);
m_axis_tuser => M_AXIS_TUSER, -- : OUT std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0);
-- AXI Full/Lite Write Address Channel Signals
axi_aw_injectsbiterr => '0', -- : IN std_logic := '0';
axi_aw_injectdbiterr => '0', -- : IN std_logic := '0';
axi_aw_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0');
axi_aw_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0');
axi_aw_data_count => AXI_AW_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0);
axi_aw_wr_data_count => AXI_AW_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0);
axi_aw_rd_data_count => AXI_AW_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0);
axi_aw_sbiterr => AXI_AW_SBITERR, -- : OUT std_logic;
axi_aw_dbiterr => AXI_AW_DBITERR, -- : OUT std_logic;
axi_aw_overflow => AXI_AW_OVERFLOW, -- : OUT std_logic;
axi_aw_underflow => AXI_AW_UNDERFLOW, -- : OUT std_logic;
axi_aw_prog_full => AXI_AW_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_aw_prog_empty => AXI_AW_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Write Data Channel Signals
axi_w_injectsbiterr => '0', -- : IN std_logic := '0';
axi_w_injectdbiterr => '0', -- : IN std_logic := '0';
axi_w_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_w_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_w_data_count => AXI_W_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0);
axi_w_wr_data_count => AXI_W_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0);
axi_w_rd_data_count => AXI_W_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0);
axi_w_sbiterr => AXI_W_SBITERR, -- : OUT std_logic;
axi_w_dbiterr => AXI_W_DBITERR, -- : OUT std_logic;
axi_w_overflow => AXI_W_OVERFLOW, -- : OUT std_logic;
axi_w_underflow => AXI_W_UNDERFLOW, -- : OUT std_logic;
axi_w_prog_full => AXI_W_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_w_prog_empty => AXI_W_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Write Response Channel Signals
axi_b_injectsbiterr => '0', -- : IN std_logic := '0';
axi_b_injectdbiterr => '0', -- : IN std_logic := '0';
axi_b_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0');
axi_b_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0');
axi_b_data_count => AXI_B_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0);
axi_b_wr_data_count => AXI_B_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0);
axi_b_rd_data_count => AXI_B_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0);
axi_b_sbiterr => AXI_B_SBITERR, -- : OUT std_logic;
axi_b_dbiterr => AXI_B_DBITERR, -- : OUT std_logic;
axi_b_overflow => AXI_B_OVERFLOW, -- : OUT std_logic;
axi_b_underflow => AXI_B_UNDERFLOW, -- : OUT std_logic;
axi_b_prog_full => AXI_B_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_b_prog_empty => AXI_B_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Read Address Channel Signals
axi_ar_injectsbiterr => '0', -- : IN std_logic := '0';
axi_ar_injectdbiterr => '0', -- : IN std_logic := '0';
axi_ar_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0');
axi_ar_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0');
axi_ar_data_count => AXI_AR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0);
axi_ar_wr_data_count => AXI_AR_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0);
axi_ar_rd_data_count => AXI_AR_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0);
axi_ar_sbiterr => AXI_AR_SBITERR, -- : OUT std_logic;
axi_ar_dbiterr => AXI_AR_DBITERR, -- : OUT std_logic;
axi_ar_overflow => AXI_AR_OVERFLOW, -- : OUT std_logic;
axi_ar_underflow => AXI_AR_UNDERFLOW, -- : OUT std_logic;
axi_ar_prog_full => AXI_AR_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_ar_prog_empty => AXI_AR_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Read Data Channel Signals
axi_r_injectsbiterr => '0', -- : IN std_logic := '0';
axi_r_injectdbiterr => '0', -- : IN std_logic := '0';
axi_r_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_r_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_r_data_count => AXI_R_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0);
axi_r_wr_data_count => AXI_R_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0);
axi_r_rd_data_count => AXI_R_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0);
axi_r_sbiterr => AXI_R_SBITERR, -- : OUT std_logic;
axi_r_dbiterr => AXI_R_DBITERR, -- : OUT std_logic;
axi_r_overflow => AXI_R_OVERFLOW, -- : OUT std_logic;
axi_r_underflow => AXI_R_UNDERFLOW, -- : OUT std_logic;
axi_r_prog_full => AXI_R_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_r_prog_empty => AXI_R_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Streaming FIFO Related Signals
axis_injectsbiterr => '0', -- : IN std_logic := '0';
axis_injectdbiterr => '0', -- : IN std_logic := '0';
axis_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0');
axis_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0');
axis_data_count => AXIS_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0);
axis_wr_data_count => AXIS_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0);
axis_rd_data_count => AXIS_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0);
axis_sbiterr => AXIS_SBITERR, -- : OUT std_logic;
axis_dbiterr => AXIS_DBITERR, -- : OUT std_logic;
axis_overflow => AXIS_OVERFLOW, -- : OUT std_logic;
axis_underflow => AXIS_UNDERFLOW, -- : OUT std_logic
axis_prog_full => AXIS_PROG_FULL, -- : OUT STD_LOGIC := '0';
axis_prog_empty => AXIS_PROG_EMPTY -- : OUT STD_LOGIC := '1';
);
END ARCHITECTURE xilinx;
|
-------------------------------------------------------------------------------
-- axi_vdma_sfifo.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2013 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_vdma_sfifo.vhd
-- Version: initial
-- Description:
-- This file contains the logic to generate a CoreGen call to create a
-- synchronous FIFO as part of the synthesis process of XST. This eliminates
-- the need for multiple fixed netlists for various sizes and widths of FIFOs.
--
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library fifo_generator_v13_1_1;
use fifo_generator_v13_1_1.all;
library lib_pkg_v1_0_2;
use lib_pkg_v1_0_2.lib_pkg.all;
--use proc_common_v4_0_2.coregen_comp_defs.all;
--use proc_common_v4_0_2.family_support.all;
-- synopsys translate_off
--library XilinxCoreLib;
--use XilinxCoreLib.all;
-- synopsys translate_on
library axi_vdma_v6_2_8;
use axi_vdma_v6_2_8.axi_vdma_pkg.all;
-------------------------------------------------------------------------------
ENTITY axi_vdma_sfifo IS
GENERIC (
-------------------------------------------------------------------------
-- Generic Declarations
-------------------------------------------------------------------------
C_FAMILY : STRING := "virtex7"; --
C_FULL_FLAGS_RST_VAL : INTEGER := 1; -- 0,1 ; Default 1
UW_DATA_WIDTH : INTEGER := 16; -- 1 - 1024; Default 16
UW_FIFO_DEPTH : INTEGER := 1024 -- 16 - 256K; Default 1K
);
PORT (
-- Common signal
rst : in std_logic := '0';
sleep : in std_logic := '0';
wr_rst_busy : out std_logic := '0';
rd_rst_busy : out std_logic := '0';
-- Write Domain signals
clk : in std_logic := '0';
din : in std_logic_vector(UW_DATA_WIDTH-1 downto 0) := (others => '0');
wr_en : in std_logic := '0';
full : out std_logic := '0';
data_count : out std_logic_vector(clog2(uw_fifo_depth)-1 downto 0) := (others => '0');
-- Read Domain signals
rd_en : in std_logic := '0';
dout : out std_logic_vector(UW_DATA_WIDTH-1 downto 0) := (others => '0');
empty : out std_logic := '1'
);
END ENTITY axi_vdma_sfifo;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
ARCHITECTURE xilinx OF axi_vdma_sfifo IS
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of xilinx : architecture is "yes";
--CONSTANT GND : std_logic := '0';
CONSTANT VCC : std_logic := '1';
CONSTANT clog2_uw_fifo_depth : integer := clog2(uw_fifo_depth);
CONSTANT clog2_uw_fifo_depth_plus_1 : integer := clog2(uw_fifo_depth) + 1;
--Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE"
signal ZERO_pntr : std_logic_vector(clog2_uw_fifo_depth-1 downto 0) := (others => '0');
signal GND : std_logic := '0';
signal ALMOST_FULL : std_logic;
signal WR_ACK : std_logic;
signal OVERFLOW : std_logic;
signal ALMOST_EMPTY : std_logic;
signal VALID : std_logic;
signal UNDERFLOW : std_logic;
signal PROG_FULL : std_logic;
signal PROG_EMPTY : std_logic;
signal SBITERR : std_logic;
signal DBITERR : std_logic;
signal S_AXI_AWREADY : std_logic;
signal S_AXI_WREADY : std_logic;
signal S_AXI_BID : std_logic_vector(3 DOWNTO 0);
signal S_AXI_BRESP : std_logic_vector(2-1 DOWNTO 0);
signal S_AXI_BUSER : std_logic_vector(0 downto 0);
signal S_AXI_BVALID : std_logic;
-- AXI Full/Lite Master Write Channel (Read side)
signal M_AXI_AWID : std_logic_vector(3 DOWNTO 0);
signal M_AXI_AWADDR : std_logic_vector(31 DOWNTO 0);
signal M_AXI_AWLEN : std_logic_vector(8-1 DOWNTO 0);
signal M_AXI_AWSIZE : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_AWBURST : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_AWLOCK : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_AWCACHE : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_AWPROT : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_AWQOS : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_AWREGION : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_AWUSER : std_logic_vector(0 downto 0);
signal M_AXI_AWVALID : std_logic;
signal M_AXI_WID : std_logic_vector(3 DOWNTO 0);
signal M_AXI_WDATA : std_logic_vector(63 DOWNTO 0);
signal M_AXI_WSTRB : std_logic_vector(7 DOWNTO 0);
signal M_AXI_WLAST : std_logic;
signal M_AXI_WUSER : std_logic_vector(0 downto 0);
signal M_AXI_WVALID : std_logic;
signal M_AXI_BREADY : std_logic;
-- AXI Full/Lite Slave Read Channel (Write side)
signal S_AXI_ARREADY : std_logic;
signal S_AXI_RID : std_logic_vector(3 DOWNTO 0);
signal S_AXI_RDATA : std_logic_vector(63 DOWNTO 0);
signal S_AXI_RRESP : std_logic_vector(2-1 DOWNTO 0);
signal S_AXI_RLAST : std_logic;
signal S_AXI_RUSER : std_logic_vector(0 downto 0);
signal S_AXI_RVALID : std_logic;
-- AXI Full/Lite Master Read Channel (Read side)
signal M_AXI_ARID : std_logic_vector(3 DOWNTO 0);
signal M_AXI_ARADDR : std_logic_vector(31 DOWNTO 0);
signal M_AXI_ARLEN : std_logic_vector(8-1 DOWNTO 0);
signal M_AXI_ARSIZE : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_ARBURST : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_ARLOCK : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_ARCACHE : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_ARPROT : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_ARQOS : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_ARREGION : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_ARUSER : std_logic_vector(0 downto 0);
signal M_AXI_ARVALID : std_logic;
signal M_AXI_RREADY : std_logic;
-- AXI Streaming Slave Signals (Write side)
signal S_AXIS_TREADY : std_logic;
-- AXI Streaming Master Signals (Read side)
signal M_AXIS_TVALID : std_logic;
signal M_AXIS_TDATA : std_logic_vector(63 DOWNTO 0);
signal M_AXIS_TSTRB : std_logic_vector(3 DOWNTO 0);
signal M_AXIS_TKEEP : std_logic_vector(3 DOWNTO 0);
signal M_AXIS_TLAST : std_logic;
signal M_AXIS_TID : std_logic_vector(7 DOWNTO 0);
signal M_AXIS_TDEST : std_logic_vector(3 DOWNTO 0);
signal M_AXIS_TUSER : std_logic_vector(3 DOWNTO 0);
-- AXI Full/Lite Write Address Channel Signals
signal AXI_AW_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AW_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AW_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AW_SBITERR : std_logic;
signal AXI_AW_DBITERR : std_logic;
signal AXI_AW_OVERFLOW : std_logic;
signal AXI_AW_UNDERFLOW : std_logic;
signal AXI_AW_PROG_FULL : STD_LOGIC;
signal AXI_AW_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Write Data Channel Signals
signal AXI_W_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_W_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_W_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_W_SBITERR : std_logic;
signal AXI_W_DBITERR : std_logic;
signal AXI_W_OVERFLOW : std_logic;
signal AXI_W_UNDERFLOW : std_logic;
signal AXI_W_PROG_FULL : STD_LOGIC;
signal AXI_W_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Write Response Channel Signals
signal AXI_B_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_B_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_B_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_B_SBITERR : std_logic;
signal AXI_B_DBITERR : std_logic;
signal AXI_B_OVERFLOW : std_logic;
signal AXI_B_UNDERFLOW : std_logic;
signal AXI_B_PROG_FULL : STD_LOGIC;
signal AXI_B_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Read Address Channel Signals
signal AXI_AR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AR_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AR_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AR_SBITERR : std_logic;
signal AXI_AR_DBITERR : std_logic;
signal AXI_AR_OVERFLOW : std_logic;
signal AXI_AR_UNDERFLOW : std_logic;
signal AXI_AR_PROG_FULL : STD_LOGIC;
signal AXI_AR_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Read Data Channel Signals
signal AXI_R_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_R_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_R_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_R_SBITERR : std_logic;
signal AXI_R_DBITERR : std_logic;
signal AXI_R_OVERFLOW : std_logic;
signal AXI_R_UNDERFLOW : std_logic;
signal AXI_R_PROG_FULL : STD_LOGIC;
signal AXI_R_PROG_EMPTY : STD_LOGIC;
-- AXI Streaming FIFO Related Signals
signal AXIS_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXIS_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXIS_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXIS_SBITERR : std_logic;
signal AXIS_DBITERR : std_logic;
signal AXIS_OVERFLOW : std_logic;
signal AXIS_UNDERFLOW : std_logic;
signal AXIS_PROG_FULL : STD_LOGIC;
signal AXIS_PROG_EMPTY : STD_LOGIC;
--Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE"
signal RD_DATA_COUNT : std_logic_vector(clog2_uw_fifo_depth-1 DOWNTO 0);
signal WR_DATA_COUNT : std_logic_vector(clog2_uw_fifo_depth-1 DOWNTO 0);
signal wr_rst_busy_sig : std_logic := '0';
signal rd_rst_busy_sig : std_logic := '0';
signal sig_data_count : std_logic_vector(clog2(uw_fifo_depth) downto 0) := (others => '0');
begin
--FAMILY_8 : if ((C_FAMILY = "kintexu") or (C_FAMILY = "virtexu") or (C_FAMILY = "artixu")) generate
--begin
--
--wr_rst_busy <= wr_rst_busy_sig;
--rd_rst_busy <= rd_rst_busy_sig;
--
--
--end generate FAMILY_8;
--
--FAMILY_NOT_8 : if ((C_FAMILY /= "kintexu") and (C_FAMILY /= "virtexu") and (C_FAMILY /= "artixu")) generate
--begin
--
--wr_rst_busy <= '0';
--rd_rst_busy <= '0';
--
--
--end generate FAMILY_NOT_8;
FAMILY_NOT_7 : if ((C_FAMILY /= "kintex7") and (C_FAMILY /= "virtex7") and (C_FAMILY /= "artix7") and (C_FAMILY /= "zynq")) generate
begin
wr_rst_busy <= wr_rst_busy_sig;
rd_rst_busy <= rd_rst_busy_sig;
end generate FAMILY_NOT_7;
FAMILY_7 : if ((C_FAMILY = "kintex7") or (C_FAMILY = "virtex7") or (C_FAMILY = "artix7") or (C_FAMILY = "zynq")) generate
begin
wr_rst_busy <= '0';
rd_rst_busy <= '0';
end generate FAMILY_7;
data_count <= sig_data_count(clog2(uw_fifo_depth)-1 downto 0);
ZERO_pntr <= (others => '0');
GND <= '0';
fg_inst : entity fifo_generator_v13_1_1.fifo_generator_v13_1_1
GENERIC MAP (
C_COMMON_CLOCK => 1,
-- C_COUNT_TYPE => C_COUNT_TYPE,
C_COUNT_TYPE => 0, --my
-- C_DATA_COUNT_WIDTH => C_DATA_COUNT_WIDTH,
C_DATA_COUNT_WIDTH => clog2_uw_fifo_depth_plus_1, --my
-- C_DEFAULT_VALUE => C_DEFAULT_VALUE,
C_DIN_WIDTH => uw_data_width,
-- C_DOUT_RST_VAL => C_DOUT_RST_VAL,
C_DOUT_WIDTH => uw_data_width,
-- C_ENABLE_RLOCS => C_ENABLE_RLOCS,
--C_FAMILY => "virtex7",
C_FAMILY => C_FAMILY, --my
--C_FULL_FLAGS_RST_VAL => uw_full_flags_rst_val,
C_FULL_FLAGS_RST_VAL => C_FULL_FLAGS_RST_VAL, --my
-- C_HAS_ALMOST_EMPTY => C_HAS_ALMOST_EMPTY,
-- C_HAS_ALMOST_FULL => C_HAS_ALMOST_FULL,
-- C_HAS_BACKUP => C_HAS_BACKUP,
C_HAS_DATA_COUNT => 1, --my
-- C_HAS_DATA_COUNT => C_HAS_DATA_COUNT,
-- C_HAS_INT_CLK => C_HAS_INT_CLK,
-- C_HAS_MEMINIT_FILE => C_HAS_MEMINIT_FILE,
-- C_HAS_OVERFLOW => C_HAS_OVERFLOW,
C_HAS_RD_DATA_COUNT => 0, --my
-- C_HAS_RD_DATA_COUNT => C_HAS_RD_DATA_COUNT,
-- C_HAS_RD_RST => C_HAS_RD_RST,
C_EN_SAFETY_CKT => 0,
C_HAS_RST => 0,
C_HAS_SRST => 1,
-- C_HAS_UNDERFLOW => C_HAS_UNDERFLOW,
-- C_HAS_VALID => C_HAS_VALID,
-- C_HAS_WR_ACK => C_HAS_WR_ACK,
C_HAS_WR_DATA_COUNT => 0, --my
-- C_HAS_WR_DATA_COUNT => C_HAS_WR_DATA_COUNT,
-- C_HAS_WR_RST => C_HAS_WR_RST,
--C_IMPLEMENTATION_TYPE => C_IMPLEMENTATION_TYPE,
C_IMPLEMENTATION_TYPE => 0, --my --Block RAM
-- C_INIT_WR_PNTR_VAL => C_INIT_WR_PNTR_VAL,
--C_MEMORY_TYPE => C_MEMORY_TYPE,
C_MEMORY_TYPE => 1, --my --Block RAM
-- C_MIF_FILE_NAME => C_MIF_FILE_NAME,
-- C_OPTIMIZATION_MODE => C_OPTIMIZATION_MODE,
-- C_OVERFLOW_LOW => C_OVERFLOW_LOW,
--C_PRELOAD_LATENCY => C_PRELOAD_LATENCY,
--C_PRELOAD_REGS => C_PRELOAD_REGS,
C_PRELOAD_LATENCY => 0, --my
C_PRELOAD_REGS => 1, --my
--C_PRIM_FIFO_TYPE => C_PRIM_FIFO_TYPE,
C_PRIM_FIFO_TYPE => "512x36", -- only used for V5 Hard FIFO
C_PROG_EMPTY_THRESH_ASSERT_VAL => 10,
C_PROG_EMPTY_THRESH_NEGATE_VAL => 9,
C_PROG_EMPTY_TYPE => 0,
--C_PROG_FULL_THRESH_ASSERT_VAL => if_then_else((UW_FIFO_TYPE = "BUILT_IN"), UW_FIFO_DEPTH-150, 14), --my
--C_PROG_FULL_THRESH_NEGATE_VAL => if_then_else((UW_FIFO_TYPE = "BUILT_IN"), UW_FIFO_DEPTH-160, 12), --my
C_PROG_FULL_TYPE => 0,
C_RD_DATA_COUNT_WIDTH => clog2_uw_fifo_depth, --my
-- C_RD_DATA_COUNT_WIDTH => C_RD_DATA_COUNT_WIDTH,
C_RD_DEPTH => uw_fifo_depth,
--C_RD_FREQ => C_RD_FREQ,
C_RD_FREQ => 1, --my
C_RD_PNTR_WIDTH => clog2_uw_fifo_depth,
-- C_UNDERFLOW_LOW => C_UNDERFLOW_LOW,
-- C_USE_DOUT_RST => C_USE_DOUT_RST,
-- C_USE_ECC => C_USE_ECC,
C_USE_EMBEDDED_REG => 1, --my
-- C_USE_EMBEDDED_REG => C_USE_EMBEDDED_REG,
-- C_USE_FIFO16_FLAGS => C_USE_FIFO16_FLAGS,
C_USE_FWFT_DATA_COUNT => 1, --my
-- C_USE_FWFT_DATA_COUNT => C_USE_FWFT_DATA_COUNT,
-- C_VALID_LOW => C_VALID_LOW,
-- C_WR_ACK_LOW => C_WR_ACK_LOW,
C_WR_DATA_COUNT_WIDTH => clog2_uw_fifo_depth, --my
-- C_WR_DATA_COUNT_WIDTH => C_WR_DATA_COUNT_WIDTH,
C_WR_DEPTH => uw_fifo_depth,
--C_WR_FREQ => C_WR_FREQ,
C_WR_FREQ => 1, --my
C_WR_PNTR_WIDTH => clog2_uw_fifo_depth,
-- C_WR_RESPONSE_LATENCY => C_WR_RESPONSE_LATENCY,
-- C_MSGON_VAL => C_MSGON_VAL,
-- C_ENABLE_RST_SYNC => C_ENABLE_RST_SYNC,
-- C_ERROR_INJECTION_TYPE => C_ERROR_INJECTION_TYPE,
C_SYNCHRONIZER_STAGE => MTBF_STAGES,
-- AXI Interface related parameters start here
C_INTERFACE_TYPE => 0, -- : integer := 0; -- 0: Native Interface; 1: AXI Interface
C_AXI_TYPE => 0, -- : integer := 0; -- 0: AXI Stream; 1: AXI Full; 2: AXI Lite
C_HAS_AXI_WR_CHANNEL => 0, -- : integer := 0;
C_HAS_AXI_RD_CHANNEL => 0, -- : integer := 0;
C_HAS_SLAVE_CE => 0, -- : integer := 0;
C_HAS_MASTER_CE => 0, -- : integer := 0;
C_ADD_NGC_CONSTRAINT => 0, -- : integer := 0;
C_USE_COMMON_OVERFLOW => 0, -- : integer := 0;
C_USE_COMMON_UNDERFLOW => 0, -- : integer := 0;
C_USE_DEFAULT_SETTINGS => 0, -- : integer := 0;
-- AXI Full/Lite
C_AXI_ID_WIDTH => 4 , -- : integer := 0;
C_AXI_ADDR_WIDTH => 32, -- : integer := 0;
C_AXI_DATA_WIDTH => 64, -- : integer := 0;
C_AXI_LEN_WIDTH => 8, -- : integer := 8;
C_AXI_LOCK_WIDTH => 2, -- : integer := 2;
C_HAS_AXI_ID => 0, -- : integer := 0;
C_HAS_AXI_AWUSER => 0 , -- : integer := 0;
C_HAS_AXI_WUSER => 0 , -- : integer := 0;
C_HAS_AXI_BUSER => 0 , -- : integer := 0;
C_HAS_AXI_ARUSER => 0 , -- : integer := 0;
C_HAS_AXI_RUSER => 0 , -- : integer := 0;
C_AXI_ARUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_AWUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_WUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_BUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_RUSER_WIDTH => 1 , -- : integer := 0;
-- AXI Streaming
C_HAS_AXIS_TDATA => 0 , -- : integer := 0;
C_HAS_AXIS_TID => 0 , -- : integer := 0;
C_HAS_AXIS_TDEST => 0 , -- : integer := 0;
C_HAS_AXIS_TUSER => 0 , -- : integer := 0;
C_HAS_AXIS_TREADY => 1 , -- : integer := 0;
C_HAS_AXIS_TLAST => 0 , -- : integer := 0;
C_HAS_AXIS_TSTRB => 0 , -- : integer := 0;
C_HAS_AXIS_TKEEP => 0 , -- : integer := 0;
C_AXIS_TDATA_WIDTH => 64, -- : integer := 1;
C_AXIS_TID_WIDTH => 8 , -- : integer := 1;
C_AXIS_TDEST_WIDTH => 4 , -- : integer := 1;
C_AXIS_TUSER_WIDTH => 4 , -- : integer := 1;
C_AXIS_TSTRB_WIDTH => 4 , -- : integer := 1;
C_AXIS_TKEEP_WIDTH => 4 , -- : integer := 1;
-- AXI Channel Type
-- WACH --> Write Address Channel
-- WDCH --> Write Data Channel
-- WRCH --> Write Response Channel
-- RACH --> Read Address Channel
-- RDCH --> Read Data Channel
-- AXIS --> AXI Streaming
C_WACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic
C_WDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_WRCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_RACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_RDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_AXIS_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
-- AXI Implementation Type
-- 1 = Common Clock Block RAM FIFO
-- 2 = Common Clock Distributed RAM FIFO
-- 11 = Independent Clock Block RAM FIFO
-- 12 = Independent Clock Distributed RAM FIFO
C_IMPLEMENTATION_TYPE_WACH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_WDCH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_WRCH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_RACH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_RDCH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_AXIS => 1, -- : integer := 0;
-- AXI FIFO Type
-- 0 = Data FIFO
-- 1 = Packet FIFO
-- 2 = Low Latency Data FIFO
C_APPLICATION_TYPE_WACH => 0, -- : integer := 0;
C_APPLICATION_TYPE_WDCH => 0, -- : integer := 0;
C_APPLICATION_TYPE_WRCH => 0, -- : integer := 0;
C_APPLICATION_TYPE_RACH => 0, -- : integer := 0;
C_APPLICATION_TYPE_RDCH => 0, -- : integer := 0;
C_APPLICATION_TYPE_AXIS => 0, -- : integer := 0;
-- Enable ECC
-- 0 = ECC disabled
-- 1 = ECC enabled
C_USE_ECC_WACH => 0, -- : integer := 0;
C_USE_ECC_WDCH => 0, -- : integer := 0;
C_USE_ECC_WRCH => 0, -- : integer := 0;
C_USE_ECC_RACH => 0, -- : integer := 0;
C_USE_ECC_RDCH => 0, -- : integer := 0;
C_USE_ECC_AXIS => 0, -- : integer := 0;
-- ECC Error Injection Type
-- 0 = No Error Injection
-- 1 = Single Bit Error Injection
-- 2 = Double Bit Error Injection
-- 3 = Single Bit and Double Bit Error Injection
C_ERROR_INJECTION_TYPE_WACH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_WDCH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_WRCH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_RACH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_RDCH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_AXIS => 0, -- : integer := 0;
-- Input Data Width
-- Accumulation of all AXI input signal's width
C_DIN_WIDTH_WACH => 32, -- : integer := 1;
C_DIN_WIDTH_WDCH => 64, -- : integer := 1;
C_DIN_WIDTH_WRCH => 2 , -- : integer := 1;
C_DIN_WIDTH_RACH => 32, -- : integer := 1;
C_DIN_WIDTH_RDCH => 64, -- : integer := 1;
C_DIN_WIDTH_AXIS => 1 , -- : integer := 1;
C_WR_DEPTH_WACH => 16 , -- : integer := 16;
C_WR_DEPTH_WDCH => 1024, -- : integer := 16;
C_WR_DEPTH_WRCH => 16 , -- : integer := 16;
C_WR_DEPTH_RACH => 16 , -- : integer := 16;
C_WR_DEPTH_RDCH => 1024, -- : integer := 16;
C_WR_DEPTH_AXIS => 1024, -- : integer := 16;
C_WR_PNTR_WIDTH_WACH => 4 , -- : integer := 4;
C_WR_PNTR_WIDTH_WDCH => 10, -- : integer := 4;
C_WR_PNTR_WIDTH_WRCH => 4 , -- : integer := 4;
C_WR_PNTR_WIDTH_RACH => 4 , -- : integer := 4;
C_WR_PNTR_WIDTH_RDCH => 10, -- : integer := 4;
C_WR_PNTR_WIDTH_AXIS => 10, -- : integer := 4;
C_HAS_DATA_COUNTS_WACH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_WDCH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_WRCH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_RACH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_RDCH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_AXIS => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_WACH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_WDCH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_WRCH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_RACH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_RDCH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_AXIS => 0, -- : integer := 0;
C_PROG_FULL_TYPE_WACH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_WDCH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_WRCH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_RACH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_RDCH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_AXIS => 5 , -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, -- : integer := 0;
C_PROG_EMPTY_TYPE_WACH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_WDCH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_WRCH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_RACH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_RDCH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_AXIS => 5 , -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, -- : integer := 0;
C_REG_SLICE_MODE_WACH => 0, -- : integer := 0;
C_REG_SLICE_MODE_WDCH => 0, -- : integer := 0;
C_REG_SLICE_MODE_WRCH => 0, -- : integer := 0;
C_REG_SLICE_MODE_RACH => 0, -- : integer := 0;
C_REG_SLICE_MODE_RDCH => 0, -- : integer := 0;
C_REG_SLICE_MODE_AXIS => 0 -- : integer := 0
)
PORT MAP (
backup => GND,
backup_marker => GND,
clk => clk,
rst => GND,
srst => rst,
wr_clk => GND,
wr_rst => GND,
rd_clk => GND,
rd_rst => GND,
din => din,
wr_en => wr_en,
rd_en => rd_en,
sleep => sleep,
wr_rst_busy => wr_rst_busy_sig,
rd_rst_busy => rd_rst_busy_sig,
prog_empty_thresh => ZERO_pntr,
prog_empty_thresh_assert => ZERO_pntr,
prog_empty_thresh_negate => ZERO_pntr,
prog_full_thresh => ZERO_pntr,
prog_full_thresh_assert => ZERO_pntr,
prog_full_thresh_negate => ZERO_pntr,
int_clk => GND,
injectdbiterr => GND,
injectsbiterr => GND,
dout => dout,
full => full,
empty => empty,
almost_full => ALMOST_FULL,
wr_ack => WR_ACK,
overflow => OVERFLOW,
almost_empty => ALMOST_EMPTY,
valid => VALID,
underflow => UNDERFLOW,
data_count => sig_data_count,
rd_data_count => RD_DATA_COUNT,
wr_data_count => WR_DATA_COUNT,
prog_full => PROG_FULL,
prog_empty => PROG_EMPTY,
sbiterr => SBITERR,
dbiterr => DBITERR,
m_aclk => '0', -- : IN std_logic := '0';
s_aclk => '0', -- : IN std_logic := '0';
s_aresetn => '0', -- : IN std_logic := '0';
m_aclk_en => '0', -- : IN std_logic := '0';
s_aclk_en => '0', -- : IN std_logic := '0';
-- AXI Full/Lite Slave Write Channel (write side)
s_axi_awid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awaddr => "00000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awlen => "00000000", --(others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awsize => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awburst => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awlock => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awcache => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awprot => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awqos => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awregion => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awvalid => '0', -- : IN std_logic := '0';
s_axi_awready => S_AXI_AWREADY, -- : OUT std_logic;
s_axi_wid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wstrb => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wlast => '0', -- : IN std_logic := '0';
s_axi_wuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wvalid => '0', -- : IN std_logic := '0';
s_axi_wready => S_AXI_WREADY, -- : OUT std_logic;
s_axi_bid => S_AXI_BID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_bresp => S_AXI_BRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0);
s_axi_buser => S_AXI_BUSER, -- : OUT std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0);
s_axi_bvalid => S_AXI_BVALID, -- : OUT std_logic;
s_axi_bready => '0', -- : IN std_logic := '0';
-- AXI Full/Lite Master Write Channel (Read side)
m_axi_awid => M_AXI_AWID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
m_axi_awaddr => M_AXI_AWADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0);
m_axi_awlen => M_AXI_AWLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0);
m_axi_awsize => M_AXI_AWSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_awburst => M_AXI_AWBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_awlock => M_AXI_AWLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_awcache => M_AXI_AWCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_awprot => M_AXI_AWPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_awqos => M_AXI_AWQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_awregion => M_AXI_AWREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_awuser => M_AXI_AWUSER, -- : OUT std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0);
m_axi_awvalid => M_AXI_AWVALID, -- : OUT std_logic;
m_axi_awready => '0', -- : IN std_logic := '0';
m_axi_wid => M_AXI_WID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
m_axi_wdata => M_AXI_WDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0);
m_axi_wstrb => M_AXI_WSTRB, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0);
m_axi_wlast => M_AXI_WLAST, -- : OUT std_logic;
m_axi_wuser => M_AXI_WUSER, -- : OUT std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0);
m_axi_wvalid => M_AXI_WVALID, -- : OUT std_logic;
m_axi_wready => '0', -- : IN std_logic := '0';
m_axi_bid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_bresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
m_axi_buser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_bvalid => '0', -- : IN std_logic := '0';
m_axi_bready => M_AXI_BREADY, -- : OUT std_logic;
-- AXI Full/Lite Slave Read Channel (Write side)
s_axi_arid => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_araddr => "00000000000000000000000000000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arlen => "00000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arsize => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arburst => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arlock => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arcache => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arprot => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arqos => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arregion => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_aruser => "0", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arvalid => '0', -- : IN std_logic := '0';
s_axi_arready => S_AXI_ARREADY, -- : OUT std_logic;
s_axi_rid => S_AXI_RID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
s_axi_rdata => S_AXI_RDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0);
s_axi_rresp => S_AXI_RRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0);
s_axi_rlast => S_AXI_RLAST, -- : OUT std_logic;
s_axi_ruser => S_AXI_RUSER, -- : OUT std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0);
s_axi_rvalid => S_AXI_RVALID, -- : OUT std_logic;
s_axi_rready => '0', -- : IN std_logic := '0';
-- AXI Full/Lite Master Read Channel (Read side)
m_axi_arid => M_AXI_ARID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
m_axi_araddr => M_AXI_ARADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0);
m_axi_arlen => M_AXI_ARLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0);
m_axi_arsize => M_AXI_ARSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_arburst => M_AXI_ARBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_arlock => M_AXI_ARLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_arcache => M_AXI_ARCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_arprot => M_AXI_ARPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_arqos => M_AXI_ARQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_arregion => M_AXI_ARREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_aruser => M_AXI_ARUSER, -- : OUT std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0);
m_axi_arvalid => M_AXI_ARVALID, -- : OUT std_logic;
m_axi_arready => '0', -- : IN std_logic := '0';
m_axi_rid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rlast => '0', -- : IN std_logic := '0';
m_axi_ruser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rvalid => '0', -- : IN std_logic := '0';
m_axi_rready => M_AXI_RREADY, -- : OUT std_logic;
-- AXI Streaming Slave Signals (Write side)
s_axis_tvalid => '0', -- : IN std_logic := '0';
s_axis_tready => S_AXIS_TREADY, -- : OUT std_logic;
s_axis_tdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tstrb => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tkeep => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tlast => '0', -- : IN std_logic := '0';
s_axis_tid => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tdest => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tuser => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
-- AXI Streaming Master Signals (Read side)
m_axis_tvalid => M_AXIS_TVALID, -- : OUT std_logic;
m_axis_tready => '0', -- : IN std_logic := '0';
m_axis_tdata => M_AXIS_TDATA, -- : OUT std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0);
m_axis_tstrb => M_AXIS_TSTRB, -- : OUT std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0);
m_axis_tkeep => M_AXIS_TKEEP, -- : OUT std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0);
m_axis_tlast => M_AXIS_TLAST, -- : OUT std_logic;
m_axis_tid => M_AXIS_TID, -- : OUT std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0);
m_axis_tdest => M_AXIS_TDEST, -- : OUT std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0);
m_axis_tuser => M_AXIS_TUSER, -- : OUT std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0);
-- AXI Full/Lite Write Address Channel Signals
axi_aw_injectsbiterr => '0', -- : IN std_logic := '0';
axi_aw_injectdbiterr => '0', -- : IN std_logic := '0';
axi_aw_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0');
axi_aw_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0');
axi_aw_data_count => AXI_AW_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0);
axi_aw_wr_data_count => AXI_AW_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0);
axi_aw_rd_data_count => AXI_AW_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0);
axi_aw_sbiterr => AXI_AW_SBITERR, -- : OUT std_logic;
axi_aw_dbiterr => AXI_AW_DBITERR, -- : OUT std_logic;
axi_aw_overflow => AXI_AW_OVERFLOW, -- : OUT std_logic;
axi_aw_underflow => AXI_AW_UNDERFLOW, -- : OUT std_logic;
axi_aw_prog_full => AXI_AW_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_aw_prog_empty => AXI_AW_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Write Data Channel Signals
axi_w_injectsbiterr => '0', -- : IN std_logic := '0';
axi_w_injectdbiterr => '0', -- : IN std_logic := '0';
axi_w_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_w_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_w_data_count => AXI_W_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0);
axi_w_wr_data_count => AXI_W_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0);
axi_w_rd_data_count => AXI_W_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0);
axi_w_sbiterr => AXI_W_SBITERR, -- : OUT std_logic;
axi_w_dbiterr => AXI_W_DBITERR, -- : OUT std_logic;
axi_w_overflow => AXI_W_OVERFLOW, -- : OUT std_logic;
axi_w_underflow => AXI_W_UNDERFLOW, -- : OUT std_logic;
axi_w_prog_full => AXI_W_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_w_prog_empty => AXI_W_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Write Response Channel Signals
axi_b_injectsbiterr => '0', -- : IN std_logic := '0';
axi_b_injectdbiterr => '0', -- : IN std_logic := '0';
axi_b_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0');
axi_b_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0');
axi_b_data_count => AXI_B_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0);
axi_b_wr_data_count => AXI_B_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0);
axi_b_rd_data_count => AXI_B_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0);
axi_b_sbiterr => AXI_B_SBITERR, -- : OUT std_logic;
axi_b_dbiterr => AXI_B_DBITERR, -- : OUT std_logic;
axi_b_overflow => AXI_B_OVERFLOW, -- : OUT std_logic;
axi_b_underflow => AXI_B_UNDERFLOW, -- : OUT std_logic;
axi_b_prog_full => AXI_B_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_b_prog_empty => AXI_B_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Read Address Channel Signals
axi_ar_injectsbiterr => '0', -- : IN std_logic := '0';
axi_ar_injectdbiterr => '0', -- : IN std_logic := '0';
axi_ar_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0');
axi_ar_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0');
axi_ar_data_count => AXI_AR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0);
axi_ar_wr_data_count => AXI_AR_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0);
axi_ar_rd_data_count => AXI_AR_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0);
axi_ar_sbiterr => AXI_AR_SBITERR, -- : OUT std_logic;
axi_ar_dbiterr => AXI_AR_DBITERR, -- : OUT std_logic;
axi_ar_overflow => AXI_AR_OVERFLOW, -- : OUT std_logic;
axi_ar_underflow => AXI_AR_UNDERFLOW, -- : OUT std_logic;
axi_ar_prog_full => AXI_AR_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_ar_prog_empty => AXI_AR_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Read Data Channel Signals
axi_r_injectsbiterr => '0', -- : IN std_logic := '0';
axi_r_injectdbiterr => '0', -- : IN std_logic := '0';
axi_r_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_r_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_r_data_count => AXI_R_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0);
axi_r_wr_data_count => AXI_R_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0);
axi_r_rd_data_count => AXI_R_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0);
axi_r_sbiterr => AXI_R_SBITERR, -- : OUT std_logic;
axi_r_dbiterr => AXI_R_DBITERR, -- : OUT std_logic;
axi_r_overflow => AXI_R_OVERFLOW, -- : OUT std_logic;
axi_r_underflow => AXI_R_UNDERFLOW, -- : OUT std_logic;
axi_r_prog_full => AXI_R_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_r_prog_empty => AXI_R_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Streaming FIFO Related Signals
axis_injectsbiterr => '0', -- : IN std_logic := '0';
axis_injectdbiterr => '0', -- : IN std_logic := '0';
axis_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0');
axis_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0');
axis_data_count => AXIS_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0);
axis_wr_data_count => AXIS_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0);
axis_rd_data_count => AXIS_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0);
axis_sbiterr => AXIS_SBITERR, -- : OUT std_logic;
axis_dbiterr => AXIS_DBITERR, -- : OUT std_logic;
axis_overflow => AXIS_OVERFLOW, -- : OUT std_logic;
axis_underflow => AXIS_UNDERFLOW, -- : OUT std_logic
axis_prog_full => AXIS_PROG_FULL, -- : OUT STD_LOGIC := '0';
axis_prog_empty => AXIS_PROG_EMPTY -- : OUT STD_LOGIC := '1';
);
END ARCHITECTURE xilinx;
|
-------------------------------------------------------------------------------
-- axi_vdma_sfifo.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2013 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_vdma_sfifo.vhd
-- Version: initial
-- Description:
-- This file contains the logic to generate a CoreGen call to create a
-- synchronous FIFO as part of the synthesis process of XST. This eliminates
-- the need for multiple fixed netlists for various sizes and widths of FIFOs.
--
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library fifo_generator_v13_1_1;
use fifo_generator_v13_1_1.all;
library lib_pkg_v1_0_2;
use lib_pkg_v1_0_2.lib_pkg.all;
--use proc_common_v4_0_2.coregen_comp_defs.all;
--use proc_common_v4_0_2.family_support.all;
-- synopsys translate_off
--library XilinxCoreLib;
--use XilinxCoreLib.all;
-- synopsys translate_on
library axi_vdma_v6_2_8;
use axi_vdma_v6_2_8.axi_vdma_pkg.all;
-------------------------------------------------------------------------------
ENTITY axi_vdma_sfifo IS
GENERIC (
-------------------------------------------------------------------------
-- Generic Declarations
-------------------------------------------------------------------------
C_FAMILY : STRING := "virtex7"; --
C_FULL_FLAGS_RST_VAL : INTEGER := 1; -- 0,1 ; Default 1
UW_DATA_WIDTH : INTEGER := 16; -- 1 - 1024; Default 16
UW_FIFO_DEPTH : INTEGER := 1024 -- 16 - 256K; Default 1K
);
PORT (
-- Common signal
rst : in std_logic := '0';
sleep : in std_logic := '0';
wr_rst_busy : out std_logic := '0';
rd_rst_busy : out std_logic := '0';
-- Write Domain signals
clk : in std_logic := '0';
din : in std_logic_vector(UW_DATA_WIDTH-1 downto 0) := (others => '0');
wr_en : in std_logic := '0';
full : out std_logic := '0';
data_count : out std_logic_vector(clog2(uw_fifo_depth)-1 downto 0) := (others => '0');
-- Read Domain signals
rd_en : in std_logic := '0';
dout : out std_logic_vector(UW_DATA_WIDTH-1 downto 0) := (others => '0');
empty : out std_logic := '1'
);
END ENTITY axi_vdma_sfifo;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
ARCHITECTURE xilinx OF axi_vdma_sfifo IS
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of xilinx : architecture is "yes";
--CONSTANT GND : std_logic := '0';
CONSTANT VCC : std_logic := '1';
CONSTANT clog2_uw_fifo_depth : integer := clog2(uw_fifo_depth);
CONSTANT clog2_uw_fifo_depth_plus_1 : integer := clog2(uw_fifo_depth) + 1;
--Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE"
signal ZERO_pntr : std_logic_vector(clog2_uw_fifo_depth-1 downto 0) := (others => '0');
signal GND : std_logic := '0';
signal ALMOST_FULL : std_logic;
signal WR_ACK : std_logic;
signal OVERFLOW : std_logic;
signal ALMOST_EMPTY : std_logic;
signal VALID : std_logic;
signal UNDERFLOW : std_logic;
signal PROG_FULL : std_logic;
signal PROG_EMPTY : std_logic;
signal SBITERR : std_logic;
signal DBITERR : std_logic;
signal S_AXI_AWREADY : std_logic;
signal S_AXI_WREADY : std_logic;
signal S_AXI_BID : std_logic_vector(3 DOWNTO 0);
signal S_AXI_BRESP : std_logic_vector(2-1 DOWNTO 0);
signal S_AXI_BUSER : std_logic_vector(0 downto 0);
signal S_AXI_BVALID : std_logic;
-- AXI Full/Lite Master Write Channel (Read side)
signal M_AXI_AWID : std_logic_vector(3 DOWNTO 0);
signal M_AXI_AWADDR : std_logic_vector(31 DOWNTO 0);
signal M_AXI_AWLEN : std_logic_vector(8-1 DOWNTO 0);
signal M_AXI_AWSIZE : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_AWBURST : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_AWLOCK : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_AWCACHE : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_AWPROT : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_AWQOS : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_AWREGION : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_AWUSER : std_logic_vector(0 downto 0);
signal M_AXI_AWVALID : std_logic;
signal M_AXI_WID : std_logic_vector(3 DOWNTO 0);
signal M_AXI_WDATA : std_logic_vector(63 DOWNTO 0);
signal M_AXI_WSTRB : std_logic_vector(7 DOWNTO 0);
signal M_AXI_WLAST : std_logic;
signal M_AXI_WUSER : std_logic_vector(0 downto 0);
signal M_AXI_WVALID : std_logic;
signal M_AXI_BREADY : std_logic;
-- AXI Full/Lite Slave Read Channel (Write side)
signal S_AXI_ARREADY : std_logic;
signal S_AXI_RID : std_logic_vector(3 DOWNTO 0);
signal S_AXI_RDATA : std_logic_vector(63 DOWNTO 0);
signal S_AXI_RRESP : std_logic_vector(2-1 DOWNTO 0);
signal S_AXI_RLAST : std_logic;
signal S_AXI_RUSER : std_logic_vector(0 downto 0);
signal S_AXI_RVALID : std_logic;
-- AXI Full/Lite Master Read Channel (Read side)
signal M_AXI_ARID : std_logic_vector(3 DOWNTO 0);
signal M_AXI_ARADDR : std_logic_vector(31 DOWNTO 0);
signal M_AXI_ARLEN : std_logic_vector(8-1 DOWNTO 0);
signal M_AXI_ARSIZE : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_ARBURST : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_ARLOCK : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_ARCACHE : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_ARPROT : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_ARQOS : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_ARREGION : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_ARUSER : std_logic_vector(0 downto 0);
signal M_AXI_ARVALID : std_logic;
signal M_AXI_RREADY : std_logic;
-- AXI Streaming Slave Signals (Write side)
signal S_AXIS_TREADY : std_logic;
-- AXI Streaming Master Signals (Read side)
signal M_AXIS_TVALID : std_logic;
signal M_AXIS_TDATA : std_logic_vector(63 DOWNTO 0);
signal M_AXIS_TSTRB : std_logic_vector(3 DOWNTO 0);
signal M_AXIS_TKEEP : std_logic_vector(3 DOWNTO 0);
signal M_AXIS_TLAST : std_logic;
signal M_AXIS_TID : std_logic_vector(7 DOWNTO 0);
signal M_AXIS_TDEST : std_logic_vector(3 DOWNTO 0);
signal M_AXIS_TUSER : std_logic_vector(3 DOWNTO 0);
-- AXI Full/Lite Write Address Channel Signals
signal AXI_AW_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AW_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AW_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AW_SBITERR : std_logic;
signal AXI_AW_DBITERR : std_logic;
signal AXI_AW_OVERFLOW : std_logic;
signal AXI_AW_UNDERFLOW : std_logic;
signal AXI_AW_PROG_FULL : STD_LOGIC;
signal AXI_AW_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Write Data Channel Signals
signal AXI_W_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_W_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_W_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_W_SBITERR : std_logic;
signal AXI_W_DBITERR : std_logic;
signal AXI_W_OVERFLOW : std_logic;
signal AXI_W_UNDERFLOW : std_logic;
signal AXI_W_PROG_FULL : STD_LOGIC;
signal AXI_W_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Write Response Channel Signals
signal AXI_B_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_B_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_B_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_B_SBITERR : std_logic;
signal AXI_B_DBITERR : std_logic;
signal AXI_B_OVERFLOW : std_logic;
signal AXI_B_UNDERFLOW : std_logic;
signal AXI_B_PROG_FULL : STD_LOGIC;
signal AXI_B_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Read Address Channel Signals
signal AXI_AR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AR_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AR_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AR_SBITERR : std_logic;
signal AXI_AR_DBITERR : std_logic;
signal AXI_AR_OVERFLOW : std_logic;
signal AXI_AR_UNDERFLOW : std_logic;
signal AXI_AR_PROG_FULL : STD_LOGIC;
signal AXI_AR_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Read Data Channel Signals
signal AXI_R_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_R_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_R_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_R_SBITERR : std_logic;
signal AXI_R_DBITERR : std_logic;
signal AXI_R_OVERFLOW : std_logic;
signal AXI_R_UNDERFLOW : std_logic;
signal AXI_R_PROG_FULL : STD_LOGIC;
signal AXI_R_PROG_EMPTY : STD_LOGIC;
-- AXI Streaming FIFO Related Signals
signal AXIS_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXIS_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXIS_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXIS_SBITERR : std_logic;
signal AXIS_DBITERR : std_logic;
signal AXIS_OVERFLOW : std_logic;
signal AXIS_UNDERFLOW : std_logic;
signal AXIS_PROG_FULL : STD_LOGIC;
signal AXIS_PROG_EMPTY : STD_LOGIC;
--Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE"
signal RD_DATA_COUNT : std_logic_vector(clog2_uw_fifo_depth-1 DOWNTO 0);
signal WR_DATA_COUNT : std_logic_vector(clog2_uw_fifo_depth-1 DOWNTO 0);
signal wr_rst_busy_sig : std_logic := '0';
signal rd_rst_busy_sig : std_logic := '0';
signal sig_data_count : std_logic_vector(clog2(uw_fifo_depth) downto 0) := (others => '0');
begin
--FAMILY_8 : if ((C_FAMILY = "kintexu") or (C_FAMILY = "virtexu") or (C_FAMILY = "artixu")) generate
--begin
--
--wr_rst_busy <= wr_rst_busy_sig;
--rd_rst_busy <= rd_rst_busy_sig;
--
--
--end generate FAMILY_8;
--
--FAMILY_NOT_8 : if ((C_FAMILY /= "kintexu") and (C_FAMILY /= "virtexu") and (C_FAMILY /= "artixu")) generate
--begin
--
--wr_rst_busy <= '0';
--rd_rst_busy <= '0';
--
--
--end generate FAMILY_NOT_8;
FAMILY_NOT_7 : if ((C_FAMILY /= "kintex7") and (C_FAMILY /= "virtex7") and (C_FAMILY /= "artix7") and (C_FAMILY /= "zynq")) generate
begin
wr_rst_busy <= wr_rst_busy_sig;
rd_rst_busy <= rd_rst_busy_sig;
end generate FAMILY_NOT_7;
FAMILY_7 : if ((C_FAMILY = "kintex7") or (C_FAMILY = "virtex7") or (C_FAMILY = "artix7") or (C_FAMILY = "zynq")) generate
begin
wr_rst_busy <= '0';
rd_rst_busy <= '0';
end generate FAMILY_7;
data_count <= sig_data_count(clog2(uw_fifo_depth)-1 downto 0);
ZERO_pntr <= (others => '0');
GND <= '0';
fg_inst : entity fifo_generator_v13_1_1.fifo_generator_v13_1_1
GENERIC MAP (
C_COMMON_CLOCK => 1,
-- C_COUNT_TYPE => C_COUNT_TYPE,
C_COUNT_TYPE => 0, --my
-- C_DATA_COUNT_WIDTH => C_DATA_COUNT_WIDTH,
C_DATA_COUNT_WIDTH => clog2_uw_fifo_depth_plus_1, --my
-- C_DEFAULT_VALUE => C_DEFAULT_VALUE,
C_DIN_WIDTH => uw_data_width,
-- C_DOUT_RST_VAL => C_DOUT_RST_VAL,
C_DOUT_WIDTH => uw_data_width,
-- C_ENABLE_RLOCS => C_ENABLE_RLOCS,
--C_FAMILY => "virtex7",
C_FAMILY => C_FAMILY, --my
--C_FULL_FLAGS_RST_VAL => uw_full_flags_rst_val,
C_FULL_FLAGS_RST_VAL => C_FULL_FLAGS_RST_VAL, --my
-- C_HAS_ALMOST_EMPTY => C_HAS_ALMOST_EMPTY,
-- C_HAS_ALMOST_FULL => C_HAS_ALMOST_FULL,
-- C_HAS_BACKUP => C_HAS_BACKUP,
C_HAS_DATA_COUNT => 1, --my
-- C_HAS_DATA_COUNT => C_HAS_DATA_COUNT,
-- C_HAS_INT_CLK => C_HAS_INT_CLK,
-- C_HAS_MEMINIT_FILE => C_HAS_MEMINIT_FILE,
-- C_HAS_OVERFLOW => C_HAS_OVERFLOW,
C_HAS_RD_DATA_COUNT => 0, --my
-- C_HAS_RD_DATA_COUNT => C_HAS_RD_DATA_COUNT,
-- C_HAS_RD_RST => C_HAS_RD_RST,
C_EN_SAFETY_CKT => 0,
C_HAS_RST => 0,
C_HAS_SRST => 1,
-- C_HAS_UNDERFLOW => C_HAS_UNDERFLOW,
-- C_HAS_VALID => C_HAS_VALID,
-- C_HAS_WR_ACK => C_HAS_WR_ACK,
C_HAS_WR_DATA_COUNT => 0, --my
-- C_HAS_WR_DATA_COUNT => C_HAS_WR_DATA_COUNT,
-- C_HAS_WR_RST => C_HAS_WR_RST,
--C_IMPLEMENTATION_TYPE => C_IMPLEMENTATION_TYPE,
C_IMPLEMENTATION_TYPE => 0, --my --Block RAM
-- C_INIT_WR_PNTR_VAL => C_INIT_WR_PNTR_VAL,
--C_MEMORY_TYPE => C_MEMORY_TYPE,
C_MEMORY_TYPE => 1, --my --Block RAM
-- C_MIF_FILE_NAME => C_MIF_FILE_NAME,
-- C_OPTIMIZATION_MODE => C_OPTIMIZATION_MODE,
-- C_OVERFLOW_LOW => C_OVERFLOW_LOW,
--C_PRELOAD_LATENCY => C_PRELOAD_LATENCY,
--C_PRELOAD_REGS => C_PRELOAD_REGS,
C_PRELOAD_LATENCY => 0, --my
C_PRELOAD_REGS => 1, --my
--C_PRIM_FIFO_TYPE => C_PRIM_FIFO_TYPE,
C_PRIM_FIFO_TYPE => "512x36", -- only used for V5 Hard FIFO
C_PROG_EMPTY_THRESH_ASSERT_VAL => 10,
C_PROG_EMPTY_THRESH_NEGATE_VAL => 9,
C_PROG_EMPTY_TYPE => 0,
--C_PROG_FULL_THRESH_ASSERT_VAL => if_then_else((UW_FIFO_TYPE = "BUILT_IN"), UW_FIFO_DEPTH-150, 14), --my
--C_PROG_FULL_THRESH_NEGATE_VAL => if_then_else((UW_FIFO_TYPE = "BUILT_IN"), UW_FIFO_DEPTH-160, 12), --my
C_PROG_FULL_TYPE => 0,
C_RD_DATA_COUNT_WIDTH => clog2_uw_fifo_depth, --my
-- C_RD_DATA_COUNT_WIDTH => C_RD_DATA_COUNT_WIDTH,
C_RD_DEPTH => uw_fifo_depth,
--C_RD_FREQ => C_RD_FREQ,
C_RD_FREQ => 1, --my
C_RD_PNTR_WIDTH => clog2_uw_fifo_depth,
-- C_UNDERFLOW_LOW => C_UNDERFLOW_LOW,
-- C_USE_DOUT_RST => C_USE_DOUT_RST,
-- C_USE_ECC => C_USE_ECC,
C_USE_EMBEDDED_REG => 1, --my
-- C_USE_EMBEDDED_REG => C_USE_EMBEDDED_REG,
-- C_USE_FIFO16_FLAGS => C_USE_FIFO16_FLAGS,
C_USE_FWFT_DATA_COUNT => 1, --my
-- C_USE_FWFT_DATA_COUNT => C_USE_FWFT_DATA_COUNT,
-- C_VALID_LOW => C_VALID_LOW,
-- C_WR_ACK_LOW => C_WR_ACK_LOW,
C_WR_DATA_COUNT_WIDTH => clog2_uw_fifo_depth, --my
-- C_WR_DATA_COUNT_WIDTH => C_WR_DATA_COUNT_WIDTH,
C_WR_DEPTH => uw_fifo_depth,
--C_WR_FREQ => C_WR_FREQ,
C_WR_FREQ => 1, --my
C_WR_PNTR_WIDTH => clog2_uw_fifo_depth,
-- C_WR_RESPONSE_LATENCY => C_WR_RESPONSE_LATENCY,
-- C_MSGON_VAL => C_MSGON_VAL,
-- C_ENABLE_RST_SYNC => C_ENABLE_RST_SYNC,
-- C_ERROR_INJECTION_TYPE => C_ERROR_INJECTION_TYPE,
C_SYNCHRONIZER_STAGE => MTBF_STAGES,
-- AXI Interface related parameters start here
C_INTERFACE_TYPE => 0, -- : integer := 0; -- 0: Native Interface; 1: AXI Interface
C_AXI_TYPE => 0, -- : integer := 0; -- 0: AXI Stream; 1: AXI Full; 2: AXI Lite
C_HAS_AXI_WR_CHANNEL => 0, -- : integer := 0;
C_HAS_AXI_RD_CHANNEL => 0, -- : integer := 0;
C_HAS_SLAVE_CE => 0, -- : integer := 0;
C_HAS_MASTER_CE => 0, -- : integer := 0;
C_ADD_NGC_CONSTRAINT => 0, -- : integer := 0;
C_USE_COMMON_OVERFLOW => 0, -- : integer := 0;
C_USE_COMMON_UNDERFLOW => 0, -- : integer := 0;
C_USE_DEFAULT_SETTINGS => 0, -- : integer := 0;
-- AXI Full/Lite
C_AXI_ID_WIDTH => 4 , -- : integer := 0;
C_AXI_ADDR_WIDTH => 32, -- : integer := 0;
C_AXI_DATA_WIDTH => 64, -- : integer := 0;
C_AXI_LEN_WIDTH => 8, -- : integer := 8;
C_AXI_LOCK_WIDTH => 2, -- : integer := 2;
C_HAS_AXI_ID => 0, -- : integer := 0;
C_HAS_AXI_AWUSER => 0 , -- : integer := 0;
C_HAS_AXI_WUSER => 0 , -- : integer := 0;
C_HAS_AXI_BUSER => 0 , -- : integer := 0;
C_HAS_AXI_ARUSER => 0 , -- : integer := 0;
C_HAS_AXI_RUSER => 0 , -- : integer := 0;
C_AXI_ARUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_AWUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_WUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_BUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_RUSER_WIDTH => 1 , -- : integer := 0;
-- AXI Streaming
C_HAS_AXIS_TDATA => 0 , -- : integer := 0;
C_HAS_AXIS_TID => 0 , -- : integer := 0;
C_HAS_AXIS_TDEST => 0 , -- : integer := 0;
C_HAS_AXIS_TUSER => 0 , -- : integer := 0;
C_HAS_AXIS_TREADY => 1 , -- : integer := 0;
C_HAS_AXIS_TLAST => 0 , -- : integer := 0;
C_HAS_AXIS_TSTRB => 0 , -- : integer := 0;
C_HAS_AXIS_TKEEP => 0 , -- : integer := 0;
C_AXIS_TDATA_WIDTH => 64, -- : integer := 1;
C_AXIS_TID_WIDTH => 8 , -- : integer := 1;
C_AXIS_TDEST_WIDTH => 4 , -- : integer := 1;
C_AXIS_TUSER_WIDTH => 4 , -- : integer := 1;
C_AXIS_TSTRB_WIDTH => 4 , -- : integer := 1;
C_AXIS_TKEEP_WIDTH => 4 , -- : integer := 1;
-- AXI Channel Type
-- WACH --> Write Address Channel
-- WDCH --> Write Data Channel
-- WRCH --> Write Response Channel
-- RACH --> Read Address Channel
-- RDCH --> Read Data Channel
-- AXIS --> AXI Streaming
C_WACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic
C_WDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_WRCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_RACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_RDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_AXIS_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
-- AXI Implementation Type
-- 1 = Common Clock Block RAM FIFO
-- 2 = Common Clock Distributed RAM FIFO
-- 11 = Independent Clock Block RAM FIFO
-- 12 = Independent Clock Distributed RAM FIFO
C_IMPLEMENTATION_TYPE_WACH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_WDCH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_WRCH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_RACH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_RDCH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_AXIS => 1, -- : integer := 0;
-- AXI FIFO Type
-- 0 = Data FIFO
-- 1 = Packet FIFO
-- 2 = Low Latency Data FIFO
C_APPLICATION_TYPE_WACH => 0, -- : integer := 0;
C_APPLICATION_TYPE_WDCH => 0, -- : integer := 0;
C_APPLICATION_TYPE_WRCH => 0, -- : integer := 0;
C_APPLICATION_TYPE_RACH => 0, -- : integer := 0;
C_APPLICATION_TYPE_RDCH => 0, -- : integer := 0;
C_APPLICATION_TYPE_AXIS => 0, -- : integer := 0;
-- Enable ECC
-- 0 = ECC disabled
-- 1 = ECC enabled
C_USE_ECC_WACH => 0, -- : integer := 0;
C_USE_ECC_WDCH => 0, -- : integer := 0;
C_USE_ECC_WRCH => 0, -- : integer := 0;
C_USE_ECC_RACH => 0, -- : integer := 0;
C_USE_ECC_RDCH => 0, -- : integer := 0;
C_USE_ECC_AXIS => 0, -- : integer := 0;
-- ECC Error Injection Type
-- 0 = No Error Injection
-- 1 = Single Bit Error Injection
-- 2 = Double Bit Error Injection
-- 3 = Single Bit and Double Bit Error Injection
C_ERROR_INJECTION_TYPE_WACH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_WDCH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_WRCH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_RACH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_RDCH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_AXIS => 0, -- : integer := 0;
-- Input Data Width
-- Accumulation of all AXI input signal's width
C_DIN_WIDTH_WACH => 32, -- : integer := 1;
C_DIN_WIDTH_WDCH => 64, -- : integer := 1;
C_DIN_WIDTH_WRCH => 2 , -- : integer := 1;
C_DIN_WIDTH_RACH => 32, -- : integer := 1;
C_DIN_WIDTH_RDCH => 64, -- : integer := 1;
C_DIN_WIDTH_AXIS => 1 , -- : integer := 1;
C_WR_DEPTH_WACH => 16 , -- : integer := 16;
C_WR_DEPTH_WDCH => 1024, -- : integer := 16;
C_WR_DEPTH_WRCH => 16 , -- : integer := 16;
C_WR_DEPTH_RACH => 16 , -- : integer := 16;
C_WR_DEPTH_RDCH => 1024, -- : integer := 16;
C_WR_DEPTH_AXIS => 1024, -- : integer := 16;
C_WR_PNTR_WIDTH_WACH => 4 , -- : integer := 4;
C_WR_PNTR_WIDTH_WDCH => 10, -- : integer := 4;
C_WR_PNTR_WIDTH_WRCH => 4 , -- : integer := 4;
C_WR_PNTR_WIDTH_RACH => 4 , -- : integer := 4;
C_WR_PNTR_WIDTH_RDCH => 10, -- : integer := 4;
C_WR_PNTR_WIDTH_AXIS => 10, -- : integer := 4;
C_HAS_DATA_COUNTS_WACH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_WDCH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_WRCH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_RACH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_RDCH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_AXIS => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_WACH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_WDCH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_WRCH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_RACH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_RDCH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_AXIS => 0, -- : integer := 0;
C_PROG_FULL_TYPE_WACH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_WDCH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_WRCH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_RACH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_RDCH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_AXIS => 5 , -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, -- : integer := 0;
C_PROG_EMPTY_TYPE_WACH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_WDCH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_WRCH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_RACH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_RDCH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_AXIS => 5 , -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, -- : integer := 0;
C_REG_SLICE_MODE_WACH => 0, -- : integer := 0;
C_REG_SLICE_MODE_WDCH => 0, -- : integer := 0;
C_REG_SLICE_MODE_WRCH => 0, -- : integer := 0;
C_REG_SLICE_MODE_RACH => 0, -- : integer := 0;
C_REG_SLICE_MODE_RDCH => 0, -- : integer := 0;
C_REG_SLICE_MODE_AXIS => 0 -- : integer := 0
)
PORT MAP (
backup => GND,
backup_marker => GND,
clk => clk,
rst => GND,
srst => rst,
wr_clk => GND,
wr_rst => GND,
rd_clk => GND,
rd_rst => GND,
din => din,
wr_en => wr_en,
rd_en => rd_en,
sleep => sleep,
wr_rst_busy => wr_rst_busy_sig,
rd_rst_busy => rd_rst_busy_sig,
prog_empty_thresh => ZERO_pntr,
prog_empty_thresh_assert => ZERO_pntr,
prog_empty_thresh_negate => ZERO_pntr,
prog_full_thresh => ZERO_pntr,
prog_full_thresh_assert => ZERO_pntr,
prog_full_thresh_negate => ZERO_pntr,
int_clk => GND,
injectdbiterr => GND,
injectsbiterr => GND,
dout => dout,
full => full,
empty => empty,
almost_full => ALMOST_FULL,
wr_ack => WR_ACK,
overflow => OVERFLOW,
almost_empty => ALMOST_EMPTY,
valid => VALID,
underflow => UNDERFLOW,
data_count => sig_data_count,
rd_data_count => RD_DATA_COUNT,
wr_data_count => WR_DATA_COUNT,
prog_full => PROG_FULL,
prog_empty => PROG_EMPTY,
sbiterr => SBITERR,
dbiterr => DBITERR,
m_aclk => '0', -- : IN std_logic := '0';
s_aclk => '0', -- : IN std_logic := '0';
s_aresetn => '0', -- : IN std_logic := '0';
m_aclk_en => '0', -- : IN std_logic := '0';
s_aclk_en => '0', -- : IN std_logic := '0';
-- AXI Full/Lite Slave Write Channel (write side)
s_axi_awid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awaddr => "00000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awlen => "00000000", --(others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awsize => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awburst => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awlock => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awcache => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awprot => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awqos => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awregion => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awvalid => '0', -- : IN std_logic := '0';
s_axi_awready => S_AXI_AWREADY, -- : OUT std_logic;
s_axi_wid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wstrb => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wlast => '0', -- : IN std_logic := '0';
s_axi_wuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wvalid => '0', -- : IN std_logic := '0';
s_axi_wready => S_AXI_WREADY, -- : OUT std_logic;
s_axi_bid => S_AXI_BID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_bresp => S_AXI_BRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0);
s_axi_buser => S_AXI_BUSER, -- : OUT std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0);
s_axi_bvalid => S_AXI_BVALID, -- : OUT std_logic;
s_axi_bready => '0', -- : IN std_logic := '0';
-- AXI Full/Lite Master Write Channel (Read side)
m_axi_awid => M_AXI_AWID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
m_axi_awaddr => M_AXI_AWADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0);
m_axi_awlen => M_AXI_AWLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0);
m_axi_awsize => M_AXI_AWSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_awburst => M_AXI_AWBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_awlock => M_AXI_AWLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_awcache => M_AXI_AWCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_awprot => M_AXI_AWPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_awqos => M_AXI_AWQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_awregion => M_AXI_AWREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_awuser => M_AXI_AWUSER, -- : OUT std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0);
m_axi_awvalid => M_AXI_AWVALID, -- : OUT std_logic;
m_axi_awready => '0', -- : IN std_logic := '0';
m_axi_wid => M_AXI_WID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
m_axi_wdata => M_AXI_WDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0);
m_axi_wstrb => M_AXI_WSTRB, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0);
m_axi_wlast => M_AXI_WLAST, -- : OUT std_logic;
m_axi_wuser => M_AXI_WUSER, -- : OUT std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0);
m_axi_wvalid => M_AXI_WVALID, -- : OUT std_logic;
m_axi_wready => '0', -- : IN std_logic := '0';
m_axi_bid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_bresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
m_axi_buser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_bvalid => '0', -- : IN std_logic := '0';
m_axi_bready => M_AXI_BREADY, -- : OUT std_logic;
-- AXI Full/Lite Slave Read Channel (Write side)
s_axi_arid => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_araddr => "00000000000000000000000000000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arlen => "00000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arsize => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arburst => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arlock => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arcache => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arprot => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arqos => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arregion => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_aruser => "0", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arvalid => '0', -- : IN std_logic := '0';
s_axi_arready => S_AXI_ARREADY, -- : OUT std_logic;
s_axi_rid => S_AXI_RID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
s_axi_rdata => S_AXI_RDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0);
s_axi_rresp => S_AXI_RRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0);
s_axi_rlast => S_AXI_RLAST, -- : OUT std_logic;
s_axi_ruser => S_AXI_RUSER, -- : OUT std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0);
s_axi_rvalid => S_AXI_RVALID, -- : OUT std_logic;
s_axi_rready => '0', -- : IN std_logic := '0';
-- AXI Full/Lite Master Read Channel (Read side)
m_axi_arid => M_AXI_ARID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
m_axi_araddr => M_AXI_ARADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0);
m_axi_arlen => M_AXI_ARLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0);
m_axi_arsize => M_AXI_ARSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_arburst => M_AXI_ARBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_arlock => M_AXI_ARLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_arcache => M_AXI_ARCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_arprot => M_AXI_ARPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_arqos => M_AXI_ARQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_arregion => M_AXI_ARREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_aruser => M_AXI_ARUSER, -- : OUT std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0);
m_axi_arvalid => M_AXI_ARVALID, -- : OUT std_logic;
m_axi_arready => '0', -- : IN std_logic := '0';
m_axi_rid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rlast => '0', -- : IN std_logic := '0';
m_axi_ruser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rvalid => '0', -- : IN std_logic := '0';
m_axi_rready => M_AXI_RREADY, -- : OUT std_logic;
-- AXI Streaming Slave Signals (Write side)
s_axis_tvalid => '0', -- : IN std_logic := '0';
s_axis_tready => S_AXIS_TREADY, -- : OUT std_logic;
s_axis_tdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tstrb => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tkeep => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tlast => '0', -- : IN std_logic := '0';
s_axis_tid => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tdest => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tuser => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
-- AXI Streaming Master Signals (Read side)
m_axis_tvalid => M_AXIS_TVALID, -- : OUT std_logic;
m_axis_tready => '0', -- : IN std_logic := '0';
m_axis_tdata => M_AXIS_TDATA, -- : OUT std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0);
m_axis_tstrb => M_AXIS_TSTRB, -- : OUT std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0);
m_axis_tkeep => M_AXIS_TKEEP, -- : OUT std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0);
m_axis_tlast => M_AXIS_TLAST, -- : OUT std_logic;
m_axis_tid => M_AXIS_TID, -- : OUT std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0);
m_axis_tdest => M_AXIS_TDEST, -- : OUT std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0);
m_axis_tuser => M_AXIS_TUSER, -- : OUT std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0);
-- AXI Full/Lite Write Address Channel Signals
axi_aw_injectsbiterr => '0', -- : IN std_logic := '0';
axi_aw_injectdbiterr => '0', -- : IN std_logic := '0';
axi_aw_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0');
axi_aw_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0');
axi_aw_data_count => AXI_AW_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0);
axi_aw_wr_data_count => AXI_AW_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0);
axi_aw_rd_data_count => AXI_AW_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0);
axi_aw_sbiterr => AXI_AW_SBITERR, -- : OUT std_logic;
axi_aw_dbiterr => AXI_AW_DBITERR, -- : OUT std_logic;
axi_aw_overflow => AXI_AW_OVERFLOW, -- : OUT std_logic;
axi_aw_underflow => AXI_AW_UNDERFLOW, -- : OUT std_logic;
axi_aw_prog_full => AXI_AW_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_aw_prog_empty => AXI_AW_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Write Data Channel Signals
axi_w_injectsbiterr => '0', -- : IN std_logic := '0';
axi_w_injectdbiterr => '0', -- : IN std_logic := '0';
axi_w_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_w_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_w_data_count => AXI_W_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0);
axi_w_wr_data_count => AXI_W_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0);
axi_w_rd_data_count => AXI_W_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0);
axi_w_sbiterr => AXI_W_SBITERR, -- : OUT std_logic;
axi_w_dbiterr => AXI_W_DBITERR, -- : OUT std_logic;
axi_w_overflow => AXI_W_OVERFLOW, -- : OUT std_logic;
axi_w_underflow => AXI_W_UNDERFLOW, -- : OUT std_logic;
axi_w_prog_full => AXI_W_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_w_prog_empty => AXI_W_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Write Response Channel Signals
axi_b_injectsbiterr => '0', -- : IN std_logic := '0';
axi_b_injectdbiterr => '0', -- : IN std_logic := '0';
axi_b_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0');
axi_b_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0');
axi_b_data_count => AXI_B_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0);
axi_b_wr_data_count => AXI_B_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0);
axi_b_rd_data_count => AXI_B_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0);
axi_b_sbiterr => AXI_B_SBITERR, -- : OUT std_logic;
axi_b_dbiterr => AXI_B_DBITERR, -- : OUT std_logic;
axi_b_overflow => AXI_B_OVERFLOW, -- : OUT std_logic;
axi_b_underflow => AXI_B_UNDERFLOW, -- : OUT std_logic;
axi_b_prog_full => AXI_B_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_b_prog_empty => AXI_B_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Read Address Channel Signals
axi_ar_injectsbiterr => '0', -- : IN std_logic := '0';
axi_ar_injectdbiterr => '0', -- : IN std_logic := '0';
axi_ar_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0');
axi_ar_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0');
axi_ar_data_count => AXI_AR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0);
axi_ar_wr_data_count => AXI_AR_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0);
axi_ar_rd_data_count => AXI_AR_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0);
axi_ar_sbiterr => AXI_AR_SBITERR, -- : OUT std_logic;
axi_ar_dbiterr => AXI_AR_DBITERR, -- : OUT std_logic;
axi_ar_overflow => AXI_AR_OVERFLOW, -- : OUT std_logic;
axi_ar_underflow => AXI_AR_UNDERFLOW, -- : OUT std_logic;
axi_ar_prog_full => AXI_AR_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_ar_prog_empty => AXI_AR_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Read Data Channel Signals
axi_r_injectsbiterr => '0', -- : IN std_logic := '0';
axi_r_injectdbiterr => '0', -- : IN std_logic := '0';
axi_r_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_r_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_r_data_count => AXI_R_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0);
axi_r_wr_data_count => AXI_R_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0);
axi_r_rd_data_count => AXI_R_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0);
axi_r_sbiterr => AXI_R_SBITERR, -- : OUT std_logic;
axi_r_dbiterr => AXI_R_DBITERR, -- : OUT std_logic;
axi_r_overflow => AXI_R_OVERFLOW, -- : OUT std_logic;
axi_r_underflow => AXI_R_UNDERFLOW, -- : OUT std_logic;
axi_r_prog_full => AXI_R_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_r_prog_empty => AXI_R_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Streaming FIFO Related Signals
axis_injectsbiterr => '0', -- : IN std_logic := '0';
axis_injectdbiterr => '0', -- : IN std_logic := '0';
axis_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0');
axis_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0');
axis_data_count => AXIS_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0);
axis_wr_data_count => AXIS_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0);
axis_rd_data_count => AXIS_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0);
axis_sbiterr => AXIS_SBITERR, -- : OUT std_logic;
axis_dbiterr => AXIS_DBITERR, -- : OUT std_logic;
axis_overflow => AXIS_OVERFLOW, -- : OUT std_logic;
axis_underflow => AXIS_UNDERFLOW, -- : OUT std_logic
axis_prog_full => AXIS_PROG_FULL, -- : OUT STD_LOGIC := '0';
axis_prog_empty => AXIS_PROG_EMPTY -- : OUT STD_LOGIC := '1';
);
END ARCHITECTURE xilinx;
|
-- -------------------------------------------------------------
--
-- Generated Architecture Declaration for rtl of inst_c_e
--
-- Generated
-- by: wig
-- on: Tue Apr 4 05:28:09 2006
-- cmd: /cygdrive/h/work/eclipse/MIX/mix_0.pl -nodelta ../../hier.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: inst_c_e-rtl-a.vhd,v 1.1 2006/04/11 13:36:52 wig Exp $
-- $Date: 2006/04/11 13:36:52 $
-- $Log: inst_c_e-rtl-a.vhd,v $
-- Revision 1.1 2006/04/11 13:36:52 wig
-- Updated testcases: left constant/* and verilog/uamn open.
--
--
-- Based on Mix Architecture Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.79 2006/03/17 09:18:31 wig Exp
--
-- Generator: mix_0.pl Revision: 1.44 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/arch
--
--
-- Start of Generated Architecture rtl of inst_c_e
--
architecture rtl of inst_c_e is
-- Generated Constant Declarations
--
-- Components
--
-- Generated Components
--
-- Nets
--
--
-- Generated Signal List
--
--
-- End of Generated Signal List
--
begin
--
-- Generated Concurrent Statements
--
-- Generated Signal Assignments
--
-- Generated Instances
--
-- Generated Instances and Port Mappings
end rtl;
--
--!End of Architecture/s
-- --------------------------------------------------------------
|
-- libraries --------------------------------------------------------------------------------- {{{
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.ALL;
use ieee.std_logic_textio.all;
use std.textio.all;
------------------------------------------------------------------------------------------------- }}}
package FGPU_definitions is
constant N_CU_W : natural := 2; --0 to 3
-- Bitwidth of # of CUs
constant LMEM_ADDR_W : natural := 11;
-- bitwidth of local memory address for a single PE
constant N_AXI_W : natural := 1;
-- Bitwidth of # of AXI data ports
constant SUB_INTEGER_IMPLEMENT : natural := 0;
-- implement sub-integer store operations
constant N_STATIONS_ALU : natural := 8;
-- # stations to store memory requests sourced by a single ALU
constant ATOMIC_IMPLEMENT : natural := 0;
-- implement global atomic operations
constant N_TAG_MANAGERS_W : natural := N_CU_W+0; -- 0 to 1
-- Bitwidth of # tag controllers per CU
constant FLOAT_IMPLEMENT : natural := 1;
constant FADD_IMPLEMENT : integer := 1;
constant FMUL_IMPLEMENT : integer := 1;
constant FDIV_IMPLEMENT : integer := 1;
constant FSQRT_IMPLEMENT : integer := 1;
constant UITOFP_IMPLEMENT : integer := 1;
constant FADD_DELAY : integer := 11;
constant UITOFP_DELAY : integer := 5;
constant FMUL_DELAY : integer := 8;
constant FDIV_DELAY : integer := 28;
constant FSQRT_DELAY : integer := 28;
constant MAX_FPU_DELAY : integer := FSQRT_DELAY;
constant CACHE_N_BANKS_W : natural := 3;
-- Bitwidth of # words within a cache line. Minimum is 2
constant N_RECEIVERS_CU_W : natural := 6-N_CU_W;
-- Bitwidth of # of receivers inside the global memory controller per CU. (6-N_CU_W) will lead to 64 receivers whatever the # of CU is.
constant BURST_WORDS_W : natural := 5;
-- Bitwidth # of words within a single AXI burst
constant ENABLE_READ_PRIORIRY_PIPE : boolean := false;
constant FIFO_ADDR_W : natural := 4;
-- Bitwidth of the fifo size to store outgoing memory requests from a CU
constant N_RD_FIFOS_TAG_MANAGER_W : natural := 0;
constant FINISH_FIFO_ADDR_W : natural := 3;
-- Bitwidth of the fifo depth to mark dirty cache lines to be cleared at the end
-- constant CRAM_BLOCKS : natural := 1;
-- # of CRAM replicates. Each replicate will serve some CUs (1 or 2 supported only)
constant CV_W : natural := 3;
-- bitwidth of # of PEs within a CV
constant CV_TO_CACHE_SLICE : natural := 3;
constant INSTR_READ_SLICE : boolean := true;
constant RTM_WRITE_SLICE : boolean := true;
constant WRITE_PHASE_W : natural := 1;
-- # of MSBs of the receiver index in the global memory controller which will be selected to write. These bits increments always.
-- This incrmenetation should help to balance serving the receivers
constant RCV_PRIORITY_W : natural := 3;
constant N_WF_CU_W : natural := 3;
-- bitwidth of # of WFs that can be simultaneously managed within a CU
constant AADD_ATOMIC : natural := 1;
constant AMAX_ATOMIC : natural := 1;
constant GMEM_N_BANK_W : natural := 1;
constant ID_WIDTH : natural := 6;
constant PHASE_W : natural := 3;
constant CV_SIZE : natural := 2**CV_W;
constant WF_SIZE_W : natural := PHASE_W + CV_W;
-- A WF will be executed on the PEs of a single CV withen PAHSE_LEN cycels
constant WG_SIZE_W : natural := WF_SIZE_W + N_WF_CU_W;
-- A WG must be executed on a single CV. It contains a number of WFs which is at maximum the amount that can be managed within a CV
constant RTM_ADDR_W : natural := 1+2+N_WF_CU_W+PHASE_W; -- 1+2+3+3 = 9bit
-- The MSB if select between local indcs or other information
-- The lower 2 MSBs for d0, d1 or d2. The middle N_WF_CU_W are for the WF index with the CV. The lower LSBs are for the phase index
constant RTM_DATA_W : natural := CV_SIZE*WG_SIZE_W; -- Bitwidth of RTM data ports
constant BURST_W : natural := BURST_WORDS_W - GMEM_N_BANK_W; -- burst width in number of transfers on the axi bus
constant RD_FIFO_N_BURSTS_W : natural := 1;
constant RD_FIFO_W : natural := BURST_W + RD_FIFO_N_BURSTS_W;
constant N_TAG_MANAGERS : natural := 2**N_TAG_MANAGERS_W;
constant N_AXI : natural := 2**N_AXI_W;
constant N_WR_FIFOS_AXI_W : natural := N_TAG_MANAGERS_W-N_AXI_W;
constant INTERFCE_W_ADDR_W : natural := 14;
constant CRAM_ADDR_W : natural := 12; -- TODO
constant DATA_W : natural := 32;
constant BRAM18kb32b_ADDR_W : natural := 9;
constant BRAM36kb64b_ADDR_W : natural := 9;
constant BRAM36kb_ADDR_W : natural := 10;
constant INST_FIFO_PRE_LEN : natural := 8;
constant CV_INST_FIFO_W : natural := 3;
constant LOC_MEM_W : natural := BRAM18kb32b_ADDR_W;
constant N_PARAMS_W : natural := 4;
constant GMEM_ADDR_W : natural := 32;
constant WI_REG_ADDR_W : natural := 5;
constant N_REG_BLOCKS_W : natural := 2;
constant REG_FILE_BLOCK_W : natural := PHASE_W+WI_REG_ADDR_W+N_WF_CU_W-N_REG_BLOCKS_W; -- default=3+5+3-2=9
constant N_WR_FIFOS_W : natural := N_WR_FIFOS_AXI_W + N_AXI_W;
constant N_WR_FIFOS_AXI : natural := 2**N_WR_FIFOS_AXI_W;
constant N_WR_FIFOS : natural := 2**N_WR_FIFOS_W;
constant STAT : natural := 1;
constant STAT_LOAD : natural := 0;
-- cache & gmem controller constants
constant BRMEM_ADDR_W : natural := BRAM36kb_ADDR_W; -- default=10
constant N_RD_PORTS : natural := 4;
constant N : natural := CACHE_N_BANKS_W; -- max. 3
constant L : natural := BURST_WORDS_W-N; -- min. 2
constant M : natural := BRMEM_ADDR_W - L; -- max. 8
-- L+M = BMEM_ADDR_W = 10 = #address bits of a BRAM
-- cache size = 2^(N+L+M) words; max.=8*4KB=32KB
constant N_RECEIVERS_CU : natural := 2**N_RECEIVERS_CU_W;
constant N_RECEIVERS_W : natural := N_CU_W + N_RECEIVERS_CU_W;
constant N_RECEIVERS : natural := 2**N_RECEIVERS_W;
constant N_CU_STATIONS_W : natural := 6;
constant GMEM_WORD_ADDR_W : natural := GMEM_ADDR_W - 2;
constant TAG_W : natural := GMEM_WORD_ADDR_W -M -L -N;
constant GMEM_N_BANK : natural := 2**GMEM_N_BANK_W;
constant CACHE_N_BANKS : natural := 2**CACHE_N_BANKS_W;
constant REG_FILE_W : natural := N_REG_BLOCKS_W+REG_FILE_BLOCK_W;
constant N_REG_BLOCKS : natural := 2**N_REG_BLOCKS_W;
constant REG_ADDR_W : natural := BRAM18kb32b_ADDR_W+BRAM18kb32b_ADDR_W;
constant REG_FILE_SIZE : natural := 2**REG_ADDR_W;
constant REG_FILE_BLOCK_SIZE : natural := 2**REG_FILE_BLOCK_W;
constant GMEM_DATA_W : natural := GMEM_N_BANK * DATA_W;
constant N_PARAMS : natural := 2**N_PARAMS_W;
constant LOC_MEM_SIZE : natural := 2**LOC_MEM_W;
constant PHASE_LEN : natural := 2**PHASE_W;
constant CV_INST_FIFO_SIZE : natural := 2**CV_INST_FIFO_W;
constant N_CU : natural := 2**N_CU_W;
constant N_WF_CU : natural := 2**N_WF_CU_W;
constant WF_SIZE : natural := 2**WF_SIZE_W;
constant CRAM_SIZE : natural := 2**CRAM_ADDR_W;
constant RTM_SIZE : natural := 2**RTM_ADDR_W;
constant BRAM18kb_SIZE : natural := 2**BRAM18kb32b_ADDR_W;
constant regFile_addr : natural := 2**(INTERFCE_W_ADDR_W-1); -- "10" of the address msbs to choose the register file
constant Rstat_addr : natural := regFile_addr + 0; --address of status register in the register file
constant Rstart_addr : natural := regFile_addr + 1; --address of stat register in the register file
constant RcleanCache_addr : natural := regFile_addr + 2; --address of cleanCache register in the register file
constant RInitiate_addr : natural := regFile_addr + 3; --address of cleanCache register in the register file
constant Rstat_regFile_addr : natural := 0; --address of status register in the register file
constant Rstart_regFile_addr : natural := 1; --address of stat register in the register file
constant RcleanCache_regFile_addr : natural := 2; --address of cleanCache register in the register file
constant RInitiate_regFile_addr : natural := 3; --address of initiate register in the register file
constant N_REG_W : natural := 2;
constant PARAMS_ADDR_LOC_MEM_OFFSET : natural := LOC_MEM_SIZE - N_PARAMS;
-- constant GMEM_RQST_BUS_W : natural := GMEM_DATA_W;
-- new kernel descriptor ----------------------------------------------------------------
constant NEW_KRNL_DESC_W : natural := 5; -- length of the kernel's descripto
constant NEW_KRNL_INDX_W : natural := 4; -- bitwidth of number of kernels that can be started
constant NEW_KRNL_DESC_LEN : natural := 12;
constant WG_MAX_SIZE : natural := 2**WG_SIZE_W;
constant NEW_KRNL_DESC_MAX_LEN : natural := 2**NEW_KRNL_DESC_W;
constant NEW_KRNL_MAX_INDX : natural := 2**NEW_KRNL_INDX_W;
constant KRNL_SCH_ADDR_W : natural := NEW_KRNL_DESC_W + NEW_KRNL_INDX_W;
constant NEW_KRNL_DESC_N_WF : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 0;
constant NEW_KRNL_DESC_ID0_SIZE : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 1;
constant NEW_KRNL_DESC_ID1_SIZE : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 2;
constant NEW_KRNL_DESC_ID2_SIZE : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 3;
constant NEW_KRNL_DESC_ID0_OFFSET : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 4;
constant NEW_KRNL_DESC_ID1_OFFSET : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 5;
constant NEW_KRNL_DESC_ID2_OFFSET : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 6;
constant NEW_KRNL_DESC_WG_SIZE : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 7;
constant NEW_KRNL_DESC_N_WG_0 : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 8;
constant NEW_KRNL_DESC_N_WG_1 : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 9;
constant NEW_KRNL_DESC_N_WG_2 : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 10;
constant NEW_KRNL_DESC_N_PARAMS : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 11;
constant PARAMS_OFFSET : natural range 0 to NEW_KRNL_DESC_MAX_LEN-1 := 16;
constant WG_SIZE_0_OFFSET : natural := 0;
constant WG_SIZE_1_OFFSET : natural := 10;
constant WG_SIZE_2_OFFSET : natural := 20;
constant N_DIM_OFFSET : natural := 30;
constant ADDR_FIRST_INST_OFFSET : natural := 0;
constant ADDR_LAST_INST_OFFSET : natural := 14;
constant N_WF_OFFSET : natural := 28;
constant N_WG_0_OFFSET : natural := 16;
constant N_WG_1_OFFSET : natural := 0;
constant N_WG_2_OFFSET : natural := 16;
constant WG_SIZE_OFFSET : natural := 0;
constant N_PARAMS_OFFSET : natural := 28;
type cram_type is array (2**CRAM_ADDR_W-1 downto 0) of std_logic_vector (DATA_W-1 downto 0);
type slv32_array is array (natural range<>) of std_logic_vector(DATA_W-1 downto 0);
type krnl_scheduler_ram_TYPE is array (2**KRNL_SCH_ADDR_W-1 downto 0) of std_logic_vector (DATA_W-1 downto 0);
type cram_addr_array is array (natural range <>) of unsigned(CRAM_ADDR_W-1 downto 0); -- range 0 to CRAM_SIZE-1;
type rtm_ram_type is array (natural range <>) of unsigned(RTM_DATA_W-1 downto 0);
type gmem_addr_array is array (natural range<>) of unsigned(GMEM_ADDR_W-1 downto 0);
type op_arith_shift_type is (op_add, op_lw, op_mult, op_bra, op_shift, op_slt, op_mov, op_ato, op_lmem);
type op_logical_type is (op_andi, op_and, op_ori, op_or, op_xor, op_xori, op_nor);
type be_array is array(natural range <>) of std_logic_vector(DATA_W/8-1 downto 0);
type gmem_be_array is array(natural range <>) of std_logic_vector(GMEM_N_BANK*DATA_W/8-1 downto 0);
type sl_array is array(natural range <>) of std_logic;
type nat_array is array(natural range <>) of natural;
type nat_2d_array is array(natural range <>, natural range <>) of natural;
type reg_addr_array is array (natural range <>) of unsigned(REG_FILE_W-1 downto 0);
type gmem_word_addr_array is array(natural range <>) of unsigned(GMEM_WORD_ADDR_W-1 downto 0);
type gmem_addr_array_no_bank is array (natural range <>) of unsigned(GMEM_WORD_ADDR_W-CACHE_N_BANKS_W-1 downto 0);
type alu_en_vec_type is array(natural range <>) of std_logic_vector(CV_SIZE-1 downto 0);
type alu_en_rdAddr_type is array(natural range <>) of unsigned(PHASE_W+N_WF_CU_W-1 downto 0);
type tag_array is array (natural range <>) of unsigned(TAG_W-1 downto 0);
type gmem_word_array is array (natural range <>) of std_logic_vector(DATA_W*GMEM_N_BANK-1 downto 0);
type wf_active_array is array (natural range <>) of std_logic_vector(N_WF_CU-1 downto 0);
type cache_addr_array is array(natural range <>) of unsigned(M+L-1 downto 0);
type cache_word_array is array(natural range <>) of std_logic_vector(CACHE_N_BANKS*DATA_W-1 downto 0);
type tag_addr_array is array(natural range <>) of unsigned(M-1 downto 0);
type reg_file_block_array is array(natural range<>) of unsigned(REG_FILE_BLOCK_W-1 downto 0);
type id_array is array(natural range<>) of std_logic_vector(ID_WIDTH-1 downto 0);
type real_array is array (natural range <>) of real;
type atomic_sgntr_array is array (natural range <>) of std_logic_vector(N_CU_STATIONS_W-1 downto 0);
attribute max_fanout: integer;
attribute keep: string;
attribute mark_debug : string;
impure function init_krnl_ram(file_name : in string) return KRNL_SCHEDULER_RAM_type;
impure function init_SLV32_ARRAY_from_file(file_name : in string; len: in natural; file_len: in natural) return SLV32_ARRAY;
impure function init_CRAM(file_name : in string; file_len: in natural) return cram_type;
function pri_enc(datain: in std_logic_vector) return integer;
function max (LEFT, RIGHT: integer) return integer;
function min_int (LEFT, RIGHT: integer) return integer;
function clogb2 (bit_depth : integer) return integer;
--- ISA --------------------------------------------------------------------------------------
constant FAMILY_W : natural := 4;
constant CODE_W : natural := 4;
constant IMM_ARITH_W : natural := 14;
constant IMM_W : natural := 16;
constant BRANCH_ADDR_W : natural := 14;
constant FAMILY_POS : natural := 28;
constant CODE_POS : natural := 24;
constant RD_POS : natural := 0;
constant RS_POS : natural := 5;
constant RT_POS : natural := 10;
constant IMM_POS : natural := 10;
constant DIM_POS : natural := 5;
constant PARAM_POS : natural := 5;
constant BRANCH_ADDR_POS : natural := 10;
--------------- families
constant ADD_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"1";
constant SHF_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"2";
constant LGK_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"3";
constant MOV_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"4";
constant MUL_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"5";
constant BRA_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"6";
constant GLS_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"7";
constant ATO_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"8";
constant CTL_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"9";
constant RTM_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"A";
constant CND_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"B";
constant FLT_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"C";
constant LSI_FAMILY : std_logic_vector(FAMILY_W-1 downto 0) := X"D";
--------------- codes
--RTM
constant LID : std_logic_vector(CODE_W-1 downto 0) := X"0"; --upper two MSBs indicate if the operation is localdx or offsetdx
constant WGOFF : std_logic_vector(CODE_W-1 downto 0) := X"1";
constant SIZE : std_logic_vector(CODE_W-1 downto 0) := X"2";
constant WGID : std_logic_vector(CODE_W-1 downto 0) := X"3";
constant WGSIZE : std_logic_vector(CODE_W-1 downto 0) := X"4";
constant LP : std_logic_vector(CODE_W-1 downto 0) := X"8";
--ADD
constant ADD : std_logic_vector(CODE_W-1 downto 0) := "0000";
constant SUB : std_logic_vector(CODE_W-1 downto 0) := "0010";
constant ADDI : std_logic_vector(CODE_W-1 downto 0) := "0001";
constant LI : std_logic_vector(CODE_W-1 downto 0) := "1001";
constant LUI : std_logic_vector(CODE_W-1 downto 0) := "1101";
--MUL
constant MACC : std_logic_vector(CODE_W-1 downto 0) := "1000";
--BRA
constant BEQ : std_logic_vector(CODE_W-1 downto 0) := "0010";
constant BNE : std_logic_vector(CODE_W-1 downto 0) := "0011";
constant JSUB : std_logic_vector(CODE_W-1 downto 0) := "0100";
--GLS
constant LW : std_logic_vector(CODE_W-1 downto 0) := "0100";
constant SW : std_logic_vector(CODE_W-1 downto 0) := "1100";
--CTL
constant RET : std_logic_vector(CODE_W-1 downto 0) := "0010";
--SHF
constant SLLI : std_logic_vector(CODE_W-1 downto 0) := "0001";
--LGK
constant CODE_AND : std_logic_vector(CODE_W-1 downto 0) := "0000";
constant CODE_ANDI : std_logic_vector(CODE_W-1 downto 0) := "0001";
constant CODE_OR : std_logic_vector(CODE_W-1 downto 0) := "0010";
constant CODE_ORI : std_logic_vector(CODE_W-1 downto 0) := "0011";
constant CODE_XOR : std_logic_vector(CODE_W-1 downto 0) := "0100";
constant CODE_XORI : std_logic_vector(CODE_W-1 downto 0) := "0101";
constant CODE_NOR : std_logic_vector(CODE_W-1 downto 0) := "1000";
--ATO
constant CODE_AMAX : std_logic_vector(CODE_W-1 downto 0) := "0010";
constant CODE_AADD : std_logic_vector(CODE_W-1 downto 0) := "0001";
type branch_distance_vec is array(natural range <>) of unsigned(BRANCH_ADDR_W-1 downto 0);
type code_vec_type is array(natural range <>) of std_logic_vector(CODE_W-1 downto 0);
type atomic_type_vec_type is array(natural range <>) of std_logic_vector(2 downto 0);
end FGPU_definitions;
package body FGPU_definitions is
-- function called clogb2 that returns an integer which has the
--value of the ceiling of the log base 2
function clogb2 (bit_depth : integer) return integer is
variable depth : integer := bit_depth;
variable count : integer := 1;
begin
for clogb2 in 1 to bit_depth loop -- Works for up to 32 bit integers
if (bit_depth <= 2) then
count := 1;
else
if(depth <= 1) then
count := count;
else
depth := depth / 2;
count := count + 1;
end if;
end if;
end loop;
return(count);
end;
impure function init_krnl_ram(file_name : in string) return KRNL_SCHEDULER_RAM_type is
file init_file : text open read_mode is file_name;
variable init_line : line;
variable temp_bv : bit_vector(DATA_W-1 downto 0);
variable temp_mem : KRNL_SCHEDULER_RAM_type;
begin
for i in 0 to 16*32-1 loop
readline(init_file, init_line);
hread(init_line, temp_mem(i));
-- read(init_line, temp_bv);
-- temp_mem(i) := to_stdlogicvector(temp_bv);
end loop;
return temp_mem;
end function;
function max (LEFT, RIGHT: integer) return integer is
begin
if LEFT > RIGHT then return LEFT;
else return RIGHT;
end if;
end max;
function min_int (LEFT, RIGHT: integer) return integer is
begin
if LEFT > RIGHT then return RIGHT;
else return LEFT;
end if;
end min_int;
impure function init_CRAM(file_name : in string; file_len : in natural) return cram_type is
file init_file : text open read_mode is file_name;
variable init_line : line;
variable cram : cram_type;
-- variable tmp: std_logic_vector(DATA_W-1 downto 0);
begin
for i in 0 to file_len-1 loop
readline(init_file, init_line);
hread(init_line, cram(i)); -- vivado breaks when synthesizing hread(init_line, cram(0)(i)) without giving any indication about the error
-- cram(i) := tmp;
-- if CRAM_BLOCKS > 1 then
-- for j in 1 to max(1,CRAM_BLOCKS-1) loop
-- cram(j)(i) := cram(0)(i);
-- end loop;
-- end if;
end loop;
return cram;
end function;
impure function init_SLV32_ARRAY_from_file(file_name : in string; len : in natural; file_len : in natural) return SLV32_ARRAY is
file init_file : text open read_mode is file_name;
variable init_line : line;
variable temp_mem : SLV32_ARRAY(len-1 downto 0);
begin
for i in 0 to file_len-1 loop
readline(init_file, init_line);
hread(init_line, temp_mem(i));
end loop;
return temp_mem;
end function;
function pri_enc(datain: in std_logic_vector) return integer is
variable res : integer range 0 to datain'high;
begin
res := 0;
for i in datain'high downto 1 loop
if datain(i) = '1' then
res := i;
end if;
end loop;
return res;
end function;
end FGPU_definitions;
|
-------------------------------------------------------------------------------------------------
-- Company : CNES
-- Author : Mickael Carl (CNES)
-- Copyright : Copyright (c) CNES.
-- Licensing : GNU GPLv3
-------------------------------------------------------------------------------------------------
-- Version : V1
-- Version history :
-- V1 : 2015-04-14 : Mickael Carl (CNES): Creation
-------------------------------------------------------------------------------------------------
-- File name : CNE_01800_bad.vhd
-- File Creation date : 2015-04-14
-- Project name : VHDL Handbook CNES Edition
-------------------------------------------------------------------------------------------------
-- Softwares : Microsoft Windows (Windows 7) - Editor (Eclipse + VEditor)
-------------------------------------------------------------------------------------------------
-- Description : Handbook example: Identification of falling edge detection signal: bad example
--
-- Limitations : This file is an example of the VHDL handbook made by CNES. It is a stub aimed at
-- demonstrating good practices in VHDL and as such, its design is minimalistic.
-- It is provided as is, without any warranty.
-- This example is compliant with the Handbook version 1.
--
-------------------------------------------------------------------------------------------------
-- Naming conventions:
--
-- i_Port: Input entity port
-- o_Port: Output entity port
-- b_Port: Bidirectional entity port
-- g_My_Generic: Generic entity port
--
-- c_My_Constant: Constant definition
-- t_My_Type: Custom type definition
--
-- My_Signal_n: Active low signal
-- v_My_Variable: Variable
-- sm_My_Signal: FSM signal
-- pkg_Param: Element Param coming from a package
--
-- My_Signal_re: Rising edge detection of My_Signal
-- My_Signal_fe: Falling edge detection of My_Signal
-- My_Signal_rX: X times registered My_Signal signal
--
-- P_Process_Name: Process
--
-------------------------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
--CODE
entity CNE_01800_bad is
port (
i_Reset_n : in std_logic; -- Reset signal
i_Clock : in std_logic; -- Clock signal
i_D : in std_logic; -- Signal on which detect edges
o_D : out std_logic -- Falling edge of i_D
);
end CNE_01800_bad;
architecture Behavioral of CNE_01800_bad is
signal D_r1 : std_logic; -- i_D registered 1 time
signal D_r2 : std_logic; -- i_D registered 2 times
begin
-- Rising edge detection process
P_detection: process(i_Reset_n, i_Clock)
begin
if (i_Reset_n='0') then
D_r1 <= '0';
D_r2 <= '0';
elsif (rising_edge(i_Clock)) then
D_r1 <= i_D;
D_r2 <= D_r1;
end if;
end process;
o_D <= not D_r1 and D_r2;
end Behavioral;
--CODE |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2089.vhd,v 1.2 2001-10-26 16:29:45 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s02b04x00p20n01i02089ent IS
END c07s02b04x00p20n01i02089ent;
ARCHITECTURE c07s02b04x00p20n01i02089arch OF c07s02b04x00p20n01i02089ent IS
TYPE bit_v is array (integer range <>) of bit;
SUBTYPE bit_4 is bit_v (1 to 4);
SUBTYPE bit_null is bit_v (1 to 0);
BEGIN
TESTING: PROCESS
variable result : bit_4;
variable l_operand : bit_4 := ('1','0','1','0');
variable r_operand : bit_null;
BEGIN
result := l_operand & r_operand;
wait for 5 ns;
assert NOT((result = ('1','0','1','0')) and (result(1) = '1'))
report "***PASSED TEST: c07s02b04x00p20n01i02089"
severity NOTE;
assert ((result = ('1','0','1','0')) and (result(1) = '1'))
report "***FAILED TEST: c07s02b04x00p20n01i02089 - Concatenation of null and BIT array failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s02b04x00p20n01i02089arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2089.vhd,v 1.2 2001-10-26 16:29:45 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s02b04x00p20n01i02089ent IS
END c07s02b04x00p20n01i02089ent;
ARCHITECTURE c07s02b04x00p20n01i02089arch OF c07s02b04x00p20n01i02089ent IS
TYPE bit_v is array (integer range <>) of bit;
SUBTYPE bit_4 is bit_v (1 to 4);
SUBTYPE bit_null is bit_v (1 to 0);
BEGIN
TESTING: PROCESS
variable result : bit_4;
variable l_operand : bit_4 := ('1','0','1','0');
variable r_operand : bit_null;
BEGIN
result := l_operand & r_operand;
wait for 5 ns;
assert NOT((result = ('1','0','1','0')) and (result(1) = '1'))
report "***PASSED TEST: c07s02b04x00p20n01i02089"
severity NOTE;
assert ((result = ('1','0','1','0')) and (result(1) = '1'))
report "***FAILED TEST: c07s02b04x00p20n01i02089 - Concatenation of null and BIT array failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s02b04x00p20n01i02089arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2089.vhd,v 1.2 2001-10-26 16:29:45 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s02b04x00p20n01i02089ent IS
END c07s02b04x00p20n01i02089ent;
ARCHITECTURE c07s02b04x00p20n01i02089arch OF c07s02b04x00p20n01i02089ent IS
TYPE bit_v is array (integer range <>) of bit;
SUBTYPE bit_4 is bit_v (1 to 4);
SUBTYPE bit_null is bit_v (1 to 0);
BEGIN
TESTING: PROCESS
variable result : bit_4;
variable l_operand : bit_4 := ('1','0','1','0');
variable r_operand : bit_null;
BEGIN
result := l_operand & r_operand;
wait for 5 ns;
assert NOT((result = ('1','0','1','0')) and (result(1) = '1'))
report "***PASSED TEST: c07s02b04x00p20n01i02089"
severity NOTE;
assert ((result = ('1','0','1','0')) and (result(1) = '1'))
report "***FAILED TEST: c07s02b04x00p20n01i02089 - Concatenation of null and BIT array failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s02b04x00p20n01i02089arch;
|
entity e is end entity;
architecture a of e is
signal s :boolean;
begin
assert not s;
end architecture;
|
entity e is end entity;
architecture a of e is
signal s :boolean;
begin
assert not s;
end architecture;
|
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:fifo_generator:12.0
-- IP Revision: 4
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY fifo_generator_v12_0;
USE fifo_generator_v12_0.fifo_generator_v12_0;
ENTITY dcfifo_32in_32out_32kb IS
PORT (
rst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
rd_data_count : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
wr_data_count : OUT STD_LOGIC_VECTOR(1 DOWNTO 0)
);
END dcfifo_32in_32out_32kb;
ARCHITECTURE dcfifo_32in_32out_32kb_arch OF dcfifo_32in_32out_32kb IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF dcfifo_32in_32out_32kb_arch: ARCHITECTURE IS "yes";
COMPONENT fifo_generator_v12_0 IS
GENERIC (
C_COMMON_CLOCK : INTEGER;
C_COUNT_TYPE : INTEGER;
C_DATA_COUNT_WIDTH : INTEGER;
C_DEFAULT_VALUE : STRING;
C_DIN_WIDTH : INTEGER;
C_DOUT_RST_VAL : STRING;
C_DOUT_WIDTH : INTEGER;
C_ENABLE_RLOCS : INTEGER;
C_FAMILY : STRING;
C_FULL_FLAGS_RST_VAL : INTEGER;
C_HAS_ALMOST_EMPTY : INTEGER;
C_HAS_ALMOST_FULL : INTEGER;
C_HAS_BACKUP : INTEGER;
C_HAS_DATA_COUNT : INTEGER;
C_HAS_INT_CLK : INTEGER;
C_HAS_MEMINIT_FILE : INTEGER;
C_HAS_OVERFLOW : INTEGER;
C_HAS_RD_DATA_COUNT : INTEGER;
C_HAS_RD_RST : INTEGER;
C_HAS_RST : INTEGER;
C_HAS_SRST : INTEGER;
C_HAS_UNDERFLOW : INTEGER;
C_HAS_VALID : INTEGER;
C_HAS_WR_ACK : INTEGER;
C_HAS_WR_DATA_COUNT : INTEGER;
C_HAS_WR_RST : INTEGER;
C_IMPLEMENTATION_TYPE : INTEGER;
C_INIT_WR_PNTR_VAL : INTEGER;
C_MEMORY_TYPE : INTEGER;
C_MIF_FILE_NAME : STRING;
C_OPTIMIZATION_MODE : INTEGER;
C_OVERFLOW_LOW : INTEGER;
C_PRELOAD_LATENCY : INTEGER;
C_PRELOAD_REGS : INTEGER;
C_PRIM_FIFO_TYPE : STRING;
C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER;
C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER;
C_PROG_EMPTY_TYPE : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER;
C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER;
C_PROG_FULL_TYPE : INTEGER;
C_RD_DATA_COUNT_WIDTH : INTEGER;
C_RD_DEPTH : INTEGER;
C_RD_FREQ : INTEGER;
C_RD_PNTR_WIDTH : INTEGER;
C_UNDERFLOW_LOW : INTEGER;
C_USE_DOUT_RST : INTEGER;
C_USE_ECC : INTEGER;
C_USE_EMBEDDED_REG : INTEGER;
C_USE_PIPELINE_REG : INTEGER;
C_POWER_SAVING_MODE : INTEGER;
C_USE_FIFO16_FLAGS : INTEGER;
C_USE_FWFT_DATA_COUNT : INTEGER;
C_VALID_LOW : INTEGER;
C_WR_ACK_LOW : INTEGER;
C_WR_DATA_COUNT_WIDTH : INTEGER;
C_WR_DEPTH : INTEGER;
C_WR_FREQ : INTEGER;
C_WR_PNTR_WIDTH : INTEGER;
C_WR_RESPONSE_LATENCY : INTEGER;
C_MSGON_VAL : INTEGER;
C_ENABLE_RST_SYNC : INTEGER;
C_ERROR_INJECTION_TYPE : INTEGER;
C_SYNCHRONIZER_STAGE : INTEGER;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_HAS_AXI_WR_CHANNEL : INTEGER;
C_HAS_AXI_RD_CHANNEL : INTEGER;
C_HAS_SLAVE_CE : INTEGER;
C_HAS_MASTER_CE : INTEGER;
C_ADD_NGC_CONSTRAINT : INTEGER;
C_USE_COMMON_OVERFLOW : INTEGER;
C_USE_COMMON_UNDERFLOW : INTEGER;
C_USE_DEFAULT_SETTINGS : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_AXI_ADDR_WIDTH : INTEGER;
C_AXI_DATA_WIDTH : INTEGER;
C_AXI_LEN_WIDTH : INTEGER;
C_AXI_LOCK_WIDTH : INTEGER;
C_HAS_AXI_ID : INTEGER;
C_HAS_AXI_AWUSER : INTEGER;
C_HAS_AXI_WUSER : INTEGER;
C_HAS_AXI_BUSER : INTEGER;
C_HAS_AXI_ARUSER : INTEGER;
C_HAS_AXI_RUSER : INTEGER;
C_AXI_ARUSER_WIDTH : INTEGER;
C_AXI_AWUSER_WIDTH : INTEGER;
C_AXI_WUSER_WIDTH : INTEGER;
C_AXI_BUSER_WIDTH : INTEGER;
C_AXI_RUSER_WIDTH : INTEGER;
C_HAS_AXIS_TDATA : INTEGER;
C_HAS_AXIS_TID : INTEGER;
C_HAS_AXIS_TDEST : INTEGER;
C_HAS_AXIS_TUSER : INTEGER;
C_HAS_AXIS_TREADY : INTEGER;
C_HAS_AXIS_TLAST : INTEGER;
C_HAS_AXIS_TSTRB : INTEGER;
C_HAS_AXIS_TKEEP : INTEGER;
C_AXIS_TDATA_WIDTH : INTEGER;
C_AXIS_TID_WIDTH : INTEGER;
C_AXIS_TDEST_WIDTH : INTEGER;
C_AXIS_TUSER_WIDTH : INTEGER;
C_AXIS_TSTRB_WIDTH : INTEGER;
C_AXIS_TKEEP_WIDTH : INTEGER;
C_WACH_TYPE : INTEGER;
C_WDCH_TYPE : INTEGER;
C_WRCH_TYPE : INTEGER;
C_RACH_TYPE : INTEGER;
C_RDCH_TYPE : INTEGER;
C_AXIS_TYPE : INTEGER;
C_IMPLEMENTATION_TYPE_WACH : INTEGER;
C_IMPLEMENTATION_TYPE_WDCH : INTEGER;
C_IMPLEMENTATION_TYPE_WRCH : INTEGER;
C_IMPLEMENTATION_TYPE_RACH : INTEGER;
C_IMPLEMENTATION_TYPE_RDCH : INTEGER;
C_IMPLEMENTATION_TYPE_AXIS : INTEGER;
C_APPLICATION_TYPE_WACH : INTEGER;
C_APPLICATION_TYPE_WDCH : INTEGER;
C_APPLICATION_TYPE_WRCH : INTEGER;
C_APPLICATION_TYPE_RACH : INTEGER;
C_APPLICATION_TYPE_RDCH : INTEGER;
C_APPLICATION_TYPE_AXIS : INTEGER;
C_PRIM_FIFO_TYPE_WACH : STRING;
C_PRIM_FIFO_TYPE_WDCH : STRING;
C_PRIM_FIFO_TYPE_WRCH : STRING;
C_PRIM_FIFO_TYPE_RACH : STRING;
C_PRIM_FIFO_TYPE_RDCH : STRING;
C_PRIM_FIFO_TYPE_AXIS : STRING;
C_USE_ECC_WACH : INTEGER;
C_USE_ECC_WDCH : INTEGER;
C_USE_ECC_WRCH : INTEGER;
C_USE_ECC_RACH : INTEGER;
C_USE_ECC_RDCH : INTEGER;
C_USE_ECC_AXIS : INTEGER;
C_ERROR_INJECTION_TYPE_WACH : INTEGER;
C_ERROR_INJECTION_TYPE_WDCH : INTEGER;
C_ERROR_INJECTION_TYPE_WRCH : INTEGER;
C_ERROR_INJECTION_TYPE_RACH : INTEGER;
C_ERROR_INJECTION_TYPE_RDCH : INTEGER;
C_ERROR_INJECTION_TYPE_AXIS : INTEGER;
C_DIN_WIDTH_WACH : INTEGER;
C_DIN_WIDTH_WDCH : INTEGER;
C_DIN_WIDTH_WRCH : INTEGER;
C_DIN_WIDTH_RACH : INTEGER;
C_DIN_WIDTH_RDCH : INTEGER;
C_DIN_WIDTH_AXIS : INTEGER;
C_WR_DEPTH_WACH : INTEGER;
C_WR_DEPTH_WDCH : INTEGER;
C_WR_DEPTH_WRCH : INTEGER;
C_WR_DEPTH_RACH : INTEGER;
C_WR_DEPTH_RDCH : INTEGER;
C_WR_DEPTH_AXIS : INTEGER;
C_WR_PNTR_WIDTH_WACH : INTEGER;
C_WR_PNTR_WIDTH_WDCH : INTEGER;
C_WR_PNTR_WIDTH_WRCH : INTEGER;
C_WR_PNTR_WIDTH_RACH : INTEGER;
C_WR_PNTR_WIDTH_RDCH : INTEGER;
C_WR_PNTR_WIDTH_AXIS : INTEGER;
C_HAS_DATA_COUNTS_WACH : INTEGER;
C_HAS_DATA_COUNTS_WDCH : INTEGER;
C_HAS_DATA_COUNTS_WRCH : INTEGER;
C_HAS_DATA_COUNTS_RACH : INTEGER;
C_HAS_DATA_COUNTS_RDCH : INTEGER;
C_HAS_DATA_COUNTS_AXIS : INTEGER;
C_HAS_PROG_FLAGS_WACH : INTEGER;
C_HAS_PROG_FLAGS_WDCH : INTEGER;
C_HAS_PROG_FLAGS_WRCH : INTEGER;
C_HAS_PROG_FLAGS_RACH : INTEGER;
C_HAS_PROG_FLAGS_RDCH : INTEGER;
C_HAS_PROG_FLAGS_AXIS : INTEGER;
C_PROG_FULL_TYPE_WACH : INTEGER;
C_PROG_FULL_TYPE_WDCH : INTEGER;
C_PROG_FULL_TYPE_WRCH : INTEGER;
C_PROG_FULL_TYPE_RACH : INTEGER;
C_PROG_FULL_TYPE_RDCH : INTEGER;
C_PROG_FULL_TYPE_AXIS : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_PROG_EMPTY_TYPE_WACH : INTEGER;
C_PROG_EMPTY_TYPE_WDCH : INTEGER;
C_PROG_EMPTY_TYPE_WRCH : INTEGER;
C_PROG_EMPTY_TYPE_RACH : INTEGER;
C_PROG_EMPTY_TYPE_RDCH : INTEGER;
C_PROG_EMPTY_TYPE_AXIS : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_REG_SLICE_MODE_WACH : INTEGER;
C_REG_SLICE_MODE_WDCH : INTEGER;
C_REG_SLICE_MODE_WRCH : INTEGER;
C_REG_SLICE_MODE_RACH : INTEGER;
C_REG_SLICE_MODE_RDCH : INTEGER;
C_REG_SLICE_MODE_AXIS : INTEGER
);
PORT (
backup : IN STD_LOGIC;
backup_marker : IN STD_LOGIC;
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
srst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
wr_rst : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
rd_rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_full_thresh_assert : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_full_thresh_negate : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
int_clk : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
injectsbiterr : IN STD_LOGIC;
sleep : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
full : OUT STD_LOGIC;
almost_full : OUT STD_LOGIC;
wr_ack : OUT STD_LOGIC;
overflow : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
almost_empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC;
underflow : OUT STD_LOGIC;
data_count : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
rd_data_count : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
wr_data_count : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
prog_full : OUT STD_LOGIC;
prog_empty : OUT STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
wr_rst_busy : OUT STD_LOGIC;
rd_rst_busy : OUT STD_LOGIC;
m_aclk : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
m_aclk_en : IN STD_LOGIC;
s_aclk_en : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awvalid : OUT STD_LOGIC;
m_axi_awready : IN STD_LOGIC;
m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_wlast : OUT STD_LOGIC;
m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wvalid : OUT STD_LOGIC;
m_axi_wready : IN STD_LOGIC;
m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bvalid : IN STD_LOGIC;
m_axi_bready : OUT STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arvalid : OUT STD_LOGIC;
m_axi_arready : IN STD_LOGIC;
m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_rlast : IN STD_LOGIC;
m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rvalid : IN STD_LOGIC;
m_axi_rready : OUT STD_LOGIC;
s_axis_tvalid : IN STD_LOGIC;
s_axis_tready : OUT STD_LOGIC;
s_axis_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_tstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tlast : IN STD_LOGIC;
s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_tvalid : OUT STD_LOGIC;
m_axis_tready : IN STD_LOGIC;
m_axis_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_tstrb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tlast : OUT STD_LOGIC;
m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_injectsbiterr : IN STD_LOGIC;
axi_aw_injectdbiterr : IN STD_LOGIC;
axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_sbiterr : OUT STD_LOGIC;
axi_aw_dbiterr : OUT STD_LOGIC;
axi_aw_overflow : OUT STD_LOGIC;
axi_aw_underflow : OUT STD_LOGIC;
axi_aw_prog_full : OUT STD_LOGIC;
axi_aw_prog_empty : OUT STD_LOGIC;
axi_w_injectsbiterr : IN STD_LOGIC;
axi_w_injectdbiterr : IN STD_LOGIC;
axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_sbiterr : OUT STD_LOGIC;
axi_w_dbiterr : OUT STD_LOGIC;
axi_w_overflow : OUT STD_LOGIC;
axi_w_underflow : OUT STD_LOGIC;
axi_w_prog_full : OUT STD_LOGIC;
axi_w_prog_empty : OUT STD_LOGIC;
axi_b_injectsbiterr : IN STD_LOGIC;
axi_b_injectdbiterr : IN STD_LOGIC;
axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_sbiterr : OUT STD_LOGIC;
axi_b_dbiterr : OUT STD_LOGIC;
axi_b_overflow : OUT STD_LOGIC;
axi_b_underflow : OUT STD_LOGIC;
axi_b_prog_full : OUT STD_LOGIC;
axi_b_prog_empty : OUT STD_LOGIC;
axi_ar_injectsbiterr : IN STD_LOGIC;
axi_ar_injectdbiterr : IN STD_LOGIC;
axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_sbiterr : OUT STD_LOGIC;
axi_ar_dbiterr : OUT STD_LOGIC;
axi_ar_overflow : OUT STD_LOGIC;
axi_ar_underflow : OUT STD_LOGIC;
axi_ar_prog_full : OUT STD_LOGIC;
axi_ar_prog_empty : OUT STD_LOGIC;
axi_r_injectsbiterr : IN STD_LOGIC;
axi_r_injectdbiterr : IN STD_LOGIC;
axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_sbiterr : OUT STD_LOGIC;
axi_r_dbiterr : OUT STD_LOGIC;
axi_r_overflow : OUT STD_LOGIC;
axi_r_underflow : OUT STD_LOGIC;
axi_r_prog_full : OUT STD_LOGIC;
axi_r_prog_empty : OUT STD_LOGIC;
axis_injectsbiterr : IN STD_LOGIC;
axis_injectdbiterr : IN STD_LOGIC;
axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_sbiterr : OUT STD_LOGIC;
axis_dbiterr : OUT STD_LOGIC;
axis_overflow : OUT STD_LOGIC;
axis_underflow : OUT STD_LOGIC;
axis_prog_full : OUT STD_LOGIC;
axis_prog_empty : OUT STD_LOGIC
);
END COMPONENT fifo_generator_v12_0;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF dcfifo_32in_32out_32kb_arch: ARCHITECTURE IS "fifo_generator_v12_0,Vivado 2015.1";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF dcfifo_32in_32out_32kb_arch : ARCHITECTURE IS "dcfifo_32in_32out_32kb,fifo_generator_v12_0,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF dcfifo_32in_32out_32kb_arch: ARCHITECTURE IS "dcfifo_32in_32out_32kb,fifo_generator_v12_0,{x_ipProduct=Vivado 2015.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=12.0,x_ipCoreRevision=4,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=10,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=32,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=32,C_ENABLE_RLOCS=0,C_FAMILY=artix7,C_FULL_FLAGS_RST_VAL=1,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=1,C_HAS_RD_RST=0,C_HAS_RST=1,C_HAS_SRST=0,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=1,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=2,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=1,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=1,C_PRELOAD_REGS=0,C_PRIM_FIFO_TYPE=1kx36,C_PROG_EMPTY_THRESH_ASSERT_VAL=2,C_PROG_EMPTY_THRESH_NEGATE_VAL=3,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=1021,C_PROG_FULL_THRESH_NEGATE_VAL=1020,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=3,C_RD_DEPTH=1024,C_RD_FREQ=1,C_RD_PNTR_WIDTH=10,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=2,C_WR_DEPTH=1024,C_WR_FREQ=1,C_WR_PNTR_WIDTH=10,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF wr_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 write_clk CLK";
ATTRIBUTE X_INTERFACE_INFO OF rd_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 read_clk CLK";
ATTRIBUTE X_INTERFACE_INFO OF din: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA";
ATTRIBUTE X_INTERFACE_INFO OF wr_en: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN";
ATTRIBUTE X_INTERFACE_INFO OF rd_en: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN";
ATTRIBUTE X_INTERFACE_INFO OF dout: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA";
ATTRIBUTE X_INTERFACE_INFO OF full: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL";
ATTRIBUTE X_INTERFACE_INFO OF empty: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY";
BEGIN
U0 : fifo_generator_v12_0
GENERIC MAP (
C_COMMON_CLOCK => 0,
C_COUNT_TYPE => 0,
C_DATA_COUNT_WIDTH => 10,
C_DEFAULT_VALUE => "BlankString",
C_DIN_WIDTH => 32,
C_DOUT_RST_VAL => "0",
C_DOUT_WIDTH => 32,
C_ENABLE_RLOCS => 0,
C_FAMILY => "artix7",
C_FULL_FLAGS_RST_VAL => 1,
C_HAS_ALMOST_EMPTY => 0,
C_HAS_ALMOST_FULL => 0,
C_HAS_BACKUP => 0,
C_HAS_DATA_COUNT => 0,
C_HAS_INT_CLK => 0,
C_HAS_MEMINIT_FILE => 0,
C_HAS_OVERFLOW => 0,
C_HAS_RD_DATA_COUNT => 1,
C_HAS_RD_RST => 0,
C_HAS_RST => 1,
C_HAS_SRST => 0,
C_HAS_UNDERFLOW => 0,
C_HAS_VALID => 0,
C_HAS_WR_ACK => 0,
C_HAS_WR_DATA_COUNT => 1,
C_HAS_WR_RST => 0,
C_IMPLEMENTATION_TYPE => 2,
C_INIT_WR_PNTR_VAL => 0,
C_MEMORY_TYPE => 1,
C_MIF_FILE_NAME => "BlankString",
C_OPTIMIZATION_MODE => 0,
C_OVERFLOW_LOW => 0,
C_PRELOAD_LATENCY => 1,
C_PRELOAD_REGS => 0,
C_PRIM_FIFO_TYPE => "1kx36",
C_PROG_EMPTY_THRESH_ASSERT_VAL => 2,
C_PROG_EMPTY_THRESH_NEGATE_VAL => 3,
C_PROG_EMPTY_TYPE => 0,
C_PROG_FULL_THRESH_ASSERT_VAL => 1021,
C_PROG_FULL_THRESH_NEGATE_VAL => 1020,
C_PROG_FULL_TYPE => 0,
C_RD_DATA_COUNT_WIDTH => 3,
C_RD_DEPTH => 1024,
C_RD_FREQ => 1,
C_RD_PNTR_WIDTH => 10,
C_UNDERFLOW_LOW => 0,
C_USE_DOUT_RST => 1,
C_USE_ECC => 0,
C_USE_EMBEDDED_REG => 0,
C_USE_PIPELINE_REG => 0,
C_POWER_SAVING_MODE => 0,
C_USE_FIFO16_FLAGS => 0,
C_USE_FWFT_DATA_COUNT => 0,
C_VALID_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_DATA_COUNT_WIDTH => 2,
C_WR_DEPTH => 1024,
C_WR_FREQ => 1,
C_WR_PNTR_WIDTH => 10,
C_WR_RESPONSE_LATENCY => 1,
C_MSGON_VAL => 1,
C_ENABLE_RST_SYNC => 1,
C_ERROR_INJECTION_TYPE => 0,
C_SYNCHRONIZER_STAGE => 2,
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_HAS_AXI_WR_CHANNEL => 1,
C_HAS_AXI_RD_CHANNEL => 1,
C_HAS_SLAVE_CE => 0,
C_HAS_MASTER_CE => 0,
C_ADD_NGC_CONSTRAINT => 0,
C_USE_COMMON_OVERFLOW => 0,
C_USE_COMMON_UNDERFLOW => 0,
C_USE_DEFAULT_SETTINGS => 0,
C_AXI_ID_WIDTH => 1,
C_AXI_ADDR_WIDTH => 32,
C_AXI_DATA_WIDTH => 64,
C_AXI_LEN_WIDTH => 8,
C_AXI_LOCK_WIDTH => 1,
C_HAS_AXI_ID => 0,
C_HAS_AXI_AWUSER => 0,
C_HAS_AXI_WUSER => 0,
C_HAS_AXI_BUSER => 0,
C_HAS_AXI_ARUSER => 0,
C_HAS_AXI_RUSER => 0,
C_AXI_ARUSER_WIDTH => 1,
C_AXI_AWUSER_WIDTH => 1,
C_AXI_WUSER_WIDTH => 1,
C_AXI_BUSER_WIDTH => 1,
C_AXI_RUSER_WIDTH => 1,
C_HAS_AXIS_TDATA => 1,
C_HAS_AXIS_TID => 0,
C_HAS_AXIS_TDEST => 0,
C_HAS_AXIS_TUSER => 1,
C_HAS_AXIS_TREADY => 1,
C_HAS_AXIS_TLAST => 0,
C_HAS_AXIS_TSTRB => 0,
C_HAS_AXIS_TKEEP => 0,
C_AXIS_TDATA_WIDTH => 8,
C_AXIS_TID_WIDTH => 1,
C_AXIS_TDEST_WIDTH => 1,
C_AXIS_TUSER_WIDTH => 4,
C_AXIS_TSTRB_WIDTH => 1,
C_AXIS_TKEEP_WIDTH => 1,
C_WACH_TYPE => 0,
C_WDCH_TYPE => 0,
C_WRCH_TYPE => 0,
C_RACH_TYPE => 0,
C_RDCH_TYPE => 0,
C_AXIS_TYPE => 0,
C_IMPLEMENTATION_TYPE_WACH => 1,
C_IMPLEMENTATION_TYPE_WDCH => 1,
C_IMPLEMENTATION_TYPE_WRCH => 1,
C_IMPLEMENTATION_TYPE_RACH => 1,
C_IMPLEMENTATION_TYPE_RDCH => 1,
C_IMPLEMENTATION_TYPE_AXIS => 1,
C_APPLICATION_TYPE_WACH => 0,
C_APPLICATION_TYPE_WDCH => 0,
C_APPLICATION_TYPE_WRCH => 0,
C_APPLICATION_TYPE_RACH => 0,
C_APPLICATION_TYPE_RDCH => 0,
C_APPLICATION_TYPE_AXIS => 0,
C_PRIM_FIFO_TYPE_WACH => "512x36",
C_PRIM_FIFO_TYPE_WDCH => "1kx36",
C_PRIM_FIFO_TYPE_WRCH => "512x36",
C_PRIM_FIFO_TYPE_RACH => "512x36",
C_PRIM_FIFO_TYPE_RDCH => "1kx36",
C_PRIM_FIFO_TYPE_AXIS => "1kx18",
C_USE_ECC_WACH => 0,
C_USE_ECC_WDCH => 0,
C_USE_ECC_WRCH => 0,
C_USE_ECC_RACH => 0,
C_USE_ECC_RDCH => 0,
C_USE_ECC_AXIS => 0,
C_ERROR_INJECTION_TYPE_WACH => 0,
C_ERROR_INJECTION_TYPE_WDCH => 0,
C_ERROR_INJECTION_TYPE_WRCH => 0,
C_ERROR_INJECTION_TYPE_RACH => 0,
C_ERROR_INJECTION_TYPE_RDCH => 0,
C_ERROR_INJECTION_TYPE_AXIS => 0,
C_DIN_WIDTH_WACH => 32,
C_DIN_WIDTH_WDCH => 64,
C_DIN_WIDTH_WRCH => 2,
C_DIN_WIDTH_RACH => 32,
C_DIN_WIDTH_RDCH => 64,
C_DIN_WIDTH_AXIS => 1,
C_WR_DEPTH_WACH => 16,
C_WR_DEPTH_WDCH => 1024,
C_WR_DEPTH_WRCH => 16,
C_WR_DEPTH_RACH => 16,
C_WR_DEPTH_RDCH => 1024,
C_WR_DEPTH_AXIS => 1024,
C_WR_PNTR_WIDTH_WACH => 4,
C_WR_PNTR_WIDTH_WDCH => 10,
C_WR_PNTR_WIDTH_WRCH => 4,
C_WR_PNTR_WIDTH_RACH => 4,
C_WR_PNTR_WIDTH_RDCH => 10,
C_WR_PNTR_WIDTH_AXIS => 10,
C_HAS_DATA_COUNTS_WACH => 0,
C_HAS_DATA_COUNTS_WDCH => 0,
C_HAS_DATA_COUNTS_WRCH => 0,
C_HAS_DATA_COUNTS_RACH => 0,
C_HAS_DATA_COUNTS_RDCH => 0,
C_HAS_DATA_COUNTS_AXIS => 0,
C_HAS_PROG_FLAGS_WACH => 0,
C_HAS_PROG_FLAGS_WDCH => 0,
C_HAS_PROG_FLAGS_WRCH => 0,
C_HAS_PROG_FLAGS_RACH => 0,
C_HAS_PROG_FLAGS_RDCH => 0,
C_HAS_PROG_FLAGS_AXIS => 0,
C_PROG_FULL_TYPE_WACH => 0,
C_PROG_FULL_TYPE_WDCH => 0,
C_PROG_FULL_TYPE_WRCH => 0,
C_PROG_FULL_TYPE_RACH => 0,
C_PROG_FULL_TYPE_RDCH => 0,
C_PROG_FULL_TYPE_AXIS => 0,
C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023,
C_PROG_EMPTY_TYPE_WACH => 0,
C_PROG_EMPTY_TYPE_WDCH => 0,
C_PROG_EMPTY_TYPE_WRCH => 0,
C_PROG_EMPTY_TYPE_RACH => 0,
C_PROG_EMPTY_TYPE_RDCH => 0,
C_PROG_EMPTY_TYPE_AXIS => 0,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022,
C_REG_SLICE_MODE_WACH => 0,
C_REG_SLICE_MODE_WDCH => 0,
C_REG_SLICE_MODE_WRCH => 0,
C_REG_SLICE_MODE_RACH => 0,
C_REG_SLICE_MODE_RDCH => 0,
C_REG_SLICE_MODE_AXIS => 0
)
PORT MAP (
backup => '0',
backup_marker => '0',
clk => '0',
rst => rst,
srst => '0',
wr_clk => wr_clk,
wr_rst => '0',
rd_clk => rd_clk,
rd_rst => '0',
din => din,
wr_en => wr_en,
rd_en => rd_en,
prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
int_clk => '0',
injectdbiterr => '0',
injectsbiterr => '0',
sleep => '0',
dout => dout,
full => full,
empty => empty,
rd_data_count => rd_data_count,
wr_data_count => wr_data_count,
m_aclk => '0',
s_aclk => '0',
s_aresetn => '0',
m_aclk_en => '0',
s_aclk_en => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awvalid => '0',
s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_wlast => '0',
s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wvalid => '0',
s_axi_bready => '0',
m_axi_awready => '0',
m_axi_wready => '0',
m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bvalid => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arvalid => '0',
s_axi_rready => '0',
m_axi_arready => '0',
m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_rlast => '0',
m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rvalid => '0',
s_axis_tvalid => '0',
s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tlast => '0',
s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
m_axis_tready => '0',
axi_aw_injectsbiterr => '0',
axi_aw_injectdbiterr => '0',
axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_w_injectsbiterr => '0',
axi_w_injectdbiterr => '0',
axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_b_injectsbiterr => '0',
axi_b_injectdbiterr => '0',
axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_injectsbiterr => '0',
axi_ar_injectdbiterr => '0',
axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_r_injectsbiterr => '0',
axi_r_injectdbiterr => '0',
axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axis_injectsbiterr => '0',
axis_injectdbiterr => '0',
axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10))
);
END dcfifo_32in_32out_32kb_arch;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 19:30:29 11/21/2013
-- Design Name:
-- Module Name: Controller - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use work.Common.all;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity Controller is
Port(
Op : in STD_LOGIC_VECTOR(4 downto 0);
rst : in STD_LOGIC;
ALUop : out STD_LOGIC_VECTOR(2 downto 0);
ALUsrc : out STD_LOGIC;
TType : out STD_LOGIC;
TWrite : out STD_LOGIC;
MemRead : out STD_LOGIC;
MemWrite : out STD_LOGIC;
MemtoReg : out STD_LOGIC;
RegWrite: out STD_LOGIC;
ret: out std_logic
);
end Controller;
architecture Behavioral of Controller is
begin
process(Op, rst)
begin
if rst = '0' then
ALUop <= "000";
TType <= '0';
MemRead <= '0';
MemWrite <= '0';
MemtoReg <= '0';
RegWrite <= '0';
ret <= '0';
else
case Op is
when "01000" | "01001" | "01101" | "10010" =>
ALUop <= "001";
when "00101" =>
ALUop <= "010";
when "00110" =>
ALUop <= "011";
when "00111" =>
ALUop <= "100";
when "01010" =>
ALUop <= "101";
when "01011" =>
ALUop <= "110";
when others =>
ALUop <= "000";
end case;
if Op = "01010" or Op = "01011" or (Op >= "01110" and Op <= "10111") then
ALUsrc <= '1';
else
ALUsrc <= '0';
end if;
if Op = "01001" then
TType <= '1';
else
TType <= '0';
end if;
if Op = "01000" or Op = "01001" or Op = "10010" then
TWrite <= '1';
else
TWrite <= '0';
end if;
if Op = "01111" or Op = "10110" then
MemRead <= '1';
else
MemRead <= '0';
end if;
if Op = "10000" or Op = "10111" then
MemWrite <= '1';
else
MemWrite <= '0';
end if;
if Op = "01000" or Op = "10110" then
MemtoReg <= '1';
else
MemtoReg <= '0';
end if;
if (Op >= "00001" and Op <= "00111") or
(Op >= "01010" and Op <= "01111") or (Op = "10001") or
(Op >= "10011" and Op <= "10110") then
RegWrite <= '1';
else
RegWrite <= '0';
end if;
if Op = "11111" then
ret <= '1';
else
ret <= '0';
end if;
end if;
end process;
end Behavioral;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
dUotDiO/P+1eL1pYuR0rYaf3eqQJxS0u4SevgRCgAtuJDhVd0sb5c7yjh2piBj/+s/v1jdXlgUur
4fBQslDFnw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
chPzPf0StVQExUXLLKh4o7Mmt8tFZwBPBeDxFxVum/weWbtzoCz719Ko7yHJBjfadFhG4eLKiib1
Tt8hSp5P5MliLovyHWWSPE0lPi+03V8MQ0fZ6Hozd1JQpMioxgag7pjJWjzSdXRUKiMlB3s7RQbl
HRTVOxsHggQXb0+fGws=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
IUrIfP1uAFE1zO8jEhtFRR2pANYwA6cP9F4HX6OrS37XhJ/d1AoeW2gachwmPN+eEnzn2i844rnx
OpAV8D/2wvjfpqkl/O6tcg7zYRzo+lo5/+ztqASMNEf/GnD2bTSq3IiR3OtjvREoqWSP7As7xfoN
Au0mCkL4hL9rCtbmW87+oRvQdBM8WIu7IdHxHmuny4I012oCaOwzNWZJbq5748ve6VoVwd9fq7xV
4oNuJuYIo7x7A7XBfLgHjNpu+/BPKBLssi3KpswN1W6TdjzCBb0VMbk9hlEaQ9b0C9cNqsPMG2J9
Yp3qtrEl2XGLviKjsAWdQgy82Nv7S8TOA0XTtQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
l+o/+1IlvkTmU18EIjBM0Uomvc2/BliRyUgZUP4BuLDwSXvbO/1Nz4krGrkpNbNoE1YesIwynIeV
zBCn7SwMf79YK3kpWX8pbLUoTJH4MjUJDV3Tt1nXlr+Tu4XM62/sceeYSib+rwgQMRQkCwtA+hgB
iw55gwIvwAdQoGximTk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
YSfYyZXaSrPB9BhgW+PrZ6kTP9SDGwdiQZTt/cKghrq1MWbYmBc3lKtdirwr3SjE4wgXqvm9YHZ/
lotDQ3REvt8DdILIxfVljuWx4DBRXrwucz64RCkGsMsScuEvO98VAkaJGiJ5fdh0rtlmqbqLiIZZ
TZN6yvX3HmQaF9KXzednTEWx4lECwlEMm3lcZq8zgKFt8QC5FQTn4Pka3qcPB/W2OC/4Y9TtkJBz
m1aMufliOw7ZBgOPM0QeZYi3wJOFzizTKO3a3swstC8adX3zgRlANp/L3C4JXYfXRlvx1k9nuU7O
+bpnJnOrbc8xwgW4G3khRDGIhLEaIP2/FqpRzw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4320)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
dUotDiO/P+1eL1pYuR0rYaf3eqQJxS0u4SevgRCgAtuJDhVd0sb5c7yjh2piBj/+s/v1jdXlgUur
4fBQslDFnw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
chPzPf0StVQExUXLLKh4o7Mmt8tFZwBPBeDxFxVum/weWbtzoCz719Ko7yHJBjfadFhG4eLKiib1
Tt8hSp5P5MliLovyHWWSPE0lPi+03V8MQ0fZ6Hozd1JQpMioxgag7pjJWjzSdXRUKiMlB3s7RQbl
HRTVOxsHggQXb0+fGws=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
IUrIfP1uAFE1zO8jEhtFRR2pANYwA6cP9F4HX6OrS37XhJ/d1AoeW2gachwmPN+eEnzn2i844rnx
OpAV8D/2wvjfpqkl/O6tcg7zYRzo+lo5/+ztqASMNEf/GnD2bTSq3IiR3OtjvREoqWSP7As7xfoN
Au0mCkL4hL9rCtbmW87+oRvQdBM8WIu7IdHxHmuny4I012oCaOwzNWZJbq5748ve6VoVwd9fq7xV
4oNuJuYIo7x7A7XBfLgHjNpu+/BPKBLssi3KpswN1W6TdjzCBb0VMbk9hlEaQ9b0C9cNqsPMG2J9
Yp3qtrEl2XGLviKjsAWdQgy82Nv7S8TOA0XTtQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
l+o/+1IlvkTmU18EIjBM0Uomvc2/BliRyUgZUP4BuLDwSXvbO/1Nz4krGrkpNbNoE1YesIwynIeV
zBCn7SwMf79YK3kpWX8pbLUoTJH4MjUJDV3Tt1nXlr+Tu4XM62/sceeYSib+rwgQMRQkCwtA+hgB
iw55gwIvwAdQoGximTk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
YSfYyZXaSrPB9BhgW+PrZ6kTP9SDGwdiQZTt/cKghrq1MWbYmBc3lKtdirwr3SjE4wgXqvm9YHZ/
lotDQ3REvt8DdILIxfVljuWx4DBRXrwucz64RCkGsMsScuEvO98VAkaJGiJ5fdh0rtlmqbqLiIZZ
TZN6yvX3HmQaF9KXzednTEWx4lECwlEMm3lcZq8zgKFt8QC5FQTn4Pka3qcPB/W2OC/4Y9TtkJBz
m1aMufliOw7ZBgOPM0QeZYi3wJOFzizTKO3a3swstC8adX3zgRlANp/L3C4JXYfXRlvx1k9nuU7O
+bpnJnOrbc8xwgW4G3khRDGIhLEaIP2/FqpRzw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4320)
`protect data_block
BZtO54uybkY4pK4v44ryb0gUUcBpgKuKJOqf9KUZ+KoFVUwYyhRgtUa4kZZ0ajnHcmhZX5s5ZRqc
dwaJy0Uk4jNSE1mXDXk2+S1EcTl95ticjzgZ3Fqv2BtTBuLyzYh2z19G9uGAh1oQFTc1zkcXjzw4
FL39v3Luys/m4Vqz7+sKJti02Ij4AJtITw89pOekHe4Xisdw06dEId5E1z46ruHXipvJHG9OCmgL
Eo4VQvUoKu17lp9ScXd7KiWu54C/mri/Zlhai973HkO2eBFixSD7E/rhZkjzp5ZtOmxkfTXOgHwu
xZ+9DS1QvTTk8QHBeXo3+WrUOC1B2D6HQs8likyUbX8EBIy9gwD5i0+jJQuARX1T1gHFlm0DU4Nq
uz+ztVmY5xDRzNTP5OvBQ/QXJPKLJaEyfAnSQwztdz3oAQX/nrzJbMo0mpbUcLjtChtJc13j9zck
EzFgcKyxTdfhfRwv8GDWJIIGlFBsZ+dMyrJkVWavPjn2GyqhBZflTUWM+KIYdhAD5LDS1kSIS3Qs
lHFp63++h8fOO8DM1j9L3dGkn46p0r+aBOzdO7VNTFhcRx16MXma+/UNvf8PaZQZ1t6+v8d6/U7O
i96JjO7px0ddiGQNqQ/pvj6q2ebXrLJDoR1DX2Y0qGTVdi1tSdVEmu5xHKHGMjKcy4bLO5kbyhnR
ucKhhdfejfs1Wqp6+oWFAJQ9PlW/a8UJuAIlogTbhbaLTcf5YZpVJyoVd8MpfSQv33eWV2qfG9BU
76MEFTzFycLdtkVXpYHSxK/R4AIZyZO/RN5WYlQubbc0+6TXuokM5Jz/XP54lsbzmYS5lw9GACFd
iasQx0Bu7RZzdnM1dVRp/JlzWqPRopaIvydJmm3hIyMXXzW3yoFrlveWS5cwCKK8ywkessay6xky
jXcJV8YgP+qDkDQRLi4x25FCM8rMyO4UzY0rgIQyK4U2W9BFGi5qouRFsIqXadGCdAyZdXlDouSu
ue5PZQ4B1QS6k4CiWhelsch4w69pQ8lmp/dgyUlHYymvGnx0oEwnR9KXBHBMRdGnRbu5BOauLT4V
g9DYFelUWF7D+oZu9FpmZ3TePYIcIuTilOFnjsbVyyUgTe+TuQY1X5XFQrXAnAP9sabILEJDa4t6
aUqPydwBnKrsj0uBJfzRiUemXo+ctW0mFVGu5o8oiSmuf/1556UXFqXnJ3JApNOowRQjyLLXedU1
SrhUM1ULZBf5EVLdehAe1m0xQ2Xq1F2rZWz3l/V0IZujYwNUfBfWIhv7AGVBXPI5S6c9/0qJpUdG
wRiyzxOX5u8SrJl1Yfn8gUguuie7TgkXlv85kRXOeaR2ntcSMSDq03GyQANNeoTzmHIK1dvUE1Hm
LFfBXg1ptK6L0Njyl+rjkcs/OIqAGDOrqzY2/ITPlBOI4jtJqvLdWmokIiZuvK8jtdVMzhhc3Smg
ukx/wlyj6Aimh9iQh8djKEbVcbDe0Doah8i+IvLzJGgK58Ay3NHalwELUWZgF8qk16Zs+zByTPom
n/pTJlUwCYn6Y1NdfZPxQyMrTgEMX+UxeXHcs0LL0LuEy2/hfQw9QWUJWWQc9jt22ui7MMffU/kL
oJFnQ1oNV6okYRwnXKmolOsoUmOLtujpmbzGXG0fFo7R6owmsAlaUgVZsdUOF2W45ejK9hyW+6YI
0f/T+Yu9kJ6WxvqxUDjzX4J+ynHZeX+HkMTiEC9FxrT5y6FmwN6mfzo7fjv8ht2EbkSgFx10RfQw
RsB83U5+ebVx9Uv6ns+P2ar8HT830SoTwnp1pV4DbOx4M7pT7ACdCQ4HGTWvXH9up6vqE1/Swy+V
FJgxmNMXnIBuEYPmDWfyKBeZBSNWDGo12AVz27rK9XQIF+SDuQZMNtxK3XEPSUlA2JrMA6XQ2j34
sKVEebBVanx82gDLYweYXOnYlK+UPL3GHwxI6jfMBjKWEspbymSW/C/vItcpodKAUbUcPcHUg/zw
1WHFysO35iLUNbZaGM98SzPp8rEyiqT0mnzCCiSfpFJFsnWrSmdxE5Aj1ex+WHBpduDRfC1Zeos3
60wkracJ7xJQQyL21RIlT+INsKoz2rENEamvyEOXXD1ZpvzM2XchpOEmrgvf7Yu2jw2l7XLOuMsv
0S3lQDtcjqnQJD601vT8a5Jy9HU3baI4KrQITSe9tUXY5UHHakXQfrmp1TaPXgXIXShUnoGn/wWc
0TxtRDLzdeC98reN+xb62iaFygtLwKBu/zc3LRa8BabZDIKp/QMAqukWfDOyLAMaosLhDZ/fpFwm
n1hZ5idEzT8Jg6iZR1Ay3aRiJhxVS1ZbbIpVYmQIaLmlwMa6+TrIqyyeEOazVnGHAl/jlAaDhcOu
3u7IQ3hpgJ8frKHfxzxmL1jRCADEQElSRDBhoUu29Z8gyHPYREdSvKpOT/mXZzSRj4H4App6mWs7
gu39JSUfr1qLVot0D6t0SK73ccZuUbRRBuNqNqemUTaf4TZ7EFyoiBwlncsv9MWSqSuiXxPe2bJJ
K2ZE2z7dn2rp5HDq3HUDg9lwbXY1UrInaFnZCaNmss4U6G1jA8jToe6H4e19i0aCBTE6OIXMwQmJ
wVsRlKL6f31aZN7xuP6j9uThlUwLhF7srs83pzNX5JA0KdYNPdnsSP1MD9yJ1T7vUbnd8rStn/Xk
RsFcu3vldLs1bHdCFlwW5BX2RJnKW5pU9CcnxTb2MZUWtM2Hk5jn3mP50GSzjW79P6G9xeejLF7a
tqR06E5RXf27uQEl8hTWpm27QOi0qCyM6dpgBo2wv1fghWAOmahgO81AEhfWX9bTFVkIWimWDlnu
+PSV2Y4Lwl5Wk8OYw51Oe2hefiXhX1sPaUBqgKLRL/CrywaHcpn/lTDKChdh7mcT1xxbYZbEvF/N
S73HyRQgYLQqubzsgKWaRlQNfWxdGgGvzcYq7yB+jM18bw9BkRRVFSI156lDLc3bIBPfObUZ1huR
dzVjgaVpbPPBWlxGPvqxty9VrNHMqqCWFIdg+50Om38m3HC+GDJXRplER1bpyzl6FBaxnlNVnhF/
X84hI79XGpj2SKebzj1Q3aEiLFBSISr3QCrIZaXgRAr6bzsmKB1D2CbeCQHUj3uKyIWRqaFICaGP
AeEHzjufbdvx200Kjr5ZvgwRPHQGWxdraRSPPGrvH1y7atZhwUm7Z4/byYqH/7Ntr4g0VD+BuK37
co/QLMj2/bnq5dQkr0RWxDDCjiTToXkUBOu4wzrslTDMJs5E4ze5L41AGwzoc2q4yqf1WLK/St+g
jngHq9F/t9ociE9Xx0rL4q4TzhcOjHldJGuCimG42fwLqZTW3+5CqsQXcE8AUgyHL3Q3rUMQrHHS
6sBjw+nueLQkq7BlBiv3Qy5VEnas+Ytq4d8ydJ3X3QT5if5LmtgkfkFCmjY9FRl5lhlzhSNQ39Wq
lqtcJCWpCEgeWYJGVkGGP2r+TedsnsugxDSqpzUoE5ewGux9f7dntchpLDM33pDY1J60VW2HW/ba
0Ug47RXooUosY4J+ABoKJ73CNl6MgIu+487pjOjtK/ni5+JHzq6IhTk2gQUCzL3J3pX6i/zRXB1E
e3fC3j2NW7eEoTr+tkRGC5gZDQiJIBcfJGGdbTnGKwDwoANF5kWrfdapY5Gi+MhyubS9JKBlWtrL
kzZ2CKxYY3SX26zwm0SD6NEDyjm9i7TST0gTObVU375VTHyCyt6IcV7eRlIB6KSpWT37ix/VSREq
jhLJuRXtX7NuoVcpMxhnqZ0mcwFWeKSmfg9SjlnwshjIcPGg86K4jxk2lJ2VPkRa1n4C417znCB7
KwcalIr5aDrWK+t/xiRSMJ2fUoEXOc+R0AqFti2bI2FNhaq7HU/M/cLbhxYUM8WopbXPKbqv7wtU
WJAhQooJ0lHUuYQHJWYrOPAZehmwClu0Jvb6mlgi1DDpnmKUotvr6Wpr3yw6DkxMC9t/rj1nBp/U
Ie9o+ELYenjp+xxrbNx2vWxKJO+EA6RJIitYWIXuv/PT//0IJD25YEe0opTmsxkOeh2T/0udVGlP
DUO/d1EpNp8ZU1b+AK5fsmXMyn95Ohp2uW34QS6mCgfejKtOdb0gGRao2UANSXMQvJwJ8Pkjm+bs
eVY0dpH1V01kdeIwFonyyDbfOer4HmOgPI/S1Sr6ZG7J8g0hw2lCEp6AB5v48eG+ZIMgg8K45ItU
Vxs85Av/nfMrpeh3guAM+O6WbygHNiy6GkArwBoj0TKdNZ/3hWFLpgWeP3yiDBO/IdturlnMLyrf
HLJwibIO7mPtM3KOHKGDa2p4DwejGdgMiyQsZunVbfRvKvVfEpJHHQJwm28O8FFtjefSl0sYA96n
9OkGKyiGHvqYkvv82ecnLooo4ssihP46j/mEB1vgD5tvaSRFAvj0p0K0n+agTkIahPYzHly0CFGp
ioIBsdfFp83SYZXrkI5ywTRO501YeTcAJklBKD1N78jzvLB42QLCQtuXq+IHkR1uD/8V7cfl2P+5
VACuuSKTn+7zPzlDM/R8hpCj2x91IcaC6qQr6DmxDsooRqIg+4VriZZkwQ6TJB6NIMGPirdhO4d0
oEl+iEkFg+Hn16BdI7hrH+ydQ5Ez4znsNO+7NhRud54yDXWmZO1An6T/GDL7UongLTj9KJQtXqfi
dnrLCPMXlQ6ikS6UkNYp4nniDjIqFSPJKsxiMiHlVzCdZ+sgGelruhQ4lzHTE8TS4wiNru0gTzOR
CECRNW4pr6h5VE12PTOM8a6Rs7y0PdpFTj7Q2VB0o7PUKxRxGdbTj5x9qv2cC6+2dObNkU3APJIW
VBe/j2zlNNwrmGOMHLf9/MslbnkYHON/jyrXXcyTGiS+wWLtQvy+W0gmrDZSoWLB3vCBDvKq+Ype
Rx6oTtyNlBRmYYssVuLc3mLaBZ5IOiatljtI1rQhyGxfe3rJHGJrvyErkQhR73YDE9cvZH5He2E9
CE3cdsJmOIETJoXrxI2kH5ACJWMKDoapnkzL7lW+Ruf/Fg0RqCkQHKZhGN8y4C9DI5E8LPPskGRo
Huy6wIZ7XezEpA4KmfPRhXQseL6I45OYHYsYf/l0qupx6f/CsmUTpc/u2NJo3uESJiAM7yt60sFj
GDGiHQ4gwyghWqdSVJ+sPpryFI7wwcP4a9ewjNodHt64sGtLLZTDpzPO/qIUDDV22wxFmh9efPzS
Dk+txu8clXrki030sqKG3se03Jjg1xZxlJqDCTIvU4D+ctRUcugjAxesoV9dmbV71lYsZu084A7m
Wc+Oe3TXVUfm4V75sdtb5RGEJ1e4zZY8MPOEJHurnRF2kkr+RbRxZyH565K/GhZqoZHGEKm2KGBR
Vmu2i5Ki1alTg25go51zbuCXcgldpu0hbymvRFhfL+PMiSQaMn4Sxmc/nbpMALDJfpB4Mhl7Itrx
r28Ts7QZk8iY0eYzu0td68KcXtI3buxi3DNV+sKnpN1mRxQ3PusfaSg/Twp5b4YB3lhRbG3RyOx0
cv4lPG4825V9FA0nUUw+o015109LTmy9Zjbm1kjUOTO4Ww0KunCq7rnbIvv7tWEp3Ph3SwAw4tUO
JJ/MB56Xt78I6mQ5c1Q8idchArhi2+bidAinXs6WKTwjf1dNRygKjQMCcL+wOHCC4Bf6EN0P1on6
Yuj05l1eEZz4ilhBP8DqtXvg8wmAtzuRWAGq5ay0gg0Iqt/yGo/GM21Vdco3E78zTxVH2q0aHkgt
rQ6CD45f/w5y2uI+03jMtnYG/nJuLFnXZPWpnTnHsRW3oAwEPgl8L4D3PUJ8
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
dUotDiO/P+1eL1pYuR0rYaf3eqQJxS0u4SevgRCgAtuJDhVd0sb5c7yjh2piBj/+s/v1jdXlgUur
4fBQslDFnw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
chPzPf0StVQExUXLLKh4o7Mmt8tFZwBPBeDxFxVum/weWbtzoCz719Ko7yHJBjfadFhG4eLKiib1
Tt8hSp5P5MliLovyHWWSPE0lPi+03V8MQ0fZ6Hozd1JQpMioxgag7pjJWjzSdXRUKiMlB3s7RQbl
HRTVOxsHggQXb0+fGws=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
IUrIfP1uAFE1zO8jEhtFRR2pANYwA6cP9F4HX6OrS37XhJ/d1AoeW2gachwmPN+eEnzn2i844rnx
OpAV8D/2wvjfpqkl/O6tcg7zYRzo+lo5/+ztqASMNEf/GnD2bTSq3IiR3OtjvREoqWSP7As7xfoN
Au0mCkL4hL9rCtbmW87+oRvQdBM8WIu7IdHxHmuny4I012oCaOwzNWZJbq5748ve6VoVwd9fq7xV
4oNuJuYIo7x7A7XBfLgHjNpu+/BPKBLssi3KpswN1W6TdjzCBb0VMbk9hlEaQ9b0C9cNqsPMG2J9
Yp3qtrEl2XGLviKjsAWdQgy82Nv7S8TOA0XTtQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
l+o/+1IlvkTmU18EIjBM0Uomvc2/BliRyUgZUP4BuLDwSXvbO/1Nz4krGrkpNbNoE1YesIwynIeV
zBCn7SwMf79YK3kpWX8pbLUoTJH4MjUJDV3Tt1nXlr+Tu4XM62/sceeYSib+rwgQMRQkCwtA+hgB
iw55gwIvwAdQoGximTk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
YSfYyZXaSrPB9BhgW+PrZ6kTP9SDGwdiQZTt/cKghrq1MWbYmBc3lKtdirwr3SjE4wgXqvm9YHZ/
lotDQ3REvt8DdILIxfVljuWx4DBRXrwucz64RCkGsMsScuEvO98VAkaJGiJ5fdh0rtlmqbqLiIZZ
TZN6yvX3HmQaF9KXzednTEWx4lECwlEMm3lcZq8zgKFt8QC5FQTn4Pka3qcPB/W2OC/4Y9TtkJBz
m1aMufliOw7ZBgOPM0QeZYi3wJOFzizTKO3a3swstC8adX3zgRlANp/L3C4JXYfXRlvx1k9nuU7O
+bpnJnOrbc8xwgW4G3khRDGIhLEaIP2/FqpRzw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4320)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
dUotDiO/P+1eL1pYuR0rYaf3eqQJxS0u4SevgRCgAtuJDhVd0sb5c7yjh2piBj/+s/v1jdXlgUur
4fBQslDFnw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
chPzPf0StVQExUXLLKh4o7Mmt8tFZwBPBeDxFxVum/weWbtzoCz719Ko7yHJBjfadFhG4eLKiib1
Tt8hSp5P5MliLovyHWWSPE0lPi+03V8MQ0fZ6Hozd1JQpMioxgag7pjJWjzSdXRUKiMlB3s7RQbl
HRTVOxsHggQXb0+fGws=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
IUrIfP1uAFE1zO8jEhtFRR2pANYwA6cP9F4HX6OrS37XhJ/d1AoeW2gachwmPN+eEnzn2i844rnx
OpAV8D/2wvjfpqkl/O6tcg7zYRzo+lo5/+ztqASMNEf/GnD2bTSq3IiR3OtjvREoqWSP7As7xfoN
Au0mCkL4hL9rCtbmW87+oRvQdBM8WIu7IdHxHmuny4I012oCaOwzNWZJbq5748ve6VoVwd9fq7xV
4oNuJuYIo7x7A7XBfLgHjNpu+/BPKBLssi3KpswN1W6TdjzCBb0VMbk9hlEaQ9b0C9cNqsPMG2J9
Yp3qtrEl2XGLviKjsAWdQgy82Nv7S8TOA0XTtQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
l+o/+1IlvkTmU18EIjBM0Uomvc2/BliRyUgZUP4BuLDwSXvbO/1Nz4krGrkpNbNoE1YesIwynIeV
zBCn7SwMf79YK3kpWX8pbLUoTJH4MjUJDV3Tt1nXlr+Tu4XM62/sceeYSib+rwgQMRQkCwtA+hgB
iw55gwIvwAdQoGximTk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
YSfYyZXaSrPB9BhgW+PrZ6kTP9SDGwdiQZTt/cKghrq1MWbYmBc3lKtdirwr3SjE4wgXqvm9YHZ/
lotDQ3REvt8DdILIxfVljuWx4DBRXrwucz64RCkGsMsScuEvO98VAkaJGiJ5fdh0rtlmqbqLiIZZ
TZN6yvX3HmQaF9KXzednTEWx4lECwlEMm3lcZq8zgKFt8QC5FQTn4Pka3qcPB/W2OC/4Y9TtkJBz
m1aMufliOw7ZBgOPM0QeZYi3wJOFzizTKO3a3swstC8adX3zgRlANp/L3C4JXYfXRlvx1k9nuU7O
+bpnJnOrbc8xwgW4G3khRDGIhLEaIP2/FqpRzw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4320)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
dUotDiO/P+1eL1pYuR0rYaf3eqQJxS0u4SevgRCgAtuJDhVd0sb5c7yjh2piBj/+s/v1jdXlgUur
4fBQslDFnw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
chPzPf0StVQExUXLLKh4o7Mmt8tFZwBPBeDxFxVum/weWbtzoCz719Ko7yHJBjfadFhG4eLKiib1
Tt8hSp5P5MliLovyHWWSPE0lPi+03V8MQ0fZ6Hozd1JQpMioxgag7pjJWjzSdXRUKiMlB3s7RQbl
HRTVOxsHggQXb0+fGws=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
IUrIfP1uAFE1zO8jEhtFRR2pANYwA6cP9F4HX6OrS37XhJ/d1AoeW2gachwmPN+eEnzn2i844rnx
OpAV8D/2wvjfpqkl/O6tcg7zYRzo+lo5/+ztqASMNEf/GnD2bTSq3IiR3OtjvREoqWSP7As7xfoN
Au0mCkL4hL9rCtbmW87+oRvQdBM8WIu7IdHxHmuny4I012oCaOwzNWZJbq5748ve6VoVwd9fq7xV
4oNuJuYIo7x7A7XBfLgHjNpu+/BPKBLssi3KpswN1W6TdjzCBb0VMbk9hlEaQ9b0C9cNqsPMG2J9
Yp3qtrEl2XGLviKjsAWdQgy82Nv7S8TOA0XTtQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
l+o/+1IlvkTmU18EIjBM0Uomvc2/BliRyUgZUP4BuLDwSXvbO/1Nz4krGrkpNbNoE1YesIwynIeV
zBCn7SwMf79YK3kpWX8pbLUoTJH4MjUJDV3Tt1nXlr+Tu4XM62/sceeYSib+rwgQMRQkCwtA+hgB
iw55gwIvwAdQoGximTk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
YSfYyZXaSrPB9BhgW+PrZ6kTP9SDGwdiQZTt/cKghrq1MWbYmBc3lKtdirwr3SjE4wgXqvm9YHZ/
lotDQ3REvt8DdILIxfVljuWx4DBRXrwucz64RCkGsMsScuEvO98VAkaJGiJ5fdh0rtlmqbqLiIZZ
TZN6yvX3HmQaF9KXzednTEWx4lECwlEMm3lcZq8zgKFt8QC5FQTn4Pka3qcPB/W2OC/4Y9TtkJBz
m1aMufliOw7ZBgOPM0QeZYi3wJOFzizTKO3a3swstC8adX3zgRlANp/L3C4JXYfXRlvx1k9nuU7O
+bpnJnOrbc8xwgW4G3khRDGIhLEaIP2/FqpRzw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4320)
`protect data_block
BZtO54uybkY4pK4v44ryb0gUUcBpgKuKJOqf9KUZ+KoFVUwYyhRgtUa4kZZ0ajnHcmhZX5s5ZRqc
dwaJy0Uk4jNSE1mXDXk2+S1EcTl95ticjzgZ3Fqv2BtTBuLyzYh2z19G9uGAh1oQFTc1zkcXjzw4
FL39v3Luys/m4Vqz7+sKJti02Ij4AJtITw89pOekHe4Xisdw06dEId5E1z46ruHXipvJHG9OCmgL
Eo4VQvUoKu17lp9ScXd7KiWu54C/mri/Zlhai973HkO2eBFixSD7E/rhZkjzp5ZtOmxkfTXOgHwu
xZ+9DS1QvTTk8QHBeXo3+WrUOC1B2D6HQs8likyUbX8EBIy9gwD5i0+jJQuARX1T1gHFlm0DU4Nq
uz+ztVmY5xDRzNTP5OvBQ/QXJPKLJaEyfAnSQwztdz3oAQX/nrzJbMo0mpbUcLjtChtJc13j9zck
EzFgcKyxTdfhfRwv8GDWJIIGlFBsZ+dMyrJkVWavPjn2GyqhBZflTUWM+KIYdhAD5LDS1kSIS3Qs
lHFp63++h8fOO8DM1j9L3dGkn46p0r+aBOzdO7VNTFhcRx16MXma+/UNvf8PaZQZ1t6+v8d6/U7O
i96JjO7px0ddiGQNqQ/pvj6q2ebXrLJDoR1DX2Y0qGTVdi1tSdVEmu5xHKHGMjKcy4bLO5kbyhnR
ucKhhdfejfs1Wqp6+oWFAJQ9PlW/a8UJuAIlogTbhbaLTcf5YZpVJyoVd8MpfSQv33eWV2qfG9BU
76MEFTzFycLdtkVXpYHSxK/R4AIZyZO/RN5WYlQubbc0+6TXuokM5Jz/XP54lsbzmYS5lw9GACFd
iasQx0Bu7RZzdnM1dVRp/JlzWqPRopaIvydJmm3hIyMXXzW3yoFrlveWS5cwCKK8ywkessay6xky
jXcJV8YgP+qDkDQRLi4x25FCM8rMyO4UzY0rgIQyK4U2W9BFGi5qouRFsIqXadGCdAyZdXlDouSu
ue5PZQ4B1QS6k4CiWhelsch4w69pQ8lmp/dgyUlHYymvGnx0oEwnR9KXBHBMRdGnRbu5BOauLT4V
g9DYFelUWF7D+oZu9FpmZ3TePYIcIuTilOFnjsbVyyUgTe+TuQY1X5XFQrXAnAP9sabILEJDa4t6
aUqPydwBnKrsj0uBJfzRiUemXo+ctW0mFVGu5o8oiSmuf/1556UXFqXnJ3JApNOowRQjyLLXedU1
SrhUM1ULZBf5EVLdehAe1m0xQ2Xq1F2rZWz3l/V0IZujYwNUfBfWIhv7AGVBXPI5S6c9/0qJpUdG
wRiyzxOX5u8SrJl1Yfn8gUguuie7TgkXlv85kRXOeaR2ntcSMSDq03GyQANNeoTzmHIK1dvUE1Hm
LFfBXg1ptK6L0Njyl+rjkcs/OIqAGDOrqzY2/ITPlBOI4jtJqvLdWmokIiZuvK8jtdVMzhhc3Smg
ukx/wlyj6Aimh9iQh8djKEbVcbDe0Doah8i+IvLzJGgK58Ay3NHalwELUWZgF8qk16Zs+zByTPom
n/pTJlUwCYn6Y1NdfZPxQyMrTgEMX+UxeXHcs0LL0LuEy2/hfQw9QWUJWWQc9jt22ui7MMffU/kL
oJFnQ1oNV6okYRwnXKmolOsoUmOLtujpmbzGXG0fFo7R6owmsAlaUgVZsdUOF2W45ejK9hyW+6YI
0f/T+Yu9kJ6WxvqxUDjzX4J+ynHZeX+HkMTiEC9FxrT5y6FmwN6mfzo7fjv8ht2EbkSgFx10RfQw
RsB83U5+ebVx9Uv6ns+P2ar8HT830SoTwnp1pV4DbOx4M7pT7ACdCQ4HGTWvXH9up6vqE1/Swy+V
FJgxmNMXnIBuEYPmDWfyKBeZBSNWDGo12AVz27rK9XQIF+SDuQZMNtxK3XEPSUlA2JrMA6XQ2j34
sKVEebBVanx82gDLYweYXOnYlK+UPL3GHwxI6jfMBjKWEspbymSW/C/vItcpodKAUbUcPcHUg/zw
1WHFysO35iLUNbZaGM98SzPp8rEyiqT0mnzCCiSfpFJFsnWrSmdxE5Aj1ex+WHBpduDRfC1Zeos3
60wkracJ7xJQQyL21RIlT+INsKoz2rENEamvyEOXXD1ZpvzM2XchpOEmrgvf7Yu2jw2l7XLOuMsv
0S3lQDtcjqnQJD601vT8a5Jy9HU3baI4KrQITSe9tUXY5UHHakXQfrmp1TaPXgXIXShUnoGn/wWc
0TxtRDLzdeC98reN+xb62iaFygtLwKBu/zc3LRa8BabZDIKp/QMAqukWfDOyLAMaosLhDZ/fpFwm
n1hZ5idEzT8Jg6iZR1Ay3aRiJhxVS1ZbbIpVYmQIaLmlwMa6+TrIqyyeEOazVnGHAl/jlAaDhcOu
3u7IQ3hpgJ8frKHfxzxmL1jRCADEQElSRDBhoUu29Z8gyHPYREdSvKpOT/mXZzSRj4H4App6mWs7
gu39JSUfr1qLVot0D6t0SK73ccZuUbRRBuNqNqemUTaf4TZ7EFyoiBwlncsv9MWSqSuiXxPe2bJJ
K2ZE2z7dn2rp5HDq3HUDg9lwbXY1UrInaFnZCaNmss4U6G1jA8jToe6H4e19i0aCBTE6OIXMwQmJ
wVsRlKL6f31aZN7xuP6j9uThlUwLhF7srs83pzNX5JA0KdYNPdnsSP1MD9yJ1T7vUbnd8rStn/Xk
RsFcu3vldLs1bHdCFlwW5BX2RJnKW5pU9CcnxTb2MZUWtM2Hk5jn3mP50GSzjW79P6G9xeejLF7a
tqR06E5RXf27uQEl8hTWpm27QOi0qCyM6dpgBo2wv1fghWAOmahgO81AEhfWX9bTFVkIWimWDlnu
+PSV2Y4Lwl5Wk8OYw51Oe2hefiXhX1sPaUBqgKLRL/CrywaHcpn/lTDKChdh7mcT1xxbYZbEvF/N
S73HyRQgYLQqubzsgKWaRlQNfWxdGgGvzcYq7yB+jM18bw9BkRRVFSI156lDLc3bIBPfObUZ1huR
dzVjgaVpbPPBWlxGPvqxty9VrNHMqqCWFIdg+50Om38m3HC+GDJXRplER1bpyzl6FBaxnlNVnhF/
X84hI79XGpj2SKebzj1Q3aEiLFBSISr3QCrIZaXgRAr6bzsmKB1D2CbeCQHUj3uKyIWRqaFICaGP
AeEHzjufbdvx200Kjr5ZvgwRPHQGWxdraRSPPGrvH1y7atZhwUm7Z4/byYqH/7Ntr4g0VD+BuK37
co/QLMj2/bnq5dQkr0RWxDDCjiTToXkUBOu4wzrslTDMJs5E4ze5L41AGwzoc2q4yqf1WLK/St+g
jngHq9F/t9ociE9Xx0rL4q4TzhcOjHldJGuCimG42fwLqZTW3+5CqsQXcE8AUgyHL3Q3rUMQrHHS
6sBjw+nueLQkq7BlBiv3Qy5VEnas+Ytq4d8ydJ3X3QT5if5LmtgkfkFCmjY9FRl5lhlzhSNQ39Wq
lqtcJCWpCEgeWYJGVkGGP2r+TedsnsugxDSqpzUoE5ewGux9f7dntchpLDM33pDY1J60VW2HW/ba
0Ug47RXooUosY4J+ABoKJ73CNl6MgIu+487pjOjtK/ni5+JHzq6IhTk2gQUCzL3J3pX6i/zRXB1E
e3fC3j2NW7eEoTr+tkRGC5gZDQiJIBcfJGGdbTnGKwDwoANF5kWrfdapY5Gi+MhyubS9JKBlWtrL
kzZ2CKxYY3SX26zwm0SD6NEDyjm9i7TST0gTObVU375VTHyCyt6IcV7eRlIB6KSpWT37ix/VSREq
jhLJuRXtX7NuoVcpMxhnqZ0mcwFWeKSmfg9SjlnwshjIcPGg86K4jxk2lJ2VPkRa1n4C417znCB7
KwcalIr5aDrWK+t/xiRSMJ2fUoEXOc+R0AqFti2bI2FNhaq7HU/M/cLbhxYUM8WopbXPKbqv7wtU
WJAhQooJ0lHUuYQHJWYrOPAZehmwClu0Jvb6mlgi1DDpnmKUotvr6Wpr3yw6DkxMC9t/rj1nBp/U
Ie9o+ELYenjp+xxrbNx2vWxKJO+EA6RJIitYWIXuv/PT//0IJD25YEe0opTmsxkOeh2T/0udVGlP
DUO/d1EpNp8ZU1b+AK5fsmXMyn95Ohp2uW34QS6mCgfejKtOdb0gGRao2UANSXMQvJwJ8Pkjm+bs
eVY0dpH1V01kdeIwFonyyDbfOer4HmOgPI/S1Sr6ZG7J8g0hw2lCEp6AB5v48eG+ZIMgg8K45ItU
Vxs85Av/nfMrpeh3guAM+O6WbygHNiy6GkArwBoj0TKdNZ/3hWFLpgWeP3yiDBO/IdturlnMLyrf
HLJwibIO7mPtM3KOHKGDa2p4DwejGdgMiyQsZunVbfRvKvVfEpJHHQJwm28O8FFtjefSl0sYA96n
9OkGKyiGHvqYkvv82ecnLooo4ssihP46j/mEB1vgD5tvaSRFAvj0p0K0n+agTkIahPYzHly0CFGp
ioIBsdfFp83SYZXrkI5ywTRO501YeTcAJklBKD1N78jzvLB42QLCQtuXq+IHkR1uD/8V7cfl2P+5
VACuuSKTn+7zPzlDM/R8hpCj2x91IcaC6qQr6DmxDsooRqIg+4VriZZkwQ6TJB6NIMGPirdhO4d0
oEl+iEkFg+Hn16BdI7hrH+ydQ5Ez4znsNO+7NhRud54yDXWmZO1An6T/GDL7UongLTj9KJQtXqfi
dnrLCPMXlQ6ikS6UkNYp4nniDjIqFSPJKsxiMiHlVzCdZ+sgGelruhQ4lzHTE8TS4wiNru0gTzOR
CECRNW4pr6h5VE12PTOM8a6Rs7y0PdpFTj7Q2VB0o7PUKxRxGdbTj5x9qv2cC6+2dObNkU3APJIW
VBe/j2zlNNwrmGOMHLf9/MslbnkYHON/jyrXXcyTGiS+wWLtQvy+W0gmrDZSoWLB3vCBDvKq+Ype
Rx6oTtyNlBRmYYssVuLc3mLaBZ5IOiatljtI1rQhyGxfe3rJHGJrvyErkQhR73YDE9cvZH5He2E9
CE3cdsJmOIETJoXrxI2kH5ACJWMKDoapnkzL7lW+Ruf/Fg0RqCkQHKZhGN8y4C9DI5E8LPPskGRo
Huy6wIZ7XezEpA4KmfPRhXQseL6I45OYHYsYf/l0qupx6f/CsmUTpc/u2NJo3uESJiAM7yt60sFj
GDGiHQ4gwyghWqdSVJ+sPpryFI7wwcP4a9ewjNodHt64sGtLLZTDpzPO/qIUDDV22wxFmh9efPzS
Dk+txu8clXrki030sqKG3se03Jjg1xZxlJqDCTIvU4D+ctRUcugjAxesoV9dmbV71lYsZu084A7m
Wc+Oe3TXVUfm4V75sdtb5RGEJ1e4zZY8MPOEJHurnRF2kkr+RbRxZyH565K/GhZqoZHGEKm2KGBR
Vmu2i5Ki1alTg25go51zbuCXcgldpu0hbymvRFhfL+PMiSQaMn4Sxmc/nbpMALDJfpB4Mhl7Itrx
r28Ts7QZk8iY0eYzu0td68KcXtI3buxi3DNV+sKnpN1mRxQ3PusfaSg/Twp5b4YB3lhRbG3RyOx0
cv4lPG4825V9FA0nUUw+o015109LTmy9Zjbm1kjUOTO4Ww0KunCq7rnbIvv7tWEp3Ph3SwAw4tUO
JJ/MB56Xt78I6mQ5c1Q8idchArhi2+bidAinXs6WKTwjf1dNRygKjQMCcL+wOHCC4Bf6EN0P1on6
Yuj05l1eEZz4ilhBP8DqtXvg8wmAtzuRWAGq5ay0gg0Iqt/yGo/GM21Vdco3E78zTxVH2q0aHkgt
rQ6CD45f/w5y2uI+03jMtnYG/nJuLFnXZPWpnTnHsRW3oAwEPgl8L4D3PUJ8
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
dUotDiO/P+1eL1pYuR0rYaf3eqQJxS0u4SevgRCgAtuJDhVd0sb5c7yjh2piBj/+s/v1jdXlgUur
4fBQslDFnw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
chPzPf0StVQExUXLLKh4o7Mmt8tFZwBPBeDxFxVum/weWbtzoCz719Ko7yHJBjfadFhG4eLKiib1
Tt8hSp5P5MliLovyHWWSPE0lPi+03V8MQ0fZ6Hozd1JQpMioxgag7pjJWjzSdXRUKiMlB3s7RQbl
HRTVOxsHggQXb0+fGws=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
IUrIfP1uAFE1zO8jEhtFRR2pANYwA6cP9F4HX6OrS37XhJ/d1AoeW2gachwmPN+eEnzn2i844rnx
OpAV8D/2wvjfpqkl/O6tcg7zYRzo+lo5/+ztqASMNEf/GnD2bTSq3IiR3OtjvREoqWSP7As7xfoN
Au0mCkL4hL9rCtbmW87+oRvQdBM8WIu7IdHxHmuny4I012oCaOwzNWZJbq5748ve6VoVwd9fq7xV
4oNuJuYIo7x7A7XBfLgHjNpu+/BPKBLssi3KpswN1W6TdjzCBb0VMbk9hlEaQ9b0C9cNqsPMG2J9
Yp3qtrEl2XGLviKjsAWdQgy82Nv7S8TOA0XTtQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
l+o/+1IlvkTmU18EIjBM0Uomvc2/BliRyUgZUP4BuLDwSXvbO/1Nz4krGrkpNbNoE1YesIwynIeV
zBCn7SwMf79YK3kpWX8pbLUoTJH4MjUJDV3Tt1nXlr+Tu4XM62/sceeYSib+rwgQMRQkCwtA+hgB
iw55gwIvwAdQoGximTk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
YSfYyZXaSrPB9BhgW+PrZ6kTP9SDGwdiQZTt/cKghrq1MWbYmBc3lKtdirwr3SjE4wgXqvm9YHZ/
lotDQ3REvt8DdILIxfVljuWx4DBRXrwucz64RCkGsMsScuEvO98VAkaJGiJ5fdh0rtlmqbqLiIZZ
TZN6yvX3HmQaF9KXzednTEWx4lECwlEMm3lcZq8zgKFt8QC5FQTn4Pka3qcPB/W2OC/4Y9TtkJBz
m1aMufliOw7ZBgOPM0QeZYi3wJOFzizTKO3a3swstC8adX3zgRlANp/L3C4JXYfXRlvx1k9nuU7O
+bpnJnOrbc8xwgW4G3khRDGIhLEaIP2/FqpRzw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4320)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
dUotDiO/P+1eL1pYuR0rYaf3eqQJxS0u4SevgRCgAtuJDhVd0sb5c7yjh2piBj/+s/v1jdXlgUur
4fBQslDFnw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
chPzPf0StVQExUXLLKh4o7Mmt8tFZwBPBeDxFxVum/weWbtzoCz719Ko7yHJBjfadFhG4eLKiib1
Tt8hSp5P5MliLovyHWWSPE0lPi+03V8MQ0fZ6Hozd1JQpMioxgag7pjJWjzSdXRUKiMlB3s7RQbl
HRTVOxsHggQXb0+fGws=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
IUrIfP1uAFE1zO8jEhtFRR2pANYwA6cP9F4HX6OrS37XhJ/d1AoeW2gachwmPN+eEnzn2i844rnx
OpAV8D/2wvjfpqkl/O6tcg7zYRzo+lo5/+ztqASMNEf/GnD2bTSq3IiR3OtjvREoqWSP7As7xfoN
Au0mCkL4hL9rCtbmW87+oRvQdBM8WIu7IdHxHmuny4I012oCaOwzNWZJbq5748ve6VoVwd9fq7xV
4oNuJuYIo7x7A7XBfLgHjNpu+/BPKBLssi3KpswN1W6TdjzCBb0VMbk9hlEaQ9b0C9cNqsPMG2J9
Yp3qtrEl2XGLviKjsAWdQgy82Nv7S8TOA0XTtQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
l+o/+1IlvkTmU18EIjBM0Uomvc2/BliRyUgZUP4BuLDwSXvbO/1Nz4krGrkpNbNoE1YesIwynIeV
zBCn7SwMf79YK3kpWX8pbLUoTJH4MjUJDV3Tt1nXlr+Tu4XM62/sceeYSib+rwgQMRQkCwtA+hgB
iw55gwIvwAdQoGximTk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
YSfYyZXaSrPB9BhgW+PrZ6kTP9SDGwdiQZTt/cKghrq1MWbYmBc3lKtdirwr3SjE4wgXqvm9YHZ/
lotDQ3REvt8DdILIxfVljuWx4DBRXrwucz64RCkGsMsScuEvO98VAkaJGiJ5fdh0rtlmqbqLiIZZ
TZN6yvX3HmQaF9KXzednTEWx4lECwlEMm3lcZq8zgKFt8QC5FQTn4Pka3qcPB/W2OC/4Y9TtkJBz
m1aMufliOw7ZBgOPM0QeZYi3wJOFzizTKO3a3swstC8adX3zgRlANp/L3C4JXYfXRlvx1k9nuU7O
+bpnJnOrbc8xwgW4G3khRDGIhLEaIP2/FqpRzw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4320)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
dUotDiO/P+1eL1pYuR0rYaf3eqQJxS0u4SevgRCgAtuJDhVd0sb5c7yjh2piBj/+s/v1jdXlgUur
4fBQslDFnw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
chPzPf0StVQExUXLLKh4o7Mmt8tFZwBPBeDxFxVum/weWbtzoCz719Ko7yHJBjfadFhG4eLKiib1
Tt8hSp5P5MliLovyHWWSPE0lPi+03V8MQ0fZ6Hozd1JQpMioxgag7pjJWjzSdXRUKiMlB3s7RQbl
HRTVOxsHggQXb0+fGws=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
IUrIfP1uAFE1zO8jEhtFRR2pANYwA6cP9F4HX6OrS37XhJ/d1AoeW2gachwmPN+eEnzn2i844rnx
OpAV8D/2wvjfpqkl/O6tcg7zYRzo+lo5/+ztqASMNEf/GnD2bTSq3IiR3OtjvREoqWSP7As7xfoN
Au0mCkL4hL9rCtbmW87+oRvQdBM8WIu7IdHxHmuny4I012oCaOwzNWZJbq5748ve6VoVwd9fq7xV
4oNuJuYIo7x7A7XBfLgHjNpu+/BPKBLssi3KpswN1W6TdjzCBb0VMbk9hlEaQ9b0C9cNqsPMG2J9
Yp3qtrEl2XGLviKjsAWdQgy82Nv7S8TOA0XTtQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
l+o/+1IlvkTmU18EIjBM0Uomvc2/BliRyUgZUP4BuLDwSXvbO/1Nz4krGrkpNbNoE1YesIwynIeV
zBCn7SwMf79YK3kpWX8pbLUoTJH4MjUJDV3Tt1nXlr+Tu4XM62/sceeYSib+rwgQMRQkCwtA+hgB
iw55gwIvwAdQoGximTk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
YSfYyZXaSrPB9BhgW+PrZ6kTP9SDGwdiQZTt/cKghrq1MWbYmBc3lKtdirwr3SjE4wgXqvm9YHZ/
lotDQ3REvt8DdILIxfVljuWx4DBRXrwucz64RCkGsMsScuEvO98VAkaJGiJ5fdh0rtlmqbqLiIZZ
TZN6yvX3HmQaF9KXzednTEWx4lECwlEMm3lcZq8zgKFt8QC5FQTn4Pka3qcPB/W2OC/4Y9TtkJBz
m1aMufliOw7ZBgOPM0QeZYi3wJOFzizTKO3a3swstC8adX3zgRlANp/L3C4JXYfXRlvx1k9nuU7O
+bpnJnOrbc8xwgW4G3khRDGIhLEaIP2/FqpRzw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4320)
`protect data_block
BZtO54uybkY4pK4v44ryb0gUUcBpgKuKJOqf9KUZ+KoFVUwYyhRgtUa4kZZ0ajnHcmhZX5s5ZRqc
dwaJy0Uk4jNSE1mXDXk2+S1EcTl95ticjzgZ3Fqv2BtTBuLyzYh2z19G9uGAh1oQFTc1zkcXjzw4
FL39v3Luys/m4Vqz7+sKJti02Ij4AJtITw89pOekHe4Xisdw06dEId5E1z46ruHXipvJHG9OCmgL
Eo4VQvUoKu17lp9ScXd7KiWu54C/mri/Zlhai973HkO2eBFixSD7E/rhZkjzp5ZtOmxkfTXOgHwu
xZ+9DS1QvTTk8QHBeXo3+WrUOC1B2D6HQs8likyUbX8EBIy9gwD5i0+jJQuARX1T1gHFlm0DU4Nq
uz+ztVmY5xDRzNTP5OvBQ/QXJPKLJaEyfAnSQwztdz3oAQX/nrzJbMo0mpbUcLjtChtJc13j9zck
EzFgcKyxTdfhfRwv8GDWJIIGlFBsZ+dMyrJkVWavPjn2GyqhBZflTUWM+KIYdhAD5LDS1kSIS3Qs
lHFp63++h8fOO8DM1j9L3dGkn46p0r+aBOzdO7VNTFhcRx16MXma+/UNvf8PaZQZ1t6+v8d6/U7O
i96JjO7px0ddiGQNqQ/pvj6q2ebXrLJDoR1DX2Y0qGTVdi1tSdVEmu5xHKHGMjKcy4bLO5kbyhnR
ucKhhdfejfs1Wqp6+oWFAJQ9PlW/a8UJuAIlogTbhbaLTcf5YZpVJyoVd8MpfSQv33eWV2qfG9BU
76MEFTzFycLdtkVXpYHSxK/R4AIZyZO/RN5WYlQubbc0+6TXuokM5Jz/XP54lsbzmYS5lw9GACFd
iasQx0Bu7RZzdnM1dVRp/JlzWqPRopaIvydJmm3hIyMXXzW3yoFrlveWS5cwCKK8ywkessay6xky
jXcJV8YgP+qDkDQRLi4x25FCM8rMyO4UzY0rgIQyK4U2W9BFGi5qouRFsIqXadGCdAyZdXlDouSu
ue5PZQ4B1QS6k4CiWhelsch4w69pQ8lmp/dgyUlHYymvGnx0oEwnR9KXBHBMRdGnRbu5BOauLT4V
g9DYFelUWF7D+oZu9FpmZ3TePYIcIuTilOFnjsbVyyUgTe+TuQY1X5XFQrXAnAP9sabILEJDa4t6
aUqPydwBnKrsj0uBJfzRiUemXo+ctW0mFVGu5o8oiSmuf/1556UXFqXnJ3JApNOowRQjyLLXedU1
SrhUM1ULZBf5EVLdehAe1m0xQ2Xq1F2rZWz3l/V0IZujYwNUfBfWIhv7AGVBXPI5S6c9/0qJpUdG
wRiyzxOX5u8SrJl1Yfn8gUguuie7TgkXlv85kRXOeaR2ntcSMSDq03GyQANNeoTzmHIK1dvUE1Hm
LFfBXg1ptK6L0Njyl+rjkcs/OIqAGDOrqzY2/ITPlBOI4jtJqvLdWmokIiZuvK8jtdVMzhhc3Smg
ukx/wlyj6Aimh9iQh8djKEbVcbDe0Doah8i+IvLzJGgK58Ay3NHalwELUWZgF8qk16Zs+zByTPom
n/pTJlUwCYn6Y1NdfZPxQyMrTgEMX+UxeXHcs0LL0LuEy2/hfQw9QWUJWWQc9jt22ui7MMffU/kL
oJFnQ1oNV6okYRwnXKmolOsoUmOLtujpmbzGXG0fFo7R6owmsAlaUgVZsdUOF2W45ejK9hyW+6YI
0f/T+Yu9kJ6WxvqxUDjzX4J+ynHZeX+HkMTiEC9FxrT5y6FmwN6mfzo7fjv8ht2EbkSgFx10RfQw
RsB83U5+ebVx9Uv6ns+P2ar8HT830SoTwnp1pV4DbOx4M7pT7ACdCQ4HGTWvXH9up6vqE1/Swy+V
FJgxmNMXnIBuEYPmDWfyKBeZBSNWDGo12AVz27rK9XQIF+SDuQZMNtxK3XEPSUlA2JrMA6XQ2j34
sKVEebBVanx82gDLYweYXOnYlK+UPL3GHwxI6jfMBjKWEspbymSW/C/vItcpodKAUbUcPcHUg/zw
1WHFysO35iLUNbZaGM98SzPp8rEyiqT0mnzCCiSfpFJFsnWrSmdxE5Aj1ex+WHBpduDRfC1Zeos3
60wkracJ7xJQQyL21RIlT+INsKoz2rENEamvyEOXXD1ZpvzM2XchpOEmrgvf7Yu2jw2l7XLOuMsv
0S3lQDtcjqnQJD601vT8a5Jy9HU3baI4KrQITSe9tUXY5UHHakXQfrmp1TaPXgXIXShUnoGn/wWc
0TxtRDLzdeC98reN+xb62iaFygtLwKBu/zc3LRa8BabZDIKp/QMAqukWfDOyLAMaosLhDZ/fpFwm
n1hZ5idEzT8Jg6iZR1Ay3aRiJhxVS1ZbbIpVYmQIaLmlwMa6+TrIqyyeEOazVnGHAl/jlAaDhcOu
3u7IQ3hpgJ8frKHfxzxmL1jRCADEQElSRDBhoUu29Z8gyHPYREdSvKpOT/mXZzSRj4H4App6mWs7
gu39JSUfr1qLVot0D6t0SK73ccZuUbRRBuNqNqemUTaf4TZ7EFyoiBwlncsv9MWSqSuiXxPe2bJJ
K2ZE2z7dn2rp5HDq3HUDg9lwbXY1UrInaFnZCaNmss4U6G1jA8jToe6H4e19i0aCBTE6OIXMwQmJ
wVsRlKL6f31aZN7xuP6j9uThlUwLhF7srs83pzNX5JA0KdYNPdnsSP1MD9yJ1T7vUbnd8rStn/Xk
RsFcu3vldLs1bHdCFlwW5BX2RJnKW5pU9CcnxTb2MZUWtM2Hk5jn3mP50GSzjW79P6G9xeejLF7a
tqR06E5RXf27uQEl8hTWpm27QOi0qCyM6dpgBo2wv1fghWAOmahgO81AEhfWX9bTFVkIWimWDlnu
+PSV2Y4Lwl5Wk8OYw51Oe2hefiXhX1sPaUBqgKLRL/CrywaHcpn/lTDKChdh7mcT1xxbYZbEvF/N
S73HyRQgYLQqubzsgKWaRlQNfWxdGgGvzcYq7yB+jM18bw9BkRRVFSI156lDLc3bIBPfObUZ1huR
dzVjgaVpbPPBWlxGPvqxty9VrNHMqqCWFIdg+50Om38m3HC+GDJXRplER1bpyzl6FBaxnlNVnhF/
X84hI79XGpj2SKebzj1Q3aEiLFBSISr3QCrIZaXgRAr6bzsmKB1D2CbeCQHUj3uKyIWRqaFICaGP
AeEHzjufbdvx200Kjr5ZvgwRPHQGWxdraRSPPGrvH1y7atZhwUm7Z4/byYqH/7Ntr4g0VD+BuK37
co/QLMj2/bnq5dQkr0RWxDDCjiTToXkUBOu4wzrslTDMJs5E4ze5L41AGwzoc2q4yqf1WLK/St+g
jngHq9F/t9ociE9Xx0rL4q4TzhcOjHldJGuCimG42fwLqZTW3+5CqsQXcE8AUgyHL3Q3rUMQrHHS
6sBjw+nueLQkq7BlBiv3Qy5VEnas+Ytq4d8ydJ3X3QT5if5LmtgkfkFCmjY9FRl5lhlzhSNQ39Wq
lqtcJCWpCEgeWYJGVkGGP2r+TedsnsugxDSqpzUoE5ewGux9f7dntchpLDM33pDY1J60VW2HW/ba
0Ug47RXooUosY4J+ABoKJ73CNl6MgIu+487pjOjtK/ni5+JHzq6IhTk2gQUCzL3J3pX6i/zRXB1E
e3fC3j2NW7eEoTr+tkRGC5gZDQiJIBcfJGGdbTnGKwDwoANF5kWrfdapY5Gi+MhyubS9JKBlWtrL
kzZ2CKxYY3SX26zwm0SD6NEDyjm9i7TST0gTObVU375VTHyCyt6IcV7eRlIB6KSpWT37ix/VSREq
jhLJuRXtX7NuoVcpMxhnqZ0mcwFWeKSmfg9SjlnwshjIcPGg86K4jxk2lJ2VPkRa1n4C417znCB7
KwcalIr5aDrWK+t/xiRSMJ2fUoEXOc+R0AqFti2bI2FNhaq7HU/M/cLbhxYUM8WopbXPKbqv7wtU
WJAhQooJ0lHUuYQHJWYrOPAZehmwClu0Jvb6mlgi1DDpnmKUotvr6Wpr3yw6DkxMC9t/rj1nBp/U
Ie9o+ELYenjp+xxrbNx2vWxKJO+EA6RJIitYWIXuv/PT//0IJD25YEe0opTmsxkOeh2T/0udVGlP
DUO/d1EpNp8ZU1b+AK5fsmXMyn95Ohp2uW34QS6mCgfejKtOdb0gGRao2UANSXMQvJwJ8Pkjm+bs
eVY0dpH1V01kdeIwFonyyDbfOer4HmOgPI/S1Sr6ZG7J8g0hw2lCEp6AB5v48eG+ZIMgg8K45ItU
Vxs85Av/nfMrpeh3guAM+O6WbygHNiy6GkArwBoj0TKdNZ/3hWFLpgWeP3yiDBO/IdturlnMLyrf
HLJwibIO7mPtM3KOHKGDa2p4DwejGdgMiyQsZunVbfRvKvVfEpJHHQJwm28O8FFtjefSl0sYA96n
9OkGKyiGHvqYkvv82ecnLooo4ssihP46j/mEB1vgD5tvaSRFAvj0p0K0n+agTkIahPYzHly0CFGp
ioIBsdfFp83SYZXrkI5ywTRO501YeTcAJklBKD1N78jzvLB42QLCQtuXq+IHkR1uD/8V7cfl2P+5
VACuuSKTn+7zPzlDM/R8hpCj2x91IcaC6qQr6DmxDsooRqIg+4VriZZkwQ6TJB6NIMGPirdhO4d0
oEl+iEkFg+Hn16BdI7hrH+ydQ5Ez4znsNO+7NhRud54yDXWmZO1An6T/GDL7UongLTj9KJQtXqfi
dnrLCPMXlQ6ikS6UkNYp4nniDjIqFSPJKsxiMiHlVzCdZ+sgGelruhQ4lzHTE8TS4wiNru0gTzOR
CECRNW4pr6h5VE12PTOM8a6Rs7y0PdpFTj7Q2VB0o7PUKxRxGdbTj5x9qv2cC6+2dObNkU3APJIW
VBe/j2zlNNwrmGOMHLf9/MslbnkYHON/jyrXXcyTGiS+wWLtQvy+W0gmrDZSoWLB3vCBDvKq+Ype
Rx6oTtyNlBRmYYssVuLc3mLaBZ5IOiatljtI1rQhyGxfe3rJHGJrvyErkQhR73YDE9cvZH5He2E9
CE3cdsJmOIETJoXrxI2kH5ACJWMKDoapnkzL7lW+Ruf/Fg0RqCkQHKZhGN8y4C9DI5E8LPPskGRo
Huy6wIZ7XezEpA4KmfPRhXQseL6I45OYHYsYf/l0qupx6f/CsmUTpc/u2NJo3uESJiAM7yt60sFj
GDGiHQ4gwyghWqdSVJ+sPpryFI7wwcP4a9ewjNodHt64sGtLLZTDpzPO/qIUDDV22wxFmh9efPzS
Dk+txu8clXrki030sqKG3se03Jjg1xZxlJqDCTIvU4D+ctRUcugjAxesoV9dmbV71lYsZu084A7m
Wc+Oe3TXVUfm4V75sdtb5RGEJ1e4zZY8MPOEJHurnRF2kkr+RbRxZyH565K/GhZqoZHGEKm2KGBR
Vmu2i5Ki1alTg25go51zbuCXcgldpu0hbymvRFhfL+PMiSQaMn4Sxmc/nbpMALDJfpB4Mhl7Itrx
r28Ts7QZk8iY0eYzu0td68KcXtI3buxi3DNV+sKnpN1mRxQ3PusfaSg/Twp5b4YB3lhRbG3RyOx0
cv4lPG4825V9FA0nUUw+o015109LTmy9Zjbm1kjUOTO4Ww0KunCq7rnbIvv7tWEp3Ph3SwAw4tUO
JJ/MB56Xt78I6mQ5c1Q8idchArhi2+bidAinXs6WKTwjf1dNRygKjQMCcL+wOHCC4Bf6EN0P1on6
Yuj05l1eEZz4ilhBP8DqtXvg8wmAtzuRWAGq5ay0gg0Iqt/yGo/GM21Vdco3E78zTxVH2q0aHkgt
rQ6CD45f/w5y2uI+03jMtnYG/nJuLFnXZPWpnTnHsRW3oAwEPgl8L4D3PUJ8
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
dUotDiO/P+1eL1pYuR0rYaf3eqQJxS0u4SevgRCgAtuJDhVd0sb5c7yjh2piBj/+s/v1jdXlgUur
4fBQslDFnw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
chPzPf0StVQExUXLLKh4o7Mmt8tFZwBPBeDxFxVum/weWbtzoCz719Ko7yHJBjfadFhG4eLKiib1
Tt8hSp5P5MliLovyHWWSPE0lPi+03V8MQ0fZ6Hozd1JQpMioxgag7pjJWjzSdXRUKiMlB3s7RQbl
HRTVOxsHggQXb0+fGws=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
IUrIfP1uAFE1zO8jEhtFRR2pANYwA6cP9F4HX6OrS37XhJ/d1AoeW2gachwmPN+eEnzn2i844rnx
OpAV8D/2wvjfpqkl/O6tcg7zYRzo+lo5/+ztqASMNEf/GnD2bTSq3IiR3OtjvREoqWSP7As7xfoN
Au0mCkL4hL9rCtbmW87+oRvQdBM8WIu7IdHxHmuny4I012oCaOwzNWZJbq5748ve6VoVwd9fq7xV
4oNuJuYIo7x7A7XBfLgHjNpu+/BPKBLssi3KpswN1W6TdjzCBb0VMbk9hlEaQ9b0C9cNqsPMG2J9
Yp3qtrEl2XGLviKjsAWdQgy82Nv7S8TOA0XTtQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
l+o/+1IlvkTmU18EIjBM0Uomvc2/BliRyUgZUP4BuLDwSXvbO/1Nz4krGrkpNbNoE1YesIwynIeV
zBCn7SwMf79YK3kpWX8pbLUoTJH4MjUJDV3Tt1nXlr+Tu4XM62/sceeYSib+rwgQMRQkCwtA+hgB
iw55gwIvwAdQoGximTk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
YSfYyZXaSrPB9BhgW+PrZ6kTP9SDGwdiQZTt/cKghrq1MWbYmBc3lKtdirwr3SjE4wgXqvm9YHZ/
lotDQ3REvt8DdILIxfVljuWx4DBRXrwucz64RCkGsMsScuEvO98VAkaJGiJ5fdh0rtlmqbqLiIZZ
TZN6yvX3HmQaF9KXzednTEWx4lECwlEMm3lcZq8zgKFt8QC5FQTn4Pka3qcPB/W2OC/4Y9TtkJBz
m1aMufliOw7ZBgOPM0QeZYi3wJOFzizTKO3a3swstC8adX3zgRlANp/L3C4JXYfXRlvx1k9nuU7O
+bpnJnOrbc8xwgW4G3khRDGIhLEaIP2/FqpRzw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4320)
`protect data_block
BZtO54uybkY4pK4v44ryb0gUUcBpgKuKJOqf9KUZ+KoFVUwYyhRgtUa4kZZ0ajnHcmhZX5s5ZRqc
dwaJy0Uk4jNSE1mXDXk2+S1EcTl95ticjzgZ3Fqv2BtTBuLyzYh2z19G9uGAh1oQFTc1zkcXjzw4
FL39v3Luys/m4Vqz7+sKJti02Ij4AJtITw89pOekHe4Xisdw06dEId5E1z46ruHXipvJHG9OCmgL
Eo4VQvUoKu17lp9ScXd7KiWu54C/mri/Zlhai973HkO2eBFixSD7E/rhZkjzp5ZtOmxkfTXOgHwu
xZ+9DS1QvTTk8QHBeXo3+WrUOC1B2D6HQs8likyUbX8EBIy9gwD5i0+jJQuARX1T1gHFlm0DU4Nq
uz+ztVmY5xDRzNTP5OvBQ/QXJPKLJaEyfAnSQwztdz3oAQX/nrzJbMo0mpbUcLjtChtJc13j9zck
EzFgcKyxTdfhfRwv8GDWJIIGlFBsZ+dMyrJkVWavPjn2GyqhBZflTUWM+KIYdhAD5LDS1kSIS3Qs
lHFp63++h8fOO8DM1j9L3dGkn46p0r+aBOzdO7VNTFhcRx16MXma+/UNvf8PaZQZ1t6+v8d6/U7O
i96JjO7px0ddiGQNqQ/pvj6q2ebXrLJDoR1DX2Y0qGTVdi1tSdVEmu5xHKHGMjKcy4bLO5kbyhnR
ucKhhdfejfs1Wqp6+oWFAJQ9PlW/a8UJuAIlogTbhbaLTcf5YZpVJyoVd8MpfSQv33eWV2qfG9BU
76MEFTzFycLdtkVXpYHSxK/R4AIZyZO/RN5WYlQubbc0+6TXuokM5Jz/XP54lsbzmYS5lw9GACFd
iasQx0Bu7RZzdnM1dVRp/JlzWqPRopaIvydJmm3hIyMXXzW3yoFrlveWS5cwCKK8ywkessay6xky
jXcJV8YgP+qDkDQRLi4x25FCM8rMyO4UzY0rgIQyK4U2W9BFGi5qouRFsIqXadGCdAyZdXlDouSu
ue5PZQ4B1QS6k4CiWhelsch4w69pQ8lmp/dgyUlHYymvGnx0oEwnR9KXBHBMRdGnRbu5BOauLT4V
g9DYFelUWF7D+oZu9FpmZ3TePYIcIuTilOFnjsbVyyUgTe+TuQY1X5XFQrXAnAP9sabILEJDa4t6
aUqPydwBnKrsj0uBJfzRiUemXo+ctW0mFVGu5o8oiSmuf/1556UXFqXnJ3JApNOowRQjyLLXedU1
SrhUM1ULZBf5EVLdehAe1m0xQ2Xq1F2rZWz3l/V0IZujYwNUfBfWIhv7AGVBXPI5S6c9/0qJpUdG
wRiyzxOX5u8SrJl1Yfn8gUguuie7TgkXlv85kRXOeaR2ntcSMSDq03GyQANNeoTzmHIK1dvUE1Hm
LFfBXg1ptK6L0Njyl+rjkcs/OIqAGDOrqzY2/ITPlBOI4jtJqvLdWmokIiZuvK8jtdVMzhhc3Smg
ukx/wlyj6Aimh9iQh8djKEbVcbDe0Doah8i+IvLzJGgK58Ay3NHalwELUWZgF8qk16Zs+zByTPom
n/pTJlUwCYn6Y1NdfZPxQyMrTgEMX+UxeXHcs0LL0LuEy2/hfQw9QWUJWWQc9jt22ui7MMffU/kL
oJFnQ1oNV6okYRwnXKmolOsoUmOLtujpmbzGXG0fFo7R6owmsAlaUgVZsdUOF2W45ejK9hyW+6YI
0f/T+Yu9kJ6WxvqxUDjzX4J+ynHZeX+HkMTiEC9FxrT5y6FmwN6mfzo7fjv8ht2EbkSgFx10RfQw
RsB83U5+ebVx9Uv6ns+P2ar8HT830SoTwnp1pV4DbOx4M7pT7ACdCQ4HGTWvXH9up6vqE1/Swy+V
FJgxmNMXnIBuEYPmDWfyKBeZBSNWDGo12AVz27rK9XQIF+SDuQZMNtxK3XEPSUlA2JrMA6XQ2j34
sKVEebBVanx82gDLYweYXOnYlK+UPL3GHwxI6jfMBjKWEspbymSW/C/vItcpodKAUbUcPcHUg/zw
1WHFysO35iLUNbZaGM98SzPp8rEyiqT0mnzCCiSfpFJFsnWrSmdxE5Aj1ex+WHBpduDRfC1Zeos3
60wkracJ7xJQQyL21RIlT+INsKoz2rENEamvyEOXXD1ZpvzM2XchpOEmrgvf7Yu2jw2l7XLOuMsv
0S3lQDtcjqnQJD601vT8a5Jy9HU3baI4KrQITSe9tUXY5UHHakXQfrmp1TaPXgXIXShUnoGn/wWc
0TxtRDLzdeC98reN+xb62iaFygtLwKBu/zc3LRa8BabZDIKp/QMAqukWfDOyLAMaosLhDZ/fpFwm
n1hZ5idEzT8Jg6iZR1Ay3aRiJhxVS1ZbbIpVYmQIaLmlwMa6+TrIqyyeEOazVnGHAl/jlAaDhcOu
3u7IQ3hpgJ8frKHfxzxmL1jRCADEQElSRDBhoUu29Z8gyHPYREdSvKpOT/mXZzSRj4H4App6mWs7
gu39JSUfr1qLVot0D6t0SK73ccZuUbRRBuNqNqemUTaf4TZ7EFyoiBwlncsv9MWSqSuiXxPe2bJJ
K2ZE2z7dn2rp5HDq3HUDg9lwbXY1UrInaFnZCaNmss4U6G1jA8jToe6H4e19i0aCBTE6OIXMwQmJ
wVsRlKL6f31aZN7xuP6j9uThlUwLhF7srs83pzNX5JA0KdYNPdnsSP1MD9yJ1T7vUbnd8rStn/Xk
RsFcu3vldLs1bHdCFlwW5BX2RJnKW5pU9CcnxTb2MZUWtM2Hk5jn3mP50GSzjW79P6G9xeejLF7a
tqR06E5RXf27uQEl8hTWpm27QOi0qCyM6dpgBo2wv1fghWAOmahgO81AEhfWX9bTFVkIWimWDlnu
+PSV2Y4Lwl5Wk8OYw51Oe2hefiXhX1sPaUBqgKLRL/CrywaHcpn/lTDKChdh7mcT1xxbYZbEvF/N
S73HyRQgYLQqubzsgKWaRlQNfWxdGgGvzcYq7yB+jM18bw9BkRRVFSI156lDLc3bIBPfObUZ1huR
dzVjgaVpbPPBWlxGPvqxty9VrNHMqqCWFIdg+50Om38m3HC+GDJXRplER1bpyzl6FBaxnlNVnhF/
X84hI79XGpj2SKebzj1Q3aEiLFBSISr3QCrIZaXgRAr6bzsmKB1D2CbeCQHUj3uKyIWRqaFICaGP
AeEHzjufbdvx200Kjr5ZvgwRPHQGWxdraRSPPGrvH1y7atZhwUm7Z4/byYqH/7Ntr4g0VD+BuK37
co/QLMj2/bnq5dQkr0RWxDDCjiTToXkUBOu4wzrslTDMJs5E4ze5L41AGwzoc2q4yqf1WLK/St+g
jngHq9F/t9ociE9Xx0rL4q4TzhcOjHldJGuCimG42fwLqZTW3+5CqsQXcE8AUgyHL3Q3rUMQrHHS
6sBjw+nueLQkq7BlBiv3Qy5VEnas+Ytq4d8ydJ3X3QT5if5LmtgkfkFCmjY9FRl5lhlzhSNQ39Wq
lqtcJCWpCEgeWYJGVkGGP2r+TedsnsugxDSqpzUoE5ewGux9f7dntchpLDM33pDY1J60VW2HW/ba
0Ug47RXooUosY4J+ABoKJ73CNl6MgIu+487pjOjtK/ni5+JHzq6IhTk2gQUCzL3J3pX6i/zRXB1E
e3fC3j2NW7eEoTr+tkRGC5gZDQiJIBcfJGGdbTnGKwDwoANF5kWrfdapY5Gi+MhyubS9JKBlWtrL
kzZ2CKxYY3SX26zwm0SD6NEDyjm9i7TST0gTObVU375VTHyCyt6IcV7eRlIB6KSpWT37ix/VSREq
jhLJuRXtX7NuoVcpMxhnqZ0mcwFWeKSmfg9SjlnwshjIcPGg86K4jxk2lJ2VPkRa1n4C417znCB7
KwcalIr5aDrWK+t/xiRSMJ2fUoEXOc+R0AqFti2bI2FNhaq7HU/M/cLbhxYUM8WopbXPKbqv7wtU
WJAhQooJ0lHUuYQHJWYrOPAZehmwClu0Jvb6mlgi1DDpnmKUotvr6Wpr3yw6DkxMC9t/rj1nBp/U
Ie9o+ELYenjp+xxrbNx2vWxKJO+EA6RJIitYWIXuv/PT//0IJD25YEe0opTmsxkOeh2T/0udVGlP
DUO/d1EpNp8ZU1b+AK5fsmXMyn95Ohp2uW34QS6mCgfejKtOdb0gGRao2UANSXMQvJwJ8Pkjm+bs
eVY0dpH1V01kdeIwFonyyDbfOer4HmOgPI/S1Sr6ZG7J8g0hw2lCEp6AB5v48eG+ZIMgg8K45ItU
Vxs85Av/nfMrpeh3guAM+O6WbygHNiy6GkArwBoj0TKdNZ/3hWFLpgWeP3yiDBO/IdturlnMLyrf
HLJwibIO7mPtM3KOHKGDa2p4DwejGdgMiyQsZunVbfRvKvVfEpJHHQJwm28O8FFtjefSl0sYA96n
9OkGKyiGHvqYkvv82ecnLooo4ssihP46j/mEB1vgD5tvaSRFAvj0p0K0n+agTkIahPYzHly0CFGp
ioIBsdfFp83SYZXrkI5ywTRO501YeTcAJklBKD1N78jzvLB42QLCQtuXq+IHkR1uD/8V7cfl2P+5
VACuuSKTn+7zPzlDM/R8hpCj2x91IcaC6qQr6DmxDsooRqIg+4VriZZkwQ6TJB6NIMGPirdhO4d0
oEl+iEkFg+Hn16BdI7hrH+ydQ5Ez4znsNO+7NhRud54yDXWmZO1An6T/GDL7UongLTj9KJQtXqfi
dnrLCPMXlQ6ikS6UkNYp4nniDjIqFSPJKsxiMiHlVzCdZ+sgGelruhQ4lzHTE8TS4wiNru0gTzOR
CECRNW4pr6h5VE12PTOM8a6Rs7y0PdpFTj7Q2VB0o7PUKxRxGdbTj5x9qv2cC6+2dObNkU3APJIW
VBe/j2zlNNwrmGOMHLf9/MslbnkYHON/jyrXXcyTGiS+wWLtQvy+W0gmrDZSoWLB3vCBDvKq+Ype
Rx6oTtyNlBRmYYssVuLc3mLaBZ5IOiatljtI1rQhyGxfe3rJHGJrvyErkQhR73YDE9cvZH5He2E9
CE3cdsJmOIETJoXrxI2kH5ACJWMKDoapnkzL7lW+Ruf/Fg0RqCkQHKZhGN8y4C9DI5E8LPPskGRo
Huy6wIZ7XezEpA4KmfPRhXQseL6I45OYHYsYf/l0qupx6f/CsmUTpc/u2NJo3uESJiAM7yt60sFj
GDGiHQ4gwyghWqdSVJ+sPpryFI7wwcP4a9ewjNodHt64sGtLLZTDpzPO/qIUDDV22wxFmh9efPzS
Dk+txu8clXrki030sqKG3se03Jjg1xZxlJqDCTIvU4D+ctRUcugjAxesoV9dmbV71lYsZu084A7m
Wc+Oe3TXVUfm4V75sdtb5RGEJ1e4zZY8MPOEJHurnRF2kkr+RbRxZyH565K/GhZqoZHGEKm2KGBR
Vmu2i5Ki1alTg25go51zbuCXcgldpu0hbymvRFhfL+PMiSQaMn4Sxmc/nbpMALDJfpB4Mhl7Itrx
r28Ts7QZk8iY0eYzu0td68KcXtI3buxi3DNV+sKnpN1mRxQ3PusfaSg/Twp5b4YB3lhRbG3RyOx0
cv4lPG4825V9FA0nUUw+o015109LTmy9Zjbm1kjUOTO4Ww0KunCq7rnbIvv7tWEp3Ph3SwAw4tUO
JJ/MB56Xt78I6mQ5c1Q8idchArhi2+bidAinXs6WKTwjf1dNRygKjQMCcL+wOHCC4Bf6EN0P1on6
Yuj05l1eEZz4ilhBP8DqtXvg8wmAtzuRWAGq5ay0gg0Iqt/yGo/GM21Vdco3E78zTxVH2q0aHkgt
rQ6CD45f/w5y2uI+03jMtnYG/nJuLFnXZPWpnTnHsRW3oAwEPgl8L4D3PUJ8
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
dUotDiO/P+1eL1pYuR0rYaf3eqQJxS0u4SevgRCgAtuJDhVd0sb5c7yjh2piBj/+s/v1jdXlgUur
4fBQslDFnw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
chPzPf0StVQExUXLLKh4o7Mmt8tFZwBPBeDxFxVum/weWbtzoCz719Ko7yHJBjfadFhG4eLKiib1
Tt8hSp5P5MliLovyHWWSPE0lPi+03V8MQ0fZ6Hozd1JQpMioxgag7pjJWjzSdXRUKiMlB3s7RQbl
HRTVOxsHggQXb0+fGws=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
IUrIfP1uAFE1zO8jEhtFRR2pANYwA6cP9F4HX6OrS37XhJ/d1AoeW2gachwmPN+eEnzn2i844rnx
OpAV8D/2wvjfpqkl/O6tcg7zYRzo+lo5/+ztqASMNEf/GnD2bTSq3IiR3OtjvREoqWSP7As7xfoN
Au0mCkL4hL9rCtbmW87+oRvQdBM8WIu7IdHxHmuny4I012oCaOwzNWZJbq5748ve6VoVwd9fq7xV
4oNuJuYIo7x7A7XBfLgHjNpu+/BPKBLssi3KpswN1W6TdjzCBb0VMbk9hlEaQ9b0C9cNqsPMG2J9
Yp3qtrEl2XGLviKjsAWdQgy82Nv7S8TOA0XTtQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
l+o/+1IlvkTmU18EIjBM0Uomvc2/BliRyUgZUP4BuLDwSXvbO/1Nz4krGrkpNbNoE1YesIwynIeV
zBCn7SwMf79YK3kpWX8pbLUoTJH4MjUJDV3Tt1nXlr+Tu4XM62/sceeYSib+rwgQMRQkCwtA+hgB
iw55gwIvwAdQoGximTk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
YSfYyZXaSrPB9BhgW+PrZ6kTP9SDGwdiQZTt/cKghrq1MWbYmBc3lKtdirwr3SjE4wgXqvm9YHZ/
lotDQ3REvt8DdILIxfVljuWx4DBRXrwucz64RCkGsMsScuEvO98VAkaJGiJ5fdh0rtlmqbqLiIZZ
TZN6yvX3HmQaF9KXzednTEWx4lECwlEMm3lcZq8zgKFt8QC5FQTn4Pka3qcPB/W2OC/4Y9TtkJBz
m1aMufliOw7ZBgOPM0QeZYi3wJOFzizTKO3a3swstC8adX3zgRlANp/L3C4JXYfXRlvx1k9nuU7O
+bpnJnOrbc8xwgW4G3khRDGIhLEaIP2/FqpRzw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4320)
`protect data_block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`protect end_protected
|
----------------------------------------------------------------------------------
-- Engineer: Longofono
-- Create Date: 02/10/2018 06:14:36 PM
-- Module Name: mux - Behavioral
-- Description: Simple asynchronous 2 to 1 mux
--
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library config;
use work.config.all;
entity mux is
Port(
sel: in std_logic; -- Select from zero, one ports
zero_port: in doubleword; -- Data in, zero select port
one_port: in doubleword; -- Data in, one select port
out_port: out doubleword -- Output data
);
end mux;
architecture Behavioral of mux is
begin
out_port <= one_port when '1' = sel else zero_port;
end Behavioral;
|
-- megafunction wizard: %RAM: 2-PORT%
-- GENERATION: STANDARD
-- VERSION: WM1.0
-- MODULE: altsyncram
-- ============================================================
-- File Name: RAM_3.vhd
-- Megafunction Name(s):
-- altsyncram
--
-- Simulation Library Files(s):
-- altera_mf
-- ============================================================
-- ************************************************************
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
--
-- 14.0.0 Build 200 06/17/2014 SJ Web Edition
-- ************************************************************
--Copyright (C) 1991-2014 Altera Corporation. All rights reserved.
--Your use of Altera Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--functions, and any output files from any of the foregoing
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--to the terms and conditions of the Altera Program License
--Subscription Agreement, the Altera Quartus II License Agreement,
--the Altera MegaCore Function License Agreement, or other
--applicable license agreement, including, without limitation,
--that your use is for the sole purpose of programming logic
--devices manufactured by Altera and sold by Altera or its
--authorized distributors. Please refer to the applicable
--agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY altera_mf;
USE altera_mf.altera_mf_components.all;
ENTITY RAM_3 IS
PORT
(
aclr : IN STD_LOGIC := '0';
address_a : IN STD_LOGIC_VECTOR (9 DOWNTO 0);
address_b : IN STD_LOGIC_VECTOR (9 DOWNTO 0);
clock : IN STD_LOGIC := '1';
data_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
data_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
wren_a : IN STD_LOGIC := '0';
wren_b : IN STD_LOGIC := '0';
q_a : OUT STD_LOGIC_VECTOR (31 DOWNTO 0);
q_b : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)
);
END RAM_3;
ARCHITECTURE SYN OF ram_3 IS
SIGNAL sub_wire0 : STD_LOGIC_VECTOR (31 DOWNTO 0);
SIGNAL sub_wire1 : STD_LOGIC_VECTOR (31 DOWNTO 0);
BEGIN
q_a <= sub_wire0(31 DOWNTO 0);
q_b <= sub_wire1(31 DOWNTO 0);
altsyncram_component : altsyncram
GENERIC MAP (
address_reg_b => "CLOCK0",
clock_enable_input_a => "BYPASS",
clock_enable_input_b => "BYPASS",
clock_enable_output_a => "BYPASS",
clock_enable_output_b => "BYPASS",
indata_reg_b => "CLOCK0",
init_file => "RAM_3.mif",
intended_device_family => "Cyclone IV E",
lpm_type => "altsyncram",
numwords_a => 1024,
numwords_b => 1024,
operation_mode => "BIDIR_DUAL_PORT",
outdata_aclr_a => "CLEAR0",
outdata_aclr_b => "CLEAR0",
outdata_reg_a => "UNREGISTERED",
outdata_reg_b => "UNREGISTERED",
power_up_uninitialized => "FALSE",
read_during_write_mode_mixed_ports => "OLD_DATA",
read_during_write_mode_port_a => "NEW_DATA_NO_NBE_READ",
read_during_write_mode_port_b => "NEW_DATA_NO_NBE_READ",
widthad_a => 10,
widthad_b => 10,
width_a => 32,
width_b => 32,
width_byteena_a => 1,
width_byteena_b => 1,
wrcontrol_wraddress_reg_b => "CLOCK0"
)
PORT MAP (
aclr0 => aclr,
address_a => address_a,
address_b => address_b,
clock0 => clock,
data_a => data_a,
data_b => data_b,
wren_a => wren_a,
wren_b => wren_b,
q_a => sub_wire0,
q_b => sub_wire1
);
END SYN;
-- ============================================================
-- CNX file retrieval info
-- ============================================================
-- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
-- Retrieval info: PRIVATE: ADDRESSSTALL_B NUMERIC "0"
-- Retrieval info: PRIVATE: BYTEENA_ACLR_A NUMERIC "0"
-- Retrieval info: PRIVATE: BYTEENA_ACLR_B NUMERIC "0"
-- Retrieval info: PRIVATE: BYTE_ENABLE_A NUMERIC "0"
-- Retrieval info: PRIVATE: BYTE_ENABLE_B NUMERIC "0"
-- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"
-- Retrieval info: PRIVATE: BlankMemory NUMERIC "0"
-- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0"
-- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_B NUMERIC "0"
-- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0"
-- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_B NUMERIC "0"
-- Retrieval info: PRIVATE: CLRdata NUMERIC "0"
-- Retrieval info: PRIVATE: CLRq NUMERIC "1"
-- Retrieval info: PRIVATE: CLRrdaddress NUMERIC "0"
-- Retrieval info: PRIVATE: CLRrren NUMERIC "0"
-- Retrieval info: PRIVATE: CLRwraddress NUMERIC "0"
-- Retrieval info: PRIVATE: CLRwren NUMERIC "0"
-- Retrieval info: PRIVATE: Clock NUMERIC "0"
-- Retrieval info: PRIVATE: Clock_A NUMERIC "0"
-- Retrieval info: PRIVATE: Clock_B NUMERIC "0"
-- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0"
-- Retrieval info: PRIVATE: INDATA_ACLR_B NUMERIC "0"
-- Retrieval info: PRIVATE: INDATA_REG_B NUMERIC "1"
-- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A"
-- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0"
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
-- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0"
-- Retrieval info: PRIVATE: JTAG_ID STRING "NONE"
-- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
-- Retrieval info: PRIVATE: MEMSIZE NUMERIC "32768"
-- Retrieval info: PRIVATE: MEM_IN_BITS NUMERIC "0"
-- Retrieval info: PRIVATE: MIFfilename STRING "RAM_3.mif"
-- Retrieval info: PRIVATE: OPERATION_MODE NUMERIC "3"
-- Retrieval info: PRIVATE: OUTDATA_ACLR_B NUMERIC "1"
-- Retrieval info: PRIVATE: OUTDATA_REG_B NUMERIC "0"
-- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
-- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_MIXED_PORTS NUMERIC "1"
-- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "3"
-- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_B NUMERIC "3"
-- Retrieval info: PRIVATE: REGdata NUMERIC "1"
-- Retrieval info: PRIVATE: REGq NUMERIC "0"
-- Retrieval info: PRIVATE: REGrdaddress NUMERIC "0"
-- Retrieval info: PRIVATE: REGrren NUMERIC "0"
-- Retrieval info: PRIVATE: REGwraddress NUMERIC "1"
-- Retrieval info: PRIVATE: REGwren NUMERIC "1"
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
-- Retrieval info: PRIVATE: USE_DIFF_CLKEN NUMERIC "0"
-- Retrieval info: PRIVATE: UseDPRAM NUMERIC "1"
-- Retrieval info: PRIVATE: VarWidth NUMERIC "0"
-- Retrieval info: PRIVATE: WIDTH_READ_A NUMERIC "32"
-- Retrieval info: PRIVATE: WIDTH_READ_B NUMERIC "32"
-- Retrieval info: PRIVATE: WIDTH_WRITE_A NUMERIC "32"
-- Retrieval info: PRIVATE: WIDTH_WRITE_B NUMERIC "32"
-- Retrieval info: PRIVATE: WRADDR_ACLR_B NUMERIC "0"
-- Retrieval info: PRIVATE: WRADDR_REG_B NUMERIC "1"
-- Retrieval info: PRIVATE: WRCTRL_ACLR_B NUMERIC "0"
-- Retrieval info: PRIVATE: enable NUMERIC "0"
-- Retrieval info: PRIVATE: rden NUMERIC "0"
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
-- Retrieval info: CONSTANT: ADDRESS_REG_B STRING "CLOCK0"
-- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS"
-- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_B STRING "BYPASS"
-- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS"
-- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_B STRING "BYPASS"
-- Retrieval info: CONSTANT: INDATA_REG_B STRING "CLOCK0"
-- Retrieval info: CONSTANT: INIT_FILE STRING "RAM_3.mif"
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
-- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
-- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "1024"
-- Retrieval info: CONSTANT: NUMWORDS_B NUMERIC "1024"
-- Retrieval info: CONSTANT: OPERATION_MODE STRING "BIDIR_DUAL_PORT"
-- Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "CLEAR0"
-- Retrieval info: CONSTANT: OUTDATA_ACLR_B STRING "CLEAR0"
-- Retrieval info: CONSTANT: OUTDATA_REG_A STRING "UNREGISTERED"
-- Retrieval info: CONSTANT: OUTDATA_REG_B STRING "UNREGISTERED"
-- Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE"
-- Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_MIXED_PORTS STRING "OLD_DATA"
-- Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_PORT_A STRING "NEW_DATA_NO_NBE_READ"
-- Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_PORT_B STRING "NEW_DATA_NO_NBE_READ"
-- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "10"
-- Retrieval info: CONSTANT: WIDTHAD_B NUMERIC "10"
-- Retrieval info: CONSTANT: WIDTH_A NUMERIC "32"
-- Retrieval info: CONSTANT: WIDTH_B NUMERIC "32"
-- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1"
-- Retrieval info: CONSTANT: WIDTH_BYTEENA_B NUMERIC "1"
-- Retrieval info: CONSTANT: WRCONTROL_WRADDRESS_REG_B STRING "CLOCK0"
-- Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT GND "aclr"
-- Retrieval info: USED_PORT: address_a 0 0 10 0 INPUT NODEFVAL "address_a[9..0]"
-- Retrieval info: USED_PORT: address_b 0 0 10 0 INPUT NODEFVAL "address_b[9..0]"
-- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock"
-- Retrieval info: USED_PORT: data_a 0 0 32 0 INPUT NODEFVAL "data_a[31..0]"
-- Retrieval info: USED_PORT: data_b 0 0 32 0 INPUT NODEFVAL "data_b[31..0]"
-- Retrieval info: USED_PORT: q_a 0 0 32 0 OUTPUT NODEFVAL "q_a[31..0]"
-- Retrieval info: USED_PORT: q_b 0 0 32 0 OUTPUT NODEFVAL "q_b[31..0]"
-- Retrieval info: USED_PORT: wren_a 0 0 0 0 INPUT GND "wren_a"
-- Retrieval info: USED_PORT: wren_b 0 0 0 0 INPUT GND "wren_b"
-- Retrieval info: CONNECT: @aclr0 0 0 0 0 aclr 0 0 0 0
-- Retrieval info: CONNECT: @address_a 0 0 10 0 address_a 0 0 10 0
-- Retrieval info: CONNECT: @address_b 0 0 10 0 address_b 0 0 10 0
-- Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0
-- Retrieval info: CONNECT: @data_a 0 0 32 0 data_a 0 0 32 0
-- Retrieval info: CONNECT: @data_b 0 0 32 0 data_b 0 0 32 0
-- Retrieval info: CONNECT: @wren_a 0 0 0 0 wren_a 0 0 0 0
-- Retrieval info: CONNECT: @wren_b 0 0 0 0 wren_b 0 0 0 0
-- Retrieval info: CONNECT: q_a 0 0 32 0 @q_a 0 0 32 0
-- Retrieval info: CONNECT: q_b 0 0 32 0 @q_b 0 0 32 0
-- Retrieval info: GEN_FILE: TYPE_NORMAL RAM_3.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL RAM_3.inc FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL RAM_3.cmp TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL RAM_3.bsf FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL RAM_3_inst.vhd FALSE
-- Retrieval info: LIB_FILE: altera_mf
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2493.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s03b03x00p04n01i02493ent IS
END c07s03b03x00p04n01i02493ent;
ARCHITECTURE c07s03b03x00p04n01i02493arch OF c07s03b03x00p04n01i02493ent IS
BEGIN
TESTING: PROCESS
type index_values is (one, two, three);
type ucarr is array (index_values range <>) of Boolean;
subtype carr is ucarr (index_values'low to index_values'high);
function f1 (i : integer) return carr is
begin
return (index_values'LOW => TRUE, others => False);
end f1;
variable V1 : CARR;
variable I1 : Integer := 10;
BEGIN
V1 := f1(I1,10) ; -- Failure_here
assert FALSE
report "***FAILED TEST: c07s03b03x00p04n01i02493 - Each formal parameter of a function should have exactly one actual parameter associated with it in a function call."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s03b03x00p04n01i02493arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2493.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s03b03x00p04n01i02493ent IS
END c07s03b03x00p04n01i02493ent;
ARCHITECTURE c07s03b03x00p04n01i02493arch OF c07s03b03x00p04n01i02493ent IS
BEGIN
TESTING: PROCESS
type index_values is (one, two, three);
type ucarr is array (index_values range <>) of Boolean;
subtype carr is ucarr (index_values'low to index_values'high);
function f1 (i : integer) return carr is
begin
return (index_values'LOW => TRUE, others => False);
end f1;
variable V1 : CARR;
variable I1 : Integer := 10;
BEGIN
V1 := f1(I1,10) ; -- Failure_here
assert FALSE
report "***FAILED TEST: c07s03b03x00p04n01i02493 - Each formal parameter of a function should have exactly one actual parameter associated with it in a function call."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s03b03x00p04n01i02493arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2493.vhd,v 1.2 2001-10-26 16:30:19 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s03b03x00p04n01i02493ent IS
END c07s03b03x00p04n01i02493ent;
ARCHITECTURE c07s03b03x00p04n01i02493arch OF c07s03b03x00p04n01i02493ent IS
BEGIN
TESTING: PROCESS
type index_values is (one, two, three);
type ucarr is array (index_values range <>) of Boolean;
subtype carr is ucarr (index_values'low to index_values'high);
function f1 (i : integer) return carr is
begin
return (index_values'LOW => TRUE, others => False);
end f1;
variable V1 : CARR;
variable I1 : Integer := 10;
BEGIN
V1 := f1(I1,10) ; -- Failure_here
assert FALSE
report "***FAILED TEST: c07s03b03x00p04n01i02493 - Each formal parameter of a function should have exactly one actual parameter associated with it in a function call."
severity ERROR;
wait;
END PROCESS TESTING;
END c07s03b03x00p04n01i02493arch;
|
architecture RTL of FIFO is
begin
process
begin
end PROCESS;
-- Violations below
process
begin
end PROCESS;
end architecture RTL;
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.