content
stringlengths
1
1.04M
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 13:34:41 10/06/2010 -- Design Name: -- Module Name: SelAnodo - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity SelAnodo is port ( Sel : in STD_LOGIC_VECTOR (1 downto 0); Anodo : out STD_LOGIC_VECTOR (3 downto 0)); end SelAnodo; architecture Behavioral of SelAnodo is begin --Seleccion de display with Sel select Anodo <= "1110" when "00", "1101" when "01", "1011" when "10", "0111" when others; end Behavioral;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 13:34:41 10/06/2010 -- Design Name: -- Module Name: SelAnodo - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity SelAnodo is port ( Sel : in STD_LOGIC_VECTOR (1 downto 0); Anodo : out STD_LOGIC_VECTOR (3 downto 0)); end SelAnodo; architecture Behavioral of SelAnodo is begin --Seleccion de display with Sel select Anodo <= "1110" when "00", "1101" when "01", "1011" when "10", "0111" when others; end Behavioral;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 13:34:41 10/06/2010 -- Design Name: -- Module Name: SelAnodo - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity SelAnodo is port ( Sel : in STD_LOGIC_VECTOR (1 downto 0); Anodo : out STD_LOGIC_VECTOR (3 downto 0)); end SelAnodo; architecture Behavioral of SelAnodo is begin --Seleccion de display with Sel select Anodo <= "1110" when "00", "1101" when "01", "1011" when "10", "0111" when others; end Behavioral;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 13:34:41 10/06/2010 -- Design Name: -- Module Name: SelAnodo - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity SelAnodo is port ( Sel : in STD_LOGIC_VECTOR (1 downto 0); Anodo : out STD_LOGIC_VECTOR (3 downto 0)); end SelAnodo; architecture Behavioral of SelAnodo is begin --Seleccion de display with Sel select Anodo <= "1110" when "00", "1101" when "01", "1011" when "10", "0111" when others; end Behavioral;
-- Revision history: -- 2015-08-12 Lukas Jaeger created -- 2015-08-16 Lukas Jaeger fixed all bugs and made it working with the cpu library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library WORK; use WORK.all; entity cpu_control is port( clk : in std_logic; rst : in std_logic; rd_mask : out std_logic_vector(3 downto 0); wr_mask : out std_logic_vector(3 downto 0); instr_stall : in std_logic; data_stall : in std_logic; instr_in : in std_logic_vector(31 downto 0); alu_op : out std_logic_vector(5 downto 0); exc_mux1 : out std_logic_vector(1 downto 0); exc_mux2 : out std_logic_vector(1 downto 0); exc_alu_zero : in std_logic_vector(0 downto 0); memstg_mux : out std_logic; id_regdest_mux : out std_logic_vector (1 downto 0); id_regshift_mux : out std_logic_vector (1 downto 0); id_enable_regs : out std_logic; in_mux_pc : out std_logic; stage_control : out std_logic_vector (4 downto 0) ); end entity cpu_control; architecture structure_cpu_control of cpu_control is signal instr_1, instr_2, instr_3, instr_4: std_logic_vector (31 downto 0); begin pipeline: process(clk, rst) is begin if (rst = '1') then instr_1 <= x"00000000"; instr_2 <= x"00000000"; instr_3 <= x"00000000"; instr_4 <= x"00000000"; elsif (rising_edge(clk) and instr_stall /= '1' and data_stall /= '1') then instr_1 <= instr_in; instr_2 <= instr_1; instr_3 <= instr_2; instr_4 <= instr_3; end if; end process; id: process (instr_1) is begin if (instr_1(31 downto 26) = "000000") then -- R-type instructions id_regdest_mux <= "00"; id_regshift_mux <= "00"; if (instr_1(20 downto 0) = "000000000000000001000") then -- JR-instruction in_mux_pc <= '1'; else in_mux_pc <= '0'; end if; else -- I-Type- and J-Type instructions. They can go together, because nobody cares about -- the alu-result of a J-Type, so it does not matter, which value is yielded to ex id_regdest_mux <= "10"; if (instr_1(31 downto 26) = "001111") then -- LUI needs a shift id_regshift_mux <= "01"; elsif ((instr_1(31 downto 26) = "000010") -- J or (instr_1 (31 downto 26) = "000011") -- JAL or (instr_1 (31 downto 26) = "011101") -- JALX or (instr_1 (31 downto 26) = "000100") -- BEQ or (instr_1 (31 downto 26) = "000001") -- BGEZ or (instr_1 (31 downto 26) = "000111") -- BGTZ or (instr_1 (31 downto 26) = "000110") -- BLEZ or (instr_1 (31 downto 26) = "000101") -- BEQZ ) then id_regshift_mux <= "00"; in_mux_pc <= '1'; else id_regshift_mux <= "00"; in_mux_pc <= '0'; end if; end if; end process; ex: process (instr_2) is begin if (instr_2 (31 downto 26) = "001111") then --LUI exc_mux1 <= "00"; exc_mux2 <= "01"; alu_op <="000100"; elsif ((instr_2 (31 downto 26) = "001001") --ADDIU or (instr_2 (31 downto 26) = "100011") --LW or (instr_2 (31 downto 26) = "101011") --SW or (instr_2 (31 downto 26) = "101000") --SB or (instr_2 (31 downto 26) = "100100") --LBU )then exc_mux1 <="10"; exc_mux2 <="01"; alu_op <="100000"; elsif (instr_2 (31 downto 26) = "001010") then --SLTI exc_mux1 <="10"; exc_mux2 <="01"; alu_op <="001000"; elsif (instr_2 (31 downto 26) = "001100") then --ANDI exc_mux1 <="10"; exc_mux2 <="01"; alu_op <="100100"; elsif (instr_2 (31 downto 26) = "001101") then --ORI exc_mux1 <="10"; exc_mux2 <="01"; alu_op <="100101"; elsif ((instr_2 (31 downto 26) = "000000") and (instr_2(10 downto 0) = "00000101010")) then exc_mux1 <= "10"; exc_mux2 <= "00"; alu_op <= "001000"; else --if (instr_2 (31 downto 26) = "000000") then -- NOP and other R-types and Ops, where the result does not matter exc_mux1 <= "10"; exc_mux2 <= "00"; alu_op <= "000100"; end if; end process; mem: process (instr_3) is begin if (instr_3 (31 downto 26) = "100011") then --LW memstg_mux <= '1'; rd_mask <= "1111"; wr_mask <= "0000"; elsif (instr_3 (31 downto 26) = "100100") then --LBU memstg_mux <= '1'; rd_mask <= "0001"; wr_mask <= "0000"; elsif (instr_3 (31 downto 26) = "101011") then --SW memstg_mux <= '0'; rd_mask <= "0000"; wr_mask <= "1111"; elsif (instr_3 (31 downto 26) = "101000") then --SB memstg_mux <= '0'; rd_mask <= "0000"; wr_mask <= "0001"; else memstg_mux <= '0'; rd_mask <= "0000"; wr_mask <= "0000"; end if; end process; wb: process (instr_4) is begin if ((instr_4 (31 downto 26) = "001111") or --LUI (instr_4 (31 downto 26) = "001001") or --ADDIU (instr_4 (31 downto 26) = "100011") or --LW (instr_4 (31 downto 26) = "100100") or --LBU (instr_4 (31 downto 26) = "001010") or --SLTI (instr_4 (31 downto 26) = "001100") or --ANDI (instr_4 (31 downto 26) = "001101") or --ORI (instr_4 (31 downto 26) = "000000") and (instr_4(10 downto 0) = "00000101010")) --SLT ) then id_enable_regs <= '1'; else id_enable_regs <= '0'; end if; end process; stall: process (data_stall, instr_stall) is begin if (data_stall = '1' or instr_stall = '1') then stage_control <= "00000"; else stage_control <= "11111"; end if; end process; end architecture;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1425.vhd,v 1.2 2001-10-26 16:29:41 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c08s06b00x00p05n01i01425ent IS END c08s06b00x00p05n01i01425ent; ARCHITECTURE c08s06b00x00p05n01i01425arch OF c08s06b00x00p05n01i01425ent IS procedure assert_same_int ( variable v1, v2 : in integer := 0 ) is -- -- This procedure compares the value of the first argument -- into the second argument and prints an assertion message -- if they are the same. -- begin assert NOT(v1 = v2) report "***PASSED TEST: c08s06b00x00p05n01i01425" severity NOTE; assert (v1 = v2) report "***FAILED TEST: c08s06b00x00p05n01i01425 - Procedure call without an actual parameter part is permitted." severity ERROR; end assert_same_int; BEGIN TESTING : PROCESS variable v1 : integer := 1; BEGIN -- -- Try without any parameters; the procedure should -- use the default values for the arguments. -- v1 := 5; assert_same_int; wait; END PROCESS TESTING; END c08s06b00x00p05n01i01425arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1425.vhd,v 1.2 2001-10-26 16:29:41 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c08s06b00x00p05n01i01425ent IS END c08s06b00x00p05n01i01425ent; ARCHITECTURE c08s06b00x00p05n01i01425arch OF c08s06b00x00p05n01i01425ent IS procedure assert_same_int ( variable v1, v2 : in integer := 0 ) is -- -- This procedure compares the value of the first argument -- into the second argument and prints an assertion message -- if they are the same. -- begin assert NOT(v1 = v2) report "***PASSED TEST: c08s06b00x00p05n01i01425" severity NOTE; assert (v1 = v2) report "***FAILED TEST: c08s06b00x00p05n01i01425 - Procedure call without an actual parameter part is permitted." severity ERROR; end assert_same_int; BEGIN TESTING : PROCESS variable v1 : integer := 1; BEGIN -- -- Try without any parameters; the procedure should -- use the default values for the arguments. -- v1 := 5; assert_same_int; wait; END PROCESS TESTING; END c08s06b00x00p05n01i01425arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1425.vhd,v 1.2 2001-10-26 16:29:41 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c08s06b00x00p05n01i01425ent IS END c08s06b00x00p05n01i01425ent; ARCHITECTURE c08s06b00x00p05n01i01425arch OF c08s06b00x00p05n01i01425ent IS procedure assert_same_int ( variable v1, v2 : in integer := 0 ) is -- -- This procedure compares the value of the first argument -- into the second argument and prints an assertion message -- if they are the same. -- begin assert NOT(v1 = v2) report "***PASSED TEST: c08s06b00x00p05n01i01425" severity NOTE; assert (v1 = v2) report "***FAILED TEST: c08s06b00x00p05n01i01425 - Procedure call without an actual parameter part is permitted." severity ERROR; end assert_same_int; BEGIN TESTING : PROCESS variable v1 : integer := 1; BEGIN -- -- Try without any parameters; the procedure should -- use the default values for the arguments. -- v1 := 5; assert_same_int; wait; END PROCESS TESTING; END c08s06b00x00p05n01i01425arch;
---------------------------------------------------------------------------------- -- Company: -- Engineer: Peter Fall -- -- Create Date: 16:20:42 06/01/2011 -- Design Name: -- Module Name: IPv4_TX - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- handle simple IP TX -- doesnt handle segmentation -- dest MAC addr resolution through ARP layer -- Handle IPv4 protocol -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Revision 0.02 - fixed up setting of tx_result control defaults -- Revision 0.03 - Added data_out_first -- Revision 0.04 - Added handling of broadcast address -- Revision 0.05 - Fix cks calc when add of high bits causes another ovf -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; use work.axi.all; use work.ipv4_types.all; use work.arp_types.all; entity IPv4_TX is port ( -- IP Layer signals ip_tx_start : in std_logic; ip_tx : in ipv4_tx_type; -- IP tx cxns ip_tx_result : out std_logic_vector (1 downto 0); -- tx status (changes during transmission) ip_tx_data_out_ready : out std_logic; -- indicates IP TX is ready to take data -- system signals clk : in std_logic; -- same clock used to clock mac data and ip data reset : in std_logic; our_ip_address : in std_logic_vector (31 downto 0); our_mac_address : in std_logic_vector (47 downto 0); -- ARP lookup signals arp_req_req : out arp_req_req_type; arp_req_rslt : in arp_req_rslt_type; -- MAC layer TX signals mac_tx_req : out std_logic; -- indicates that ip wants access to channel (stays up for as long as tx) mac_tx_granted : in std_logic; -- indicates that access to channel has been granted mac_data_out_ready : in std_logic; -- indicates system ready to consume data mac_data_out_valid : out std_logic; -- indicates data out is valid mac_data_out_first : out std_logic; -- with data out valid indicates the first byte of a frame mac_data_out_last : out std_logic; -- with data out valid indicates the last byte of a frame mac_data_out : out std_logic_vector (7 downto 0) -- ethernet frame (from dst mac addr through to last byte of frame) ); end IPv4_TX; architecture Behavioral of IPv4_TX is type tx_state_type is ( IDLE, WAIT_MAC, -- waiting for response from ARP for mac lookup WAIT_CHN, -- waiting for tx access to MAC channel SEND_ETH_HDR, -- sending the ethernet header SEND_IP_HDR, -- sending the IP header SEND_USER_DATA -- sending the users data ); type crc_state_type is (IDLE, TOT_LEN, ID, FLAGS, TTL, CKS, SAH, SAL, DAH, DAL, ADDOVF, FINAL, WAIT_END); type count_mode_type is (RST, INCR, HOLD); type settable_cnt_type is (RST, SET, INCR, HOLD); type set_clr_type is (SET, CLR, HOLD); -- Configuration constant IP_TTL : std_logic_vector (7 downto 0) := x"80"; -- TX state variables signal tx_state : tx_state_type; signal tx_count : unsigned (11 downto 0); signal tx_result_reg : std_logic_vector (1 downto 0); signal tx_mac : std_logic_vector (47 downto 0); signal tx_mac_chn_reqd : std_logic; signal tx_hdr_cks : std_logic_vector (23 downto 0); signal mac_lookup_req : std_logic; signal crc_state : crc_state_type; signal arp_req_ip_reg : std_logic_vector (31 downto 0); signal mac_data_out_ready_reg : std_logic; -- tx control signals signal next_tx_state : tx_state_type; signal set_tx_state : std_logic; signal next_tx_result : std_logic_vector (1 downto 0); signal set_tx_result : std_logic; signal tx_mac_value : std_logic_vector (47 downto 0); signal set_tx_mac : std_logic; signal tx_count_val : unsigned (11 downto 0); signal tx_count_mode : settable_cnt_type; signal tx_data : std_logic_vector (7 downto 0); signal set_last : std_logic; signal set_chn_reqd : set_clr_type; signal set_mac_lku_req : set_clr_type; signal tx_data_valid : std_logic; -- indicates whether data is valid to tx or not -- tx temp signals signal total_length : std_logic_vector (15 downto 0); -- computed combinatorially from header size function inv_if_one(s1 : std_logic_vector; en : std_logic) return std_logic_vector is --this function inverts all the bits of a vector if --'en' is '1'. variable Z : std_logic_vector(s1'high downto s1'low); begin for i in (s1'low) to s1'high loop Z(i) := en xor s1(i); end loop; return Z; end inv_if_one; -- end function -- IP datagram header format -- -- 0 4 8 16 19 24 31 -- -------------------------------------------------------------------------------------------- -- | Version | *Header | Service Type | Total Length including header | -- | (4) | Length | (ignored) | (in bytes) | -- -------------------------------------------------------------------------------------------- -- | Identification | Flags | Fragment Offset | -- | | | (in 32 bit words) | -- -------------------------------------------------------------------------------------------- -- | Time To Live | Protocol | Header Checksum | -- | (ignored) | | | -- -------------------------------------------------------------------------------------------- -- | Source IP Address | -- | | -- -------------------------------------------------------------------------------------------- -- | Destination IP Address | -- | | -- -------------------------------------------------------------------------------------------- -- | Options (if any - ignored) | Padding | -- | | (if needed) | -- -------------------------------------------------------------------------------------------- -- | Data | -- | | -- -------------------------------------------------------------------------------------------- -- | .... | -- | | -- -------------------------------------------------------------------------------------------- -- -- * - in 32 bit words begin ----------------------------------------------------------------------- -- combinatorial process to implement FSM and determine control signals ----------------------------------------------------------------------- tx_combinatorial : process( -- input signals ip_tx_start, ip_tx, our_ip_address, our_mac_address, arp_req_rslt, --clk, mac_tx_granted, mac_data_out_ready, -- state variables tx_state, tx_count, tx_result_reg, tx_mac, tx_mac_chn_reqd, mac_lookup_req, tx_hdr_cks, arp_req_ip_reg, mac_data_out_ready_reg, -- control signals next_tx_state, set_tx_state, next_tx_result, set_tx_result, tx_mac_value, set_tx_mac, tx_count_mode, tx_data, set_last, set_chn_reqd, set_mac_lku_req, total_length, tx_data_valid, tx_count_val ) begin -- set output followers ip_tx_result <= tx_result_reg; mac_tx_req <= tx_mac_chn_reqd; arp_req_req.lookup_req <= mac_lookup_req; arp_req_req.ip <= arp_req_ip_reg; -- set initial values for combinatorial outputs mac_data_out_first <= '0'; case tx_state is when SEND_ETH_HDR | SEND_IP_HDR => mac_data_out <= tx_data; tx_data_valid <= mac_data_out_ready; -- generated internally mac_data_out_last <= set_last; when SEND_USER_DATA => mac_data_out <= ip_tx.data.data_out; tx_data_valid <= ip_tx.data.data_out_valid; mac_data_out_last <= ip_tx.data.data_out_last; when others => mac_data_out <= (others => '0'); tx_data_valid <= '0'; -- not transmitting during this phase mac_data_out_last <= '0'; end case; mac_data_out_valid <= tx_data_valid and mac_data_out_ready; -- set signal defaults next_tx_state <= IDLE; set_tx_state <= '0'; tx_count_mode <= HOLD; tx_data <= x"00"; set_last <= '0'; set_tx_mac <= '0'; set_chn_reqd <= HOLD; set_mac_lku_req <= HOLD; next_tx_result <= IPTX_RESULT_NONE; set_tx_result <= '0'; tx_count_val <= (others => '0'); tx_mac_value <= (others => '0'); -- set temp signals total_length <= std_logic_vector(unsigned(ip_tx.hdr.data_length) + 20); -- total length = user data length + header length (bytes) -- TX FSM case tx_state is when IDLE => ip_tx_data_out_ready <= '0'; -- in this state, we are unable to accept user data for tx tx_count_mode <= RST; set_chn_reqd <= CLR; if ip_tx_start = '1' then -- check header count for error if too high if unsigned(ip_tx.hdr.data_length) > 8980 then --1480 next_tx_result <= IPTX_RESULT_ERR; set_tx_result <= '1'; else next_tx_result <= IPTX_RESULT_SENDING; set_tx_result <= '1'; -- TODO - check if we already have the mac addr for this ip, if so, bypass the WAIT_MAC state if ip_tx.hdr.dst_ip_addr = IP_BC_ADDR then -- for IP broadcast, dont need to look up the MAC addr tx_mac_value <= MAC_BC_ADDR; set_tx_mac <= '1'; next_tx_state <= WAIT_CHN; set_tx_state <= '1'; else -- need to req the mac address for this ip set_mac_lku_req <= SET; next_tx_state <= WAIT_MAC; set_tx_state <= '1'; end if; end if; else set_mac_lku_req <= CLR; end if; when WAIT_MAC => ip_tx_data_out_ready <= '0'; -- in this state, we are unable to accept user data for tx set_mac_lku_req <= CLR; -- clear the request - will have been latched in the ARP layer -- if arp_req_rslt.got_mac = '1' then -- save the MAC we got back from the ARP lookup tx_mac_value <= arp_req_rslt.mac; set_tx_mac <= '1'; set_chn_reqd <= SET; -- check for optimise when already have the channel -- if mac_tx_granted = '1' then -- ready to send data next_tx_state <= SEND_ETH_HDR; set_tx_state <= '1'; -- else -- next_tx_state <= WAIT_CHN; -- set_tx_state <= '1'; -- end if; -- elsif arp_req_rslt.got_err = '1' then -- set_mac_lku_req <= CLR; -- next_tx_result <= IPTX_RESULT_ERR; -- set_tx_result <= '1'; -- next_tx_state <= IDLE; -- set_tx_state <= '1'; -- end if; when WAIT_CHN => ip_tx_data_out_ready <= '0'; -- in this state, we are unable to accept user data for tx if mac_tx_granted = '1' then -- ready to send data next_tx_state <= SEND_ETH_HDR; set_tx_state <= '1'; end if; -- probably should handle a timeout here when SEND_ETH_HDR => ip_tx_data_out_ready <= '0'; -- in this state, we are unable to accept user data for tx if mac_data_out_ready = '1' then if tx_count = x"00d" then tx_count_mode <= RST; next_tx_state <= SEND_IP_HDR; set_tx_state <= '1'; else tx_count_mode <= INCR; end if; case tx_count is when x"000" => mac_data_out_first <= mac_data_out_ready; tx_data <= tx_mac (47 downto 40); -- trg = mac from ARP lookup when x"001" => tx_data <= tx_mac (39 downto 32); when x"002" => tx_data <= tx_mac (31 downto 24); when x"003" => tx_data <= tx_mac (23 downto 16); when x"004" => tx_data <= tx_mac (15 downto 8); when x"005" => tx_data <= tx_mac (7 downto 0); when x"006" => tx_data <= our_mac_address (47 downto 40); -- src = our mac when x"007" => tx_data <= our_mac_address (39 downto 32); when x"008" => tx_data <= our_mac_address (31 downto 24); when x"009" => tx_data <= our_mac_address (23 downto 16); when x"00a" => tx_data <= our_mac_address (15 downto 8); when x"00b" => tx_data <= our_mac_address (7 downto 0); when x"00c" => tx_data <= x"08"; -- pkt type = 0800 : IP when x"00d" => tx_data <= x"00"; when others => -- shouldnt get here - handle as error next_tx_result <= IPTX_RESULT_ERR; set_tx_result <= '1'; next_tx_state <= IDLE; set_tx_state <= '1'; end case; end if; when SEND_IP_HDR => ip_tx_data_out_ready <= '0'; -- in this state, we are unable to accept user data for tx if mac_data_out_ready = '1' then if tx_count = x"013" then tx_count_val <= x"001"; tx_count_mode <= SET; next_tx_state <= SEND_USER_DATA; set_tx_state <= '1'; else tx_count_mode <= INCR; end if; case tx_count is when x"000" => tx_data <= x"45"; -- v4, 5 words in hdr when x"001" => tx_data <= x"00"; -- service type when x"002" => tx_data <= total_length (15 downto 8); -- total length when x"003" => tx_data <= total_length (7 downto 0); when x"004" => tx_data <= x"00"; -- identification when x"005" => tx_data <= x"00"; when x"006" => tx_data <= x"00"; -- flags and fragment offset when x"007" => tx_data <= x"00"; when x"008" => tx_data <= IP_TTL; -- TTL when x"009" => tx_data <= ip_tx.hdr.protocol; -- protocol when x"00a" => tx_data <= tx_hdr_cks (15 downto 8); -- HDR checksum when x"00b" => tx_data <= tx_hdr_cks (7 downto 0); -- HDR checksum when x"00c" => tx_data <= our_ip_address (31 downto 24); -- src ip when x"00d" => tx_data <= our_ip_address (23 downto 16); when x"00e" => tx_data <= our_ip_address (15 downto 8); when x"00f" => tx_data <= our_ip_address (7 downto 0); when x"010" => tx_data <= ip_tx.hdr.dst_ip_addr (31 downto 24); -- dst ip when x"011" => tx_data <= ip_tx.hdr.dst_ip_addr (23 downto 16); when x"012" => tx_data <= ip_tx.hdr.dst_ip_addr (15 downto 8); when x"013" => tx_data <= ip_tx.hdr.dst_ip_addr (7 downto 0); when others => -- shouldnt get here - handle as error next_tx_result <= IPTX_RESULT_ERR; set_tx_result <= '1'; next_tx_state <= IDLE; set_tx_state <= '1'; end case; end if; when SEND_USER_DATA => ip_tx_data_out_ready <= mac_data_out_ready;-- and mac_data_out_ready_reg; -- in this state, we are always ready to accept user data for tx if mac_data_out_ready = '1' then if ip_tx.data.data_out_valid = '1' or tx_count = x"000" then -- only increment if ready and valid has been subsequently established, otherwise data count moves on too fast if unsigned(tx_count) = unsigned(ip_tx.hdr.data_length) then -- TX terminated due to count - end normally set_last <= '1'; set_chn_reqd <= CLR; tx_data <= ip_tx.data.data_out; next_tx_result <= IPTX_RESULT_SENT; set_tx_result <= '1'; next_tx_state <= IDLE; set_tx_state <= '1'; if ip_tx.data.data_out_last = '0' then next_tx_result <= IPTX_RESULT_ERR; end if; elsif ip_tx.data.data_out_last = '1' then -- TX terminated due to receiving last indication from upstream - end with error set_last <= '1'; set_chn_reqd <= CLR; tx_data <= ip_tx.data.data_out; next_tx_result <= IPTX_RESULT_ERR; set_tx_result <= '1'; next_tx_state <= IDLE; set_tx_state <= '1'; else -- TX continues tx_count_mode <= INCR; tx_data <= ip_tx.data.data_out; end if; end if; end if; end case; end process; ----------------------------------------------------------------------------- -- sequential process to action control signals and change states and outputs ----------------------------------------------------------------------------- tx_sequential : process (clk)--, reset, mac_data_out_ready_reg) begin -- if rising_edge(clk) then -- mac_data_out_ready_reg <= mac_data_out_ready; -- else -- mac_data_out_ready_reg <= mac_data_out_ready_reg; -- end if; if rising_edge(clk) then if reset = '1' then -- reset state variables tx_state <= IDLE; tx_count <= x"000"; tx_result_reg <= IPTX_RESULT_NONE; tx_mac <= (others => '0'); tx_mac_chn_reqd <= '0'; mac_lookup_req <= '0'; else -- Next tx_state processing if set_tx_state = '1' then tx_state <= next_tx_state; else tx_state <= tx_state; end if; -- tx result processing if set_tx_result = '1' then tx_result_reg <= next_tx_result; else tx_result_reg <= tx_result_reg; end if; -- control arp lookup request case set_mac_lku_req is when SET => arp_req_ip_reg <= ip_tx.hdr.dst_ip_addr; mac_lookup_req <= '1'; when CLR => mac_lookup_req <= '0'; arp_req_ip_reg <= arp_req_ip_reg; when HOLD => mac_lookup_req <= mac_lookup_req; arp_req_ip_reg <= arp_req_ip_reg; end case; -- save MAC if set_tx_mac = '1' then tx_mac <= tx_mac_value; else tx_mac <= tx_mac; end if; -- control access request to mac tx chn case set_chn_reqd is when SET => tx_mac_chn_reqd <= '1'; when CLR => tx_mac_chn_reqd <= '0'; when HOLD => tx_mac_chn_reqd <= tx_mac_chn_reqd; end case; -- tx_count processing case tx_count_mode is when RST => tx_count <= x"000"; when SET => tx_count <= tx_count_val; when INCR => tx_count <= tx_count + 1; when HOLD => tx_count <= tx_count; end case; end if; end if; end process; ----------------------------------------------------------------------------- -- Process to calculate CRC in parallel with pkt out processing -- this process must yield a valid CRC before it is required to be used in the hdr ----------------------------------------------------------------------------- crc : process (clk)--, reset) begin if rising_edge(clk) then case crc_state is when IDLE => if ip_tx_start = '1' then tx_hdr_cks <= x"004500"; -- vers & hdr len & service crc_state <= TOT_LEN; end if; when TOT_LEN => tx_hdr_cks <= std_logic_vector (unsigned(tx_hdr_cks) + unsigned(total_length)); crc_state <= ID; when ID => tx_hdr_cks <= tx_hdr_cks; crc_state <= FLAGS; when FLAGS => tx_hdr_cks <= tx_hdr_cks; crc_state <= TTL; when TTL => tx_hdr_cks <= std_logic_vector (unsigned(tx_hdr_cks) + unsigned(IP_TTL & ip_tx.hdr.protocol)); crc_state <= CKS; when CKS => tx_hdr_cks <= tx_hdr_cks; crc_state <= SAH; when SAH => tx_hdr_cks <= std_logic_vector (unsigned(tx_hdr_cks) + unsigned(our_ip_address(31 downto 16))); crc_state <= SAL; when SAL => tx_hdr_cks <= std_logic_vector (unsigned(tx_hdr_cks) + unsigned(our_ip_address(15 downto 0))); crc_state <= DAH; when DAH => tx_hdr_cks <= std_logic_vector (unsigned(tx_hdr_cks) + unsigned(ip_tx.hdr.dst_ip_addr(31 downto 16))); crc_state <= DAL; when DAL => tx_hdr_cks <= std_logic_vector (unsigned(tx_hdr_cks) + unsigned(ip_tx.hdr.dst_ip_addr(15 downto 0))); crc_state <= ADDOVF; when ADDOVF => tx_hdr_cks <= std_logic_vector ((unsigned(tx_hdr_cks) and x"00ffff")+ unsigned(tx_hdr_cks(23 downto 16))); crc_state <= FINAL; when FINAL => tx_hdr_cks <= inv_if_one(std_logic_vector (unsigned(tx_hdr_cks) + unsigned(tx_hdr_cks(23 downto 16))), '1'); crc_state <= WAIT_END; when WAIT_END => tx_hdr_cks <= tx_hdr_cks; if ip_tx_start = '0' then crc_state <= IDLE; else crc_state <= WAIT_END; end if; end case; end if; end process; end Behavioral;
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used solely -- -- for design, simulation, implementation and creation of design files -- -- limited to Xilinx devices or technologies. Use with non-Xilinx -- -- devices or technologies is expressly prohibited and immediately -- -- terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- -- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- -- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- -- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- -- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- -- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- -- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support appliances, -- -- devices, or systems. Use in such applications are expressly -- -- prohibited. -- -- -- -- (c) Copyright 1995-2015 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- You must compile the wrapper file xsd_ram.vhd when simulating -- the core, xsd_ram. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off LIBRARY XilinxCoreLib; -- synthesis translate_on ENTITY xsd_ram IS PORT ( clka : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(10 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(7 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END xsd_ram; ARCHITECTURE xsd_ram_a OF xsd_ram IS -- synthesis translate_off COMPONENT wrapped_xsd_ram PORT ( clka : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(10 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(7 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END COMPONENT; -- Configuration specification FOR ALL : wrapped_xsd_ram USE ENTITY XilinxCoreLib.blk_mem_gen_v7_3(behavioral) GENERIC MAP ( c_addra_width => 11, c_addrb_width => 11, c_algorithm => 1, c_axi_id_width => 4, c_axi_slave_type => 0, c_axi_type => 1, c_byte_size => 9, c_common_clk => 0, c_default_data => "0", c_disable_warn_bhv_coll => 0, c_disable_warn_bhv_range => 0, c_enable_32bit_address => 0, c_family => "spartan6", c_has_axi_id => 0, c_has_ena => 0, c_has_enb => 0, c_has_injecterr => 0, c_has_mem_output_regs_a => 0, c_has_mem_output_regs_b => 0, c_has_mux_output_regs_a => 0, c_has_mux_output_regs_b => 0, c_has_regcea => 0, c_has_regceb => 0, c_has_rsta => 0, c_has_rstb => 0, c_has_softecc_input_regs_a => 0, c_has_softecc_output_regs_b => 0, c_init_file => "BlankString", c_init_file_name => "no_coe_file_loaded", c_inita_val => "0", c_initb_val => "0", c_interface_type => 0, c_load_init_file => 0, c_mem_type => 0, c_mux_pipeline_stages => 0, c_prim_type => 1, c_read_depth_a => 2048, c_read_depth_b => 2048, c_read_width_a => 8, c_read_width_b => 8, c_rst_priority_a => "CE", c_rst_priority_b => "CE", c_rst_type => "SYNC", c_rstram_a => 0, c_rstram_b => 0, c_sim_collision_check => "ALL", c_use_bram_block => 0, c_use_byte_wea => 0, c_use_byte_web => 0, c_use_default_data => 0, c_use_ecc => 0, c_use_softecc => 0, c_wea_width => 1, c_web_width => 1, c_write_depth_a => 2048, c_write_depth_b => 2048, c_write_mode_a => "WRITE_FIRST", c_write_mode_b => "WRITE_FIRST", c_write_width_a => 8, c_write_width_b => 8, c_xdevicefamily => "spartan6" ); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_xsd_ram PORT MAP ( clka => clka, wea => wea, addra => addra, dina => dina, douta => douta ); -- synthesis translate_on END xsd_ram_a;
architecture RTL of FIFO is attribute Component_symbol of Comp_1 [name1, name2 return integer], Comp2 [name3, name4 return std_logic], Comp3 [name5, name6 return std_logic_vector] : component is "Counter_16"; attribute Component_symbol of Comp_1 [name1, name2 return integer] : component is "Counter_16"; attribute Component_symbol of Comp_1 : component is "Counter_16"; attribute Coordinate of Comp_1: component is (0.0, 17.5); attribute Pin_code of Sig_1: signal is 17; attribute Max_delay of Const_1: constant is 10 ns; begin end architecture RTL;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2915.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c02s01b01x02p03n01i02915ent IS END c02s01b01x02p03n01i02915ent; ARCHITECTURE c02s01b01x02p03n01i02915arch OF c02s01b01x02p03n01i02915ent IS procedure proc1 (signal S1: out bit) is variable V1 : boolean; begin -- Failure_here : attribute STABLE may not be read within a procedure V1 := S1'STABLE; end proc1; BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c02s01b01x02p03n01i02915 - The attribute STABLE of formal signal parameters can not be read." severity ERROR; wait; END PROCESS TESTING; END c02s01b01x02p03n01i02915arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2915.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c02s01b01x02p03n01i02915ent IS END c02s01b01x02p03n01i02915ent; ARCHITECTURE c02s01b01x02p03n01i02915arch OF c02s01b01x02p03n01i02915ent IS procedure proc1 (signal S1: out bit) is variable V1 : boolean; begin -- Failure_here : attribute STABLE may not be read within a procedure V1 := S1'STABLE; end proc1; BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c02s01b01x02p03n01i02915 - The attribute STABLE of formal signal parameters can not be read." severity ERROR; wait; END PROCESS TESTING; END c02s01b01x02p03n01i02915arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2915.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c02s01b01x02p03n01i02915ent IS END c02s01b01x02p03n01i02915ent; ARCHITECTURE c02s01b01x02p03n01i02915arch OF c02s01b01x02p03n01i02915ent IS procedure proc1 (signal S1: out bit) is variable V1 : boolean; begin -- Failure_here : attribute STABLE may not be read within a procedure V1 := S1'STABLE; end proc1; BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c02s01b01x02p03n01i02915 - The attribute STABLE of formal signal parameters can not be read." severity ERROR; wait; END PROCESS TESTING; END c02s01b01x02p03n01i02915arch;
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.3 (win64) Build 1682563 Mon Oct 10 19:07:27 MDT 2016 -- Date : Mon Sep 25 13:52:36 2017 -- Host : vldmr-PC running 64-bit Service Pack 1 (build 7601) -- Command : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix -- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ dbg_ila_stub.vhdl -- Design : dbg_ila -- Purpose : Stub declaration of top-level module interface -- Device : xc7k325tffg676-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is Port ( clk : in STD_LOGIC; probe0 : in STD_LOGIC_VECTOR ( 63 downto 0 ); probe1 : in STD_LOGIC_VECTOR ( 63 downto 0 ); probe2 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe3 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe4 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe5 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe6 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe7 : in STD_LOGIC_VECTOR ( 63 downto 0 ); probe8 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe9 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe10 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe11 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe12 : in STD_LOGIC_VECTOR ( 63 downto 0 ); probe13 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe14 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe15 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe16 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe17 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe18 : in STD_LOGIC_VECTOR ( 7 downto 0 ); probe19 : in STD_LOGIC_VECTOR ( 7 downto 0 ); probe20 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe21 : in STD_LOGIC_VECTOR ( 31 downto 0 ); probe22 : in STD_LOGIC_VECTOR ( 31 downto 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix; architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "clk,probe0[63:0],probe1[63:0],probe2[0:0],probe3[0:0],probe4[0:0],probe5[0:0],probe6[0:0],probe7[63:0],probe8[0:0],probe9[0:0],probe10[0:0],probe11[0:0],probe12[63:0],probe13[0:0],probe14[0:0],probe15[0:0],probe16[0:0],probe17[0:0],probe18[7:0],probe19[7:0],probe20[0:0],probe21[31:0],probe22[31:0]"; attribute X_CORE_INFO : string; attribute X_CORE_INFO of stub : architecture is "ila,Vivado 2016.3"; begin end;
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: fg_tb_synth.vhd -- -- Description: -- This is the demo testbench for fifo_generator core. -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.STD_LOGIC_1164.ALL; USE ieee.STD_LOGIC_unsigned.ALL; USE IEEE.STD_LOGIC_arith.ALL; USE ieee.numeric_std.ALL; USE ieee.STD_LOGIC_misc.ALL; LIBRARY std; USE std.textio.ALL; LIBRARY unisim; USE unisim.vcomponents.ALL; LIBRARY work; USE work.fg_tb_pkg.ALL; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- ENTITY fg_tb_synth IS GENERIC( FREEZEON_ERROR : INTEGER := 0; TB_STOP_CNT : INTEGER := 0; TB_SEED : INTEGER := 1 ); PORT( CLK : IN STD_LOGIC; RESET : IN STD_LOGIC; SIM_DONE : OUT STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END ENTITY; ARCHITECTURE simulation_arch OF fg_tb_synth IS -- FIFO interface signal declarations SIGNAL clk_i : STD_LOGIC; SIGNAL rst : STD_LOGIC; SIGNAL prog_full : STD_LOGIC; SIGNAL wr_en : STD_LOGIC; SIGNAL rd_en : STD_LOGIC; SIGNAL din : STD_LOGIC_VECTOR(64-1 DOWNTO 0); SIGNAL dout : STD_LOGIC_VECTOR(64-1 DOWNTO 0); SIGNAL full : STD_LOGIC; SIGNAL empty : STD_LOGIC; -- TB Signals SIGNAL wr_data : STD_LOGIC_VECTOR(64-1 DOWNTO 0); SIGNAL dout_i : STD_LOGIC_VECTOR(64-1 DOWNTO 0); SIGNAL wr_en_i : STD_LOGIC := '0'; SIGNAL rd_en_i : STD_LOGIC := '0'; SIGNAL full_i : STD_LOGIC := '0'; SIGNAL empty_i : STD_LOGIC := '0'; SIGNAL almost_full_i : STD_LOGIC := '0'; SIGNAL almost_empty_i : STD_LOGIC := '0'; SIGNAL prc_we_i : STD_LOGIC := '0'; SIGNAL prc_re_i : STD_LOGIC := '0'; SIGNAL dout_chk_i : STD_LOGIC := '0'; SIGNAL rst_int_rd : STD_LOGIC := '0'; SIGNAL rst_int_wr : STD_LOGIC := '0'; SIGNAL rst_s_wr3 : STD_LOGIC := '0'; SIGNAL rst_s_rd : STD_LOGIC := '0'; SIGNAL reset_en : STD_LOGIC := '0'; SIGNAL rst_async_rd1 : STD_LOGIC := '0'; SIGNAL rst_async_rd2 : STD_LOGIC := '0'; SIGNAL rst_async_rd3 : STD_LOGIC := '0'; BEGIN ---- Reset generation logic ----- rst_int_wr <= rst_async_rd3 OR rst_s_rd; rst_int_rd <= rst_async_rd3 OR rst_s_rd; --Testbench reset synchronization PROCESS(clk_i,RESET) BEGIN IF(RESET = '1') THEN rst_async_rd1 <= '1'; rst_async_rd2 <= '1'; rst_async_rd3 <= '1'; ELSIF(clk_i'event AND clk_i='1') THEN rst_async_rd1 <= RESET; rst_async_rd2 <= rst_async_rd1; rst_async_rd3 <= rst_async_rd2; END IF; END PROCESS; rst_s_wr3 <= '0'; rst_s_rd <= '0'; ------------------ ---- Clock buffers for testbench ---- clk_buf: bufg PORT map( i => CLK, o => clk_i ); ------------------ rst <= RESET OR rst_s_rd AFTER 12 ns; din <= wr_data; dout_i <= dout; wr_en <= wr_en_i; rd_en <= rd_en_i; full_i <= full; empty_i <= empty; fg_dg_nv: fg_tb_dgen GENERIC MAP ( C_DIN_WIDTH => 64, C_DOUT_WIDTH => 64, TB_SEED => TB_SEED, C_CH_TYPE => 0 ) PORT MAP ( -- Write Port RESET => rst_int_wr, WR_CLK => clk_i, PRC_WR_EN => prc_we_i, FULL => full_i, WR_EN => wr_en_i, WR_DATA => wr_data ); fg_dv_nv: fg_tb_dverif GENERIC MAP ( C_DOUT_WIDTH => 64, C_DIN_WIDTH => 64, C_USE_EMBEDDED_REG => 0, TB_SEED => TB_SEED, C_CH_TYPE => 0 ) PORT MAP( RESET => rst_int_rd, RD_CLK => clk_i, PRC_RD_EN => prc_re_i, RD_EN => rd_en_i, EMPTY => empty_i, DATA_OUT => dout_i, DOUT_CHK => dout_chk_i ); fg_pc_nv: fg_tb_pctrl GENERIC MAP ( AXI_CHANNEL => "Native", C_APPLICATION_TYPE => 0, C_DOUT_WIDTH => 64, C_DIN_WIDTH => 64, C_WR_PNTR_WIDTH => 10, C_RD_PNTR_WIDTH => 10, C_CH_TYPE => 0, FREEZEON_ERROR => FREEZEON_ERROR, TB_SEED => TB_SEED, TB_STOP_CNT => TB_STOP_CNT ) PORT MAP( RESET_WR => rst_int_wr, RESET_RD => rst_int_rd, RESET_EN => reset_en, WR_CLK => clk_i, RD_CLK => clk_i, PRC_WR_EN => prc_we_i, PRC_RD_EN => prc_re_i, FULL => full_i, ALMOST_FULL => almost_full_i, ALMOST_EMPTY => almost_empty_i, DOUT_CHK => dout_chk_i, EMPTY => empty_i, DATA_IN => wr_data, DATA_OUT => dout, SIM_DONE => SIM_DONE, STATUS => STATUS ); fg_inst : fifo_fwft_64x1024_top PORT MAP ( CLK => clk_i, RST => rst, PROG_FULL => prog_full, WR_EN => wr_en, RD_EN => rd_en, DIN => din, DOUT => dout, FULL => full, EMPTY => empty); END ARCHITECTURE;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity counter_BCD is port( clock_enable: in std_logic; clock: in std_logic; reset: in std_logic; output: out std_logic_vector(0 to 3)); end counter_BCD; architecture behavioral of counter_BCD is signal temp: std_logic_vector(0 to 3); begin process(clock, reset) begin if reset='1' then temp <= "0000"; elsif(clock'event and clock='1') then if (clock_enable = '0') then if temp = "1010" then temp <= "0000"; else temp <= temp + 1; end if; end if; end if; end process; output <= temp; end behavioral;
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 11:48:20 04/08/2016 -- Design Name: -- Module Name: /home/robert/UMD_RISC-16G5/ProjectLab1/Poject_Lab01/Project1/ALU_tb.vhd -- Project Name: Project1 -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: ALU_Toplevel -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY ALU_tb IS END ALU_tb; ARCHITECTURE behavior OF ALU_tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT ALU_Toplevel PORT( RA : IN std_logic_vector(15 downto 0); RB : IN std_logic_vector(15 downto 0); OP : IN std_logic_vector(3 downto 0); CLK : IN std_logic; ALU_OUT : OUT std_logic_vector(15 downto 0); SREG : OUT std_logic_vector(3 downto 0); LDST_DAT : OUT std_logic_vector(15 downto 0); LDST_ADR : OUT std_logic_vector(15 downto 0) ); END COMPONENT; --Inputs signal RA : std_logic_vector(15 downto 0) := (others => '0'); signal RB : std_logic_vector(15 downto 0) := (others => '0'); signal OP : std_logic_vector(3 downto 0) := (others => '0'); signal CLK : std_logic := '0'; --Outputs signal ALU_OUT : std_logic_vector(15 downto 0); signal SREG : std_logic_vector(3 downto 0); signal LDST_DAT : std_logic_vector(15 downto 0); signal LDST_ADR : std_logic_vector(15 downto 0); -- Clock period definitions constant CLK_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: ALU_Toplevel PORT MAP ( RA => RA, RB => RB, OP => OP, CLK => CLK, ALU_OUT => ALU_OUT, SREG => SREG, LDST_DAT => LDST_DAT, LDST_ADR => LDST_ADR ); -- Clock process definitions CLK_process :process begin CLK <= '0'; wait for CLK_period/2; CLK <= '1'; wait for CLK_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. wait for 100 ns; wait for CLK_period*10; OP <= "0000"; RA <= X"0001"; RB <= X"0004"; wait for CLK_period; OP <= X"A"; wait for CLK_period; OP <= X"9"; -- insert stimulus here wait; end process; END;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; library UNISIM; use UNISIM.Vcomponents.all; entity WDT_MOD is generic ( -- IO-REQ: 1 DWORD WB_CONF_OFFSET: std_logic_vector(15 downto 2) := "00000000000000"; WB_CONF_DATA: std_logic_vector(15 downto 0) := "0000000000000001"; WB_ADDR_OFFSET: std_logic_vector(15 downto 2) := "00000000000000" ); port ( WB_CLK: in std_logic; WB_RST: in std_logic; WB_ADDR: in std_logic_vector(15 downto 2); WB_DATA_OUT: out std_logic_vector(31 downto 0); WB_DATA_IN: in std_logic_vector(31 downto 0); WB_STB_RD: in std_logic; WB_STB_WR: in std_logic; RUN: out std_logic; OUT_EN: out std_logic ); end; architecture rtl of WDT_MOD is constant RAND_SEED: std_logic_vector(15 downto 0) := "1111111111111000"; signal wb_data_mux : std_logic_vector(31 downto 0); signal rand: std_logic_vector(15 downto 0) := RAND_SEED; signal rand_ok: std_logic; signal out_en_reg: std_logic; signal timer: std_logic_vector(19 downto 0); signal timeout: std_logic; signal cycle_cnt: std_logic_vector(3 downto 0) := (others => '1'); signal cycle_ok: std_logic; begin ---------------------------------------------------------- --- bus logic ---------------------------------------------------------- P_WB_RD : process(WB_ADDR) begin case WB_ADDR is when WB_CONF_OFFSET => wb_data_mux(15 downto 0) <= WB_CONF_DATA; wb_data_mux(31 downto 16) <= WB_ADDR_OFFSET & "00"; when WB_ADDR_OFFSET => wb_data_mux <= (others => '0'); wb_data_mux(15 downto 0) <= rand; wb_data_mux(16) <= out_en_reg; when others => wb_data_mux <= (others => '0'); end case; end process; P_WB_RD_REG : process(WB_RST, WB_CLK) begin if WB_RST = '1' then WB_DATA_OUT <= (others => '0'); elsif rising_edge(WB_CLK) then if WB_STB_RD = '1' then WB_DATA_OUT <= wb_data_mux; end if; end if; end process; P_PE_REG_WR : process(WB_RST, WB_CLK) begin if WB_RST = '1' then out_en_reg <= '0'; rand <= RAND_SEED; rand_ok <= '0'; elsif rising_edge(WB_CLK) then rand_ok <= '0'; if WB_STB_WR = '1' then case WB_ADDR is when WB_ADDR_OFFSET => out_en_reg <= WB_DATA_IN(16); if (WB_DATA_IN(15 downto 0) = rand) then rand_ok <= '1'; end if; rand <= rand(14 downto 0) & (rand(15) xor rand(10)); when others => end case; end if; end if; end process; ---------------------------------------------------------- --- watchdog ---------------------------------------------------------- -- Timeout P_WDT: process(WB_RST, WB_CLK) begin if WB_RST = '1' then timer <= (others => '0'); elsif rising_edge(WB_CLK) then if rand_ok = '1' then timer <= (others => '1'); elsif timeout = '0' then timer <= timer - 1; end if; end if; end process; timeout <= '1' when timer = 0 else '0'; -- initial cycle counter P_CYCLE_CNT: process(WB_RST, WB_CLK) begin if WB_RST = '1' then cycle_cnt <= (others => '1'); elsif rising_edge(WB_CLK) then if timeout = '1' then cycle_cnt <= (others => '1'); elsif rand_ok = '1' and cycle_ok = '0' then cycle_cnt <= cycle_cnt - 1; end if; end if; end process; cycle_ok <= '1' when cycle_cnt = 0 else '0'; -- set outputs RUN <= cycle_ok; OUT_EN <= out_en_reg and cycle_ok; end;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_arith.all;
-- Copyright 2018 Google LLC -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; -- Adapter component so that elk_interface doesn't have to deal directly with -- the ext_* signals on the cpu_socket_expansion board. entity main_to_elk is port ( -- 55-116MHz clock for FPGA's internal flash fast_clock : in std_logic; debug_uart_txd : out std_logic; debug_a : out std_logic; debug_b : out std_logic; ext_uart_rxd : in std_logic; ext_uart_txd : out std_logic; -- connections to the cpu_socket_expansion board ext_A : in std_logic_vector(15 downto 0); ext_D : inout std_logic_vector(7 downto 0); ext_GP0 : in std_logic; -- PHI2 ext_GP1 : out std_logic; -- n_global_enable ext_GP2 : in std_logic; -- 16MHz ext_GP3 : out std_logic; -- dbuf_nOE ext_GP4 : out std_logic; -- n_accessing_shadow_ram ext_GP5 : out std_logic; -- n_cpu_is_external ext_GP6 : in std_logic; -- RnW ext_GP7 : in std_logic; -- nRESET ext_GP8 : in std_logic; -- READY ext_GP9 : in std_logic; -- /NMI ext_GP10 : in std_logic; -- /IRQ ext_GP11 : out std_logic := '1'; -- dbuf_driven_by_cpu ext_GP12 : in std_logic ); end main_to_elk; architecture rtl of main_to_elk is component elk_interface is port ( debug_uart_txd : out std_logic; debug_a : out std_logic; debug_b : out std_logic; ext_uart_rxd : in std_logic; ext_uart_txd : out std_logic; fast_clock : in std_logic; -- pass through for FPGA's internal flash elk_A : in std_logic_vector(15 downto 0); elk_D : inout std_logic_vector(7 downto 0); elk_PHI0 : in std_logic; elk_16MHz : in std_logic; elk_nEN : out std_logic; -- global enable elk_nDBUF_OE : out std_logic; -- /OE for DBUF chip elk_nSHADOW : out std_logic; -- '0' when shadowing memory elk_nCPU_IS_EXTERNAL : out std_logic; -- '0' for external cpu elk_RnW : in std_logic; -- input elk_nRESET : in std_logic; -- input elk_READY : in std_logic; -- input elk_nNMI : in std_logic; -- input elk_nIRQ : in std_logic; -- input elk_CPU_DBUF : out std_logic -- '0' when we're driving the bus, '1' when the cpu is ); end component; begin exp0: component elk_interface port map ( debug_uart_txd => debug_uart_txd, debug_a => debug_a, debug_b => debug_b, ext_uart_txd => ext_uart_txd, ext_uart_rxd => ext_uart_rxd, fast_clock => fast_clock, elk_A => ext_A, elk_D => ext_D, elk_PHI0 => ext_GP0, elk_nEN => ext_GP1, elk_16MHz => ext_GP2, elk_nDBUF_OE => ext_GP3, elk_nSHADOW => ext_GP4, elk_nCPU_IS_EXTERNAL => ext_GP5, elk_RnW => ext_GP6, elk_nRESET => ext_GP7, elk_READY => ext_GP8, elk_nNMI => ext_GP9, elk_nIRQ => ext_GP10, elk_CPU_DBUF => ext_GP11 ); end rtl;
entity ee is end entity; architecture aa of ee is signal x, a, b, c : bit; signal foo, bar : boolean; signal y : integer; signal v : bit_vector(1 to 2); procedure pcall(x : in bit; y : in integer); procedure xxx; begin x <= a or b; postponed x <= '1' when foo else '1' when bar else '0'; with y select x <= '0' when 6, '1' when 5, '1' when others; pcall(x, y); assert y = 5; (a, b) <= v; xxx; b1: block is generic ( g1 : integer; g2 : bit := '1' ); generic map ( g1 => 5 ); port ( p1 : integer ); port map ( p1 => y ); begin end block; end architecture;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2966.vhd,v 1.2 2001-10-26 16:29:50 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c02s03b01x00p01n01i02966ent IS END c02s03b01x00p01n01i02966ent; ARCHITECTURE c02s03b01x00p01n01i02966arch OF c02s03b01x00p01n01i02966ent IS BEGIN TESTING: PROCESS function "and" (a, b: in integer) return boolean is begin return false; end; variable i1, i2 :integer := 2; variable b1, b2 :boolean := true; variable q1 :boolean ; variable q2 :boolean ; variable q3 :boolean ; BEGIN q1 := i1 and i2; q2 := b1 and b2; q3 := "and" (i1, i2); wait for 5 ns; assert NOT( q1=false and q2=true and q3=false ) report "***PASSED TEST: c02s03b01x00p01n01i02966" severity NOTE; assert ( q1=false and q2=true and q3=false ) report "***FAILED TEST: c02s03b01x00p01n01i02966 - Function overload test failed." severity ERROR; wait; END PROCESS TESTING; END c02s03b01x00p01n01i02966arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2966.vhd,v 1.2 2001-10-26 16:29:50 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c02s03b01x00p01n01i02966ent IS END c02s03b01x00p01n01i02966ent; ARCHITECTURE c02s03b01x00p01n01i02966arch OF c02s03b01x00p01n01i02966ent IS BEGIN TESTING: PROCESS function "and" (a, b: in integer) return boolean is begin return false; end; variable i1, i2 :integer := 2; variable b1, b2 :boolean := true; variable q1 :boolean ; variable q2 :boolean ; variable q3 :boolean ; BEGIN q1 := i1 and i2; q2 := b1 and b2; q3 := "and" (i1, i2); wait for 5 ns; assert NOT( q1=false and q2=true and q3=false ) report "***PASSED TEST: c02s03b01x00p01n01i02966" severity NOTE; assert ( q1=false and q2=true and q3=false ) report "***FAILED TEST: c02s03b01x00p01n01i02966 - Function overload test failed." severity ERROR; wait; END PROCESS TESTING; END c02s03b01x00p01n01i02966arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2966.vhd,v 1.2 2001-10-26 16:29:50 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c02s03b01x00p01n01i02966ent IS END c02s03b01x00p01n01i02966ent; ARCHITECTURE c02s03b01x00p01n01i02966arch OF c02s03b01x00p01n01i02966ent IS BEGIN TESTING: PROCESS function "and" (a, b: in integer) return boolean is begin return false; end; variable i1, i2 :integer := 2; variable b1, b2 :boolean := true; variable q1 :boolean ; variable q2 :boolean ; variable q3 :boolean ; BEGIN q1 := i1 and i2; q2 := b1 and b2; q3 := "and" (i1, i2); wait for 5 ns; assert NOT( q1=false and q2=true and q3=false ) report "***PASSED TEST: c02s03b01x00p01n01i02966" severity NOTE; assert ( q1=false and q2=true and q3=false ) report "***FAILED TEST: c02s03b01x00p01n01i02966 - Function overload test failed." severity ERROR; wait; END PROCESS TESTING; END c02s03b01x00p01n01i02966arch;
signal name: TYPE;
-------------------------------------------------------------------------------- -- -- FIFO Generator v8.4 Core - Top-level core wrapper -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: pulse_regen_v6_top_wrapper.vhd -- -- Description: -- This file is needed for core instantiation in production testbench -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- entity pulse_regen_v6_top_wrapper is PORT ( CLK : IN STD_LOGIC; BACKUP : IN STD_LOGIC; BACKUP_MARKER : IN STD_LOGIC; DIN : IN STD_LOGIC_VECTOR(1-1 downto 0); PROG_EMPTY_THRESH : IN STD_LOGIC_VECTOR(4-1 downto 0); PROG_EMPTY_THRESH_ASSERT : IN STD_LOGIC_VECTOR(4-1 downto 0); PROG_EMPTY_THRESH_NEGATE : IN STD_LOGIC_VECTOR(4-1 downto 0); PROG_FULL_THRESH : IN STD_LOGIC_VECTOR(4-1 downto 0); PROG_FULL_THRESH_ASSERT : IN STD_LOGIC_VECTOR(4-1 downto 0); PROG_FULL_THRESH_NEGATE : IN STD_LOGIC_VECTOR(4-1 downto 0); RD_CLK : IN STD_LOGIC; RD_EN : IN STD_LOGIC; RD_RST : IN STD_LOGIC; RST : IN STD_LOGIC; SRST : IN STD_LOGIC; WR_CLK : IN STD_LOGIC; WR_EN : IN STD_LOGIC; WR_RST : IN STD_LOGIC; INJECTDBITERR : IN STD_LOGIC; INJECTSBITERR : IN STD_LOGIC; ALMOST_EMPTY : OUT STD_LOGIC; ALMOST_FULL : OUT STD_LOGIC; DATA_COUNT : OUT STD_LOGIC_VECTOR(4-1 downto 0); DOUT : OUT STD_LOGIC_VECTOR(1-1 downto 0); EMPTY : OUT STD_LOGIC; FULL : OUT STD_LOGIC; OVERFLOW : OUT STD_LOGIC; PROG_EMPTY : OUT STD_LOGIC; PROG_FULL : OUT STD_LOGIC; VALID : OUT STD_LOGIC; RD_DATA_COUNT : OUT STD_LOGIC_VECTOR(4-1 downto 0); UNDERFLOW : OUT STD_LOGIC; WR_ACK : OUT STD_LOGIC; WR_DATA_COUNT : OUT STD_LOGIC_VECTOR(4-1 downto 0); SBITERR : OUT STD_LOGIC; DBITERR : OUT STD_LOGIC; -- AXI Global Signal M_ACLK : IN std_logic; S_ACLK : IN std_logic; S_ARESETN : IN std_logic; M_ACLK_EN : IN std_logic; S_ACLK_EN : IN std_logic; -- AXI Full/Lite Slave Write Channel (write side) S_AXI_AWID : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_AWADDR : IN std_logic_vector(32-1 DOWNTO 0); S_AXI_AWLEN : IN std_logic_vector(8-1 DOWNTO 0); S_AXI_AWSIZE : IN std_logic_vector(3-1 DOWNTO 0); S_AXI_AWBURST : IN std_logic_vector(2-1 DOWNTO 0); S_AXI_AWLOCK : IN std_logic_vector(2-1 DOWNTO 0); S_AXI_AWCACHE : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_AWPROT : IN std_logic_vector(3-1 DOWNTO 0); S_AXI_AWQOS : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_AWREGION : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_AWUSER : IN std_logic_vector(1-1 DOWNTO 0); S_AXI_AWVALID : IN std_logic; S_AXI_AWREADY : OUT std_logic; S_AXI_WID : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_WDATA : IN std_logic_vector(64-1 DOWNTO 0); S_AXI_WSTRB : IN std_logic_vector(8-1 DOWNTO 0); S_AXI_WLAST : IN std_logic; S_AXI_WUSER : IN std_logic_vector(1-1 DOWNTO 0); S_AXI_WVALID : IN std_logic; S_AXI_WREADY : OUT std_logic; S_AXI_BID : OUT std_logic_vector(4-1 DOWNTO 0); S_AXI_BRESP : OUT std_logic_vector(2-1 DOWNTO 0); S_AXI_BUSER : OUT std_logic_vector(1-1 DOWNTO 0); S_AXI_BVALID : OUT std_logic; S_AXI_BREADY : IN std_logic; -- AXI Full/Lite Master Write Channel (Read side) M_AXI_AWID : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_AWADDR : OUT std_logic_vector(32-1 DOWNTO 0); M_AXI_AWLEN : OUT std_logic_vector(8-1 DOWNTO 0); M_AXI_AWSIZE : OUT std_logic_vector(3-1 DOWNTO 0); M_AXI_AWBURST : OUT std_logic_vector(2-1 DOWNTO 0); M_AXI_AWLOCK : OUT std_logic_vector(2-1 DOWNTO 0); M_AXI_AWCACHE : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_AWPROT : OUT std_logic_vector(3-1 DOWNTO 0); M_AXI_AWQOS : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_AWREGION : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_AWUSER : OUT std_logic_vector(1-1 DOWNTO 0); M_AXI_AWVALID : OUT std_logic; M_AXI_AWREADY : IN std_logic; M_AXI_WID : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_WDATA : OUT std_logic_vector(64-1 DOWNTO 0); M_AXI_WSTRB : OUT std_logic_vector(8-1 DOWNTO 0); M_AXI_WLAST : OUT std_logic; M_AXI_WUSER : OUT std_logic_vector(1-1 DOWNTO 0); M_AXI_WVALID : OUT std_logic; M_AXI_WREADY : IN std_logic; M_AXI_BID : IN std_logic_vector(4-1 DOWNTO 0); M_AXI_BRESP : IN std_logic_vector(2-1 DOWNTO 0); M_AXI_BUSER : IN std_logic_vector(1-1 DOWNTO 0); M_AXI_BVALID : IN std_logic; M_AXI_BREADY : OUT std_logic; -- AXI Full/Lite Slave Read Channel (Write side) S_AXI_ARID : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_ARADDR : IN std_logic_vector(32-1 DOWNTO 0); S_AXI_ARLEN : IN std_logic_vector(8-1 DOWNTO 0); S_AXI_ARSIZE : IN std_logic_vector(3-1 DOWNTO 0); S_AXI_ARBURST : IN std_logic_vector(2-1 DOWNTO 0); S_AXI_ARLOCK : IN std_logic_vector(2-1 DOWNTO 0); S_AXI_ARCACHE : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_ARPROT : IN std_logic_vector(3-1 DOWNTO 0); S_AXI_ARQOS : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_ARREGION : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_ARUSER : IN std_logic_vector(1-1 DOWNTO 0); S_AXI_ARVALID : IN std_logic; S_AXI_ARREADY : OUT std_logic; S_AXI_RID : OUT std_logic_vector(4-1 DOWNTO 0); S_AXI_RDATA : OUT std_logic_vector(64-1 DOWNTO 0); S_AXI_RRESP : OUT std_logic_vector(2-1 DOWNTO 0); S_AXI_RLAST : OUT std_logic; S_AXI_RUSER : OUT std_logic_vector(1-1 DOWNTO 0); S_AXI_RVALID : OUT std_logic; S_AXI_RREADY : IN std_logic; -- AXI Full/Lite Master Read Channel (Read side) M_AXI_ARID : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_ARADDR : OUT std_logic_vector(32-1 DOWNTO 0); M_AXI_ARLEN : OUT std_logic_vector(8-1 DOWNTO 0); M_AXI_ARSIZE : OUT std_logic_vector(3-1 DOWNTO 0); M_AXI_ARBURST : OUT std_logic_vector(2-1 DOWNTO 0); M_AXI_ARLOCK : OUT std_logic_vector(2-1 DOWNTO 0); M_AXI_ARCACHE : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_ARPROT : OUT std_logic_vector(3-1 DOWNTO 0); M_AXI_ARQOS : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_ARREGION : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_ARUSER : OUT std_logic_vector(1-1 DOWNTO 0); M_AXI_ARVALID : OUT std_logic; M_AXI_ARREADY : IN std_logic; M_AXI_RID : IN std_logic_vector(4-1 DOWNTO 0); M_AXI_RDATA : IN std_logic_vector(64-1 DOWNTO 0); M_AXI_RRESP : IN std_logic_vector(2-1 DOWNTO 0); M_AXI_RLAST : IN std_logic; M_AXI_RUSER : IN std_logic_vector(1-1 DOWNTO 0); M_AXI_RVALID : IN std_logic; M_AXI_RREADY : OUT std_logic; -- AXI Streaming Slave Signals (Write side) S_AXIS_TVALID : IN std_logic; S_AXIS_TREADY : OUT std_logic; S_AXIS_TDATA : IN std_logic_vector(64-1 DOWNTO 0); S_AXIS_TSTRB : IN std_logic_vector(4-1 DOWNTO 0); S_AXIS_TKEEP : IN std_logic_vector(4-1 DOWNTO 0); S_AXIS_TLAST : IN std_logic; S_AXIS_TID : IN std_logic_vector(8-1 DOWNTO 0); S_AXIS_TDEST : IN std_logic_vector(4-1 DOWNTO 0); S_AXIS_TUSER : IN std_logic_vector(4-1 DOWNTO 0); -- AXI Streaming Master Signals (Read side) M_AXIS_TVALID : OUT std_logic; M_AXIS_TREADY : IN std_logic; M_AXIS_TDATA : OUT std_logic_vector(64-1 DOWNTO 0); M_AXIS_TSTRB : OUT std_logic_vector(4-1 DOWNTO 0); M_AXIS_TKEEP : OUT std_logic_vector(4-1 DOWNTO 0); M_AXIS_TLAST : OUT std_logic; M_AXIS_TID : OUT std_logic_vector(8-1 DOWNTO 0); M_AXIS_TDEST : OUT std_logic_vector(4-1 DOWNTO 0); M_AXIS_TUSER : OUT std_logic_vector(4-1 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals AXI_AW_INJECTSBITERR : IN std_logic; AXI_AW_INJECTDBITERR : IN std_logic; AXI_AW_PROG_FULL_THRESH : IN std_logic_vector(4-1 DOWNTO 0); AXI_AW_PROG_EMPTY_THRESH : IN std_logic_vector(4-1 DOWNTO 0); AXI_AW_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0); AXI_AW_WR_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0); AXI_AW_RD_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0); AXI_AW_SBITERR : OUT std_logic; AXI_AW_DBITERR : OUT std_logic; AXI_AW_OVERFLOW : OUT std_logic; AXI_AW_UNDERFLOW : OUT std_logic; -- AXI Full/Lite Write Data Channel Signals AXI_W_INJECTSBITERR : IN std_logic; AXI_W_INJECTDBITERR : IN std_logic; AXI_W_PROG_FULL_THRESH : IN std_logic_vector(10-1 DOWNTO 0); AXI_W_PROG_EMPTY_THRESH : IN std_logic_vector(10-1 DOWNTO 0); AXI_W_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0); AXI_W_WR_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0); AXI_W_RD_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0); AXI_W_SBITERR : OUT std_logic; AXI_W_DBITERR : OUT std_logic; AXI_W_OVERFLOW : OUT std_logic; AXI_W_UNDERFLOW : OUT std_logic; -- AXI Full/Lite Write Response Channel Signals AXI_B_INJECTSBITERR : IN std_logic; AXI_B_INJECTDBITERR : IN std_logic; AXI_B_PROG_FULL_THRESH : IN std_logic_vector(4-1 DOWNTO 0); AXI_B_PROG_EMPTY_THRESH : IN std_logic_vector(4-1 DOWNTO 0); AXI_B_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0); AXI_B_WR_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0); AXI_B_RD_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0); AXI_B_SBITERR : OUT std_logic; AXI_B_DBITERR : OUT std_logic; AXI_B_OVERFLOW : OUT std_logic; AXI_B_UNDERFLOW : OUT std_logic; -- AXI Full/Lite Read Address Channel Signals AXI_AR_INJECTSBITERR : IN std_logic; AXI_AR_INJECTDBITERR : IN std_logic; AXI_AR_PROG_FULL_THRESH : IN std_logic_vector(4-1 DOWNTO 0); AXI_AR_PROG_EMPTY_THRESH : IN std_logic_vector(4-1 DOWNTO 0); AXI_AR_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0); AXI_AR_WR_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0); AXI_AR_RD_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0); AXI_AR_SBITERR : OUT std_logic; AXI_AR_DBITERR : OUT std_logic; AXI_AR_OVERFLOW : OUT std_logic; AXI_AR_UNDERFLOW : OUT std_logic; -- AXI Full/Lite Read Data Channel Signals AXI_R_INJECTSBITERR : IN std_logic; AXI_R_INJECTDBITERR : IN std_logic; AXI_R_PROG_FULL_THRESH : IN std_logic_vector(10-1 DOWNTO 0); AXI_R_PROG_EMPTY_THRESH : IN std_logic_vector(10-1 DOWNTO 0); AXI_R_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0); AXI_R_WR_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0); AXI_R_RD_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0); AXI_R_SBITERR : OUT std_logic; AXI_R_DBITERR : OUT std_logic; AXI_R_OVERFLOW : OUT std_logic; AXI_R_UNDERFLOW : OUT std_logic; -- AXI Streaming FIFO Related Signals AXIS_INJECTSBITERR : IN std_logic; AXIS_INJECTDBITERR : IN std_logic; AXIS_PROG_FULL_THRESH : IN std_logic_vector(10-1 DOWNTO 0); AXIS_PROG_EMPTY_THRESH : IN std_logic_vector(10-1 DOWNTO 0); AXIS_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0); AXIS_WR_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0); AXIS_RD_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0); AXIS_SBITERR : OUT std_logic; AXIS_DBITERR : OUT std_logic; AXIS_OVERFLOW : OUT std_logic; AXIS_UNDERFLOW : OUT std_logic); end pulse_regen_v6_top_wrapper; architecture xilinx of pulse_regen_v6_top_wrapper is SIGNAL wr_clk_i : std_logic; SIGNAL rd_clk_i : std_logic; component pulse_regen_v6_top is PORT ( WR_CLK : IN std_logic; RD_CLK : IN std_logic; VALID : OUT std_logic; RST : IN std_logic; WR_EN : IN std_logic; RD_EN : IN std_logic; DIN : IN std_logic_vector(1-1 DOWNTO 0); DOUT : OUT std_logic_vector(1-1 DOWNTO 0); FULL : OUT std_logic; EMPTY : OUT std_logic); end component; begin wr_clk_i <= wr_clk; rd_clk_i <= rd_clk; fg1 : pulse_regen_v6_top PORT MAP ( WR_CLK => wr_clk_i, RD_CLK => rd_clk_i, VALID => valid, RST => rst, WR_EN => wr_en, RD_EN => rd_en, DIN => din, DOUT => dout, FULL => full, EMPTY => empty); end xilinx;
-- LEON3 Statistics Module constant CFG_L3S_ENABLE : integer := CONFIG_L3S_ENABLE; constant CFG_L3S_CNT : integer := CONFIG_L3S_CNT; constant CFG_L3S_NMAX : integer := CONFIG_L3S_NMAX;
--Part of Mano Basic Computer --Behzad Mokhtari; [email protected] --Sahand University of Technology; sut.ac.ir --Licensed under GPLv3 --Timer Library IEEE; use IEEE.std_logic_1164.ALL, IEEE.numeric_std.all; Library manoBasic; use manoBasic.defines.all, manoBasic.devices.all; entity Timer is port( CLK: in std_logic; CLR: in std_logic :='1'; EN : in std_logic := '1'; T: out std_logic_vector(7 downto 0) ); end Timer; architecture Structure of Timer is signal count: std_logic_vector(2 downto 0); begin counter: reg generic map(width => 3) port map(INC=>'1', CLR=>CLR, CLK=>CLK, Do=>count, Di => "000", LD=>'0'); decode:decoder generic map(n => 3) port map(I=>count, E=>EN, Q=>T); end Structure;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Synthesizable Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: VGA_BUFFER_RAM_synth.vhd -- -- Description: -- Synthesizable Testbench -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.NUMERIC_STD.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY STD; USE STD.TEXTIO.ALL; --LIBRARY unisim; --USE unisim.vcomponents.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY VGA_BUFFER_RAM_synth IS PORT( CLK_IN : IN STD_LOGIC; CLKB_IN : IN STD_LOGIC; RESET_IN : IN STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0') --ERROR STATUS OUT OF FPGA ); END ENTITY; ARCHITECTURE VGA_BUFFER_RAM_synth_ARCH OF VGA_BUFFER_RAM_synth IS COMPONENT VGA_BUFFER_RAM_exdes PORT ( --Inputs - Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(11 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(7 DOWNTO 0); CLKA : IN STD_LOGIC; --Inputs - Port B ADDRB : IN STD_LOGIC_VECTOR(11 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); CLKB : IN STD_LOGIC ); END COMPONENT; SIGNAL CLKA: STD_LOGIC := '0'; SIGNAL RSTA: STD_LOGIC := '0'; SIGNAL WEA: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); SIGNAL WEA_R: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA: STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA_R: STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA: STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA_R: STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL CLKB: STD_LOGIC := '0'; SIGNAL RSTB: STD_LOGIC := '0'; SIGNAL ADDRB: STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRB_R: STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0'); SIGNAL DOUTB: STD_LOGIC_VECTOR(7 DOWNTO 0); SIGNAL CHECKER_EN : STD_LOGIC:='0'; SIGNAL CHECKER_EN_R : STD_LOGIC:='0'; SIGNAL STIMULUS_FLOW : STD_LOGIC_VECTOR(22 DOWNTO 0) := (OTHERS =>'0'); SIGNAL clk_in_i: STD_LOGIC; SIGNAL RESET_SYNC_R1 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R2 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R3 : STD_LOGIC:='1'; SIGNAL clkb_in_i: STD_LOGIC; SIGNAL RESETB_SYNC_R1 : STD_LOGIC := '1'; SIGNAL RESETB_SYNC_R2 : STD_LOGIC := '1'; SIGNAL RESETB_SYNC_R3 : STD_LOGIC := '1'; SIGNAL ITER_R0 : STD_LOGIC := '0'; SIGNAL ITER_R1 : STD_LOGIC := '0'; SIGNAL ITER_R2 : STD_LOGIC := '0'; SIGNAL ISSUE_FLAG : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL ISSUE_FLAG_STATUS : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); BEGIN -- clk_buf: bufg -- PORT map( -- i => CLK_IN, -- o => clk_in_i -- ); clk_in_i <= CLK_IN; CLKA <= clk_in_i; -- clkb_buf: bufg -- PORT map( -- i => CLKB_IN, -- o => clkb_in_i -- ); clkb_in_i <= CLKB_IN; CLKB <= clkb_in_i; RSTA <= RESET_SYNC_R3 AFTER 50 ns; PROCESS(clk_in_i) BEGIN IF(RISING_EDGE(clk_in_i)) THEN RESET_SYNC_R1 <= RESET_IN; RESET_SYNC_R2 <= RESET_SYNC_R1; RESET_SYNC_R3 <= RESET_SYNC_R2; END IF; END PROCESS; RSTB <= RESETB_SYNC_R3 AFTER 50 ns; PROCESS(clkb_in_i) BEGIN IF(RISING_EDGE(clkb_in_i)) THEN RESETB_SYNC_R1 <= RESET_IN; RESETB_SYNC_R2 <= RESETB_SYNC_R1; RESETB_SYNC_R3 <= RESETB_SYNC_R2; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ISSUE_FLAG_STATUS<= (OTHERS => '0'); ELSE ISSUE_FLAG_STATUS <= ISSUE_FLAG_STATUS OR ISSUE_FLAG; END IF; END IF; END PROCESS; STATUS(7 DOWNTO 0) <= ISSUE_FLAG_STATUS; BMG_DATA_CHECKER_INST: ENTITY work.CHECKER GENERIC MAP ( WRITE_WIDTH => 8, READ_WIDTH => 8 ) PORT MAP ( CLK => clkb_in_i, RST => RSTB, EN => CHECKER_EN_R, DATA_IN => DOUTB, STATUS => ISSUE_FLAG(0) ); PROCESS(clkb_in_i) BEGIN IF(RISING_EDGE(clkb_in_i)) THEN IF(RSTB='1') THEN CHECKER_EN_R <= '0'; ELSE CHECKER_EN_R <= CHECKER_EN AFTER 50 ns; END IF; END IF; END PROCESS; BMG_STIM_GEN_INST:ENTITY work.BMG_STIM_GEN PORT MAP( CLKA => clk_in_i, CLKB => clkb_in_i, TB_RST => RSTA, ADDRA => ADDRA, DINA => DINA, WEA => WEA, ADDRB => ADDRB, CHECK_DATA => CHECKER_EN ); PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STATUS(8) <= '0'; iter_r2 <= '0'; iter_r1 <= '0'; iter_r0 <= '0'; ELSE STATUS(8) <= iter_r2; iter_r2 <= iter_r1; iter_r1 <= iter_r0; iter_r0 <= STIMULUS_FLOW(8); END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STIMULUS_FLOW <= (OTHERS => '0'); ELSIF(WEA(0)='1') THEN STIMULUS_FLOW <= STIMULUS_FLOW+1; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN WEA_R <= (OTHERS=>'0') AFTER 50 ns; DINA_R <= (OTHERS=>'0') AFTER 50 ns; ELSE WEA_R <= WEA AFTER 50 ns; DINA_R <= DINA AFTER 50 ns; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ADDRA_R <= (OTHERS=> '0') AFTER 50 ns; ADDRB_R <= (OTHERS=> '0') AFTER 50 ns; ELSE ADDRA_R <= ADDRA AFTER 50 ns; ADDRB_R <= ADDRB AFTER 50 ns; END IF; END IF; END PROCESS; BMG_PORT: VGA_BUFFER_RAM_exdes PORT MAP ( --Port A WEA => WEA_R, ADDRA => ADDRA_R, DINA => DINA_R, CLKA => CLKA, --Port B ADDRB => ADDRB_R, DOUTB => DOUTB, CLKB => CLKB ); END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Synthesizable Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: VGA_BUFFER_RAM_synth.vhd -- -- Description: -- Synthesizable Testbench -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.NUMERIC_STD.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY STD; USE STD.TEXTIO.ALL; --LIBRARY unisim; --USE unisim.vcomponents.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY VGA_BUFFER_RAM_synth IS PORT( CLK_IN : IN STD_LOGIC; CLKB_IN : IN STD_LOGIC; RESET_IN : IN STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0') --ERROR STATUS OUT OF FPGA ); END ENTITY; ARCHITECTURE VGA_BUFFER_RAM_synth_ARCH OF VGA_BUFFER_RAM_synth IS COMPONENT VGA_BUFFER_RAM_exdes PORT ( --Inputs - Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(11 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(7 DOWNTO 0); CLKA : IN STD_LOGIC; --Inputs - Port B ADDRB : IN STD_LOGIC_VECTOR(11 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); CLKB : IN STD_LOGIC ); END COMPONENT; SIGNAL CLKA: STD_LOGIC := '0'; SIGNAL RSTA: STD_LOGIC := '0'; SIGNAL WEA: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); SIGNAL WEA_R: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA: STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA_R: STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA: STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA_R: STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL CLKB: STD_LOGIC := '0'; SIGNAL RSTB: STD_LOGIC := '0'; SIGNAL ADDRB: STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRB_R: STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0'); SIGNAL DOUTB: STD_LOGIC_VECTOR(7 DOWNTO 0); SIGNAL CHECKER_EN : STD_LOGIC:='0'; SIGNAL CHECKER_EN_R : STD_LOGIC:='0'; SIGNAL STIMULUS_FLOW : STD_LOGIC_VECTOR(22 DOWNTO 0) := (OTHERS =>'0'); SIGNAL clk_in_i: STD_LOGIC; SIGNAL RESET_SYNC_R1 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R2 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R3 : STD_LOGIC:='1'; SIGNAL clkb_in_i: STD_LOGIC; SIGNAL RESETB_SYNC_R1 : STD_LOGIC := '1'; SIGNAL RESETB_SYNC_R2 : STD_LOGIC := '1'; SIGNAL RESETB_SYNC_R3 : STD_LOGIC := '1'; SIGNAL ITER_R0 : STD_LOGIC := '0'; SIGNAL ITER_R1 : STD_LOGIC := '0'; SIGNAL ITER_R2 : STD_LOGIC := '0'; SIGNAL ISSUE_FLAG : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL ISSUE_FLAG_STATUS : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); BEGIN -- clk_buf: bufg -- PORT map( -- i => CLK_IN, -- o => clk_in_i -- ); clk_in_i <= CLK_IN; CLKA <= clk_in_i; -- clkb_buf: bufg -- PORT map( -- i => CLKB_IN, -- o => clkb_in_i -- ); clkb_in_i <= CLKB_IN; CLKB <= clkb_in_i; RSTA <= RESET_SYNC_R3 AFTER 50 ns; PROCESS(clk_in_i) BEGIN IF(RISING_EDGE(clk_in_i)) THEN RESET_SYNC_R1 <= RESET_IN; RESET_SYNC_R2 <= RESET_SYNC_R1; RESET_SYNC_R3 <= RESET_SYNC_R2; END IF; END PROCESS; RSTB <= RESETB_SYNC_R3 AFTER 50 ns; PROCESS(clkb_in_i) BEGIN IF(RISING_EDGE(clkb_in_i)) THEN RESETB_SYNC_R1 <= RESET_IN; RESETB_SYNC_R2 <= RESETB_SYNC_R1; RESETB_SYNC_R3 <= RESETB_SYNC_R2; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ISSUE_FLAG_STATUS<= (OTHERS => '0'); ELSE ISSUE_FLAG_STATUS <= ISSUE_FLAG_STATUS OR ISSUE_FLAG; END IF; END IF; END PROCESS; STATUS(7 DOWNTO 0) <= ISSUE_FLAG_STATUS; BMG_DATA_CHECKER_INST: ENTITY work.CHECKER GENERIC MAP ( WRITE_WIDTH => 8, READ_WIDTH => 8 ) PORT MAP ( CLK => clkb_in_i, RST => RSTB, EN => CHECKER_EN_R, DATA_IN => DOUTB, STATUS => ISSUE_FLAG(0) ); PROCESS(clkb_in_i) BEGIN IF(RISING_EDGE(clkb_in_i)) THEN IF(RSTB='1') THEN CHECKER_EN_R <= '0'; ELSE CHECKER_EN_R <= CHECKER_EN AFTER 50 ns; END IF; END IF; END PROCESS; BMG_STIM_GEN_INST:ENTITY work.BMG_STIM_GEN PORT MAP( CLKA => clk_in_i, CLKB => clkb_in_i, TB_RST => RSTA, ADDRA => ADDRA, DINA => DINA, WEA => WEA, ADDRB => ADDRB, CHECK_DATA => CHECKER_EN ); PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STATUS(8) <= '0'; iter_r2 <= '0'; iter_r1 <= '0'; iter_r0 <= '0'; ELSE STATUS(8) <= iter_r2; iter_r2 <= iter_r1; iter_r1 <= iter_r0; iter_r0 <= STIMULUS_FLOW(8); END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STIMULUS_FLOW <= (OTHERS => '0'); ELSIF(WEA(0)='1') THEN STIMULUS_FLOW <= STIMULUS_FLOW+1; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN WEA_R <= (OTHERS=>'0') AFTER 50 ns; DINA_R <= (OTHERS=>'0') AFTER 50 ns; ELSE WEA_R <= WEA AFTER 50 ns; DINA_R <= DINA AFTER 50 ns; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ADDRA_R <= (OTHERS=> '0') AFTER 50 ns; ADDRB_R <= (OTHERS=> '0') AFTER 50 ns; ELSE ADDRA_R <= ADDRA AFTER 50 ns; ADDRB_R <= ADDRB AFTER 50 ns; END IF; END IF; END PROCESS; BMG_PORT: VGA_BUFFER_RAM_exdes PORT MAP ( --Port A WEA => WEA_R, ADDRA => ADDRA_R, DINA => DINA_R, CLKA => CLKA, --Port B ADDRB => ADDRB_R, DOUTB => DOUTB, CLKB => CLKB ); END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Synthesizable Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: VGA_BUFFER_RAM_synth.vhd -- -- Description: -- Synthesizable Testbench -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.NUMERIC_STD.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY STD; USE STD.TEXTIO.ALL; --LIBRARY unisim; --USE unisim.vcomponents.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY VGA_BUFFER_RAM_synth IS PORT( CLK_IN : IN STD_LOGIC; CLKB_IN : IN STD_LOGIC; RESET_IN : IN STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0') --ERROR STATUS OUT OF FPGA ); END ENTITY; ARCHITECTURE VGA_BUFFER_RAM_synth_ARCH OF VGA_BUFFER_RAM_synth IS COMPONENT VGA_BUFFER_RAM_exdes PORT ( --Inputs - Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(11 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(7 DOWNTO 0); CLKA : IN STD_LOGIC; --Inputs - Port B ADDRB : IN STD_LOGIC_VECTOR(11 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); CLKB : IN STD_LOGIC ); END COMPONENT; SIGNAL CLKA: STD_LOGIC := '0'; SIGNAL RSTA: STD_LOGIC := '0'; SIGNAL WEA: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); SIGNAL WEA_R: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA: STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA_R: STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA: STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA_R: STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL CLKB: STD_LOGIC := '0'; SIGNAL RSTB: STD_LOGIC := '0'; SIGNAL ADDRB: STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRB_R: STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0'); SIGNAL DOUTB: STD_LOGIC_VECTOR(7 DOWNTO 0); SIGNAL CHECKER_EN : STD_LOGIC:='0'; SIGNAL CHECKER_EN_R : STD_LOGIC:='0'; SIGNAL STIMULUS_FLOW : STD_LOGIC_VECTOR(22 DOWNTO 0) := (OTHERS =>'0'); SIGNAL clk_in_i: STD_LOGIC; SIGNAL RESET_SYNC_R1 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R2 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R3 : STD_LOGIC:='1'; SIGNAL clkb_in_i: STD_LOGIC; SIGNAL RESETB_SYNC_R1 : STD_LOGIC := '1'; SIGNAL RESETB_SYNC_R2 : STD_LOGIC := '1'; SIGNAL RESETB_SYNC_R3 : STD_LOGIC := '1'; SIGNAL ITER_R0 : STD_LOGIC := '0'; SIGNAL ITER_R1 : STD_LOGIC := '0'; SIGNAL ITER_R2 : STD_LOGIC := '0'; SIGNAL ISSUE_FLAG : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL ISSUE_FLAG_STATUS : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); BEGIN -- clk_buf: bufg -- PORT map( -- i => CLK_IN, -- o => clk_in_i -- ); clk_in_i <= CLK_IN; CLKA <= clk_in_i; -- clkb_buf: bufg -- PORT map( -- i => CLKB_IN, -- o => clkb_in_i -- ); clkb_in_i <= CLKB_IN; CLKB <= clkb_in_i; RSTA <= RESET_SYNC_R3 AFTER 50 ns; PROCESS(clk_in_i) BEGIN IF(RISING_EDGE(clk_in_i)) THEN RESET_SYNC_R1 <= RESET_IN; RESET_SYNC_R2 <= RESET_SYNC_R1; RESET_SYNC_R3 <= RESET_SYNC_R2; END IF; END PROCESS; RSTB <= RESETB_SYNC_R3 AFTER 50 ns; PROCESS(clkb_in_i) BEGIN IF(RISING_EDGE(clkb_in_i)) THEN RESETB_SYNC_R1 <= RESET_IN; RESETB_SYNC_R2 <= RESETB_SYNC_R1; RESETB_SYNC_R3 <= RESETB_SYNC_R2; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ISSUE_FLAG_STATUS<= (OTHERS => '0'); ELSE ISSUE_FLAG_STATUS <= ISSUE_FLAG_STATUS OR ISSUE_FLAG; END IF; END IF; END PROCESS; STATUS(7 DOWNTO 0) <= ISSUE_FLAG_STATUS; BMG_DATA_CHECKER_INST: ENTITY work.CHECKER GENERIC MAP ( WRITE_WIDTH => 8, READ_WIDTH => 8 ) PORT MAP ( CLK => clkb_in_i, RST => RSTB, EN => CHECKER_EN_R, DATA_IN => DOUTB, STATUS => ISSUE_FLAG(0) ); PROCESS(clkb_in_i) BEGIN IF(RISING_EDGE(clkb_in_i)) THEN IF(RSTB='1') THEN CHECKER_EN_R <= '0'; ELSE CHECKER_EN_R <= CHECKER_EN AFTER 50 ns; END IF; END IF; END PROCESS; BMG_STIM_GEN_INST:ENTITY work.BMG_STIM_GEN PORT MAP( CLKA => clk_in_i, CLKB => clkb_in_i, TB_RST => RSTA, ADDRA => ADDRA, DINA => DINA, WEA => WEA, ADDRB => ADDRB, CHECK_DATA => CHECKER_EN ); PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STATUS(8) <= '0'; iter_r2 <= '0'; iter_r1 <= '0'; iter_r0 <= '0'; ELSE STATUS(8) <= iter_r2; iter_r2 <= iter_r1; iter_r1 <= iter_r0; iter_r0 <= STIMULUS_FLOW(8); END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STIMULUS_FLOW <= (OTHERS => '0'); ELSIF(WEA(0)='1') THEN STIMULUS_FLOW <= STIMULUS_FLOW+1; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN WEA_R <= (OTHERS=>'0') AFTER 50 ns; DINA_R <= (OTHERS=>'0') AFTER 50 ns; ELSE WEA_R <= WEA AFTER 50 ns; DINA_R <= DINA AFTER 50 ns; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ADDRA_R <= (OTHERS=> '0') AFTER 50 ns; ADDRB_R <= (OTHERS=> '0') AFTER 50 ns; ELSE ADDRA_R <= ADDRA AFTER 50 ns; ADDRB_R <= ADDRB AFTER 50 ns; END IF; END IF; END PROCESS; BMG_PORT: VGA_BUFFER_RAM_exdes PORT MAP ( --Port A WEA => WEA_R, ADDRA => ADDRA_R, DINA => DINA_R, CLKA => CLKA, --Port B ADDRB => ADDRB_R, DOUTB => DOUTB, CLKB => CLKB ); END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Synthesizable Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: VGA_BUFFER_RAM_synth.vhd -- -- Description: -- Synthesizable Testbench -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.NUMERIC_STD.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY STD; USE STD.TEXTIO.ALL; --LIBRARY unisim; --USE unisim.vcomponents.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY VGA_BUFFER_RAM_synth IS PORT( CLK_IN : IN STD_LOGIC; CLKB_IN : IN STD_LOGIC; RESET_IN : IN STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0') --ERROR STATUS OUT OF FPGA ); END ENTITY; ARCHITECTURE VGA_BUFFER_RAM_synth_ARCH OF VGA_BUFFER_RAM_synth IS COMPONENT VGA_BUFFER_RAM_exdes PORT ( --Inputs - Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(11 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(7 DOWNTO 0); CLKA : IN STD_LOGIC; --Inputs - Port B ADDRB : IN STD_LOGIC_VECTOR(11 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); CLKB : IN STD_LOGIC ); END COMPONENT; SIGNAL CLKA: STD_LOGIC := '0'; SIGNAL RSTA: STD_LOGIC := '0'; SIGNAL WEA: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); SIGNAL WEA_R: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA: STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA_R: STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA: STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA_R: STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL CLKB: STD_LOGIC := '0'; SIGNAL RSTB: STD_LOGIC := '0'; SIGNAL ADDRB: STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRB_R: STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0'); SIGNAL DOUTB: STD_LOGIC_VECTOR(7 DOWNTO 0); SIGNAL CHECKER_EN : STD_LOGIC:='0'; SIGNAL CHECKER_EN_R : STD_LOGIC:='0'; SIGNAL STIMULUS_FLOW : STD_LOGIC_VECTOR(22 DOWNTO 0) := (OTHERS =>'0'); SIGNAL clk_in_i: STD_LOGIC; SIGNAL RESET_SYNC_R1 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R2 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R3 : STD_LOGIC:='1'; SIGNAL clkb_in_i: STD_LOGIC; SIGNAL RESETB_SYNC_R1 : STD_LOGIC := '1'; SIGNAL RESETB_SYNC_R2 : STD_LOGIC := '1'; SIGNAL RESETB_SYNC_R3 : STD_LOGIC := '1'; SIGNAL ITER_R0 : STD_LOGIC := '0'; SIGNAL ITER_R1 : STD_LOGIC := '0'; SIGNAL ITER_R2 : STD_LOGIC := '0'; SIGNAL ISSUE_FLAG : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL ISSUE_FLAG_STATUS : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); BEGIN -- clk_buf: bufg -- PORT map( -- i => CLK_IN, -- o => clk_in_i -- ); clk_in_i <= CLK_IN; CLKA <= clk_in_i; -- clkb_buf: bufg -- PORT map( -- i => CLKB_IN, -- o => clkb_in_i -- ); clkb_in_i <= CLKB_IN; CLKB <= clkb_in_i; RSTA <= RESET_SYNC_R3 AFTER 50 ns; PROCESS(clk_in_i) BEGIN IF(RISING_EDGE(clk_in_i)) THEN RESET_SYNC_R1 <= RESET_IN; RESET_SYNC_R2 <= RESET_SYNC_R1; RESET_SYNC_R3 <= RESET_SYNC_R2; END IF; END PROCESS; RSTB <= RESETB_SYNC_R3 AFTER 50 ns; PROCESS(clkb_in_i) BEGIN IF(RISING_EDGE(clkb_in_i)) THEN RESETB_SYNC_R1 <= RESET_IN; RESETB_SYNC_R2 <= RESETB_SYNC_R1; RESETB_SYNC_R3 <= RESETB_SYNC_R2; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ISSUE_FLAG_STATUS<= (OTHERS => '0'); ELSE ISSUE_FLAG_STATUS <= ISSUE_FLAG_STATUS OR ISSUE_FLAG; END IF; END IF; END PROCESS; STATUS(7 DOWNTO 0) <= ISSUE_FLAG_STATUS; BMG_DATA_CHECKER_INST: ENTITY work.CHECKER GENERIC MAP ( WRITE_WIDTH => 8, READ_WIDTH => 8 ) PORT MAP ( CLK => clkb_in_i, RST => RSTB, EN => CHECKER_EN_R, DATA_IN => DOUTB, STATUS => ISSUE_FLAG(0) ); PROCESS(clkb_in_i) BEGIN IF(RISING_EDGE(clkb_in_i)) THEN IF(RSTB='1') THEN CHECKER_EN_R <= '0'; ELSE CHECKER_EN_R <= CHECKER_EN AFTER 50 ns; END IF; END IF; END PROCESS; BMG_STIM_GEN_INST:ENTITY work.BMG_STIM_GEN PORT MAP( CLKA => clk_in_i, CLKB => clkb_in_i, TB_RST => RSTA, ADDRA => ADDRA, DINA => DINA, WEA => WEA, ADDRB => ADDRB, CHECK_DATA => CHECKER_EN ); PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STATUS(8) <= '0'; iter_r2 <= '0'; iter_r1 <= '0'; iter_r0 <= '0'; ELSE STATUS(8) <= iter_r2; iter_r2 <= iter_r1; iter_r1 <= iter_r0; iter_r0 <= STIMULUS_FLOW(8); END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STIMULUS_FLOW <= (OTHERS => '0'); ELSIF(WEA(0)='1') THEN STIMULUS_FLOW <= STIMULUS_FLOW+1; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN WEA_R <= (OTHERS=>'0') AFTER 50 ns; DINA_R <= (OTHERS=>'0') AFTER 50 ns; ELSE WEA_R <= WEA AFTER 50 ns; DINA_R <= DINA AFTER 50 ns; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ADDRA_R <= (OTHERS=> '0') AFTER 50 ns; ADDRB_R <= (OTHERS=> '0') AFTER 50 ns; ELSE ADDRA_R <= ADDRA AFTER 50 ns; ADDRB_R <= ADDRB AFTER 50 ns; END IF; END IF; END PROCESS; BMG_PORT: VGA_BUFFER_RAM_exdes PORT MAP ( --Port A WEA => WEA_R, ADDRA => ADDRA_R, DINA => DINA_R, CLKA => CLKA, --Port B ADDRB => ADDRB_R, DOUTB => DOUTB, CLKB => CLKB ); END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Synthesizable Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: VGA_BUFFER_RAM_synth.vhd -- -- Description: -- Synthesizable Testbench -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.NUMERIC_STD.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY STD; USE STD.TEXTIO.ALL; --LIBRARY unisim; --USE unisim.vcomponents.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY VGA_BUFFER_RAM_synth IS PORT( CLK_IN : IN STD_LOGIC; CLKB_IN : IN STD_LOGIC; RESET_IN : IN STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0') --ERROR STATUS OUT OF FPGA ); END ENTITY; ARCHITECTURE VGA_BUFFER_RAM_synth_ARCH OF VGA_BUFFER_RAM_synth IS COMPONENT VGA_BUFFER_RAM_exdes PORT ( --Inputs - Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(11 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(7 DOWNTO 0); CLKA : IN STD_LOGIC; --Inputs - Port B ADDRB : IN STD_LOGIC_VECTOR(11 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); CLKB : IN STD_LOGIC ); END COMPONENT; SIGNAL CLKA: STD_LOGIC := '0'; SIGNAL RSTA: STD_LOGIC := '0'; SIGNAL WEA: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); SIGNAL WEA_R: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA: STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA_R: STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA: STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA_R: STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL CLKB: STD_LOGIC := '0'; SIGNAL RSTB: STD_LOGIC := '0'; SIGNAL ADDRB: STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRB_R: STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0'); SIGNAL DOUTB: STD_LOGIC_VECTOR(7 DOWNTO 0); SIGNAL CHECKER_EN : STD_LOGIC:='0'; SIGNAL CHECKER_EN_R : STD_LOGIC:='0'; SIGNAL STIMULUS_FLOW : STD_LOGIC_VECTOR(22 DOWNTO 0) := (OTHERS =>'0'); SIGNAL clk_in_i: STD_LOGIC; SIGNAL RESET_SYNC_R1 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R2 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R3 : STD_LOGIC:='1'; SIGNAL clkb_in_i: STD_LOGIC; SIGNAL RESETB_SYNC_R1 : STD_LOGIC := '1'; SIGNAL RESETB_SYNC_R2 : STD_LOGIC := '1'; SIGNAL RESETB_SYNC_R3 : STD_LOGIC := '1'; SIGNAL ITER_R0 : STD_LOGIC := '0'; SIGNAL ITER_R1 : STD_LOGIC := '0'; SIGNAL ITER_R2 : STD_LOGIC := '0'; SIGNAL ISSUE_FLAG : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL ISSUE_FLAG_STATUS : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); BEGIN -- clk_buf: bufg -- PORT map( -- i => CLK_IN, -- o => clk_in_i -- ); clk_in_i <= CLK_IN; CLKA <= clk_in_i; -- clkb_buf: bufg -- PORT map( -- i => CLKB_IN, -- o => clkb_in_i -- ); clkb_in_i <= CLKB_IN; CLKB <= clkb_in_i; RSTA <= RESET_SYNC_R3 AFTER 50 ns; PROCESS(clk_in_i) BEGIN IF(RISING_EDGE(clk_in_i)) THEN RESET_SYNC_R1 <= RESET_IN; RESET_SYNC_R2 <= RESET_SYNC_R1; RESET_SYNC_R3 <= RESET_SYNC_R2; END IF; END PROCESS; RSTB <= RESETB_SYNC_R3 AFTER 50 ns; PROCESS(clkb_in_i) BEGIN IF(RISING_EDGE(clkb_in_i)) THEN RESETB_SYNC_R1 <= RESET_IN; RESETB_SYNC_R2 <= RESETB_SYNC_R1; RESETB_SYNC_R3 <= RESETB_SYNC_R2; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ISSUE_FLAG_STATUS<= (OTHERS => '0'); ELSE ISSUE_FLAG_STATUS <= ISSUE_FLAG_STATUS OR ISSUE_FLAG; END IF; END IF; END PROCESS; STATUS(7 DOWNTO 0) <= ISSUE_FLAG_STATUS; BMG_DATA_CHECKER_INST: ENTITY work.CHECKER GENERIC MAP ( WRITE_WIDTH => 8, READ_WIDTH => 8 ) PORT MAP ( CLK => clkb_in_i, RST => RSTB, EN => CHECKER_EN_R, DATA_IN => DOUTB, STATUS => ISSUE_FLAG(0) ); PROCESS(clkb_in_i) BEGIN IF(RISING_EDGE(clkb_in_i)) THEN IF(RSTB='1') THEN CHECKER_EN_R <= '0'; ELSE CHECKER_EN_R <= CHECKER_EN AFTER 50 ns; END IF; END IF; END PROCESS; BMG_STIM_GEN_INST:ENTITY work.BMG_STIM_GEN PORT MAP( CLKA => clk_in_i, CLKB => clkb_in_i, TB_RST => RSTA, ADDRA => ADDRA, DINA => DINA, WEA => WEA, ADDRB => ADDRB, CHECK_DATA => CHECKER_EN ); PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STATUS(8) <= '0'; iter_r2 <= '0'; iter_r1 <= '0'; iter_r0 <= '0'; ELSE STATUS(8) <= iter_r2; iter_r2 <= iter_r1; iter_r1 <= iter_r0; iter_r0 <= STIMULUS_FLOW(8); END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STIMULUS_FLOW <= (OTHERS => '0'); ELSIF(WEA(0)='1') THEN STIMULUS_FLOW <= STIMULUS_FLOW+1; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN WEA_R <= (OTHERS=>'0') AFTER 50 ns; DINA_R <= (OTHERS=>'0') AFTER 50 ns; ELSE WEA_R <= WEA AFTER 50 ns; DINA_R <= DINA AFTER 50 ns; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ADDRA_R <= (OTHERS=> '0') AFTER 50 ns; ADDRB_R <= (OTHERS=> '0') AFTER 50 ns; ELSE ADDRA_R <= ADDRA AFTER 50 ns; ADDRB_R <= ADDRB AFTER 50 ns; END IF; END IF; END PROCESS; BMG_PORT: VGA_BUFFER_RAM_exdes PORT MAP ( --Port A WEA => WEA_R, ADDRA => ADDRA_R, DINA => DINA_R, CLKA => CLKA, --Port B ADDRB => ADDRB_R, DOUTB => DOUTB, CLKB => CLKB ); END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Synthesizable Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: VGA_BUFFER_RAM_synth.vhd -- -- Description: -- Synthesizable Testbench -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.NUMERIC_STD.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY STD; USE STD.TEXTIO.ALL; --LIBRARY unisim; --USE unisim.vcomponents.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY VGA_BUFFER_RAM_synth IS PORT( CLK_IN : IN STD_LOGIC; CLKB_IN : IN STD_LOGIC; RESET_IN : IN STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0') --ERROR STATUS OUT OF FPGA ); END ENTITY; ARCHITECTURE VGA_BUFFER_RAM_synth_ARCH OF VGA_BUFFER_RAM_synth IS COMPONENT VGA_BUFFER_RAM_exdes PORT ( --Inputs - Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(11 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(7 DOWNTO 0); CLKA : IN STD_LOGIC; --Inputs - Port B ADDRB : IN STD_LOGIC_VECTOR(11 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); CLKB : IN STD_LOGIC ); END COMPONENT; SIGNAL CLKA: STD_LOGIC := '0'; SIGNAL RSTA: STD_LOGIC := '0'; SIGNAL WEA: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); SIGNAL WEA_R: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA: STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA_R: STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA: STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA_R: STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL CLKB: STD_LOGIC := '0'; SIGNAL RSTB: STD_LOGIC := '0'; SIGNAL ADDRB: STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRB_R: STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0'); SIGNAL DOUTB: STD_LOGIC_VECTOR(7 DOWNTO 0); SIGNAL CHECKER_EN : STD_LOGIC:='0'; SIGNAL CHECKER_EN_R : STD_LOGIC:='0'; SIGNAL STIMULUS_FLOW : STD_LOGIC_VECTOR(22 DOWNTO 0) := (OTHERS =>'0'); SIGNAL clk_in_i: STD_LOGIC; SIGNAL RESET_SYNC_R1 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R2 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R3 : STD_LOGIC:='1'; SIGNAL clkb_in_i: STD_LOGIC; SIGNAL RESETB_SYNC_R1 : STD_LOGIC := '1'; SIGNAL RESETB_SYNC_R2 : STD_LOGIC := '1'; SIGNAL RESETB_SYNC_R3 : STD_LOGIC := '1'; SIGNAL ITER_R0 : STD_LOGIC := '0'; SIGNAL ITER_R1 : STD_LOGIC := '0'; SIGNAL ITER_R2 : STD_LOGIC := '0'; SIGNAL ISSUE_FLAG : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL ISSUE_FLAG_STATUS : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); BEGIN -- clk_buf: bufg -- PORT map( -- i => CLK_IN, -- o => clk_in_i -- ); clk_in_i <= CLK_IN; CLKA <= clk_in_i; -- clkb_buf: bufg -- PORT map( -- i => CLKB_IN, -- o => clkb_in_i -- ); clkb_in_i <= CLKB_IN; CLKB <= clkb_in_i; RSTA <= RESET_SYNC_R3 AFTER 50 ns; PROCESS(clk_in_i) BEGIN IF(RISING_EDGE(clk_in_i)) THEN RESET_SYNC_R1 <= RESET_IN; RESET_SYNC_R2 <= RESET_SYNC_R1; RESET_SYNC_R3 <= RESET_SYNC_R2; END IF; END PROCESS; RSTB <= RESETB_SYNC_R3 AFTER 50 ns; PROCESS(clkb_in_i) BEGIN IF(RISING_EDGE(clkb_in_i)) THEN RESETB_SYNC_R1 <= RESET_IN; RESETB_SYNC_R2 <= RESETB_SYNC_R1; RESETB_SYNC_R3 <= RESETB_SYNC_R2; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ISSUE_FLAG_STATUS<= (OTHERS => '0'); ELSE ISSUE_FLAG_STATUS <= ISSUE_FLAG_STATUS OR ISSUE_FLAG; END IF; END IF; END PROCESS; STATUS(7 DOWNTO 0) <= ISSUE_FLAG_STATUS; BMG_DATA_CHECKER_INST: ENTITY work.CHECKER GENERIC MAP ( WRITE_WIDTH => 8, READ_WIDTH => 8 ) PORT MAP ( CLK => clkb_in_i, RST => RSTB, EN => CHECKER_EN_R, DATA_IN => DOUTB, STATUS => ISSUE_FLAG(0) ); PROCESS(clkb_in_i) BEGIN IF(RISING_EDGE(clkb_in_i)) THEN IF(RSTB='1') THEN CHECKER_EN_R <= '0'; ELSE CHECKER_EN_R <= CHECKER_EN AFTER 50 ns; END IF; END IF; END PROCESS; BMG_STIM_GEN_INST:ENTITY work.BMG_STIM_GEN PORT MAP( CLKA => clk_in_i, CLKB => clkb_in_i, TB_RST => RSTA, ADDRA => ADDRA, DINA => DINA, WEA => WEA, ADDRB => ADDRB, CHECK_DATA => CHECKER_EN ); PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STATUS(8) <= '0'; iter_r2 <= '0'; iter_r1 <= '0'; iter_r0 <= '0'; ELSE STATUS(8) <= iter_r2; iter_r2 <= iter_r1; iter_r1 <= iter_r0; iter_r0 <= STIMULUS_FLOW(8); END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STIMULUS_FLOW <= (OTHERS => '0'); ELSIF(WEA(0)='1') THEN STIMULUS_FLOW <= STIMULUS_FLOW+1; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN WEA_R <= (OTHERS=>'0') AFTER 50 ns; DINA_R <= (OTHERS=>'0') AFTER 50 ns; ELSE WEA_R <= WEA AFTER 50 ns; DINA_R <= DINA AFTER 50 ns; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ADDRA_R <= (OTHERS=> '0') AFTER 50 ns; ADDRB_R <= (OTHERS=> '0') AFTER 50 ns; ELSE ADDRA_R <= ADDRA AFTER 50 ns; ADDRB_R <= ADDRB AFTER 50 ns; END IF; END IF; END PROCESS; BMG_PORT: VGA_BUFFER_RAM_exdes PORT MAP ( --Port A WEA => WEA_R, ADDRA => ADDRA_R, DINA => DINA_R, CLKA => CLKA, --Port B ADDRB => ADDRB_R, DOUTB => DOUTB, CLKB => CLKB ); END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Synthesizable Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: VGA_BUFFER_RAM_synth.vhd -- -- Description: -- Synthesizable Testbench -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.NUMERIC_STD.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY STD; USE STD.TEXTIO.ALL; --LIBRARY unisim; --USE unisim.vcomponents.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY VGA_BUFFER_RAM_synth IS PORT( CLK_IN : IN STD_LOGIC; CLKB_IN : IN STD_LOGIC; RESET_IN : IN STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0') --ERROR STATUS OUT OF FPGA ); END ENTITY; ARCHITECTURE VGA_BUFFER_RAM_synth_ARCH OF VGA_BUFFER_RAM_synth IS COMPONENT VGA_BUFFER_RAM_exdes PORT ( --Inputs - Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(11 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(7 DOWNTO 0); CLKA : IN STD_LOGIC; --Inputs - Port B ADDRB : IN STD_LOGIC_VECTOR(11 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); CLKB : IN STD_LOGIC ); END COMPONENT; SIGNAL CLKA: STD_LOGIC := '0'; SIGNAL RSTA: STD_LOGIC := '0'; SIGNAL WEA: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); SIGNAL WEA_R: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA: STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA_R: STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA: STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA_R: STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL CLKB: STD_LOGIC := '0'; SIGNAL RSTB: STD_LOGIC := '0'; SIGNAL ADDRB: STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRB_R: STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0'); SIGNAL DOUTB: STD_LOGIC_VECTOR(7 DOWNTO 0); SIGNAL CHECKER_EN : STD_LOGIC:='0'; SIGNAL CHECKER_EN_R : STD_LOGIC:='0'; SIGNAL STIMULUS_FLOW : STD_LOGIC_VECTOR(22 DOWNTO 0) := (OTHERS =>'0'); SIGNAL clk_in_i: STD_LOGIC; SIGNAL RESET_SYNC_R1 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R2 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R3 : STD_LOGIC:='1'; SIGNAL clkb_in_i: STD_LOGIC; SIGNAL RESETB_SYNC_R1 : STD_LOGIC := '1'; SIGNAL RESETB_SYNC_R2 : STD_LOGIC := '1'; SIGNAL RESETB_SYNC_R3 : STD_LOGIC := '1'; SIGNAL ITER_R0 : STD_LOGIC := '0'; SIGNAL ITER_R1 : STD_LOGIC := '0'; SIGNAL ITER_R2 : STD_LOGIC := '0'; SIGNAL ISSUE_FLAG : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL ISSUE_FLAG_STATUS : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); BEGIN -- clk_buf: bufg -- PORT map( -- i => CLK_IN, -- o => clk_in_i -- ); clk_in_i <= CLK_IN; CLKA <= clk_in_i; -- clkb_buf: bufg -- PORT map( -- i => CLKB_IN, -- o => clkb_in_i -- ); clkb_in_i <= CLKB_IN; CLKB <= clkb_in_i; RSTA <= RESET_SYNC_R3 AFTER 50 ns; PROCESS(clk_in_i) BEGIN IF(RISING_EDGE(clk_in_i)) THEN RESET_SYNC_R1 <= RESET_IN; RESET_SYNC_R2 <= RESET_SYNC_R1; RESET_SYNC_R3 <= RESET_SYNC_R2; END IF; END PROCESS; RSTB <= RESETB_SYNC_R3 AFTER 50 ns; PROCESS(clkb_in_i) BEGIN IF(RISING_EDGE(clkb_in_i)) THEN RESETB_SYNC_R1 <= RESET_IN; RESETB_SYNC_R2 <= RESETB_SYNC_R1; RESETB_SYNC_R3 <= RESETB_SYNC_R2; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ISSUE_FLAG_STATUS<= (OTHERS => '0'); ELSE ISSUE_FLAG_STATUS <= ISSUE_FLAG_STATUS OR ISSUE_FLAG; END IF; END IF; END PROCESS; STATUS(7 DOWNTO 0) <= ISSUE_FLAG_STATUS; BMG_DATA_CHECKER_INST: ENTITY work.CHECKER GENERIC MAP ( WRITE_WIDTH => 8, READ_WIDTH => 8 ) PORT MAP ( CLK => clkb_in_i, RST => RSTB, EN => CHECKER_EN_R, DATA_IN => DOUTB, STATUS => ISSUE_FLAG(0) ); PROCESS(clkb_in_i) BEGIN IF(RISING_EDGE(clkb_in_i)) THEN IF(RSTB='1') THEN CHECKER_EN_R <= '0'; ELSE CHECKER_EN_R <= CHECKER_EN AFTER 50 ns; END IF; END IF; END PROCESS; BMG_STIM_GEN_INST:ENTITY work.BMG_STIM_GEN PORT MAP( CLKA => clk_in_i, CLKB => clkb_in_i, TB_RST => RSTA, ADDRA => ADDRA, DINA => DINA, WEA => WEA, ADDRB => ADDRB, CHECK_DATA => CHECKER_EN ); PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STATUS(8) <= '0'; iter_r2 <= '0'; iter_r1 <= '0'; iter_r0 <= '0'; ELSE STATUS(8) <= iter_r2; iter_r2 <= iter_r1; iter_r1 <= iter_r0; iter_r0 <= STIMULUS_FLOW(8); END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STIMULUS_FLOW <= (OTHERS => '0'); ELSIF(WEA(0)='1') THEN STIMULUS_FLOW <= STIMULUS_FLOW+1; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN WEA_R <= (OTHERS=>'0') AFTER 50 ns; DINA_R <= (OTHERS=>'0') AFTER 50 ns; ELSE WEA_R <= WEA AFTER 50 ns; DINA_R <= DINA AFTER 50 ns; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ADDRA_R <= (OTHERS=> '0') AFTER 50 ns; ADDRB_R <= (OTHERS=> '0') AFTER 50 ns; ELSE ADDRA_R <= ADDRA AFTER 50 ns; ADDRB_R <= ADDRB AFTER 50 ns; END IF; END IF; END PROCESS; BMG_PORT: VGA_BUFFER_RAM_exdes PORT MAP ( --Port A WEA => WEA_R, ADDRA => ADDRA_R, DINA => DINA_R, CLKA => CLKA, --Port B ADDRB => ADDRB_R, DOUTB => DOUTB, CLKB => CLKB ); END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Synthesizable Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: VGA_BUFFER_RAM_synth.vhd -- -- Description: -- Synthesizable Testbench -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.NUMERIC_STD.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY STD; USE STD.TEXTIO.ALL; --LIBRARY unisim; --USE unisim.vcomponents.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY VGA_BUFFER_RAM_synth IS PORT( CLK_IN : IN STD_LOGIC; CLKB_IN : IN STD_LOGIC; RESET_IN : IN STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0') --ERROR STATUS OUT OF FPGA ); END ENTITY; ARCHITECTURE VGA_BUFFER_RAM_synth_ARCH OF VGA_BUFFER_RAM_synth IS COMPONENT VGA_BUFFER_RAM_exdes PORT ( --Inputs - Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(11 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(7 DOWNTO 0); CLKA : IN STD_LOGIC; --Inputs - Port B ADDRB : IN STD_LOGIC_VECTOR(11 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); CLKB : IN STD_LOGIC ); END COMPONENT; SIGNAL CLKA: STD_LOGIC := '0'; SIGNAL RSTA: STD_LOGIC := '0'; SIGNAL WEA: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); SIGNAL WEA_R: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA: STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA_R: STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA: STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA_R: STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL CLKB: STD_LOGIC := '0'; SIGNAL RSTB: STD_LOGIC := '0'; SIGNAL ADDRB: STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRB_R: STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0'); SIGNAL DOUTB: STD_LOGIC_VECTOR(7 DOWNTO 0); SIGNAL CHECKER_EN : STD_LOGIC:='0'; SIGNAL CHECKER_EN_R : STD_LOGIC:='0'; SIGNAL STIMULUS_FLOW : STD_LOGIC_VECTOR(22 DOWNTO 0) := (OTHERS =>'0'); SIGNAL clk_in_i: STD_LOGIC; SIGNAL RESET_SYNC_R1 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R2 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R3 : STD_LOGIC:='1'; SIGNAL clkb_in_i: STD_LOGIC; SIGNAL RESETB_SYNC_R1 : STD_LOGIC := '1'; SIGNAL RESETB_SYNC_R2 : STD_LOGIC := '1'; SIGNAL RESETB_SYNC_R3 : STD_LOGIC := '1'; SIGNAL ITER_R0 : STD_LOGIC := '0'; SIGNAL ITER_R1 : STD_LOGIC := '0'; SIGNAL ITER_R2 : STD_LOGIC := '0'; SIGNAL ISSUE_FLAG : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL ISSUE_FLAG_STATUS : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); BEGIN -- clk_buf: bufg -- PORT map( -- i => CLK_IN, -- o => clk_in_i -- ); clk_in_i <= CLK_IN; CLKA <= clk_in_i; -- clkb_buf: bufg -- PORT map( -- i => CLKB_IN, -- o => clkb_in_i -- ); clkb_in_i <= CLKB_IN; CLKB <= clkb_in_i; RSTA <= RESET_SYNC_R3 AFTER 50 ns; PROCESS(clk_in_i) BEGIN IF(RISING_EDGE(clk_in_i)) THEN RESET_SYNC_R1 <= RESET_IN; RESET_SYNC_R2 <= RESET_SYNC_R1; RESET_SYNC_R3 <= RESET_SYNC_R2; END IF; END PROCESS; RSTB <= RESETB_SYNC_R3 AFTER 50 ns; PROCESS(clkb_in_i) BEGIN IF(RISING_EDGE(clkb_in_i)) THEN RESETB_SYNC_R1 <= RESET_IN; RESETB_SYNC_R2 <= RESETB_SYNC_R1; RESETB_SYNC_R3 <= RESETB_SYNC_R2; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ISSUE_FLAG_STATUS<= (OTHERS => '0'); ELSE ISSUE_FLAG_STATUS <= ISSUE_FLAG_STATUS OR ISSUE_FLAG; END IF; END IF; END PROCESS; STATUS(7 DOWNTO 0) <= ISSUE_FLAG_STATUS; BMG_DATA_CHECKER_INST: ENTITY work.CHECKER GENERIC MAP ( WRITE_WIDTH => 8, READ_WIDTH => 8 ) PORT MAP ( CLK => clkb_in_i, RST => RSTB, EN => CHECKER_EN_R, DATA_IN => DOUTB, STATUS => ISSUE_FLAG(0) ); PROCESS(clkb_in_i) BEGIN IF(RISING_EDGE(clkb_in_i)) THEN IF(RSTB='1') THEN CHECKER_EN_R <= '0'; ELSE CHECKER_EN_R <= CHECKER_EN AFTER 50 ns; END IF; END IF; END PROCESS; BMG_STIM_GEN_INST:ENTITY work.BMG_STIM_GEN PORT MAP( CLKA => clk_in_i, CLKB => clkb_in_i, TB_RST => RSTA, ADDRA => ADDRA, DINA => DINA, WEA => WEA, ADDRB => ADDRB, CHECK_DATA => CHECKER_EN ); PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STATUS(8) <= '0'; iter_r2 <= '0'; iter_r1 <= '0'; iter_r0 <= '0'; ELSE STATUS(8) <= iter_r2; iter_r2 <= iter_r1; iter_r1 <= iter_r0; iter_r0 <= STIMULUS_FLOW(8); END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STIMULUS_FLOW <= (OTHERS => '0'); ELSIF(WEA(0)='1') THEN STIMULUS_FLOW <= STIMULUS_FLOW+1; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN WEA_R <= (OTHERS=>'0') AFTER 50 ns; DINA_R <= (OTHERS=>'0') AFTER 50 ns; ELSE WEA_R <= WEA AFTER 50 ns; DINA_R <= DINA AFTER 50 ns; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ADDRA_R <= (OTHERS=> '0') AFTER 50 ns; ADDRB_R <= (OTHERS=> '0') AFTER 50 ns; ELSE ADDRA_R <= ADDRA AFTER 50 ns; ADDRB_R <= ADDRB AFTER 50 ns; END IF; END IF; END PROCESS; BMG_PORT: VGA_BUFFER_RAM_exdes PORT MAP ( --Port A WEA => WEA_R, ADDRA => ADDRA_R, DINA => DINA_R, CLKA => CLKA, --Port B ADDRB => ADDRB_R, DOUTB => DOUTB, CLKB => CLKB ); END ARCHITECTURE;
---------------------------------------------------------------------------------------------------- -- ENTITY - Elliptic Curve Point Addition -- -- Ports: -- clk_i - Clock -- rst_i - Reset flag -- enable_i - Enable computation -- x1_i - X part of first point -- y1_i - Y part of first point -- x2_i - X part of seccond point -- y2_i - Y part of thirs point -- x3_io - X part of output point -- y3_o - Y part of output point -- ready_o - Ready flag -- -- Math: -- s = (py-qy)/(px-qx) -- rx = s^2 - s - (px-qx) -- ry = s * (px - rx) - rx - py -- -- Based on: -- http://arithmetic-circuits.org/finite-field/vhdl_Models/chapter10_codes/VHDL/K-163/K163_addition.vhd -- -- Autor: Lennart Bublies (inf100434) -- Date: 27.06.2017 ---------------------------------------------------------------------------------------------------- ------------------------------------------------------------ -- GF(2^M) elliptic curve point addition ------------------------------------------------------------ LIBRARY IEEE; USE IEEE.std_logic_1164.all; USE IEEE.std_logic_arith.all; USE IEEE.std_logic_unsigned.all; USE work.tld_ecdsa_package.all; ENTITY e_gf2m_point_addition IS GENERIC ( MODULO : std_logic_vector(M DOWNTO 0) := ONE ); PORT( -- Clock, reset, enable clk_i: IN std_logic; rst_i: IN std_logic; enable_i: IN std_logic; -- Input signals x1_i: IN std_logic_vector(M-1 DOWNTO 0); y1_i: IN std_logic_vector(M-1 DOWNTO 0); x2_i: IN std_logic_vector(M-1 DOWNTO 0); y2_i: IN std_logic_vector(M-1 DOWNTO 0); -- Output signals x3_io: INOUT std_logic_vector(M-1 DOWNTO 0); y3_o: OUT std_logic_vector(M-1 DOWNTO 0); ready_o: OUT std_logic ); END e_gf2m_point_addition; ARCHITECTURE rtl of e_gf2m_point_addition IS -- Import entity e_gf2m_divider COMPONENT e_gf2m_divider IS GENERIC ( MODULO : std_logic_vector(M DOWNTO 0) ); PORT( clk_i: IN std_logic; rst_i: IN std_logic; enable_i: IN std_logic; g_i: IN std_logic_vector(M-1 DOWNTO 0); h_i: IN std_logic_vector(M-1 DOWNTO 0); z_o: OUT std_logic_vector(M-1 DOWNTO 0); ready_o: OUT std_logic ); end COMPONENT; -- Import entity e_gf2m_classic_squarer COMPONENT e_gf2m_classic_squarer IS GENERIC ( MODULO : std_logic_vector(M-1 DOWNTO 0) ); PORT( a_i: IN std_logic_vector(M-1 DOWNTO 0); c_o: OUT std_logic_vector(M-1 DOWNTO 0) ); end COMPONENT; -- Import entity e_gf2m_interleaved_multiplier COMPONENT e_gf2m_interleaved_multiplier IS GENERIC ( MODULO : std_logic_vector(M-1 DOWNTO 0) ); PORT( clk_i: IN std_logic; rst_i: IN std_logic; enable_i: IN std_logic; a_i: IN std_logic_vector (M-1 DOWNTO 0); b_i: IN std_logic_vector (M-1 DOWNTO 0); z_o: OUT std_logic_vector (M-1 DOWNTO 0); ready_o: OUT std_logic ); end COMPONENT; -- Temporary signals for divider and multiplier SIGNAL div_in1, div_in2, lambda, lambda_square, mult_in2, mult_out: std_logic_vector(M-1 DOWNTO 0); SIGNAL x3_tmp, y3_tmp, next_xq, next_yq: std_logic_vector(M-1 DOWNTO 0); -- Signals to switch between multiplier and divider SIGNAL start_div, div_done, start_mult, mult_done: std_logic; SIGNAL load, ch_q: std_logic; SIGNAL sel: std_logic_vector(1 DOWNTO 0); -- Define all available states subtype states IS natural RANGE 0 TO 10; SIGNAL current_state: states; BEGIN -- Output register register_q: PROCESS(clk_i) BEGIN IF clk_i' event and clk_i = '1' THEN IF load = '1' THEN x3_io <= (OTHERS=>'1'); y3_o <= (OTHERS=>'1'); ELSIF ch_q = '1' THEN x3_io <= next_xq; y3_o <= next_yq; END IF; END IF; END PROCESS; -- Instantiate divider entity -- Calculate s = (py-qy)/(px-qx) divider: e_gf2m_divider GENERIC MAP ( MODULO => MODULO ) PORT MAP( clk_i => clk_i, rst_i => rst_i, enable_i => start_div, g_i => div_in1, h_i => div_in2, z_o => lambda, ready_o => div_done ); -- Instantiate squarer -- Calculate s^2 lambda_square_computation: e_gf2m_classic_squarer GENERIC MAP ( MODULO => MODULO(M-1 DOWNTO 0) ) PORT MAP( a_i => lambda, c_o => lambda_square ); -- Instantiate multiplier entity -- Calculate s * (px - rx) multiplier: e_gf2m_interleaved_multiplier GENERIC MAP ( MODULO => MODULO(M-1 DOWNTO 0) ) PORT MAP( clk_i => clk_i, rst_i => rst_i, enable_i => start_mult, a_i => lambda, b_i => mult_in2, z_o => mult_out, ready_o => mult_done ); -- Set divider input from entity input -- Calculate (py-qy) and (px-qx) divider_inputs: FOR i IN 0 TO M-1 GENERATE div_in1(i) <= y1_i(i) xor y2_i(i); div_in2(i) <= x1_i(i) xor x2_i(i); END GENERATE; -- Set multiplier input from entity input -- Calculate (px - rx) multiplier_inputs: FOR i IN 0 TO M-1 GENERATE mult_in2(i) <= x1_i(i) xor x3_tmp(i); END GENERATE; -- Set x3(0) --x3_tmp(0) <= not(lambda_square(0) xor lambda(0) xor div_in2(0)); -- Set output -- Calculate rx = s^2 - s - (px-qx) x_output: FOR i IN 0 TO M-1 GENERATE x3_tmp(i) <= lambda_square(i) xor lambda(i) xor div_in2(i) xor a(i); END GENERATE; -- Calculate ry = s * (px - rx) - rx - py y_output: FOR i IN 0 TO M-1 GENERATE y3_tmp(i) <= mult_out(i) xor x3_tmp(i) xor y1_i(i); END GENERATE; WITH sel SELECT next_yq <= y3_tmp WHEN "00", y1_i WHEN "01", y2_i WHEN OTHERS; WITH sel SELECT next_xq <= x3_tmp WHEN "00", x1_i WHEN "01", x2_i WHEN OTHERS; -- State machine control_unit: PROCESS(clk_i, rst_i, current_state) BEGIN -- Handle current state -- 0,1 : Default state -- 2,3 : Calculate s = (py-qy)/(px-qx), s^2 -- 4,5,6 : Calculate rx/ry CASE current_state IS WHEN 0 TO 1 => load <= '0'; sel <= "00"; ch_q <= '0'; start_div <= '0'; start_mult <= '0'; ready_o <= '1'; WHEN 2 => load <= '1'; sel <= "00"; ch_q <= '0'; start_div <= '0'; start_mult <= '0'; ready_o <= '0'; WHEN 3 => load <= '0'; sel <= "00"; ch_q <= '0'; start_div <= '0'; start_mult <= '0'; ready_o <= '0'; WHEN 4 => load <= '0'; sel <= "00"; ch_q <= '0'; start_div <= '1'; start_mult <= '0'; ready_o <= '0'; WHEN 5 => load <= '0'; sel <= "00"; ch_q <= '0'; start_div <= '0'; start_mult <= '0'; ready_o <= '0'; WHEN 6 => load <= '0'; sel <= "00"; ch_q <= '0'; start_div <= '0'; start_mult <= '1'; ready_o <= '0'; WHEN 7 => load <= '0'; sel <= "00"; ch_q <= '0'; start_div <= '0'; start_mult <= '0'; ready_o <= '0'; WHEN 8 => load <= '0'; sel <= "00"; ch_q <= '1'; start_div <= '0'; start_mult <= '0'; ready_o <= '0'; WHEN 9 => load <= '0'; sel <= "11"; ch_q <= '1'; start_div <= '0'; start_mult <= '0'; ready_o <= '0'; WHEN 10 => load <= '0'; sel <= "01"; ch_q <= '1'; start_div <= '0'; start_mult <= '0'; ready_o <= '0'; END CASE; IF rst_i = '1' THEN -- Reset state if reset is high current_state <= 0; ELSIF clk_i'event and clk_i = '1' THEN -- Set next state CASE current_state IS WHEN 0 => IF enable_i = '0' THEN current_state <= 1; END IF; WHEN 1 => IF enable_i = '1' THEN current_state <= 2; END IF; WHEN 2 => current_state <= 3; WHEN 3 => IF (x1_i = ONES) OR (y1_i = ONES) THEN current_state <= 9; ELSIF (x2_i = ONES) OR (y2_i = ONES) THEN current_state <= 10; ELSE current_state <= 4; END IF; WHEN 4 => current_state <= 5; WHEN 5 => IF div_done = '1' THEN current_state <= 6; END IF; WHEN 6 => current_state <= 7; WHEN 7 => IF mult_done = '1' THEN current_state <= 8; END IF; WHEN 8 => current_state <= 0; WHEN 9 => current_state <= 0; WHEN 10 => current_state <= 0; END CASE; END IF; END PROCESS; END rtl;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA entity limiter is generic ( limit_high : real := 4.8; -- upper limit limit_low : real := -4.8 ); -- lower limit port ( quantity input : in real; quantity output : out real); end entity limiter; ---------------------------------------------------------------- architecture simple of limiter is constant slope : real := 1.0e-4; begin if input > limit_high use -- upper limit exceeded, so limit input signal output == limit_high + slope*(input - limit_high); elsif input < limit_low use -- lower limit exceeded, so limit input signal output == limit_low + slope*(input - limit_low); else -- no limit exceeded, so pass input signal as is output == input; end use; break on input'above(limit_high), input'above(limit_low); end architecture simple;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA entity limiter is generic ( limit_high : real := 4.8; -- upper limit limit_low : real := -4.8 ); -- lower limit port ( quantity input : in real; quantity output : out real); end entity limiter; ---------------------------------------------------------------- architecture simple of limiter is constant slope : real := 1.0e-4; begin if input > limit_high use -- upper limit exceeded, so limit input signal output == limit_high + slope*(input - limit_high); elsif input < limit_low use -- lower limit exceeded, so limit input signal output == limit_low + slope*(input - limit_low); else -- no limit exceeded, so pass input signal as is output == input; end use; break on input'above(limit_high), input'above(limit_low); end architecture simple;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA entity limiter is generic ( limit_high : real := 4.8; -- upper limit limit_low : real := -4.8 ); -- lower limit port ( quantity input : in real; quantity output : out real); end entity limiter; ---------------------------------------------------------------- architecture simple of limiter is constant slope : real := 1.0e-4; begin if input > limit_high use -- upper limit exceeded, so limit input signal output == limit_high + slope*(input - limit_high); elsif input < limit_low use -- lower limit exceeded, so limit input signal output == limit_low + slope*(input - limit_low); else -- no limit exceeded, so pass input signal as is output == input; end use; break on input'above(limit_high), input'above(limit_low); end architecture simple;
-------------------------------------------------------------------------------- --This file is part of fpga_gpib_controller. -- -- Fpga_gpib_controller is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- Fpga_gpib_controller is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- You should have received a copy of the GNU General Public License -- along with Fpga_gpib_controller. If not, see <http://www.gnu.org/licenses/>. -------------------------------------------------------------------------------- -- Author: Andrzej Paluch -- -- Create Date: 23:50:53 11/16/2011 -- Design Name: MemoryBlock -- Module Name: J:/projekty/elektronika/USB_to_HPIB/usbToHpib/src/test/MemoryBlock_Test.vhd -- Project Name: usbToGpib -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: MemoryBlock -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.ALL; use work.helperComponents.all; ENTITY MemoryBlock_Test_vhd IS END MemoryBlock_Test_vhd; ARCHITECTURE behavior OF MemoryBlock_Test_vhd IS constant clk_period : time := 1us; SIGNAL reset : std_logic := '0'; SIGNAL clk : std_logic := '0'; ------------------------------------------------- SIGNAL p1_addr : std_logic_vector(10 downto 0) := (others => '0'); SIGNAL p1_data_in : std_logic_vector(7 downto 0) := (others => '0'); SIGNAL p1_data_out : std_logic_vector(7 downto 0); SIGNAL p1_strobe : std_logic := '0'; ------------------------------------------------- SIGNAL p2_addr : std_logic_vector(10 downto 0) := (others => '0'); SIGNAL p2_data_in : std_logic_vector(7 downto 0) := (others => '0'); SIGNAL p2_data_out : std_logic_vector(7 downto 0); SIGNAL p2_strobe : std_logic := '0'; BEGIN -- Instantiate the Unit Under Test (UUT) uut: MemoryBlock port map ( reset => reset, clk => clk, ------------------------------------------------- p1_addr => p1_addr, p1_data_in => p1_data_in, p1_strobe => p1_strobe, p1_data_out => p1_data_out, ------------------------------------------------- p2_addr => p2_addr, p2_data_in => p2_data_in, p2_strobe => p2_strobe, p2_data_out => p2_data_out ); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; stim_proc: PROCESS BEGIN reset <= '1'; wait for clk_period*4; reset <= '0'; wait for clk_period*20; p1_addr <= "00000000000"; p2_addr <= "00000000000"; p1_data_in <= "10101010"; wait for clk_period/2; p1_strobe <= '1'; wait for clk_period; p1_strobe <= '0'; wait for clk_period*4; p2_addr <= "00000000101"; p2_data_in <= "11010101"; wait for clk_period; p2_strobe <= '1'; wait for clk_period; p2_strobe <= '0'; wait for clk_period*4; p1_addr <= "00000000101"; wait; -- will wait forever END PROCESS; END;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Li1nakuRH4tkoLmS8Rh5hucv1kxlSl23GczirkekUKy9En0G0l1k2LCoHp1wLyfkYjHyqgMpjetK 9Dl8pdIelQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block hA0UFok69/ZhdyHbE4FpV3c9i+Yv+oGt/mDB97hHLcaMBrMjYPkbpgcUIQ1qVGPKi3k2bKTWH/UQ Ozvbo6zsB8iFfq/iA3Z++rlFCZ9Mcr7lrnDzhOtDrLQHGJTH+agcmoLnf4GE7vBMVMScKNjBfRJ2 rse/8oneePf9g3R1yow= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block vheujGettpE+gi5ibV91VcHwpEhXUViDKPUV2eyf4ticicH1XKXMI2cCn91A/UVmJ8iaLiISCGXg WkEBHtebAOV+UobNNA1ZTobsnb7sR6+Fv3xxKpBOGROuBSGpy4OMWp0GWzL3p2IwfRWwnHqXLi7k xNCh0ACsPXwA8OdjY7WG+V6yIgZ7bIqIcJSAi+cun8HLJ8UjcVxbmT3nEc+zlN6DgdzWPk8YglIT +DsCWaBDFEGfAB00msFRLJGMPivrWYYOovmqSdf3s8yFXxpaWxxQMFgyQPm5M7SswAY4KSd7VeXN Ie8P6J8gzB8m6pESc0auECeWDc+7gq92Q02JzA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block mM/pb6Quz8oR3S09XTfKpMVkmTo5lfELE+JRYpnqANy3ech589dKraB/SfZ98EmwlASNZ2lGhzqW XbyQGOcrgzbNEYcIMGONAhFJbClkmdsBIRtaSB1rqWgQ39Zgtlwabdpib/My0Pi7kW5qkeXQIwBv T9uJC/vs0KNRmNN91wg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block rhEZgwTugv9ZvaUMFD/BLQBvTDYEmZoYW4ZuqdD2YSU20C1jV/WqSy5FZ+pJHe2iVoY0L8T3r6eT kji8+eJqaRfJRepMwB5Syg/Qsr4D+8zX84vVcrKC9BC56dXFG3IENwXV97Jg83Il4/y+ooMi58Iw pUvyatbLKz2KTjMp2sk8asjBcZWFykcIac53apkMB8iRJwEaGvUvOmeueyoJlyKoLq5vw0oPQBOm OezJcpceKg+U9drt33VaKDbFOVg1r/oXli+2/czdShARFjZtm4ON2nxdvfz4DiZXyuw/E0HbWsjs ikNGByuWLJaL9SK9j2qaXq5M2cm64J8x4IgYww== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15376) `protect data_block B29mS2hKG0mEMs4yOBICQFOMCLhfSnri07XxMsKFAmbSbW/ph9+Q3rjEVy5xYUGGGsMKn6ljxdWa 8Uz9jF3SI+jIpBLIFNpx5s+9vPNpkrKxNSAYUemAn4SXUTN6cqIal69M2WMjjAYjeTa/HuS3qAaL +xnztONGNB3a/o7fGSpO4ojx2t2Kcle9xGGzNBv/E80Yp1QghfWhqE7QvMsEaLX3WolHUJI+gBFs N58c6z3Ud79BfI65PiffWPyDGhmTi2Am7dnZAIqBRg9ZEP7vb3rlDYsYs3EpOXmeIha1BWsHQi8b WUsgmbnYqKGSSgdfKDue4VaOxQZLU607GMBMH2BcVCZzqe6BMkQ+H5cnmZAD0Fy9xio+85Xy/yLw fr3evreodMMqq1py/VOMnu+DddIHpsxTM/Mii4WjjdGdnLa3QkG1ypbQGZkCw2RkXnzvIYxIisge 73PLC/fLJYLLTJVlkfmPsDKhSXyOKnhzuuGZompzEWz7BefELeOJozXndGr1SMbVKSYnFDO+sYmg xVXOVwRUX382B3owgHu7MDac82kNBB89+IlN4NfVJ2v/9WOq93QMCci2yg5WWfhvnKprwrd/Flxs /nUriVfX2nwAF03U/UG2BXb/JOCpgMDSWWxzkEZ6ikLwdt11hnhRuOrGL44o3J/BN/Tbz2786S6v CLba3CPD0rzD2FmWodrayBFHcMm1xF7CuHggXSGu7tYD5NqmkjvrFnax7rMPiayAuil45/Y7ESNg fPRl6h8/hsluZui7ylDkk5Sv1va8oLzsVoODZf9P4OH35KZ9T9R7Bg/xRbCB1IAkaBqO4SwPmqXx 1OG7V5XOLTBwgatYElnsLjG8Bzr1B667c2gWzmfzglXE37ujRdZ61xom1rTmlNZuAH77C6AbGQUG vham57NQOIOxyJD3nvNmb10VPT6PSLDmp6Ckm+3Vj8Z2pH6BHT3SXa3gniMIGM+pNMoqDkoQ87Vr ymDz9Nvq/DVZTq2tI/gmKDfWeWAdgzo8DrzGSxEF9nRLdb1N2M5mnc+lN1ZLEKCMuix4uzmzQQZr Tw14AZgrME59wetVoKtoda3HTHW3QgS3VzdsWH+SZ+Og8THcQI6E0ViaTFbquG8SzEpHLu2cKf8W y3Wct9dAhUqLjsNisVY1fOTTN309XnyiyO43ainvJtt3zpFDL0eCfw3BdJN1Bouo46ybdCJGl2S7 Rtj8RJnILXj3/KTmrHolooNhcXDpG1ziL9sZB+puZ0th8WmC4ytPDwk6H5VUtXGrv4285u/8WGZm blptByvIu3q88r6nmWSNXtsVTm1rTF+58j3GG9KAdXiclh4DpMGQf5gOncW6Hsr6qBUb8j4xbfRd OF2o2pf+5gkKXnACoc0FxpAh46wPlDg8MCLW/PLNqQWiqOPVGNgmiGrRFKAb3xgR44auZrFNZCVl mWfgU9AQzHzc5jPE4pt6/xF3SNFk2AnUH4MvWEOT5tVFDPoY57WygQuLDkSGAcu861SirP3ilAdd QYzns9E9q53N4xeFfmJ4nIYUHLGwYZusqjOI0m8eugxI1WCHRugmcSI6+PaaOgCGwfaS3xjlh6u/ BTPA01Nc7xrxIftdj9XuMnhYrEqCK3OgsLsS5IyBSaZoUZKB9R5jvwJLIoQptpT5bkcLK2aY55VF g5/hdpccVN2B8q1axg5dZc1RmcrXPnUqOXCq5OYfGUTXH9iTa6iacDlE2we3XYWsRAPvLcwOzgul 5NB/i85V3kqZEDusEzPeUgz2M2E714cohPlT+HrYIxHGqrEvlVY8eG5VhB0tzWymyYfA30R9fRDn QRIBe6DCiedQAG8NYNWl/hbXgM2baQ6aETJyYQpJR/HCcBrL1xMdXRB1itGayjmYPQKPpPjp2rL5 2x1nTuqoZ1XoIxFavnAD1dO/Vw2t9ydS57o0lvbQYlm2W+tur2d68BVa6up0VmGhbyc7Xs/nAjar GlKvV1n8EUztFff0Lt7vuGeSMbWobVksY0JrunJ2uR4/5lNBjXqNqXy4ESsDw3sDJqunhDONNLFP bDJlrpebxFTeBahQA0Jyg/UUI3OsQjVzili9a9UipNh2udSXUUgKOGiXK+gyoarVB92jF+o5qWmu rW1LONQyUX0W07Bc1yFTQbFCGIvmtzCf98EcEmCog26TwVOCElOVd/4dS2oZwYiuhHoVR98uoIR3 AZnIZVmYEtR09x6A+WS+43lty67INDpQprm1VHnK9Wndxs8MHzdOWy/xnBD99gwMnaLz/QSzBG5l yCWvdi/ucTQlR/4/VybIckDgUsQW1oSCynTu6TjPxNaUdFMrpVHqzxauHkhUT1oR63RE9chuqux9 OXtPxdcFYu9wZEk9cO/M5E6JogBXqMkEDpHMrChEAJaoTH8WJX8Mik9QBXj4FNfv1eG1YwI4td+t iQAb+q67mB0t/F999Ij9UXy4NFlJzZdxWD84PZt6JTf4whbNwxFosNVrBJkDsBy/BisbBSO2dTY0 0ddIoILjrMneq56D4gZ1nzMvrYJr9MissOwjRhpb8Fg0G1qX5hgwnOQLBqaZGGSSro7CHIA0BMYe riqWq3O15VTJm07iXc/rHfrA3myORx6lFFtqHgNDIbrwbWpQzF6EagVasBXUv42RLQHAk8mZm6T/ PJ5Qgz9/94+VtSwqlJUg8RdRICexS0bISyEyTOeXZdnGZ4NQqoeZ4Ddv0XPuQvSc/bfWXyYzWcWc sz9xWotmOPbmXTl7Pbe7/ZBTQFdLCgWV8h/+IUTV8AwI9AqLbWVkEzQeEm485Ob3PVcGjAD7/S0Q nn3tfmyRAt9TmS9PiI6ViMh9yhhFejeasTsoGdCc7bwfW6lXuI8e2TCqeE7hJ+XJArLTtoS8/t5i VA+j2AFLeSqLMZFV6OmgRUz9YHhN0NNNl3+sDBNbKzxW2lvEUhblkoe2b3harmE50GnhPUyhnLf2 /omdYaWhEWtr9fJZYQSCiyHFVZbGR7XMyjvAlLuGGK6WJSDH7Dnpa/N4TvhHphL/1YM285MGPLt8 ubqeMZA/2LHOsgXvvnVLe1uZW6wcgk+DoCkq2C2kvNhveDmONASDGDuqzGM5PDwJDvV22WG11EAV ucePnSvwB04bRFWIF+Ja/wcwlvkhnAsR0a59tj3FG1GCIUjVYzJqLcVxrBYub25OFgr0GnYExr9m VtR9SrR9DFOnKupR1/DPBn/tNymwQvrSVeqoYAWXD851CRv6fRpyMvJtpND9MlNFkXWJ8/HeB7IH BqtqevTYvagD8Z9rJ5foYPHQJ/TcmVq9cvNv2excW5gOsLeDydbRJ5uYV4UgRCBJnK6BCJFdhiY4 ZLwuVTwCmAXgQhEnxozlJz7F06x9yAQ2EMiCQrVegdEtMo3Hs685rjCa9hWP/BH9BGI+D6k4FKh4 mG5h4ICh+d+03NkilXg2PYoNUHGMNCd5q0QRFx7MKtPeAgSFgjRUgM53fQCLTkj3hm3FgWXl1cdo np2WW+l+5QtwLG+SKldFrOIN2+JvUmj+t4PMSw87NF/3+gQ1lkyQqXXziRLZOjb8TL+tz5ezPOEG GaiDJrZnIwMB8ltiSRO5/0TfpRQajVQUtEDRGkCQ4ehCu5qtSQIk4dp6aRyUouneqUk/j+2Ffo89 HlL+CV6qbWXCNZ00Db3colzc4BRrsS03daFpi+Exi/CWJVZG/Bly36PgF8/W3T17Cw3smifI5gzF 5P/x4c+zqa2B5dO8OFyH/coQyOBMBEsA4oWJNjchc9blxeCsn+ueChBNLaoX5MqA2nt+lCcmt6Js dZrbBjdcWwAvaM9xWQalLoN2CIpQ6rI2a2LJE6WZdKz2HhTmBzXKNhG+iYiT1lT1aq1ofViOd3lG izkjExbgZ6g56oC9tlScBEOLtKv8oHbZ7ZfnWzfyhbtAG/Ua0j2LfBGdzUiwv+O4VS3V9n3dg/pW LzYJmVUeqDszG9CRRDzppkAIduSbVumqGgWx3BckfbneJlHtJcxxawKmrpmK9JsstMiR5XveORl0 k2/bd9ahqB8jjZqw3d4onIK9418ch/Ie+MgEtHcDXc3heSthfKsQ6XhMnJK9wLzyEneHAQKuLr3o O8hTZOd1+WM7CoJXNmcTFhFCBSeTuZeBcogHOYfysOkVXA116qDghak2NLHkshvex4y13Vz73wD0 E8knET9YTaw3IUhzG6dsaMOk+5nyKbZ9+CLHf4nSBzCF1j57CBMqSNRrujIW3mTMOYyFxxX2xOSX sabN/w+ISMyTzdbAqVD79ZGZvkt+8ZCams3Va9D/Gq7lSfVEZ9KIZIsAljF8YI0RS8X/wictrr2u 7OEKSXndvTTSMtfGoW6ASkDf7ii9Tb9HwUpqcNCLMoaCF1WLDNsMe8nqs+Mn3c5gkp+m7HRNjc/x WIDJtwWVL1ewetHv/hPZC5BGaVlWW2yVhg/j6CO+s5SEqN+AOwfwONbS32iQlwHuqpN7GZMsckj2 Egm3YelIPueqI6EoW27KjOayIJuHZuhTowPpP8gsa/jBKGktHoY5Rebcu8jmcTjK5KqE/PLMcDYL gPfSjpmu/HG8YupH9zw/AacA55gNFuuJREr10DrG9QFObMlu7nzbf9dTve55cV5LKF/OJhWFGz29 pD2HozeeXhWOPF/ZaVtMVqjBPMpPwUgUSHLgGoWkihcQFPmNQHZ8TI2yzKaxb/kXcm/Jm1TgOHPj BWcpgzbXHiIxmAMDNxKXwHKeJ0tk8KPJ0IoarzlYrxnCyJmapPO1dLX1sdLGcvET+SNSnSIk7edK MxyjlktrhHrxBNNMPo83DxxgzSO/3j+ofrHhucUz0u/bWcaS92hrTUVDN/gTfOqH/hd3SzMpEjFR Pdqx/f+VKgsuJ2KsPDG/9ZAFmKPeHyJB8TwbjHnMos/XvHBqtna1abgOXJKGZJLEr6PW1HCO+UAN G6qe2PE5qvKCmLaXzuudKDRg6/rfVQjcVL5TgMeuKlEfF4bUyWB3YF4gh8Ipg65pcS5MRMaYs8Zk s/RTpqadU2cttXKpIvJr0Lj44HF9HAZyEpaFld/KBtAdaoHxyC+UI4bTh07su545V74VJbRISJZE cHiO4W68flIQxhcU5B7/tvuYqby7xOZdo2KZESb5IDb81vI++81sHl3wez4w24NnqygIvbT2w8mL I5YA3pOK9WqqdFqTZXsHtPYR5TXc08EaY7Nxk7+H2wck/bzqdLgeFT663rXzxkOXhvUwAVJl4MsY mNKzznKIAcjg2iLZ+L6OnY+JRtfRvOH0t6aRhNSsAtoseHhsVwJKbnydjT2KM1HtIjfE0pfm17lM zFynAOmn6pVEWm40eVR/4SS+PTPn1zYQTCh8mPOIkEZGoS8c3vkiS82UWeB75f89kFqnMERa5Ssf QTlCIH+sxcB40UarjFzswcUYrem/GqrSVAc2rpZykt96ONQypx1r45IR1kf1iYbseUqZfqA71TiQ cZJhwJXQynBu2zdz8zp6kyl4EGOtatnpCLWl0SVAe/a0pwWMyGpwQPLhnEvJHgGCXjDyO5gtM20W pYJmcnPneiRrtN5dd4Vj+UhG/IvdDw77BwsGJ5rRw28bKuZi+bgx75q4eIpSxLh32RQ0t43VlLBC pNbY9XIZzGxlS4zgO93lVC1FFdiJavlxM5Gclpv110lBzyEyh2BALhwqp5z4Ayog9dqsEcQHdFAx Qkv54vu8FnMOGNHdd/Vv8FMoOxAoDt6HxgyINiU7z/jeP0wFeTtK9Zn+cxi/RxaSZQQrkr+2rb9q MvJW7K+u0MlPIdwgw+T4wNhAL6JMIlSoTPbViutfMwxzAi/JBPS4Cv10F9cXqIVHgb8VX4wS/tqy oGNE2vGw+JURRhm67EHLEmGP4xUFJ/6cB4xw8QBca2g1SDZwmaga2b6gPQmfW8k7YRz6Bo0ALBm5 x06VVn/GX0hEkEHm9jEXj/JRLd7SSPThGWueZA2am4aE3NhvyYFkzyOuDBoDS/X8xANhAZpypYGg aRmhQbmzJQdjhzSm0BVKXExMVRrqKENnY0t82evst5d4mdSQXm4vbHiCTUe2Me0QGTBcC0TF/LFz h16f33VtPcHOQFlsJbmOE7EWGEew+SU+8i0bkx5kq+xfe0EH1+tjq16uR4IBetnK7p/LKnRzAwUU E9j5x81CPQ0LU5SDONzIGnb8H7J1ZHg8BDr/7so8F6oTLps2PJJKq/BT+9riIzHG94Yuo0jRfOA3 3cfMLzYJEz5ldMgU+ERrUHeNIvKwyQhS/eW5pRvgQ/RtwouKhqwmnrqd8CoX0FMht48xvCPYKEKP NVMbuqOMvy8a10BsgBusx8ZJSEEq9/WhUsFjzvvj/ABlAZtKbd+NwSNyBNvJxmD58fYR0TgQzXVR s6xXEYL8Gaw7cgbKbp0PP1B6ztmq+eI+8f+xuWf84lkZcT4amUzfOMaJ2qTSx4rC5RnwgrWStUST Uk9UbmWJVZ1j8vKYzsQ6qjdTITuBzmrKlaaBfkbHxirk7Z5yDNzwFgLCERxTTXFYClFI62BRZDRp ktKLoxkAtpcLs5XyO3/LbZr2ee6shXiioEFcMbU22itA8BBkae+XDDik/W42+5KQ8ehqSNcT6ugx DbsKBMbe70O1NF5oNW7rNGo7olw7wi9RSSJ/Lkq5m2cdjnu50ASsKkF7baUk1E3ZQUSIUgQGId3H xIUlgiI18fZiDgnEqmLG1T4DrD21hRCuCKD4ri2Kd6GtYSHesVjqOkD5pmZYlnyP5n3ECSEeEeHw RqJLXY5RT2smK5e2Isg+i+Ofe+GulA7ciFXqECYMRAzFKEt6xy+I2JZ5bG8x6Rdv56oIFioH03Qt RWGRJPsiceynJXRA8K1+yehlSCtDjP8wUx65Bt/gI40hCivcfjiR0Ir+S6jOlPjTqcmDsC7cx3X7 5vxlCEPrVnyV52qjMEjadlfVBcABSFZQEjsOdswi3sak1xQjyicK4Bs4E+N+399reLdF7KgSfmwX isUfSc6awJTW7ASHQsi6fNid4ULG+zcPYvsiALi2jGDFq3rdTIA4WAsvY5/95h5VMGWUHeOPUrQI gaULtrQ+T1S1+Z8YNYtrlAPRzFoVOxxDOAV+V+MSYz7S18QtLtf+fixhlW9/zC1KpiZY24pW4wQc edvc+J4a7RW6latFAx3bH6SN6m4Ew93CtCc5lkraa5rEVoT0xAwopIYqsfZdb5u68pRS4JafCFPH fCBKiquNMn0UuOtzBQ/HRTUmLpCQRKGgqkvdCk+PcGRjvoBC3QlaIjO5RP9724IJOIVO0EZYZTUD qox2WEQZ5YUlr3OzW7gOsYl8x3Twn0wrk6SXT4tyhFz+6FHiJtcNmvaisrZ3vj5LzT9Y7Faxq2I6 cSUhBP9MT3vrBzbZwnB6DHMLfkbUULnbQxhBSIdf88Dlww0LjgTmTb5ixp1zwYxz0TPSy8crIlRr PsrM4wHLTdeURqtbqP7f089J4MsWqNshM3wSZRTKEUKq7A610cQpCro9RfLkRzlva9My3W1F/Pmt 8qkmZ6btA794SZa0ujNPdT+dp8jWhwO9x/NKg6saE2QL27egv25ZBLUN3wbZn24I5aq/b+oxAuDn GdKBWTStUyURq3PS/BZRnU//cpCuMLvBKirmcqoMibUKNQ6bhoQNig6jBebT06uySInBk3gf0wT4 XuCJSmDHKWh/X4meOx888UTR/2phr8JBgEX07Va3iz9T4rcmgV/3rTi+EuAbi7Y+79Uxd+gmh6Gp x/VbLrlX7udLgz11nK0CkImRrETzDXkKAKAJdJfRZzSYQcBBRMZV4pcxR+4kybXH7/3GGeUwF/bh aqv0lllAz5uoiRuP24b69myREOC8xAbNXGgLx8C7KRUP052PGS8Zpop3HufibvSyWhVibflPchEs QYWhR+awFZh12Kize5mtXJVrY9rkoyvmYVxXFVLoKuZB6r1t3la2F3Zf//GR04JEVA9yc8m2Z8K3 r7tuLODePH7ixnjt2+sF43/IXYQexjkGpB81+1AKApaop3aMCG9wzkqlIKsSAXgs/8suiLmsKJ7L mBu8r3kj3xbLpsXjzWHBZfljd7Y7UVymsHyW9m5x+ruzhsr6nDViy+WHAhWjel4wbkMDZ2RI6mJD P5514hlK/0aOTiy/2HlFpxSNg28si7p0OLf/lRxx3vgX7IaZXMPfSeOHxnrpG5RgrUW2dNAMubRH gIpbYmiH0XU9XkZazGeeuhlGE83v9RKDsKby0969ANzzy6fCTbkzZjcgwZpGsxHnfzdpOdriQz0V yL3lulmW3ys60FoOpfmczKV0cSPF4WUv0pJchdK+JTkiMhlRMxbWurjp7EQ+HIrKlYLeof2Y4FuE 2t+qeB0iBvHGHi1pN5W6fhNtggqeWXv/3Lek/pj4TqQuan9VlxGr2U5DviNZEOJUsQko+OfMyggT AmkuM0XH6cr3PlmOSxV8fZ5We40h5OO/IkrvaH8Av1KKWQ1q7Pkyn1miDiDEt/drP5NZvBZPYqGZ HZykc8SGGwAitWZwYIxVGO8rQ4TGOqS4Q3zY5HzupMpG3r196mvj8tfYNy1Qy0ujCiAK1DO2Club JdVOUyvjcQx/dVDc6iTsd8ljcRY3NJjYBza32CU+7OSDw+CSaIe80/pOcf4BsmAGLnpIyhdaeXKY VzwfRJger9ZuYUqPaEnc/HXf8DRB/wAe8qKnTZtROokdh/sR8r5GTIOP/u6dyp4WfWcSBP8kVJEr 3LcEybx8vXiaFXmRI6ULf2DWN+b/OAPD2FihDnOWtb/dzzLkY6TMTpFHRJQGLIRUfh6u6QUm+Ff+ VLCybovDW5GL+0RvZVuyVMSdUVvyjqZZUAoXhb1LjhgNjnQ6YmrhogDOOrlXLUkVQr6dtDeJKLEN K+RQ+DTVWD7a8QazXJK9EFIyybHyUyjDypfSECs81ymcx46/rIgPpt1tmRogkF5yiEjATfJA0ac6 Yj9gVSWy6wOdsNHU/BaLXHtmOKBLmjjVJP9KxLKmQ9hJ2DKpZereR/iY8XgxHMzCvtewscKaMslj XB0YDssYek31ouuDeImx4pZzgmS/1jh06hHCY5M8w6m0X8anrahyMKsK7w3a9fUPhL3yDwVVDKQy 7NdAbPCnF5V2wh6XkMjyqcOUHvVEkU8Y3dodbaaQBNkCQEK0cu+4SfbdCUqu0b1MfFWVXTGtaCWK NEiHHk/vHP5lj0aoBW+JIVN/8YrDC1BJmCQ88MIWgw0OfZTUYmVWUl0uN0/5AP63E40tFHzqpffA sUulMWu9hW0kmcVTYytthnqFrkxsdiwXG3P7DJL2fkrG0dEOi1NZlDDy6Z64xEHxsvfZ+3PhGijf /XpW0WUZVtM0yoA70q6GhToi2CMMorKyBYJ2S1zkovcjnVJlPjBILYoBwhreyQO0Qq8NIs9Auihm 6b++U+NXlE3msAODb+ZXji2zVtzjUNrHRCX5V4LBbNyBYSE3xmqGndxdvFaFHcVYTw/NskbtvwJN 44Q0ZKr4JINXCaQsmezuCQ6kPjmyCul7YlMoTx4z6Mb21hpYIdd7oO8KrKR7pFk/6ZG2ZU6x38eD dj2rTct7qoFXxafd4v6T8gFTDe5jbK0+sjZcy+YAXiyqBjKkTe/31WVTV6w0hejiZTNjlDDZFYQc kNG3On9FfoSlajK3NvzuFEeQBwDRP3u+FkHiyWtEAczAA0UbVpyJ6wEIAh4uou/DqlM6a9HNXW6R FG+WW1BdcZkMQVzCkzoWmnDySu3EKLcqjlgfaGoOKcRgD8jNonNdXRXarbA9g8kNXU7mMPcBFRCe ywiC/5MfWvmvh87mR/E+JRkuhhs9wz+LX7OimqwoJlCVBj/2qcO1ltbAqEjGmiJbZ9/gAe/zDzuN ZgezhnloB1yMxU5jN2by3i+vZPWkCtUP3nVaTb3KVHi1hq3H1Q1aW26DbAuEcqYLL8wK9dBoOUvG B77o1K+UoD1yhv4BaSslikmIlwLIjdPr1hwIqNG0DGKgr+tOLoXpnmtCnEZaWOC3/N+BvzYXvDkH c6vZqoLvxoFxlNbEDFqW+cWmRNR5+WXBP/HCY3ML3ZyBcs3jJuE0db6PZGC6S/zSCF48qWMsHeks nDUSQW96xCNeAyMYlK6ypuDMUg6uYodho4Gssk82SBG9/hp/M2QP6QRPp/w8tMdBaZ6eh7kjCXKs IT9oHO/WFmKMPz4Agq8ezWaByCB41r4yuoPAUWTrDDJhsjKNm4wkad4+eI8fLHnA9kS5ZsVbYzlN AVaEBwwW0v+3pWFZ8FKednwtV0EL/55GGu6qTxv2/ajIjb07pQ0imBxlBLNTR2cz1ndrC6xFXL9W FU6CRmnIAKpY9r2izUPnGc54JC1iswkwcOe6l0hyS2+UDwFQzgrtnFZPC4qw3vyUndBD+lZNH08v 6p2ZBXfsaZ2zVkdVbOrsGd+YspmCNyPz2vdCsAUP49NDCuHb45GGBteJO7RBDelRo+9Afhl1yjDB U0W3z60SNBIALlQfO2cRXohbmGILRWeESVK0VIg3u++gJHrnCgdf51jzSbim0XXlVVAB4pg9G7Rk ljFhAy0aJ5j9fgtyIVQ0sElgXRjRNBvvh8QjM7rBkds+8UTKYbPj93huk/EVRs47rRyWEJmeGxux yk8LPYgu1pMLLsWO07fZwnHIXiL8QPnok1x0EC/e/NoLmiwsQ9WPU/Bp5Vd46dSDfRDYG0ocOKvg MH2BCDUSPQ40KP9ILRBEu4HCr1C6tFKsfNvjHaK7wLSZnB4Hy7GSPdixFqw9Ygxd0HtAA6EqVjJz c5HqHyCdHPZo9HRIJQRGVRr3Jopd2UlD1YmbOEzFweO9LnSKh5WWICRHaIPxM4dzjCLDy6V9CBKx CPRlWM3yudn+5T1uTIFSJs2ii52ukc850KUwo5R4Rds80Nmzzo9EMW6NUte9jrXo5QKyNtC3nAnA F0p0iEZqJ/kYpmo3bT/OXmdPoZF0D/iDafvPMXbZ0fJWgypIkWtfeE3rJsK9qbiMoHjb0/Z+AH5X WLqnQM/jT7ZHS7tputid6u5vxUtgbM26LqppwTN+wsbD1iJiPCX/cQAdmSJX3Wk7C80E3QOEq9/c n3/kph49gW/3TCllSVD2X2JdhOHfSqTWNwKDbsw5gayvgVeufAe5NvjC2+R3MxQrpnsDOtJosb8/ ZLRTLkwUaXLVp2vHiuhueRyhbdyYT7HdCzVsmE7OENy6XMxYfS20y67FBG6IzL0Z17AsMnuL6JQd o9yYMDmrBjOjrFgT4ylFqiWTXM8tcJ4elo2bnqFM2mMT5anSgekS8GA8+hIIEGzvVH86OkT0tYpi 0EdocOAjy4lns4dmWQIC096+svxhGoj5xyCCYZyhUYQJelVrlhmKNZKefnAqkwgS8/dqpYDbs2P6 Td+BFk18vzhqT2b5D5AmHbfiIV5P8JuYzJDIiWSMnXKNmmQh8ZxS0+7q/WLnMGBZU89fBAzKDMin SvUVYU133Le8/ALOFqhDqZuc63YXpQt11Um8txp6yl52ORZm1qzKiD703hDlSwqSqV/M546Wh6lE 2utTsg2UUbFI/N+fSn3w820SHHLojkvrnVDjviCcpfTjd/L2uIp63xQfdmQqeFjpAVAw85GSA7DP Tcu0nnNDV7pPefpB0mvZxmsi4qYcggj/3HQXGSTkA0H2bbBLG1U8m2jt7+ovcYbYiLpo1YQoOH9Y LHvQSdYMFPevklSsdGkAdEJarXuDvPd8Oc+cf9ch255D8THpaCZAF7jwU7PF4XK9c+TECcf4n29B yo++3WOJ6cLeYZJeXHcXf9wF6LEGSvU4wqK+9SWnzPnjCPk2U2laxMK5c8MlRC2uDmBhTzZt4sPd CzQA1FaRJJle9zurDZbuvxMCLqdV1IYpHMkLqd2Hed9kD7cZodeNrd2BMmcfKsBFZ7W/rY+/mNkX Xw6GSZyTp72n9JolGyeCIbsvQjaor56w1t9v7QV/LbubCkOdWTgqbiIY4TREDLU/HEcHmGbHfMmG f+2fpdi82ULwsc1hxnuzqfPZXswQrP/3q3ijjxja//ZKtH/nG4cKOD4ZN63fuI50Iqp2u7lPgqQB A5FIg5QiV2ofJOBoQhsCE4iEdiKCcSsH7by0sGXOh8thneIZ4hVGKuoaRzCoo4zz3Y3/Y+Sr9mKt /0XOW8yjVgQkZzMDMe93saWiRUqBtcelO4H7bN7xZdimhXVy0lyzSr6nQlsMRAM2eYWmatwny1yQ 2JtmdzsTDW+7tLM3Tv9Jg0V+jRwiBQwEwdNyNZDN1pkFFeui5GPgJLl1GjbfGByketNLlZvdVltj xBGeSdHvnUDaLUwUt2VFLPufAMiWCF/HbreI8Ir4eZUqW4elXiVPycf0XZfp8AXTRnklsbmV3RzX j+HrajH4Ngxe8jTGeEO6A8UsJfkJmTvv4aUwy7QKHUN22VwSndJlZPL0Q0mzkQi3i8ZUmhpq3ETc zQpsX3OfbZLkIFkGOCbrj5NbbM+so9oVdN1EZ+stcjAKTB6RyCRQeTkBA1VeehVCw82Vd4SEPjhe 0WUmna6qZuo4WM40GX/KfFd1h0bjHEmmkviv79RJxOSrCs/qqsyet8B9MhXErWWa1EvAl8EEhx9U diufL1LxDI648PlCMyVmqfO7FNoEnlwx/vpYiRcqIMJBtD/LTmXys693aD72WBNoWAQC4aPOgctS 6rOGh8CdKIKD6M919kd36oiQNG1ArtI/cWqYnF8WLFM+Ich+CT6BJy0gYY93zAwut2dU6CsRX6hn sCLCoKz4H/UpbDD86uEf2QqJTAb3yXHKyj0r6X46ZQLEfYQNTlZDc+eMwQATnvjvdpi/86dPE5/7 ymMxitQNmVfpOyjeXNcsdyYFtnlp1/UQs/B5qRQit4n9xRXMFKLrqmxahSp9xe1841OyDI+HPgp5 01GXdw+rORtyza2aLP0o1+cVF73S5pIidOLG9LfkorPe8XelRvmpTjDjzPvHCvQ9k3P1Boq4xxLo ZmVyjDci74aaPCK8FlnqQyTbZ0ooKKJEr2aqr9Ynl1uWAaOCMaHJzo+5EL9/8SN+babG1p7nIAMG cRB0cS1KZ0jCJZsMNNp+v90FGKwF+5VNUOsGUIH9AMVgU3lDxlIFXgWd6A3Pq0e8o+Uv6jU5Ct/0 yxu17+HRylHmQ+8bfmhr7MKe0EG+vRS1ymuLy0O8GD2nXStf08F+b/AmQp9hCm2nWrbruXBH0IDZ SCIVe/zY97nmdrOpfNjk6KsBvCjhwSM2viIz1Kr42/WJW++0onerQepQHADTnW4LHQYvafWCsmxv M1riXDe4Zm/jzaiMHFL3joAbWNiyvR0pzSO+zCSjrFZvC/VAatxOQBRmLI69j0r+DiDF0kqSwy+s y5UqzfnJp6/nnOVUb55/+dEempLN0iPNSGGZSYA5DYNfDEhgiZ+7hCjOq0j5g5LH7uHGDdl+W2Qx PktuvQpmPI0Vuf/B88Dqi4bQKTiJIf43MqfM7LN0xxaFlvdVVYULUurG5ASMaPvVBlY1sbnEnisN eVUTAgIf9p1XDJY3qBYSrRKFbI8ZJf9qL5aoWf06MaDxZ8sFtcSmWjDBjjBQFhTDFp+qvyZavFVs TEtePYlFdubkQ8gqRNZhFWNGFvaWGYNTJlpGmsSlYXo5N7hhmTBOtxTzFcEPMz1IFTOGPlwezIKu i5YjIiKfdYwd5F+bBhAnfwOhlQexqv6Z6Ly+W4d6n7sELQ49zXAewT+1oOImyevGA0FN22GVQzI0 12taQxcP+0I4UgpQUzZF5u1Wk4tlv7r6xVFdSZUz4C9wQ6v/np+XcSPrK1ArxQxo15lEyS1be/uz j1jOqCt88mIIHiMbn9RXVGSJOjiLHMWP4s2MFebCcvcy6arBCi4FDfBPOJfHQVE1CNGNeIyDsT2x RY1I94/elbiX0bFWtntW9Zj4YPH/JU4NwG72uLDz1TJOEjb9mf9E5VTzzAdnbKehcKJRC6/y8eC6 yeP7VphM7TqERjJE6OcwLKarprQvNOuksnezR/IjkLXOQr7Vyj0vJ1wpjgSxkn/uDiyXBWB6ePus xg53LJoXUsW+B3Pg9uhO6xZyfJPyDKyHOhvxFMJYMoWZNRYU48XYGInjxXmFiCEH90/2gabIYbuO S9cRBIMB34M8pmVfdXHmKc1jPQ91skQ9Y7XJfR3rS2UYODFdYwCcbH6CsVY105Nfqa1JXoqFSlBk 7loFZ3Zf4SKqInQfBuJcmL3iU23po+qz2pjvo594lwP/jLS3TyFOmg+dJT0KwLB8uvV456mgqi54 GrW73GsIRorxGVSlvdlpvMuTYGIYW1R2FOHH+ggn7pA4jxLwcqW3dKyp+HnkOt5e3khjA+hkGjsw fd2HnUOXYP5HHOua08AoHbholKbO90o5o1jUxcyI65YBRJ4OKI+n5VqCSIfg+v+ar/zmfN5kZjWj l15j1rXXin4nmAyYq1pjlnhgRVazdWpESrutowSM0Cu1pgfZbmeOSX9Wgdg+wKMs0mvht8OIFSrr pOIvAc/uwCpKx9F4CBel8isrPdgzUt7clxcil21dZN8BQ9RckPVYzihjcp53KTedCvfJg670oSYc Ox8IWY8AvpNciyUvKa/eBrIAi7ibsh+Zi83oxmBbGf7MI0X5wCgxHAaf/oi/eSAW/OxBI/b8t6+6 CZGPPd3Nq7dqNEbhHw7jE1d4MM6smEBH88ihH3BFKjstHHMqedbsu41amycc6kV/Su0ny7WpuQ6T ZwXpoz6UXIooHtY2PpVdQLWG0ON5bijGZ/TsRd9kpcewae0cygVQYPjjgiTw5oCLK3R2xgbKP7KA MoSCvsxWuz1HGziQ0BYG6qhF6IGyUyLYqLyVOkjux2c5qjFpoRKOrXbDq8dacZIXuHNL5ETQ8XbL 0sBDH+Be1e8p8qRcE758uH467erVn6j5JVU7xh62kOEKD01/FTmh0CFZaTg5Sq7s1PmYkjOQLkLC 4lir0dgzwug8jFW3JQQwXL5XDcejvegSYRD2G1mBrMVFtILd1Kl3Ko2rK7BY00dwa5ZeOoVKTM03 OHVJs8eYnG1RpTgdpnKtvzeI8mrD8u+1O50rUI/S3s4CaEN3LUZgFO5E5bBH8tXZHGB1HhaEV5Xe Xm/pPAgixU8NVFta+OBRG7rEqFRpdv3rcWX7ohzP2atoB3TOBPqb4HKrDaMFyUKFeivLEXjVtuAA UU5lBeXrbyp3+2KLiMs9i3Pb0mDgmhUDUKsyXMJbaEnaiMYkt6ZWZDde176czSy/Getz/sy1Hrrj nNucCj5NHpeVk3wmvLjkWXVQ6AR78URkXVn6bV2GC/kDGRAHj6ScrKdr9YPp7wb73WDIYKTfuZ91 vtn/p4TDypWmxP+zYqJB/ErGdjhOfzhrJEB5xbuCRVGaBIuznuI7b7XT2WiyNJHt2scoO1hmgpKB k7XuU7eWVglbycMqTe1+QYMnOZ8s9bdhdbj7CIx0wBQ0jV6HaI/JeNZ/cCBHIZSmSN3Xu2mv0HQr GEK9AGfW1CRs+ETArBAtqCAb0wo0ae+hdtJThJ5LS0DwbJPIdnv/ofjwKNSWwI5s8OSYcrBTX6zh RjixFJND4oLF+HTlxzpdDZHguMBaY8oCi6QkvRO16tTODRIA8slas1efq5PaPQ74fYntjQztA2G4 fKz49JVU49cEktDTPBkoPQRpnKuCDPrPZax5fqCJosJtr7TlDGPIrLORpVwswOZUypbxd9PzfatI Zk991k9hrUlUh67ibb5wlLLx/Bz3QgS98CUOvwYHADeyMWj9vPFyk+M3DXq3FFhHHA8B3TZPItT4 VDf1anxKcmnJp3xVEqdYlASo2bWUIL8rlzbf9Z87hoHPzzYFlbeVw5E5uI21P9dWNHN0Wj1bNK8C tLEHw3wmMi8P+75QvEXPVz0sIjqG26O1B21qW14BCxbZebrfjedyoowV/TNGtRMJCrtzob32Y/UB WTktegqc1cJWijtQU4miV2XKlch9DBjcpBmVYAVS9RmA5dz1HGYE3qj8PN7zyc90YUp/oTFGzMAz 8NJT8oOBBk4BwbdnD29sa1EYqPbxkOUpuuKHNlGiqAl/a9TDLafjmiRH9OpzTcYULcBBHZXFCLlY UFaHcNXsZ9nlzspjfBtWykukKqIlZ56xf0OVgYCcK/wHugWshQA7ByoTC3+JODSExUScENg5e7Qz f5U6hLWF2tqJGtZTHbV+rbYjpdzOriVFyCuhTvNOIth+OmOuVAVYMtibfPABorhtrDYBdr5aeDQO LaDd6Kz9bmUPuZWgPmodCGU7/KJZKwmu0rb9bDLzbkUuNXJwcw6iHUfQV5vtomiUAvoinRHX1OEw F82P3y+jQs189jwXuR2ERVRdxtJCzpj1ISj1yd1BK5KeoLpgjF+2WkSYmOtJS8jcgQnUF53RXz1S AqVVwkeHM8b3gkOEoHBOwQkEJ8rxwseHFp5eBAw3ddvJgZ0UcFFMNrl67BFON/3ooxGd3KSO7gsY d5KWCBhH/nJflEkjDNi3VDK7ix1Xwdpy6Kpqdebiq9XturTko4e867p6JsqI/UGXlzbggTp5kgM6 Wk5ANnWe+l4KLiJj9BCq/lEcoTDvRtQGJQv4520O1A+m6je1ahRhJS9PoJYmzCODuvyX8Y0b/O/q 9f46v+oaGvSOEkhf8yEWvKjqz+A0MXiQoKMQijfd2fUhNYK3leNHjktsNG6qjXP3/LBDHJCab+HU Qdfex/eisK8big+XGyOC/f8ODQ0pIlOflAN7g6fCTCKymMMMcjiTNHgKZ6YK1B5gSNKKZNPkVF3S adGmNhCcypTtv2BbyjfxlIGutJQGnE5mxjkC621D0NWytOybdyshMazpDN+A1KHyz4mhgU08D9AF urMkXY3iq0vSJyEUqyAIfou5QrAXzps5PaVEooIhIAFmeMHpep2t7ceJFnLDJzybelakUPejeNI7 UkYcWNNBjL5ls1jmgrG+rgfSJpzkEsa20jugpFyrcG/en6owwO9lVOpwpIuBcGyXAiV9DQFRXVG0 HkLu9bNRC4cqj7mfDdRSotYL+wyCXP9RsTR1vdtxuHmyt2XZ9nJueEkdW3ifk/ZGh4y7DnJjb+bQ Kmz9XZszTAEjgckCjocjKY6zrrVt9Pyqe35o4FMASRZ33aUxwRJrp90uwc2S4IQUXHpK+Ks/FANU lUlLsv1xI+KdoK15sZO5nz10dEwSpyI1u60Xze/uK+BeXUsa3lsX+BGijNqe83vBQioxC++DJQof Zbx6zup70zps8BDR44rYCKJ/c0bztCnLsMS9xd9L57gVN4x3Ur1whpaKUNXqf1uUM+nSvjZelMQL fsj0ChIH/JSHYCHy4eDZcAGNtm53IlvBxt2mXum/d20Q6uDG/5e3ywQKcYKXrpo3A3iZQklwjX22 IUHM9GldK2ZrZ4/voBUsN8eDMQ5TIeKai9Rje+VJOVsWR9Yqyr717TVrLDFcKNOwgEydbFXKMWKk KuvoJmSQBYFIY4rh4ViW0JHvjzULWRjxXFN+TmdIA0d14UgS/MqqK36SQqt67gJRLkE46J8et/IT fPAbAr0eLuuDOOKSy6oT9bQ4T/BPR9du2+s8NIFZ6w8k40cNSzVIIuXBVsq33C2REGyxuVaixHNS znNSCEDzbbFvHlgpDTVWiZsAB9W1v9nleDNxkRMZHJJ4vnTbgIsUZgZFaow4c4cg6/6Lx9TcWQSV ghELLAL0GCHqkTRIUZIV31yICFredD7Jq/6HHZQtaF7cboGRNCdSpVleY/j/hjKLUpbt0Gxk/1Aw yF1OGHRey0MFBFEJYdDN0/7k47uoFuv6Z7pWBNAt+2wuk3X7XDSzR/JEQWdU49301wLx9k947NKv fbLlGeADuK93JXgYIr+QiqnyU+ZBvbtkrXTMhqP9pxZBpzCwZhz7U5xxJFBCinfAE6S6I1a/5i3Q LqLv5j+5PCKyayyWH2/y8ykGBhqJDauKfOHAE6/OWN9GbydY1V9EVx5jsK53kdDB4oSu4n1Fpkev zAZIg/TGZ//BFUwhgvqzI42s8X9bBW+xfh6Ri1eDc2yMpeAxuecxm2QiFqQY4DU31Vhm1Xw+ps7B +hKykP3zjPZkE3OeduOPJC+lfMHzf8w+cDRFTia1djiTLZ6LaBlrm+SGIyyeCAgk+B/u+3AHqenO 6nRsZvL/WB2PA4tQBjCILOG3sj2dvDkLnubHJY9CCrCG0l78HDh64+sG3jVdBTQBbwPJSCjXBhnq lg+DLt8ZsKhBLzbitHoi4XpFIsHHJID6MRdjMNAeo5E1V6GvAD+7gcrRIMX3lmkiKRHyvFb1IpvI I4Rvuz4jsErpT05zWVqoh9q1OQQIqQJnrQAPHOIoMTILTZ0ocXZ7MIPmjrxU7tz8IblQ2tM/V9vv AspCPPWzk3saulnYwFiWidmq2ii+ilfumrX3Pp6mw5fVh3qvrqgQeq0mo3f9Ro/ArjHJ/36aQydI Q8GyM/1BlLlFc9TY7RpX8M7aqb0tJ7UWLqK/vlNVGRr4pjx2v1HG4LFHG6eoXXt//RnI0xwcB+eN w4p2kUMUtL/tmKec0twM9U0R8tlodW2CDy4tV2ZzLCy7s/GiroTbAKXxsdIgD0MUG64m1prmDOmH DMsl71EZIsLKA4v9Be4hId4Xz+4WRhOOKlRjCTyiTEXnHWiAjR2rtDVpe6ikv34XqvZzUsF7RZiB Ph+SZJCcq7+/nTT3sVt1YwtxDT15ucTeQqCxeu/FqHNx7cZKB2jFViN86+pHucj/xcozWcE2iClQ YXijUhE9EVkAJTv1KE3knAh+Y1hu8Y3jmlreBnsPLGCVa+7oPTG/O/mKbQQxLH+Yl0Gcvg0z6ik7 ynSUgFtosDBXb3yyAKXyfue7XhLCNVLhY8MsQlxM2Rarjivouar8Rx9ACUPLIwxAnZUn94c4liLC QZ53cGncOT5Gc8cmUKmm6PDREG/b2pPCRvrTovmUNoDrK+kBujs7LRL4b/51YfakFmlv+mqm7ggz zRJ6aAYkoBEVdupVUXgcbIKtYNk4MVyVFrWOphw5ekWyDCpNnEPeLFJiBzqzkYaJjw2pyvD8eNOD aiq5RuEUm5CHruNOcnV2vGgJm5exGpBAfWhF3BPvJtPd1ab8YXt7UpioF367ydrne/S09qVBOzzL BJf9rz/Q0aSc4GVVJcO9ailbJBltlzNT3a6QpF1mZvepzupflw3cyM3CiNzsNv8VI1RysUykEKRR ZMN7svnGeLXvVpAPCwjZszGWeTEK7UeCkTBl3Z5okRXzJFW3tUwRWwPO0DHrtI3KUc9UL/Hyffjg 045Wf9TX1zUsRBGmTE9xhgJ7nOnru1kr9QgMTabwfqumjWi1+nCYuF2U/TNRwdThUKNbJIeQGJ3E Y6dOy/HBCCyBCToqH4NjMJC7RM17e+zNMcuKAMCUs7ZiIdO3d5Asz5iJKX8Ov9VocB5VECZDtWsJ n+oGBOzK561EdPMlJ8vep56S677ZqiNAMz0NL1579Sbkt7uXuyTweNQRztQPI0CRGlxe+f6dTubR DSPkI1uSL5cG1gYv2qVzfJvyUnRme7yqzhzpWj/WBI5hzL9LiSZMtsfGrdSOe4jHIHAzGG6Yz30i JZi0Q/2wEBRMtchQ4yqOLQv5FpkLIJdfqYFbzNKU2MdDZy0OVTFltgzvwASBZ9ssBMIuRSnZmXoK 0KYv1fhsML/818yp8GY5oeKCqV3pW9QoCD/TM/JevLsV+8GeipWzh5TjKNDj5GoBFaf89nNz4rVC u1HmMeIIKdZvfkK4UcWyf2nFMyJUdDCtnlZ5ZD72lSYzqhqu/FsSCqOzRkTGZ4DKDJOftRK1F5oz D4umgxG9zShY2VyHzGrwp7Tu7fek1lI3XntjurayXiuM/h+muSlMLkS+4esMoqSXPYnXl5mEpDg2 ckegjmCRT6ERv4ygaeMRHOql4P1G4o4I5gRQQbUmgrDIq8MAJAdU2LOQoVrBjzL6acs7DEJjUP7o JGyprOsUYlNMH3c8QfXyPw0d9g/ytblxczgELfbVww1vTqWdL6Trgigda5kL9ggLymeMrM8KF2EQ qfDPS07MmiILZc8yP2whzjDw3PqpgLJMrvNjR/54J5MegY5QA4R0FBS6uygxS4JiegdA4xozzB6a IhmQNF/g+D8evS7YkucG5Avxx5ySTYdEGfG5eTCe6s5+WMK+RlLj0kujkIgt29UMu1ZBeObl3um2 4zbZCkNAy8//BR2bSr/+GPp/oDGs42tDmi+FWjQB7ErijBoF69JrQTkGe+lAILvOaRwRezHoapRJ m0T5kufSaL8aZ73vaHt4oBqw0VMyBtWyCPtvi2H2PQsw8t5AX7H4acOGDqg4igFtOORcWEQ3tRDZ 4zi/DMeLmmA90MCSBgI5KMGFqHF/vtjvKplowe/I3gOdDk3u6yNZmnlsHIX7SI5A0VIlkwTjgOtd 6fz6zgqXaTzg0BoCbiwVqY2KpIHl02ErM8snvFnGJCG7eJSY4ZhakYAetw== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Li1nakuRH4tkoLmS8Rh5hucv1kxlSl23GczirkekUKy9En0G0l1k2LCoHp1wLyfkYjHyqgMpjetK 9Dl8pdIelQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block hA0UFok69/ZhdyHbE4FpV3c9i+Yv+oGt/mDB97hHLcaMBrMjYPkbpgcUIQ1qVGPKi3k2bKTWH/UQ Ozvbo6zsB8iFfq/iA3Z++rlFCZ9Mcr7lrnDzhOtDrLQHGJTH+agcmoLnf4GE7vBMVMScKNjBfRJ2 rse/8oneePf9g3R1yow= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block vheujGettpE+gi5ibV91VcHwpEhXUViDKPUV2eyf4ticicH1XKXMI2cCn91A/UVmJ8iaLiISCGXg WkEBHtebAOV+UobNNA1ZTobsnb7sR6+Fv3xxKpBOGROuBSGpy4OMWp0GWzL3p2IwfRWwnHqXLi7k xNCh0ACsPXwA8OdjY7WG+V6yIgZ7bIqIcJSAi+cun8HLJ8UjcVxbmT3nEc+zlN6DgdzWPk8YglIT +DsCWaBDFEGfAB00msFRLJGMPivrWYYOovmqSdf3s8yFXxpaWxxQMFgyQPm5M7SswAY4KSd7VeXN Ie8P6J8gzB8m6pESc0auECeWDc+7gq92Q02JzA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block mM/pb6Quz8oR3S09XTfKpMVkmTo5lfELE+JRYpnqANy3ech589dKraB/SfZ98EmwlASNZ2lGhzqW XbyQGOcrgzbNEYcIMGONAhFJbClkmdsBIRtaSB1rqWgQ39Zgtlwabdpib/My0Pi7kW5qkeXQIwBv T9uJC/vs0KNRmNN91wg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block rhEZgwTugv9ZvaUMFD/BLQBvTDYEmZoYW4ZuqdD2YSU20C1jV/WqSy5FZ+pJHe2iVoY0L8T3r6eT kji8+eJqaRfJRepMwB5Syg/Qsr4D+8zX84vVcrKC9BC56dXFG3IENwXV97Jg83Il4/y+ooMi58Iw pUvyatbLKz2KTjMp2sk8asjBcZWFykcIac53apkMB8iRJwEaGvUvOmeueyoJlyKoLq5vw0oPQBOm OezJcpceKg+U9drt33VaKDbFOVg1r/oXli+2/czdShARFjZtm4ON2nxdvfz4DiZXyuw/E0HbWsjs ikNGByuWLJaL9SK9j2qaXq5M2cm64J8x4IgYww== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15376) `protect data_block B29mS2hKG0mEMs4yOBICQFOMCLhfSnri07XxMsKFAmbSbW/ph9+Q3rjEVy5xYUGGGsMKn6ljxdWa 8Uz9jF3SI+jIpBLIFNpx5s+9vPNpkrKxNSAYUemAn4SXUTN6cqIal69M2WMjjAYjeTa/HuS3qAaL +xnztONGNB3a/o7fGSpO4ojx2t2Kcle9xGGzNBv/E80Yp1QghfWhqE7QvMsEaLX3WolHUJI+gBFs N58c6z3Ud79BfI65PiffWPyDGhmTi2Am7dnZAIqBRg9ZEP7vb3rlDYsYs3EpOXmeIha1BWsHQi8b WUsgmbnYqKGSSgdfKDue4VaOxQZLU607GMBMH2BcVCZzqe6BMkQ+H5cnmZAD0Fy9xio+85Xy/yLw fr3evreodMMqq1py/VOMnu+DddIHpsxTM/Mii4WjjdGdnLa3QkG1ypbQGZkCw2RkXnzvIYxIisge 73PLC/fLJYLLTJVlkfmPsDKhSXyOKnhzuuGZompzEWz7BefELeOJozXndGr1SMbVKSYnFDO+sYmg xVXOVwRUX382B3owgHu7MDac82kNBB89+IlN4NfVJ2v/9WOq93QMCci2yg5WWfhvnKprwrd/Flxs /nUriVfX2nwAF03U/UG2BXb/JOCpgMDSWWxzkEZ6ikLwdt11hnhRuOrGL44o3J/BN/Tbz2786S6v CLba3CPD0rzD2FmWodrayBFHcMm1xF7CuHggXSGu7tYD5NqmkjvrFnax7rMPiayAuil45/Y7ESNg fPRl6h8/hsluZui7ylDkk5Sv1va8oLzsVoODZf9P4OH35KZ9T9R7Bg/xRbCB1IAkaBqO4SwPmqXx 1OG7V5XOLTBwgatYElnsLjG8Bzr1B667c2gWzmfzglXE37ujRdZ61xom1rTmlNZuAH77C6AbGQUG vham57NQOIOxyJD3nvNmb10VPT6PSLDmp6Ckm+3Vj8Z2pH6BHT3SXa3gniMIGM+pNMoqDkoQ87Vr ymDz9Nvq/DVZTq2tI/gmKDfWeWAdgzo8DrzGSxEF9nRLdb1N2M5mnc+lN1ZLEKCMuix4uzmzQQZr Tw14AZgrME59wetVoKtoda3HTHW3QgS3VzdsWH+SZ+Og8THcQI6E0ViaTFbquG8SzEpHLu2cKf8W y3Wct9dAhUqLjsNisVY1fOTTN309XnyiyO43ainvJtt3zpFDL0eCfw3BdJN1Bouo46ybdCJGl2S7 Rtj8RJnILXj3/KTmrHolooNhcXDpG1ziL9sZB+puZ0th8WmC4ytPDwk6H5VUtXGrv4285u/8WGZm blptByvIu3q88r6nmWSNXtsVTm1rTF+58j3GG9KAdXiclh4DpMGQf5gOncW6Hsr6qBUb8j4xbfRd OF2o2pf+5gkKXnACoc0FxpAh46wPlDg8MCLW/PLNqQWiqOPVGNgmiGrRFKAb3xgR44auZrFNZCVl mWfgU9AQzHzc5jPE4pt6/xF3SNFk2AnUH4MvWEOT5tVFDPoY57WygQuLDkSGAcu861SirP3ilAdd QYzns9E9q53N4xeFfmJ4nIYUHLGwYZusqjOI0m8eugxI1WCHRugmcSI6+PaaOgCGwfaS3xjlh6u/ BTPA01Nc7xrxIftdj9XuMnhYrEqCK3OgsLsS5IyBSaZoUZKB9R5jvwJLIoQptpT5bkcLK2aY55VF g5/hdpccVN2B8q1axg5dZc1RmcrXPnUqOXCq5OYfGUTXH9iTa6iacDlE2we3XYWsRAPvLcwOzgul 5NB/i85V3kqZEDusEzPeUgz2M2E714cohPlT+HrYIxHGqrEvlVY8eG5VhB0tzWymyYfA30R9fRDn QRIBe6DCiedQAG8NYNWl/hbXgM2baQ6aETJyYQpJR/HCcBrL1xMdXRB1itGayjmYPQKPpPjp2rL5 2x1nTuqoZ1XoIxFavnAD1dO/Vw2t9ydS57o0lvbQYlm2W+tur2d68BVa6up0VmGhbyc7Xs/nAjar GlKvV1n8EUztFff0Lt7vuGeSMbWobVksY0JrunJ2uR4/5lNBjXqNqXy4ESsDw3sDJqunhDONNLFP bDJlrpebxFTeBahQA0Jyg/UUI3OsQjVzili9a9UipNh2udSXUUgKOGiXK+gyoarVB92jF+o5qWmu rW1LONQyUX0W07Bc1yFTQbFCGIvmtzCf98EcEmCog26TwVOCElOVd/4dS2oZwYiuhHoVR98uoIR3 AZnIZVmYEtR09x6A+WS+43lty67INDpQprm1VHnK9Wndxs8MHzdOWy/xnBD99gwMnaLz/QSzBG5l yCWvdi/ucTQlR/4/VybIckDgUsQW1oSCynTu6TjPxNaUdFMrpVHqzxauHkhUT1oR63RE9chuqux9 OXtPxdcFYu9wZEk9cO/M5E6JogBXqMkEDpHMrChEAJaoTH8WJX8Mik9QBXj4FNfv1eG1YwI4td+t iQAb+q67mB0t/F999Ij9UXy4NFlJzZdxWD84PZt6JTf4whbNwxFosNVrBJkDsBy/BisbBSO2dTY0 0ddIoILjrMneq56D4gZ1nzMvrYJr9MissOwjRhpb8Fg0G1qX5hgwnOQLBqaZGGSSro7CHIA0BMYe riqWq3O15VTJm07iXc/rHfrA3myORx6lFFtqHgNDIbrwbWpQzF6EagVasBXUv42RLQHAk8mZm6T/ PJ5Qgz9/94+VtSwqlJUg8RdRICexS0bISyEyTOeXZdnGZ4NQqoeZ4Ddv0XPuQvSc/bfWXyYzWcWc sz9xWotmOPbmXTl7Pbe7/ZBTQFdLCgWV8h/+IUTV8AwI9AqLbWVkEzQeEm485Ob3PVcGjAD7/S0Q nn3tfmyRAt9TmS9PiI6ViMh9yhhFejeasTsoGdCc7bwfW6lXuI8e2TCqeE7hJ+XJArLTtoS8/t5i VA+j2AFLeSqLMZFV6OmgRUz9YHhN0NNNl3+sDBNbKzxW2lvEUhblkoe2b3harmE50GnhPUyhnLf2 /omdYaWhEWtr9fJZYQSCiyHFVZbGR7XMyjvAlLuGGK6WJSDH7Dnpa/N4TvhHphL/1YM285MGPLt8 ubqeMZA/2LHOsgXvvnVLe1uZW6wcgk+DoCkq2C2kvNhveDmONASDGDuqzGM5PDwJDvV22WG11EAV ucePnSvwB04bRFWIF+Ja/wcwlvkhnAsR0a59tj3FG1GCIUjVYzJqLcVxrBYub25OFgr0GnYExr9m VtR9SrR9DFOnKupR1/DPBn/tNymwQvrSVeqoYAWXD851CRv6fRpyMvJtpND9MlNFkXWJ8/HeB7IH BqtqevTYvagD8Z9rJ5foYPHQJ/TcmVq9cvNv2excW5gOsLeDydbRJ5uYV4UgRCBJnK6BCJFdhiY4 ZLwuVTwCmAXgQhEnxozlJz7F06x9yAQ2EMiCQrVegdEtMo3Hs685rjCa9hWP/BH9BGI+D6k4FKh4 mG5h4ICh+d+03NkilXg2PYoNUHGMNCd5q0QRFx7MKtPeAgSFgjRUgM53fQCLTkj3hm3FgWXl1cdo np2WW+l+5QtwLG+SKldFrOIN2+JvUmj+t4PMSw87NF/3+gQ1lkyQqXXziRLZOjb8TL+tz5ezPOEG GaiDJrZnIwMB8ltiSRO5/0TfpRQajVQUtEDRGkCQ4ehCu5qtSQIk4dp6aRyUouneqUk/j+2Ffo89 HlL+CV6qbWXCNZ00Db3colzc4BRrsS03daFpi+Exi/CWJVZG/Bly36PgF8/W3T17Cw3smifI5gzF 5P/x4c+zqa2B5dO8OFyH/coQyOBMBEsA4oWJNjchc9blxeCsn+ueChBNLaoX5MqA2nt+lCcmt6Js dZrbBjdcWwAvaM9xWQalLoN2CIpQ6rI2a2LJE6WZdKz2HhTmBzXKNhG+iYiT1lT1aq1ofViOd3lG izkjExbgZ6g56oC9tlScBEOLtKv8oHbZ7ZfnWzfyhbtAG/Ua0j2LfBGdzUiwv+O4VS3V9n3dg/pW LzYJmVUeqDszG9CRRDzppkAIduSbVumqGgWx3BckfbneJlHtJcxxawKmrpmK9JsstMiR5XveORl0 k2/bd9ahqB8jjZqw3d4onIK9418ch/Ie+MgEtHcDXc3heSthfKsQ6XhMnJK9wLzyEneHAQKuLr3o O8hTZOd1+WM7CoJXNmcTFhFCBSeTuZeBcogHOYfysOkVXA116qDghak2NLHkshvex4y13Vz73wD0 E8knET9YTaw3IUhzG6dsaMOk+5nyKbZ9+CLHf4nSBzCF1j57CBMqSNRrujIW3mTMOYyFxxX2xOSX sabN/w+ISMyTzdbAqVD79ZGZvkt+8ZCams3Va9D/Gq7lSfVEZ9KIZIsAljF8YI0RS8X/wictrr2u 7OEKSXndvTTSMtfGoW6ASkDf7ii9Tb9HwUpqcNCLMoaCF1WLDNsMe8nqs+Mn3c5gkp+m7HRNjc/x WIDJtwWVL1ewetHv/hPZC5BGaVlWW2yVhg/j6CO+s5SEqN+AOwfwONbS32iQlwHuqpN7GZMsckj2 Egm3YelIPueqI6EoW27KjOayIJuHZuhTowPpP8gsa/jBKGktHoY5Rebcu8jmcTjK5KqE/PLMcDYL gPfSjpmu/HG8YupH9zw/AacA55gNFuuJREr10DrG9QFObMlu7nzbf9dTve55cV5LKF/OJhWFGz29 pD2HozeeXhWOPF/ZaVtMVqjBPMpPwUgUSHLgGoWkihcQFPmNQHZ8TI2yzKaxb/kXcm/Jm1TgOHPj BWcpgzbXHiIxmAMDNxKXwHKeJ0tk8KPJ0IoarzlYrxnCyJmapPO1dLX1sdLGcvET+SNSnSIk7edK MxyjlktrhHrxBNNMPo83DxxgzSO/3j+ofrHhucUz0u/bWcaS92hrTUVDN/gTfOqH/hd3SzMpEjFR Pdqx/f+VKgsuJ2KsPDG/9ZAFmKPeHyJB8TwbjHnMos/XvHBqtna1abgOXJKGZJLEr6PW1HCO+UAN G6qe2PE5qvKCmLaXzuudKDRg6/rfVQjcVL5TgMeuKlEfF4bUyWB3YF4gh8Ipg65pcS5MRMaYs8Zk s/RTpqadU2cttXKpIvJr0Lj44HF9HAZyEpaFld/KBtAdaoHxyC+UI4bTh07su545V74VJbRISJZE cHiO4W68flIQxhcU5B7/tvuYqby7xOZdo2KZESb5IDb81vI++81sHl3wez4w24NnqygIvbT2w8mL I5YA3pOK9WqqdFqTZXsHtPYR5TXc08EaY7Nxk7+H2wck/bzqdLgeFT663rXzxkOXhvUwAVJl4MsY mNKzznKIAcjg2iLZ+L6OnY+JRtfRvOH0t6aRhNSsAtoseHhsVwJKbnydjT2KM1HtIjfE0pfm17lM zFynAOmn6pVEWm40eVR/4SS+PTPn1zYQTCh8mPOIkEZGoS8c3vkiS82UWeB75f89kFqnMERa5Ssf QTlCIH+sxcB40UarjFzswcUYrem/GqrSVAc2rpZykt96ONQypx1r45IR1kf1iYbseUqZfqA71TiQ cZJhwJXQynBu2zdz8zp6kyl4EGOtatnpCLWl0SVAe/a0pwWMyGpwQPLhnEvJHgGCXjDyO5gtM20W pYJmcnPneiRrtN5dd4Vj+UhG/IvdDw77BwsGJ5rRw28bKuZi+bgx75q4eIpSxLh32RQ0t43VlLBC pNbY9XIZzGxlS4zgO93lVC1FFdiJavlxM5Gclpv110lBzyEyh2BALhwqp5z4Ayog9dqsEcQHdFAx Qkv54vu8FnMOGNHdd/Vv8FMoOxAoDt6HxgyINiU7z/jeP0wFeTtK9Zn+cxi/RxaSZQQrkr+2rb9q MvJW7K+u0MlPIdwgw+T4wNhAL6JMIlSoTPbViutfMwxzAi/JBPS4Cv10F9cXqIVHgb8VX4wS/tqy oGNE2vGw+JURRhm67EHLEmGP4xUFJ/6cB4xw8QBca2g1SDZwmaga2b6gPQmfW8k7YRz6Bo0ALBm5 x06VVn/GX0hEkEHm9jEXj/JRLd7SSPThGWueZA2am4aE3NhvyYFkzyOuDBoDS/X8xANhAZpypYGg aRmhQbmzJQdjhzSm0BVKXExMVRrqKENnY0t82evst5d4mdSQXm4vbHiCTUe2Me0QGTBcC0TF/LFz h16f33VtPcHOQFlsJbmOE7EWGEew+SU+8i0bkx5kq+xfe0EH1+tjq16uR4IBetnK7p/LKnRzAwUU E9j5x81CPQ0LU5SDONzIGnb8H7J1ZHg8BDr/7so8F6oTLps2PJJKq/BT+9riIzHG94Yuo0jRfOA3 3cfMLzYJEz5ldMgU+ERrUHeNIvKwyQhS/eW5pRvgQ/RtwouKhqwmnrqd8CoX0FMht48xvCPYKEKP NVMbuqOMvy8a10BsgBusx8ZJSEEq9/WhUsFjzvvj/ABlAZtKbd+NwSNyBNvJxmD58fYR0TgQzXVR s6xXEYL8Gaw7cgbKbp0PP1B6ztmq+eI+8f+xuWf84lkZcT4amUzfOMaJ2qTSx4rC5RnwgrWStUST Uk9UbmWJVZ1j8vKYzsQ6qjdTITuBzmrKlaaBfkbHxirk7Z5yDNzwFgLCERxTTXFYClFI62BRZDRp ktKLoxkAtpcLs5XyO3/LbZr2ee6shXiioEFcMbU22itA8BBkae+XDDik/W42+5KQ8ehqSNcT6ugx DbsKBMbe70O1NF5oNW7rNGo7olw7wi9RSSJ/Lkq5m2cdjnu50ASsKkF7baUk1E3ZQUSIUgQGId3H xIUlgiI18fZiDgnEqmLG1T4DrD21hRCuCKD4ri2Kd6GtYSHesVjqOkD5pmZYlnyP5n3ECSEeEeHw RqJLXY5RT2smK5e2Isg+i+Ofe+GulA7ciFXqECYMRAzFKEt6xy+I2JZ5bG8x6Rdv56oIFioH03Qt RWGRJPsiceynJXRA8K1+yehlSCtDjP8wUx65Bt/gI40hCivcfjiR0Ir+S6jOlPjTqcmDsC7cx3X7 5vxlCEPrVnyV52qjMEjadlfVBcABSFZQEjsOdswi3sak1xQjyicK4Bs4E+N+399reLdF7KgSfmwX isUfSc6awJTW7ASHQsi6fNid4ULG+zcPYvsiALi2jGDFq3rdTIA4WAsvY5/95h5VMGWUHeOPUrQI gaULtrQ+T1S1+Z8YNYtrlAPRzFoVOxxDOAV+V+MSYz7S18QtLtf+fixhlW9/zC1KpiZY24pW4wQc edvc+J4a7RW6latFAx3bH6SN6m4Ew93CtCc5lkraa5rEVoT0xAwopIYqsfZdb5u68pRS4JafCFPH fCBKiquNMn0UuOtzBQ/HRTUmLpCQRKGgqkvdCk+PcGRjvoBC3QlaIjO5RP9724IJOIVO0EZYZTUD qox2WEQZ5YUlr3OzW7gOsYl8x3Twn0wrk6SXT4tyhFz+6FHiJtcNmvaisrZ3vj5LzT9Y7Faxq2I6 cSUhBP9MT3vrBzbZwnB6DHMLfkbUULnbQxhBSIdf88Dlww0LjgTmTb5ixp1zwYxz0TPSy8crIlRr PsrM4wHLTdeURqtbqP7f089J4MsWqNshM3wSZRTKEUKq7A610cQpCro9RfLkRzlva9My3W1F/Pmt 8qkmZ6btA794SZa0ujNPdT+dp8jWhwO9x/NKg6saE2QL27egv25ZBLUN3wbZn24I5aq/b+oxAuDn GdKBWTStUyURq3PS/BZRnU//cpCuMLvBKirmcqoMibUKNQ6bhoQNig6jBebT06uySInBk3gf0wT4 XuCJSmDHKWh/X4meOx888UTR/2phr8JBgEX07Va3iz9T4rcmgV/3rTi+EuAbi7Y+79Uxd+gmh6Gp x/VbLrlX7udLgz11nK0CkImRrETzDXkKAKAJdJfRZzSYQcBBRMZV4pcxR+4kybXH7/3GGeUwF/bh aqv0lllAz5uoiRuP24b69myREOC8xAbNXGgLx8C7KRUP052PGS8Zpop3HufibvSyWhVibflPchEs QYWhR+awFZh12Kize5mtXJVrY9rkoyvmYVxXFVLoKuZB6r1t3la2F3Zf//GR04JEVA9yc8m2Z8K3 r7tuLODePH7ixnjt2+sF43/IXYQexjkGpB81+1AKApaop3aMCG9wzkqlIKsSAXgs/8suiLmsKJ7L mBu8r3kj3xbLpsXjzWHBZfljd7Y7UVymsHyW9m5x+ruzhsr6nDViy+WHAhWjel4wbkMDZ2RI6mJD P5514hlK/0aOTiy/2HlFpxSNg28si7p0OLf/lRxx3vgX7IaZXMPfSeOHxnrpG5RgrUW2dNAMubRH gIpbYmiH0XU9XkZazGeeuhlGE83v9RKDsKby0969ANzzy6fCTbkzZjcgwZpGsxHnfzdpOdriQz0V yL3lulmW3ys60FoOpfmczKV0cSPF4WUv0pJchdK+JTkiMhlRMxbWurjp7EQ+HIrKlYLeof2Y4FuE 2t+qeB0iBvHGHi1pN5W6fhNtggqeWXv/3Lek/pj4TqQuan9VlxGr2U5DviNZEOJUsQko+OfMyggT AmkuM0XH6cr3PlmOSxV8fZ5We40h5OO/IkrvaH8Av1KKWQ1q7Pkyn1miDiDEt/drP5NZvBZPYqGZ HZykc8SGGwAitWZwYIxVGO8rQ4TGOqS4Q3zY5HzupMpG3r196mvj8tfYNy1Qy0ujCiAK1DO2Club JdVOUyvjcQx/dVDc6iTsd8ljcRY3NJjYBza32CU+7OSDw+CSaIe80/pOcf4BsmAGLnpIyhdaeXKY VzwfRJger9ZuYUqPaEnc/HXf8DRB/wAe8qKnTZtROokdh/sR8r5GTIOP/u6dyp4WfWcSBP8kVJEr 3LcEybx8vXiaFXmRI6ULf2DWN+b/OAPD2FihDnOWtb/dzzLkY6TMTpFHRJQGLIRUfh6u6QUm+Ff+ VLCybovDW5GL+0RvZVuyVMSdUVvyjqZZUAoXhb1LjhgNjnQ6YmrhogDOOrlXLUkVQr6dtDeJKLEN K+RQ+DTVWD7a8QazXJK9EFIyybHyUyjDypfSECs81ymcx46/rIgPpt1tmRogkF5yiEjATfJA0ac6 Yj9gVSWy6wOdsNHU/BaLXHtmOKBLmjjVJP9KxLKmQ9hJ2DKpZereR/iY8XgxHMzCvtewscKaMslj XB0YDssYek31ouuDeImx4pZzgmS/1jh06hHCY5M8w6m0X8anrahyMKsK7w3a9fUPhL3yDwVVDKQy 7NdAbPCnF5V2wh6XkMjyqcOUHvVEkU8Y3dodbaaQBNkCQEK0cu+4SfbdCUqu0b1MfFWVXTGtaCWK NEiHHk/vHP5lj0aoBW+JIVN/8YrDC1BJmCQ88MIWgw0OfZTUYmVWUl0uN0/5AP63E40tFHzqpffA sUulMWu9hW0kmcVTYytthnqFrkxsdiwXG3P7DJL2fkrG0dEOi1NZlDDy6Z64xEHxsvfZ+3PhGijf /XpW0WUZVtM0yoA70q6GhToi2CMMorKyBYJ2S1zkovcjnVJlPjBILYoBwhreyQO0Qq8NIs9Auihm 6b++U+NXlE3msAODb+ZXji2zVtzjUNrHRCX5V4LBbNyBYSE3xmqGndxdvFaFHcVYTw/NskbtvwJN 44Q0ZKr4JINXCaQsmezuCQ6kPjmyCul7YlMoTx4z6Mb21hpYIdd7oO8KrKR7pFk/6ZG2ZU6x38eD dj2rTct7qoFXxafd4v6T8gFTDe5jbK0+sjZcy+YAXiyqBjKkTe/31WVTV6w0hejiZTNjlDDZFYQc kNG3On9FfoSlajK3NvzuFEeQBwDRP3u+FkHiyWtEAczAA0UbVpyJ6wEIAh4uou/DqlM6a9HNXW6R FG+WW1BdcZkMQVzCkzoWmnDySu3EKLcqjlgfaGoOKcRgD8jNonNdXRXarbA9g8kNXU7mMPcBFRCe ywiC/5MfWvmvh87mR/E+JRkuhhs9wz+LX7OimqwoJlCVBj/2qcO1ltbAqEjGmiJbZ9/gAe/zDzuN ZgezhnloB1yMxU5jN2by3i+vZPWkCtUP3nVaTb3KVHi1hq3H1Q1aW26DbAuEcqYLL8wK9dBoOUvG B77o1K+UoD1yhv4BaSslikmIlwLIjdPr1hwIqNG0DGKgr+tOLoXpnmtCnEZaWOC3/N+BvzYXvDkH c6vZqoLvxoFxlNbEDFqW+cWmRNR5+WXBP/HCY3ML3ZyBcs3jJuE0db6PZGC6S/zSCF48qWMsHeks nDUSQW96xCNeAyMYlK6ypuDMUg6uYodho4Gssk82SBG9/hp/M2QP6QRPp/w8tMdBaZ6eh7kjCXKs IT9oHO/WFmKMPz4Agq8ezWaByCB41r4yuoPAUWTrDDJhsjKNm4wkad4+eI8fLHnA9kS5ZsVbYzlN AVaEBwwW0v+3pWFZ8FKednwtV0EL/55GGu6qTxv2/ajIjb07pQ0imBxlBLNTR2cz1ndrC6xFXL9W FU6CRmnIAKpY9r2izUPnGc54JC1iswkwcOe6l0hyS2+UDwFQzgrtnFZPC4qw3vyUndBD+lZNH08v 6p2ZBXfsaZ2zVkdVbOrsGd+YspmCNyPz2vdCsAUP49NDCuHb45GGBteJO7RBDelRo+9Afhl1yjDB U0W3z60SNBIALlQfO2cRXohbmGILRWeESVK0VIg3u++gJHrnCgdf51jzSbim0XXlVVAB4pg9G7Rk ljFhAy0aJ5j9fgtyIVQ0sElgXRjRNBvvh8QjM7rBkds+8UTKYbPj93huk/EVRs47rRyWEJmeGxux yk8LPYgu1pMLLsWO07fZwnHIXiL8QPnok1x0EC/e/NoLmiwsQ9WPU/Bp5Vd46dSDfRDYG0ocOKvg MH2BCDUSPQ40KP9ILRBEu4HCr1C6tFKsfNvjHaK7wLSZnB4Hy7GSPdixFqw9Ygxd0HtAA6EqVjJz c5HqHyCdHPZo9HRIJQRGVRr3Jopd2UlD1YmbOEzFweO9LnSKh5WWICRHaIPxM4dzjCLDy6V9CBKx CPRlWM3yudn+5T1uTIFSJs2ii52ukc850KUwo5R4Rds80Nmzzo9EMW6NUte9jrXo5QKyNtC3nAnA F0p0iEZqJ/kYpmo3bT/OXmdPoZF0D/iDafvPMXbZ0fJWgypIkWtfeE3rJsK9qbiMoHjb0/Z+AH5X WLqnQM/jT7ZHS7tputid6u5vxUtgbM26LqppwTN+wsbD1iJiPCX/cQAdmSJX3Wk7C80E3QOEq9/c n3/kph49gW/3TCllSVD2X2JdhOHfSqTWNwKDbsw5gayvgVeufAe5NvjC2+R3MxQrpnsDOtJosb8/ ZLRTLkwUaXLVp2vHiuhueRyhbdyYT7HdCzVsmE7OENy6XMxYfS20y67FBG6IzL0Z17AsMnuL6JQd o9yYMDmrBjOjrFgT4ylFqiWTXM8tcJ4elo2bnqFM2mMT5anSgekS8GA8+hIIEGzvVH86OkT0tYpi 0EdocOAjy4lns4dmWQIC096+svxhGoj5xyCCYZyhUYQJelVrlhmKNZKefnAqkwgS8/dqpYDbs2P6 Td+BFk18vzhqT2b5D5AmHbfiIV5P8JuYzJDIiWSMnXKNmmQh8ZxS0+7q/WLnMGBZU89fBAzKDMin SvUVYU133Le8/ALOFqhDqZuc63YXpQt11Um8txp6yl52ORZm1qzKiD703hDlSwqSqV/M546Wh6lE 2utTsg2UUbFI/N+fSn3w820SHHLojkvrnVDjviCcpfTjd/L2uIp63xQfdmQqeFjpAVAw85GSA7DP Tcu0nnNDV7pPefpB0mvZxmsi4qYcggj/3HQXGSTkA0H2bbBLG1U8m2jt7+ovcYbYiLpo1YQoOH9Y LHvQSdYMFPevklSsdGkAdEJarXuDvPd8Oc+cf9ch255D8THpaCZAF7jwU7PF4XK9c+TECcf4n29B yo++3WOJ6cLeYZJeXHcXf9wF6LEGSvU4wqK+9SWnzPnjCPk2U2laxMK5c8MlRC2uDmBhTzZt4sPd CzQA1FaRJJle9zurDZbuvxMCLqdV1IYpHMkLqd2Hed9kD7cZodeNrd2BMmcfKsBFZ7W/rY+/mNkX Xw6GSZyTp72n9JolGyeCIbsvQjaor56w1t9v7QV/LbubCkOdWTgqbiIY4TREDLU/HEcHmGbHfMmG f+2fpdi82ULwsc1hxnuzqfPZXswQrP/3q3ijjxja//ZKtH/nG4cKOD4ZN63fuI50Iqp2u7lPgqQB A5FIg5QiV2ofJOBoQhsCE4iEdiKCcSsH7by0sGXOh8thneIZ4hVGKuoaRzCoo4zz3Y3/Y+Sr9mKt /0XOW8yjVgQkZzMDMe93saWiRUqBtcelO4H7bN7xZdimhXVy0lyzSr6nQlsMRAM2eYWmatwny1yQ 2JtmdzsTDW+7tLM3Tv9Jg0V+jRwiBQwEwdNyNZDN1pkFFeui5GPgJLl1GjbfGByketNLlZvdVltj xBGeSdHvnUDaLUwUt2VFLPufAMiWCF/HbreI8Ir4eZUqW4elXiVPycf0XZfp8AXTRnklsbmV3RzX j+HrajH4Ngxe8jTGeEO6A8UsJfkJmTvv4aUwy7QKHUN22VwSndJlZPL0Q0mzkQi3i8ZUmhpq3ETc zQpsX3OfbZLkIFkGOCbrj5NbbM+so9oVdN1EZ+stcjAKTB6RyCRQeTkBA1VeehVCw82Vd4SEPjhe 0WUmna6qZuo4WM40GX/KfFd1h0bjHEmmkviv79RJxOSrCs/qqsyet8B9MhXErWWa1EvAl8EEhx9U diufL1LxDI648PlCMyVmqfO7FNoEnlwx/vpYiRcqIMJBtD/LTmXys693aD72WBNoWAQC4aPOgctS 6rOGh8CdKIKD6M919kd36oiQNG1ArtI/cWqYnF8WLFM+Ich+CT6BJy0gYY93zAwut2dU6CsRX6hn sCLCoKz4H/UpbDD86uEf2QqJTAb3yXHKyj0r6X46ZQLEfYQNTlZDc+eMwQATnvjvdpi/86dPE5/7 ymMxitQNmVfpOyjeXNcsdyYFtnlp1/UQs/B5qRQit4n9xRXMFKLrqmxahSp9xe1841OyDI+HPgp5 01GXdw+rORtyza2aLP0o1+cVF73S5pIidOLG9LfkorPe8XelRvmpTjDjzPvHCvQ9k3P1Boq4xxLo ZmVyjDci74aaPCK8FlnqQyTbZ0ooKKJEr2aqr9Ynl1uWAaOCMaHJzo+5EL9/8SN+babG1p7nIAMG cRB0cS1KZ0jCJZsMNNp+v90FGKwF+5VNUOsGUIH9AMVgU3lDxlIFXgWd6A3Pq0e8o+Uv6jU5Ct/0 yxu17+HRylHmQ+8bfmhr7MKe0EG+vRS1ymuLy0O8GD2nXStf08F+b/AmQp9hCm2nWrbruXBH0IDZ SCIVe/zY97nmdrOpfNjk6KsBvCjhwSM2viIz1Kr42/WJW++0onerQepQHADTnW4LHQYvafWCsmxv M1riXDe4Zm/jzaiMHFL3joAbWNiyvR0pzSO+zCSjrFZvC/VAatxOQBRmLI69j0r+DiDF0kqSwy+s y5UqzfnJp6/nnOVUb55/+dEempLN0iPNSGGZSYA5DYNfDEhgiZ+7hCjOq0j5g5LH7uHGDdl+W2Qx PktuvQpmPI0Vuf/B88Dqi4bQKTiJIf43MqfM7LN0xxaFlvdVVYULUurG5ASMaPvVBlY1sbnEnisN eVUTAgIf9p1XDJY3qBYSrRKFbI8ZJf9qL5aoWf06MaDxZ8sFtcSmWjDBjjBQFhTDFp+qvyZavFVs TEtePYlFdubkQ8gqRNZhFWNGFvaWGYNTJlpGmsSlYXo5N7hhmTBOtxTzFcEPMz1IFTOGPlwezIKu i5YjIiKfdYwd5F+bBhAnfwOhlQexqv6Z6Ly+W4d6n7sELQ49zXAewT+1oOImyevGA0FN22GVQzI0 12taQxcP+0I4UgpQUzZF5u1Wk4tlv7r6xVFdSZUz4C9wQ6v/np+XcSPrK1ArxQxo15lEyS1be/uz j1jOqCt88mIIHiMbn9RXVGSJOjiLHMWP4s2MFebCcvcy6arBCi4FDfBPOJfHQVE1CNGNeIyDsT2x RY1I94/elbiX0bFWtntW9Zj4YPH/JU4NwG72uLDz1TJOEjb9mf9E5VTzzAdnbKehcKJRC6/y8eC6 yeP7VphM7TqERjJE6OcwLKarprQvNOuksnezR/IjkLXOQr7Vyj0vJ1wpjgSxkn/uDiyXBWB6ePus xg53LJoXUsW+B3Pg9uhO6xZyfJPyDKyHOhvxFMJYMoWZNRYU48XYGInjxXmFiCEH90/2gabIYbuO S9cRBIMB34M8pmVfdXHmKc1jPQ91skQ9Y7XJfR3rS2UYODFdYwCcbH6CsVY105Nfqa1JXoqFSlBk 7loFZ3Zf4SKqInQfBuJcmL3iU23po+qz2pjvo594lwP/jLS3TyFOmg+dJT0KwLB8uvV456mgqi54 GrW73GsIRorxGVSlvdlpvMuTYGIYW1R2FOHH+ggn7pA4jxLwcqW3dKyp+HnkOt5e3khjA+hkGjsw fd2HnUOXYP5HHOua08AoHbholKbO90o5o1jUxcyI65YBRJ4OKI+n5VqCSIfg+v+ar/zmfN5kZjWj l15j1rXXin4nmAyYq1pjlnhgRVazdWpESrutowSM0Cu1pgfZbmeOSX9Wgdg+wKMs0mvht8OIFSrr pOIvAc/uwCpKx9F4CBel8isrPdgzUt7clxcil21dZN8BQ9RckPVYzihjcp53KTedCvfJg670oSYc Ox8IWY8AvpNciyUvKa/eBrIAi7ibsh+Zi83oxmBbGf7MI0X5wCgxHAaf/oi/eSAW/OxBI/b8t6+6 CZGPPd3Nq7dqNEbhHw7jE1d4MM6smEBH88ihH3BFKjstHHMqedbsu41amycc6kV/Su0ny7WpuQ6T ZwXpoz6UXIooHtY2PpVdQLWG0ON5bijGZ/TsRd9kpcewae0cygVQYPjjgiTw5oCLK3R2xgbKP7KA MoSCvsxWuz1HGziQ0BYG6qhF6IGyUyLYqLyVOkjux2c5qjFpoRKOrXbDq8dacZIXuHNL5ETQ8XbL 0sBDH+Be1e8p8qRcE758uH467erVn6j5JVU7xh62kOEKD01/FTmh0CFZaTg5Sq7s1PmYkjOQLkLC 4lir0dgzwug8jFW3JQQwXL5XDcejvegSYRD2G1mBrMVFtILd1Kl3Ko2rK7BY00dwa5ZeOoVKTM03 OHVJs8eYnG1RpTgdpnKtvzeI8mrD8u+1O50rUI/S3s4CaEN3LUZgFO5E5bBH8tXZHGB1HhaEV5Xe Xm/pPAgixU8NVFta+OBRG7rEqFRpdv3rcWX7ohzP2atoB3TOBPqb4HKrDaMFyUKFeivLEXjVtuAA UU5lBeXrbyp3+2KLiMs9i3Pb0mDgmhUDUKsyXMJbaEnaiMYkt6ZWZDde176czSy/Getz/sy1Hrrj nNucCj5NHpeVk3wmvLjkWXVQ6AR78URkXVn6bV2GC/kDGRAHj6ScrKdr9YPp7wb73WDIYKTfuZ91 vtn/p4TDypWmxP+zYqJB/ErGdjhOfzhrJEB5xbuCRVGaBIuznuI7b7XT2WiyNJHt2scoO1hmgpKB k7XuU7eWVglbycMqTe1+QYMnOZ8s9bdhdbj7CIx0wBQ0jV6HaI/JeNZ/cCBHIZSmSN3Xu2mv0HQr GEK9AGfW1CRs+ETArBAtqCAb0wo0ae+hdtJThJ5LS0DwbJPIdnv/ofjwKNSWwI5s8OSYcrBTX6zh RjixFJND4oLF+HTlxzpdDZHguMBaY8oCi6QkvRO16tTODRIA8slas1efq5PaPQ74fYntjQztA2G4 fKz49JVU49cEktDTPBkoPQRpnKuCDPrPZax5fqCJosJtr7TlDGPIrLORpVwswOZUypbxd9PzfatI Zk991k9hrUlUh67ibb5wlLLx/Bz3QgS98CUOvwYHADeyMWj9vPFyk+M3DXq3FFhHHA8B3TZPItT4 VDf1anxKcmnJp3xVEqdYlASo2bWUIL8rlzbf9Z87hoHPzzYFlbeVw5E5uI21P9dWNHN0Wj1bNK8C tLEHw3wmMi8P+75QvEXPVz0sIjqG26O1B21qW14BCxbZebrfjedyoowV/TNGtRMJCrtzob32Y/UB WTktegqc1cJWijtQU4miV2XKlch9DBjcpBmVYAVS9RmA5dz1HGYE3qj8PN7zyc90YUp/oTFGzMAz 8NJT8oOBBk4BwbdnD29sa1EYqPbxkOUpuuKHNlGiqAl/a9TDLafjmiRH9OpzTcYULcBBHZXFCLlY UFaHcNXsZ9nlzspjfBtWykukKqIlZ56xf0OVgYCcK/wHugWshQA7ByoTC3+JODSExUScENg5e7Qz f5U6hLWF2tqJGtZTHbV+rbYjpdzOriVFyCuhTvNOIth+OmOuVAVYMtibfPABorhtrDYBdr5aeDQO LaDd6Kz9bmUPuZWgPmodCGU7/KJZKwmu0rb9bDLzbkUuNXJwcw6iHUfQV5vtomiUAvoinRHX1OEw F82P3y+jQs189jwXuR2ERVRdxtJCzpj1ISj1yd1BK5KeoLpgjF+2WkSYmOtJS8jcgQnUF53RXz1S AqVVwkeHM8b3gkOEoHBOwQkEJ8rxwseHFp5eBAw3ddvJgZ0UcFFMNrl67BFON/3ooxGd3KSO7gsY d5KWCBhH/nJflEkjDNi3VDK7ix1Xwdpy6Kpqdebiq9XturTko4e867p6JsqI/UGXlzbggTp5kgM6 Wk5ANnWe+l4KLiJj9BCq/lEcoTDvRtQGJQv4520O1A+m6je1ahRhJS9PoJYmzCODuvyX8Y0b/O/q 9f46v+oaGvSOEkhf8yEWvKjqz+A0MXiQoKMQijfd2fUhNYK3leNHjktsNG6qjXP3/LBDHJCab+HU Qdfex/eisK8big+XGyOC/f8ODQ0pIlOflAN7g6fCTCKymMMMcjiTNHgKZ6YK1B5gSNKKZNPkVF3S adGmNhCcypTtv2BbyjfxlIGutJQGnE5mxjkC621D0NWytOybdyshMazpDN+A1KHyz4mhgU08D9AF urMkXY3iq0vSJyEUqyAIfou5QrAXzps5PaVEooIhIAFmeMHpep2t7ceJFnLDJzybelakUPejeNI7 UkYcWNNBjL5ls1jmgrG+rgfSJpzkEsa20jugpFyrcG/en6owwO9lVOpwpIuBcGyXAiV9DQFRXVG0 HkLu9bNRC4cqj7mfDdRSotYL+wyCXP9RsTR1vdtxuHmyt2XZ9nJueEkdW3ifk/ZGh4y7DnJjb+bQ Kmz9XZszTAEjgckCjocjKY6zrrVt9Pyqe35o4FMASRZ33aUxwRJrp90uwc2S4IQUXHpK+Ks/FANU lUlLsv1xI+KdoK15sZO5nz10dEwSpyI1u60Xze/uK+BeXUsa3lsX+BGijNqe83vBQioxC++DJQof Zbx6zup70zps8BDR44rYCKJ/c0bztCnLsMS9xd9L57gVN4x3Ur1whpaKUNXqf1uUM+nSvjZelMQL fsj0ChIH/JSHYCHy4eDZcAGNtm53IlvBxt2mXum/d20Q6uDG/5e3ywQKcYKXrpo3A3iZQklwjX22 IUHM9GldK2ZrZ4/voBUsN8eDMQ5TIeKai9Rje+VJOVsWR9Yqyr717TVrLDFcKNOwgEydbFXKMWKk KuvoJmSQBYFIY4rh4ViW0JHvjzULWRjxXFN+TmdIA0d14UgS/MqqK36SQqt67gJRLkE46J8et/IT fPAbAr0eLuuDOOKSy6oT9bQ4T/BPR9du2+s8NIFZ6w8k40cNSzVIIuXBVsq33C2REGyxuVaixHNS znNSCEDzbbFvHlgpDTVWiZsAB9W1v9nleDNxkRMZHJJ4vnTbgIsUZgZFaow4c4cg6/6Lx9TcWQSV ghELLAL0GCHqkTRIUZIV31yICFredD7Jq/6HHZQtaF7cboGRNCdSpVleY/j/hjKLUpbt0Gxk/1Aw yF1OGHRey0MFBFEJYdDN0/7k47uoFuv6Z7pWBNAt+2wuk3X7XDSzR/JEQWdU49301wLx9k947NKv fbLlGeADuK93JXgYIr+QiqnyU+ZBvbtkrXTMhqP9pxZBpzCwZhz7U5xxJFBCinfAE6S6I1a/5i3Q LqLv5j+5PCKyayyWH2/y8ykGBhqJDauKfOHAE6/OWN9GbydY1V9EVx5jsK53kdDB4oSu4n1Fpkev zAZIg/TGZ//BFUwhgvqzI42s8X9bBW+xfh6Ri1eDc2yMpeAxuecxm2QiFqQY4DU31Vhm1Xw+ps7B +hKykP3zjPZkE3OeduOPJC+lfMHzf8w+cDRFTia1djiTLZ6LaBlrm+SGIyyeCAgk+B/u+3AHqenO 6nRsZvL/WB2PA4tQBjCILOG3sj2dvDkLnubHJY9CCrCG0l78HDh64+sG3jVdBTQBbwPJSCjXBhnq lg+DLt8ZsKhBLzbitHoi4XpFIsHHJID6MRdjMNAeo5E1V6GvAD+7gcrRIMX3lmkiKRHyvFb1IpvI I4Rvuz4jsErpT05zWVqoh9q1OQQIqQJnrQAPHOIoMTILTZ0ocXZ7MIPmjrxU7tz8IblQ2tM/V9vv AspCPPWzk3saulnYwFiWidmq2ii+ilfumrX3Pp6mw5fVh3qvrqgQeq0mo3f9Ro/ArjHJ/36aQydI Q8GyM/1BlLlFc9TY7RpX8M7aqb0tJ7UWLqK/vlNVGRr4pjx2v1HG4LFHG6eoXXt//RnI0xwcB+eN w4p2kUMUtL/tmKec0twM9U0R8tlodW2CDy4tV2ZzLCy7s/GiroTbAKXxsdIgD0MUG64m1prmDOmH DMsl71EZIsLKA4v9Be4hId4Xz+4WRhOOKlRjCTyiTEXnHWiAjR2rtDVpe6ikv34XqvZzUsF7RZiB Ph+SZJCcq7+/nTT3sVt1YwtxDT15ucTeQqCxeu/FqHNx7cZKB2jFViN86+pHucj/xcozWcE2iClQ YXijUhE9EVkAJTv1KE3knAh+Y1hu8Y3jmlreBnsPLGCVa+7oPTG/O/mKbQQxLH+Yl0Gcvg0z6ik7 ynSUgFtosDBXb3yyAKXyfue7XhLCNVLhY8MsQlxM2Rarjivouar8Rx9ACUPLIwxAnZUn94c4liLC QZ53cGncOT5Gc8cmUKmm6PDREG/b2pPCRvrTovmUNoDrK+kBujs7LRL4b/51YfakFmlv+mqm7ggz zRJ6aAYkoBEVdupVUXgcbIKtYNk4MVyVFrWOphw5ekWyDCpNnEPeLFJiBzqzkYaJjw2pyvD8eNOD aiq5RuEUm5CHruNOcnV2vGgJm5exGpBAfWhF3BPvJtPd1ab8YXt7UpioF367ydrne/S09qVBOzzL BJf9rz/Q0aSc4GVVJcO9ailbJBltlzNT3a6QpF1mZvepzupflw3cyM3CiNzsNv8VI1RysUykEKRR ZMN7svnGeLXvVpAPCwjZszGWeTEK7UeCkTBl3Z5okRXzJFW3tUwRWwPO0DHrtI3KUc9UL/Hyffjg 045Wf9TX1zUsRBGmTE9xhgJ7nOnru1kr9QgMTabwfqumjWi1+nCYuF2U/TNRwdThUKNbJIeQGJ3E Y6dOy/HBCCyBCToqH4NjMJC7RM17e+zNMcuKAMCUs7ZiIdO3d5Asz5iJKX8Ov9VocB5VECZDtWsJ n+oGBOzK561EdPMlJ8vep56S677ZqiNAMz0NL1579Sbkt7uXuyTweNQRztQPI0CRGlxe+f6dTubR DSPkI1uSL5cG1gYv2qVzfJvyUnRme7yqzhzpWj/WBI5hzL9LiSZMtsfGrdSOe4jHIHAzGG6Yz30i JZi0Q/2wEBRMtchQ4yqOLQv5FpkLIJdfqYFbzNKU2MdDZy0OVTFltgzvwASBZ9ssBMIuRSnZmXoK 0KYv1fhsML/818yp8GY5oeKCqV3pW9QoCD/TM/JevLsV+8GeipWzh5TjKNDj5GoBFaf89nNz4rVC u1HmMeIIKdZvfkK4UcWyf2nFMyJUdDCtnlZ5ZD72lSYzqhqu/FsSCqOzRkTGZ4DKDJOftRK1F5oz D4umgxG9zShY2VyHzGrwp7Tu7fek1lI3XntjurayXiuM/h+muSlMLkS+4esMoqSXPYnXl5mEpDg2 ckegjmCRT6ERv4ygaeMRHOql4P1G4o4I5gRQQbUmgrDIq8MAJAdU2LOQoVrBjzL6acs7DEJjUP7o JGyprOsUYlNMH3c8QfXyPw0d9g/ytblxczgELfbVww1vTqWdL6Trgigda5kL9ggLymeMrM8KF2EQ qfDPS07MmiILZc8yP2whzjDw3PqpgLJMrvNjR/54J5MegY5QA4R0FBS6uygxS4JiegdA4xozzB6a IhmQNF/g+D8evS7YkucG5Avxx5ySTYdEGfG5eTCe6s5+WMK+RlLj0kujkIgt29UMu1ZBeObl3um2 4zbZCkNAy8//BR2bSr/+GPp/oDGs42tDmi+FWjQB7ErijBoF69JrQTkGe+lAILvOaRwRezHoapRJ m0T5kufSaL8aZ73vaHt4oBqw0VMyBtWyCPtvi2H2PQsw8t5AX7H4acOGDqg4igFtOORcWEQ3tRDZ 4zi/DMeLmmA90MCSBgI5KMGFqHF/vtjvKplowe/I3gOdDk3u6yNZmnlsHIX7SI5A0VIlkwTjgOtd 6fz6zgqXaTzg0BoCbiwVqY2KpIHl02ErM8snvFnGJCG7eJSY4ZhakYAetw== `protect end_protected
---------------------------------------------------------------------------------- -- Company: LARC - Escola Politecnica - University of Sao Paulo -- Engineer: Pedro Maat C. Massolino -- -- Create Date: 05/12/2012 -- Design Name: QDGoppa -- Module Name: RAM Generator Matrix -- Project Name: QDGoppa -- Target Devices: Any -- Tool versions: Xilinx ISE 13.3 WebPack -- -- Description: -- -- Circuit to simulate the behavior of a RAM Bank behavioral, where it can output -- number_of_memories at once, with different address for each memory. Only used for tests. -- -- The circuits parameters -- -- number_of_memories : -- -- Number of memories in the RAM Bank -- -- ram_address_size : -- Address size of the RAM bank used on the circuit. -- -- ram_word_size : -- The size of internal word on the RAM Bank. -- -- file_ram_word_size : -- The size of the word used in the file to be loaded on the RAM Bank.(ARCH: FILE_LOAD) -- -- load_file_name : -- The name of file to be loaded.(ARCH: FILE_LOAD) -- -- dump_file_name : -- The name of the file to be used to dump the memory. -- -- Dependencies: -- VHDL-93 -- IEEE.NUMERIC_STD.ALL; -- IEEE.STD_LOGIC_TEXTIO.ALL; -- STD.TEXTIO.ALL; -- -- Revision: -- Revision 1.0 -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; use IEEE.STD_LOGIC_TEXTIO.ALL; library STD; use STD.TEXTIO.ALL; entity ram_generator_matrix is Generic ( number_of_memories : integer; ram_address_size : integer; ram_word_size : integer; file_ram_word_size : integer; load_file_name : string := "ram.dat"; dump_file_name : string := "ram.dat" ); Port ( data_in : in STD_LOGIC_VECTOR (((ram_word_size)*(number_of_memories) - 1) downto 0); rw : in STD_LOGIC; clk : in STD_LOGIC; rst : in STD_LOGIC; dump : in STD_LOGIC; address : in STD_LOGIC_VECTOR (((ram_address_size)*(number_of_memories) - 1) downto 0); rst_value : in STD_LOGIC_VECTOR ((ram_word_size - 1) downto 0); data_out : out STD_LOGIC_VECTOR (((ram_word_size)*(number_of_memories) - 1) downto 0) ); end ram_generator_matrix; architecture simple of ram_generator_matrix is type ramtype is array(0 to (2**ram_address_size - 1)) of std_logic_vector((ram_word_size - 1) downto 0); procedure dump_ram (ram_file_name : in string; memory_ram : in ramtype) is FILE ram_file : text is out ram_file_name; variable line_n : line; begin for I in ramtype'range loop write (line_n, memory_ram(I)); writeline (ram_file, line_n); end loop; end procedure; signal memory_ram : ramtype; begin process (clk) begin if clk'event and clk = '1' then if rst = '1' then for I in ramtype'range loop memory_ram(I) <= rst_value; end loop; end if; if dump = '1' then dump_ram(dump_file_name, memory_ram); end if; if rw = '1' then for index in 0 to (number_of_memories - 1) loop memory_ram(to_integer(unsigned(address(((ram_address_size)*(index + 1) - 1) downto ((ram_address_size)*index))))) <= data_in(((ram_word_size)*(index + 1) - 1) downto ((ram_word_size)*index)); end loop; end if; for index in 0 to (number_of_memories - 1) loop data_out(((ram_word_size)*(index + 1) - 1) downto ((ram_word_size)*index)) <= memory_ram(to_integer(unsigned(address(((ram_address_size)*(index + 1) - 1) downto ((ram_address_size)*index))))); end loop; end if; end process; end simple;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block VskZH7d6F3y5J/N9Od/kbLdphMJ1zbPB0ABFxZIx+P5kL0bUrARHyggp/+jo4FcvwYaufj6G5Qdm MiKbBQ7jxg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block W5rgd9J37EikOHejxpGEUisYqf+syULTYjcp4cFu0fEt0uEsDp10uCp+aH0TkN4FAcgF+U/ZMFGZ UfTQ+XjgYdqApMwdEXKZpRhamKVpSouVaxvYnFJw3Zhekb+AvOJ9vPtkhP+1bdRXjSJW7cPPlnwi gDwI1qESc6Ls3tNaw24= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block A+ZLFdfzjd9LtSjLbraRLYNppPa5vXOeYqkoFy7jlj4Wf3uD1AxfV/JZLxFAdW/QtEHggB54NhSW r8qRMbeMc+PyFudFjnJ327zyFH5oDywrESW1kbYyglDXwI3Ckcs1OkEBW995TBsF1Tk+9LgfLUQo g8u0CeL8cXsCZlR5MC2vB2woAn5pcwTIM1VFUhboyzPWcYF9FxaB+2OZHGX69gLppcuQYBGqxTfP utPT7xxf1geM4OFh+//cpTV+tBP2t+/qT9zBEraWPcGOnscUj8L5oia3WPpRtqn1e/HM7ME5sMZp XnCpbfIyRsRNKCVI+KDH3PhJIrf3sWN57NosEw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block xCQlAHm8rbm/gUx6Es2hOxB4mk7ge89mOxtdddp5Y/0IeAja9psLDmQiQQ8cSG0uA+tK993kDfMC 6BJRRULNnWz3rthdCzskYd5Doc0wbIqdaveGGl09fZSJAbl840qYvZOYU457bLBklnSvGwk4WuGw xRK+fLsE2OpjjR4GXJ8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jjjnGDQSBkwFMnRnNE4jx+OsNnN2woBQ1sL38mLKvDlHRy1a0YhnIX+KAMsLlcZI0T7uA9dqmrEX PjfC0uzPjq4HA0PQXTNhQ8ubtF19EVm393X+TTlJlqEb03y7B7YQ7SWqxwZE8FCz+CgFKR7MGtYp sKoW2NEMnueXCIObDJxT/70oblQ+xleedkdIN4OO5TKqqVoTq4o2Lr/YarsOswTiUJYQFDEKUvXa y8PHVxTkRdNub8g7L8WVyjOgNiYb6i9LTOLJy0NfGDWDcRgVQj4IkPRiShZ0d5WU3ShPgJIIURrg 0hDXJknisXwQNcHpOerOgNdZHnwltU/BJRfkKQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 26048) `protect data_block rP5/WmwVleVrfSF2CwEQd5AHOswlD848K3G9NFXbUqOUEQAkYnH/5zLkkMBlXKLmElmGyadgvaiZ SNgAT+bCVN7EY2mZwX7/4c9/hVQaDs2U6JMc9kCP5nHi4Q8OoNt/inZiXbKh9WoKV6RF4Jn2SRP4 9y9oF0JndxgxHgwfuUQ+veB3idziwgL15nA9S6yy3nKG7/n9gCj/GuLWmBLDXjocsb2cFYAlOuf8 77DARIlF7/UBPonobvres4DV5Ak28cPgBE9I5l8MT6HaMwtCUkF8ScvkxUPRkxUc+YOKv3dYfVR/ 8SbrXYXJHMGUmPksrCbbySUuLeXAl6pzOrtPeSp3fTi/xi6LGyyn8Ss9t9+Tp8QnGs0RnIyVmw/R QNBRbGVJtt+qLRCbrU+Ny3tPPiJzhdazwdzjzE1b2T/W0IsIii9IzxKf/3oFRepLWucD9F/9oRW9 y+Pa/5ka/bD4tgaZ9Sw0EK43MoGD2AjMgJa2hPsyhYLJo579phlachZcZRjTjL9oImDaLmEFe8z2 AYNhAxcX/Bjk+reDmhY6AhEGKY9QiO+mRwKWa9CbkUnITcQ5ZgWE7X1f+SYBWbxBJLRnapbClM0N 7GTFFkBcz93kj9P5Hpcj0LLgrb4JAE1zcl2pwpcPcoRM+/jQK9GRH9uUhjQbADurDIDrEfFmfdLj AFDLBOi1jE/17QKJTfpb1Ei+gmqCWjxCRShDYrbzj1BzAiTaVLbodgmR5qO4QEZGfJb80Dii9U9A bnZ+ytqaauRO0ZAs5UcwB1RSD3YbbuZDdExWHDPxhV9k52IERMZcStk8MEVdE4EAhFJK7OCM4LTD zBGIKMZRz2lgMzRq0sGyK8OcPFzTp3tm2N8/BbgPwm0JPKXwZvX5Rjx1H/rg8uUtlQPFjrmK2e+K ISZcCXT3OucbStP/ugdYUD0NG6mPUWmYeIDj6JX4QvKLQQU7DXbp2I3FZSIEAkVqSD7izmpPmCLm 8p/3bih2HZxryb4RlYIPzcALx6LeJpF1zehtBD7XuPYWXy08ojzNr9hAkEG+QEAczNgESru1bUY+ fhcDnjfuGqyXUb8nAXpeOPOWt96AamesMNDMdFWpuiC1RHKTm956DjTRuaLyZjRuhyyuCSn6YpkY JzXIv+7d/r/FjzcJ+AYYzlVAr/juudYxmZlUV1HuDomhbOZ6z73E6Cl/cAJi60J6vjfFVddhg6GQ OiJSYItw9ErE0GeHYz6mqG+b2zhkX4p/r96qWJxM/6jIDtuTFq/NxnXwfjvxPpQxHJHbgUrGuptD 2wbUQX2vNfzBDY7rMfNlKfFpOZMIAgcX9oewtQ+76tUiCCv/UJvmfxcRQq2GqK2IcmRfuROFBOvW BeoCUCa4CrSFRxhUE/D1VWJuWnVTv8LYpGZeL+IF5NleSedyLII/ge7qfhYjcX84jkiLJTJ0IGSF Z3PWMetLanR1gad8ne7WhvSmvOunQBOYkGwrv93nih96LsNoL0GpiQdxfM8p4TtP7qOJXszOh69o bxgvl/ao3f7MQO08tAix9fz/RvKNwgXSoIzOltGJE9Zt9WOJ5sBmF5rN+Pvibum12WNyJ8svEFi8 rcBRgLJfpfPIG5+C7jyDKGTc2Gh8ghDVutlAGB9kQ0DSqXRlnYH2suEUI4ZlYZIIX6oqVcMiZWB+ ZOoCxGbV1VUuihImQB5zxePacGK6d97GhzXGQ3XH7Ge9tyVzvJ5uXwYIvVVF7ILPH3U8fnV16C/C l7BMtbxqW8+E1Q74idq3eukccN4ttrOVTlrw6u8VpsEBlA6n+ZhAz5snivDfbHw0Y08B1TS4brCm nbVnE964EGtorGX+o1fMwnqv9PecWEV1aLYrKCduVB/gJ+g5CghbKbeNvtVAI2TSqVC9TpNypqWW XbhwJIjuyHNCcjbJrP1q4OXdUnj6ZLqyu8E2/RC+w26iA7yDt2LwPKpVT+pyWQyn2U7A8k0NYmKE eoU6J4emTAWZtBuICKACQ9SD09zLNbKjZkwKk8SWn65kRa3Krin3FiSG8lioGlxEKPGLuDV/zncx 0BZizx9INq0rdRKk7MD/2mCEt+1l4IQ9ot1EL7VvxYYT9iwA46RqYPJuuwz6bv/d1VHo8e8KYvRf 65AcXPfjBu6GJZWhipZYtKkJBmaohXME20vNNvpW5jotiPzLms2vqOhKfzm6gBZlGvYCb6cBc3Qr j/1Yj+tzQhex9Fiwocremwl0MM8OpIK+8d2R++dcmJ1noSSKZmCyJ5EbuGOIbd9BP+Ymb7FKO7Jq g2PTwOvqtE76kKUaXcjByGKj3d6+LnTBhdo/syLYJGYzxWNF1TMYJ6vxp/aM8vSX2mJs58Cs7dv/ 75jO+Av5Ri+oSExbqEKeSWYYVE2rcugCv/Xy4pvgHUa65Eev0joRyIhYQxEdY1AJsdaNpGewN5aR eLHOJqfiaIjNmT1xN2W4+twIpMR8kWK4X3DfelWDn17V6eS5ew9VD4amFuNwCb/ipwVyyN1smmNu cLKflQTSw4ZaBtQ1G8l1yjdjMb0+oHjihbujSke19YJVYkkrDY31Kzl52nG73M3mvZYW2HSaDnHq OgCKBP7qx13ucbQo7xNLVHgAWbMHTVrdBwfu61YlxEDygGcNhiyZXKl37PV6qoJt6MU8AodS4a7W nNddc6egbMYdOJWIRgoh0m4gR0LkOoFFpQ4URTHh1461n/lggKsnh0EXOS1kmRscdFeryoGwOiVn IoXDSgFzAEvXw3y7p0jrvzsMmFq1Or+UDqsrY66hCQ7Q9TTtjVWsFX9G7Kfu+6bvnBGzKJECbUPF pS4dWxX5ZtOUTE6MaI1r6JKdaYNJDktKMOeYd+QJ7zH83G/XxG073mkfQ7zwiVAkTUhPBiAeiOOH /nfs1Ziw0BBzroa78alQqPV3E8UI0ZkmECH8Wo9REJ5CZQNa05hEjpOB/5m5y18az6V8v9o5UU8n u9r7Oef3Q/z8UuKflaJ66g1r8DXXjzKAunH2RsxqV+p81N0+I68KFjxfNiX0x3Mj7LyPf/bDOJb+ gcGDpBjB+yXNF1rCm1edL50mPCg1aIdARi7hszUDykuemJ86qUbe2c9EkA7iEbBOSsBhx+WuJyOJ zVIEtc1zc5n94YxvSCGCGaWKFuQ0YdFM5RBVNCHnpKpH0XqqqQc+BaW2PYjSiUoXGe/ubt90JXl/ lSBFi8YdtCUhiHNo0tuboX5cmRXHUe4EZWgM0jc+J9/9YkUJHH8ZM99u2ks8XVdwHYMx9+Dl266q WWDMYy3mSlI87VbzW4Sj0glWrSohpB8Vk8Pv/nGouwam6cFLH+YwF3umhh0wBxoLWiO4CCpHa4RR C8TyR1NIncX3WIeO/BNm5uJ2aMCdcsimHPVjmdPwohYgzzYlTo7DBqofftTeMdplyL0XEmqNJsBS iadmyY8blbdFozI3uI8eDWm17gP4k2B7bpRNUBGQN8jfenhAfETpO3+ywKzHEc2GMiNLrNE5J4Dd 8FNEQjd3xeO0PgFFhPmpkGqxa99HRRTTpQl781SD7kFHS0C5NOIPk/NSkjE4GAOmBKCfhmtdy+DL TJA8Zj2GwjCWV3b9UjSnq1qTS4l2Jz0DVJdxMEHxXWKzoPtpiE0dNf1+i3rTiDoefTCH6tdrKpCt PsKRq/obyyBs9DELl/An4KUpMY1vNEy6INkbpJav+2bFEgGLIL/5MCupnhVEKr5pHiIyjSTJCW5D jNPJyTtKSSTfnQn+iOo4GKcO/UlrksP+8a5WoTYmnMmlnfq1byJJZv64sYxDo2f9RoL/CecA0jj1 KBMFjz/kvPhAYw7LfrtBQsD/uohXoD1tn1PCP8tZ/KKXGg3V6RsmSK2uX8DC7Xd0kD4HgejdqAkK mEp9dECrsB8GjFgqOdflCtfkb2+ompKk3QgQWZKXaC717xDWHzN/hEM//xTH4pPMHj6TGXxYtfEB xFkL66NpVF2ebOyQ8DH1y5v3+XDLqLd16kg2xJbrt8z9pJsYJnQQVd09onjkRgQRiFMQvHhFLa6y Rsw7pFsToj91aHGCsjGBy0SsPiomB/R8PqvczZ+qDbOgJRde9auecuMmkuU2jgUvFtDAEuFImplY zH4ASKWn2ALSEnc099Jmg6t/eBPddfYZBOHMpkLjg8vrbtVZ02rADQO4qdxnfa/OklYWlJMO6CON BrktQ1xboH+hd1BSKFjp7ghN+hr5ueZHmCDM4zXvaAn0ysZ/1VOSfLOEUlUBeg4OEb/YNHFH14xn 0S+4VqZuRB7OOGn9wSRn4sESwRez7ar30mcNQ4rVMFPpabc+WlqdgR/+K53s6MjfvUGvFFylC9of xyc6AxpSLsL1kjmlUL0ttkOaQ8TxmUqKKlMuIl9yPGQnzeuXddEDJydIKj1c79Hr2qoGcsVCv2eE R9CQSe0RnUTpi20e5HHo1zrYQ+0iJU2ObiCSSdqYi3F8TQjZqIoC+ZnJZGhJAilDNo3QLoAjy8xe LvobjmLUMXVXQB9j7PsuUu6CJckb48+Q6wmLpamMQQI6HYBANvNWl2HiM6p0hAL7QVr0X6R7s3O3 IpRL2fOMpshMU6fcx42kzVAeblGDKKLJp64+QXYDiBTi/EeO+w78uUs67XH4I8sX2yT/p/V8Plbz OEMg32OUE/yt//y1YsLYhDAP7iWyaCNP5+bSqBzBnq8TUh5Q0Md60c/Ex1LLsKBYzNdDi7l0RqVx +YakfZzBpmwmkLm5chy74Cne5Tf6t2X3HA3o+c3rZ3vx+0ssLErG3QaylM7b2NGQChCkdCdxhfW/ R3R2YkM0DC6o7CWaUY1UColKtLxx497Yu3mL78taiag5KYyc1mDcDw0rtOv+xP2EkFxmSJzuJMf/ MKgKZmxfbWZVods4tAHxwbusVrf1SErSrNeOBqCrtcNJdhdgIbAfMic7EfiyQp1hUZuQ6C1pFW71 uTFHKEPJ4Xlk3XQzuQXB4mSwdBW7EaLJhtpWMC8mjDQOnY66hT8tsDYrObwXaZaSvBsd457X6oU6 8kjz1kRK5DAlKkofaj0XAijViosz0wihgmn5Kw86tCoZCNydXtc+TgN2kTKVNUtyWzEBTcXmU+6m IIwv/puJtlOX6JT+T2rBd9CUmd9KDtl477bj8PjQ60eOrE3QaH9h6QqOBWBps/XkePD6L01Q5L4C VX0XwFW3LBdlvcDG8tX5sN/pIBuqHfDphC0BjSDlCYG5qvu/G6tcqK5IxtKnyPdyagYrCmV6CpeH sv5cHa9xN7D08vZcVMpnRO4czPej47n17w/Jule9ayXRzAK6sdpoc+8XZ6iM4xjdi3tVuQEhajml I9nU28t5J4AC2XAiL9qqO19UisC34ceiABSI4ob8rK0FduDkcjyYQTw31kK+ZfSbozWznuNagt7i UIjCQgZD9pDifBDYzeAnafbv6o2GPy9cLI2M2z2ixGcBqVTJKuDRycLs7t4v+4voo3Z61Tno2Y0c UZZImT52N18+9FTzaKqsOSSy/UNeJ7c8FyeAiNSrFrZIVUFQ7WZ3aSqrq4SKDP5l2ZVw5Kn8fRcU H9yzYqjM8umWoLzv3ua0vFNwsSPvmzmZB9oU+cFP+NgjP6L35zeI61Dfv1D23UX1T7xVt/7rmI7k 5XRJtKfWK9ml5WAEtnu+COmm+ERHJUWliyBAW3yhGANndzBo5Zd1mrPMAdI8uOl23X1BfeV8RRer /kf8GS2jmuPXn2hndsjwycsns50rG0QBBVMSe5O4m3NUQAXJKofVym55HIqVit+rM/RUPPYfMk58 gTgTXN9QaYSCxhvLjQBlthutX7iaXj7keb54Dg41V7Ousf9F8RorMXhkGKomdl/NwUER57oGXK1U v2/o6QAhjU6M6D6pd9iyWEPD3WLlumL7T1bjOp/qPAI5c8dAhgMLG27KFNc6DJ85rqNh1ef5v+vc hbgemTr5NwpOWBPnAkO3N7e7NQGRrzTXO3WBVrwSgIXIhx3W7cCeUYK9yOJVsjohFVmf/Dn0Gt2+ 8U0oWk0lFHNn8AaIUB5vFwDqp92wMZ9VDc/TOkYNPeNbyRC7YbQiVizHxHks4sLBGqTI++0jWMWM MSHa9zC/MBMog0FwvpzuV0F49x18UVFDdLqIEUR5dYRQdEtL6dRCFUI8PRXojCPMbJ0djzUji+Cu l8ycJ/hIphAa77OE1I/OFcbzXxbdDXtUTD8BhaWQ6egNEYrS6pyplcxTroLTVTKa4iaDJcx3zpm1 xRt6MwF+gcGUMUuEWhdYH1wBNNyJcP4RYEqB5zTbRXdoaTmQSO4yhkl6deiyCbhbTb+H8fgLAZe8 hm6xKY6ubTBD+Xsg/GnZyizfArcXcSgaj04l0iY1Q7IQod7WtE7wfG8h1bbxF3usx9qHmQd+x8Dh nXiEjZjoYPLSQJJ+FSEJTCK6CRXiIZaPZA17VgDybia8SCm5PXJUjDOV9le0GsDRe6X+pyFnXNwi CT2qClGA1rM48KaL1fruK/6VMcFjF6R+dA5sCnISZEgf0odRo6ww2b4aCHVCoXfSA+DSfxIirlQy 3N3Ylxx4GzxZ/OgcHH6YupbfDaFOEiQHtOPBb9Ok6qmUC+J8Rgtwqry0XewHhIHgKJmib9W4b7Um v2YHyTyq0+5b5q+qgzXeUQ5juo2hMJUMh0MwT2Y3Ulf5VeWhyN9rEnTwJrAId5+Wapvfx5O/suTj upMdHolTWtvhgM2UPN8aE9DSJHk8I7fyBJ6PtISNN8UHy9so5B9iGwSQlDQf1GccXe15P+ZT2rvs C4NH3hQsGMmGV2QqRMd9pooTaubKG72pmpYcqQSrXPiMYBz8vcKd9gFYjxTbMebytSk7kHEjoiqX 6sr84LeNxz4YdgljYemGxOoyXFH+cmm4uofpnDHQWj/uB6woHJdyuRo8OaPole51Y7UqI8r7YxOb FWtTBHeTMqmeN9+R/+CqcP9eHCdsp5UE/5J48SiOIvfo1cP66BQ1gmEEa145NNKAuFsgEzMn6Xkt hgKNvlVnC3JQxJIqbCnRpzXT1AeszHfF/MfxfxjMxzu49snSQSB7OdtqBhB9QKZ/zeiwlkddbBq1 /AFeH36d1/1I+2S8iriYKBTr/6xfl9BMErkBaWGUCbv5GZg6F2BXE+SyW/uyzBnbKaGDrp2uVUK6 UZWjz5Ek7ViTgKzIf/eoYJwZZG70JaacZ3Zq9jAiHZr/7Pq9lMB6ek/bWTL4QOXIGSrWCHFja+/1 Wuv+i02OopdlZS1hi5wh+mNcPEm/eE/mM4OY4kAESS+hAlM10YaMweagMwdVVeqDG9/JJCRcArbA Vw9D0/4XERz5pQlt8jnj0mq9qLnlI7quLbVm373aXSi9GvWO13NtlLH71B0Gt/u6jhgyPzADTGn2 zQ6r+eQlyCJkCqqi934X+5bcZeO4Igu8dwbsJNm5s7bB7jPJfwQRU7bHsxGt/HjXxC0s64Mi2o5l 5vo4XmFkVnJwJCTXXuoX6Oc2nzB6Rmj12GoZ6K9T124io52gSSJaITmBexP66lbOkAdW4FSXWR0f XI9MtV0dAeORTccSWOnz+ZIUmQlfDi2DUjyL6ISCA3TN4a0drfan6Hg4Bq7Hu3F/VZzwN1Rtqvj9 pr/+/hY/d9rnF0pFKrmO567UnwjYfG6lYCYF/AdaH+OZpTQkaWUhARKSr9m0AGP6Afkyr3f9zlFn QXmDHah6NNHOEsURPTyV8P7+DKtXN3i/fhLzCRIvMYYaBjH6fwNoyWkUCltE43Sr07tCVB5BsgUg XxKw639YSWQtyJZ6r9rppE4GHLC6L/qWUpz3gUswYzRv+03+ee4LLqhDU34+POs7a+U6hXbkpqc4 vj1ol07T0E5bZE0wVcsmc3vze0pYxZJbk0nB/GU1D75sJLqmXco28Pr9cjnlpTm0w9bBPnO6EJms QF3Tdu49N+UOIPknS+Zf7NcoFIWnWFOnBxZHNvBoiEsEvLimEdgWHHszLFikCXrJkF6Q5E3s5CPU Pt66ZNz1sNEbd1+5hUUS9Ve9KzeGzdDwvSd7ftoVpceJ6BoAVkTEfYR5bFbZq6j86bL/lOXTfK1W kZFcRifSnRBb99JVYiNF62vNDTpbQTPyuyItNvTyq9BWwt5YfwrPF/ssBjJ/iV9x0H0t6VJEc0wC 0PEJ1Wipzp8MQswBJ+v2sROvB/KVEX07UnBm1JBL7e0bidxvqkfPvteBsEWSpI3dh9xAnnL9VNje MVq3zymILDwWkv8p+KhgnAcnGXteLe4lkbjvetw6hIMWQi+SfC6FfqasNg9h2aRDA1BwypuAtfpY WM81EKjJDB8JCzR6JQ18DkxbXsJDNIEcL8cu+BRVZmNV121iyD7YnUlE5e/NQKf/gkqc4BDXNo0H 1Xx/pC93g28qSDAR2f6cGBGLa1KI2yYF45WBClOch26brM0nOrBUgR7fpsYVN0Z83u0k5JWVfvPj cPbSTdwRn+Xr9gilo1lC6GR30aFtorsaGIwVXYDRXa5Yzjm7cQ8wFVQ9ZmzyMzrYbZVa4aij/r0+ eQ2BI+KoV6IUVarj82jTKrmp/J0Gw88R/djVkn4ClehKDbZs38wnz+ObewujeFIXo49SnUugfUIy up47kG5o0qT7vgM/YnHkIyOscho2zdxvxzzuaIG+tV2YYrwnB3/Q2UmAbM3YKsBHRqw9z6SvCj6Z QiVo6nL+hH46wpCqf376l/+lcGVVsefKQwqF+ZI/B9fjUC9H+WRvABV9/FbjnOwG3OKX1kPawU7g IypyrMajkUliMkVzVkBw64S1Nj0AmEvcfpEcbV9aZILhgDYGaJz+17F8zOnieXilC+6CdbjieBKp /70EP5lQDsK1B5qC9Bdq+7ZYFMowNphmVxHSvHNfQP77pQzhVgFuNWRDgFjlgcCOSfWn2+7WC6hv STJL/BP5JdX5ZLV4lsmIGl8wxuuKL6u797z/AWt6LBBN1RtNUFvllLt0VC5uiNsLdU2gbQrt6Hv4 6bz+sgFwex5Ljm5gTS9a7BTkccrJau1mc72RQNojMT59HAn4zLA9IJnITPw23VJmhYXE8EhCmYOZ SekZ/V/ISFrG3DvSDXXp/1wxQldvYYFLdBGHZ71PNbsrOkfmwwpBeufhU70r0rAey74rQWIUSY// dWIx9qhsUgJd9CPmcmck4HMadUYWq/StdrF+zeOWNT4fo8piFgPznwgS50a44+opEkgeZb8HgyxN sZqv4pJ4sT9g0CBQe/AAwMNgdNig5Xx2LZT61vXAXm+psvzk3LHBZEdm6ebZZyBg9AEggqTKXuI9 1wpjmMygbe7n+5na5HGyX0scwG2EukVryCnjtJLWI5xjx2TLQfGJjUsoHe7tioPhSw6ZRBBYHfWT munLywje31CBPbSEbhaoIyQQcckBuIwA3MAFTbVCBHfbo8eXvYbr9WiNGZ8y7LJm+kY1UdBnm9sA Cw9YLCMV8N1S6kUn/qEHsSyCOYbhUyVtt3UMsod6qp93vKN6BJaC/WOf6Es36z8aUgul+UuAYouw 2QjOxEosgqx/OIQzVOhLQkYpGChKxHz55V6g5pQsUKyDJbhmqS0zeSk9UaHTsCEjCqj2z/SXfq1c Ua0+XyUlYub8EhaxoUW0OnwYmZofxunnl6g/N0eg69yiZaZx7OrD9TnsAurBJIUs65AAWzFJhyFu mOR6sa6V9snH+jZjHwdVej8I9OedlxRekc3OmIp0jnqaG754kXKL88X9MKJuYRj9KVyGb/Mm+ImU SPpxYt4MRJgysOlRiQ1d25FP5I7gSzZpcBXbHVUqLXmZOlIExDCjy/t7ynl4xWcDG95CrI26H2gE m8bowl/IyZ3uUTzkN6r56m3CaGDsS7Uz0WptVspri14flTMcgi06YHCxA0pDdAsB9cqC4OKs5Xxr SEKW+Pb49pTVXWoA5vrbCwfnvgWWhdLTIRs+S6ZH8LAynWSnLHagqA0dSrSxLOw7gvMmlygUwcfw f7MVTXE5CzK4j9Y1X9mpD9t/jEo40WEW31gzaFEZEUDdIHEYfNF2OpsDBJLsYgoaEWDhvQyh9GnI xnms3b1Vf+w5NJ+np0TYXup8psdj3b8MEMQrWLTzWBe3Q+pXsE69ZSIqUhlEwTFHNhLXsuVTg9l+ Svg8Jbx3H2KS5dAkWvKdaZxREsBsGqGFy+l5HYPvghdj0qXLvv3d2NY0eQoRGfc3fo8fYHcgcK14 znXM2yNuwZU7HdvgMsphqZBa2uw8/y7i97fAmC3ObTpGgj7LnBAH1sUQprpY+D2mEkiDK492QnPb sUz5UYvLzgRGHow0VDmF/9kmmiqf3fQTZe7FgSK55FBo4nrrqsviGC6yobNZoLhAGoLIpCIGc9gv O/zbAQxcgA6MIZ40J5D2NEYHLQlH69opETLY11E+Rle4+CmNLt3vQql043PmC++rWRKZuwUvAg68 UMqi4Bq9tOQS7UQ9sQ/Cyj/qSBbfMa+Rohs9RcaTg62fdXdZZBoEo5L43J2SFVrK4OreM0EhHDZz pMuvzi8HT0b6MD52r6fRBzgvRnnYsovIf1wuBd+TD5JhrGadUhkTyPvTFAyOLa8Pj9d3rV4N2u6/ e7qmMked9pW3TQiWA4g2jWbEmUpXUUuSLwD38v4arnh/3527qv1hrCWQNvH3DUBQdT1OQKMAGxbD nJcAinni+5MhraL3uB1Efy2ieRA5pQrdxpz8B6eQn73etSJZabx+KruvCmn4Uloy8pijTpn4JgAx NJiLYTwvElwrfAMlO21RBzEGFmGWXJk4v5dv0f4iJioU+OvoCo2u+fFL8UMYhpHLRdKg28M8ZL08 6tHo0XoU2EBrmlERYXM90B6XKfeis8ZblkfrJEQ4758KogMUDmA/k2o5VVeJHRTchH/gFjaV++Nf tHk4J9dpQ06K3E6u+0YvN0sPxpi5PSBSHWwmx+pXcdkLulo6SDgpovI/Xb/mw6UV+OAIwUxN7cz4 NctINcYg5hBiy4okKyZj7gpLh+istRBAdwE/bDh6J6C9LtX1KK899YQK5DgVlItB1PcvNoj4Aic/ VCEneV2h+6L6uWQ2c62f+dvw1ReiP4IhkRlRaZ5mAdsg9n/LOuw9bI7gCNPo7QYPhYOfTVUZd/TA m4aFIMSSyK58VM4pTzUWVlbpygb+j/MWf+UYUYWlaXOFG66m6R3i8LuMvkJhG+7WHevnD73IuQZS NHL9zeEcOHxDoQSJfqfUXNTdk1WFVGNzgJbeUQuA2AVRfhxcG6B/tJqAobIKI387mGbAT9d7LduU KXOQ0G+K/JHKggVlWCfRq1NznfBax3bnHbiZFVQ9BW4PQuxMmixvYftDZqt7AYa1iu0pSPcMRxU0 8a2iro7Q6GljF5lEWlpvEpDfxpIwF9he7RBJIdyvJCc0h7bBvNKzWPWQCU9G3TXFq0NnbCpikB1o fwwsS8B5NaZOmQgWMF3eSJjDGaduXtpIV/Ex6LYWRWNh1DPkNMc8305NRkGE+r6CGrUOo8/lROrX PGuKSyjGK4minItlWGEIXQj28QgbckttjJUk8zwLB5nKQjLXcJ7rrTAjVfM5DIfpQxh8tCr7gFdG it3GapwVkcdmyQMuEJHGqOm46LdrUDmYbh7l6MccXBRj+7M2nVLMPTk6ppf2pojGMwyDkqSk9e37 Glz60QBjHS1VUp1iKBMPBUKCDiWZP5i2YVhk+XDJ3hBVfs08E6xIL5CClDfMQ3Dk0TzQVG4PsIvy 3oO86CYqaHuXq4w/NFnP7BcyBXuG/riC8Z3fkGi0gYxyXgakj0nq5yjc4TVg90mTdLBbJrGVgcqD +CRG6I8K5LQhsYoqXwqsxMcZJMI03u9IEA36l+3Jx+Z6y+ODMBOEf7m6R2I1vuR+7pk1D6ddQs2g jqW1jtyBpLS+Vz3nL+Q5K7NHLrUx/wKZ3x/o0oVj2tBWB73dxf3mW1GoncuoCEPez0nuir1MmuRG /H/IJZf8oaDZs2kN2XRrauadYQRy697mqZsho1R0Cxl9lByRi2Mwkb8dQJXNrrBN15bFk+P9ZrnO QyOVOlq00RNzkN/plFmgpYJh8sY2cYt3Cne2MaAmGjY2teG61Tp7Lbb8m/yZQ2QayLkO8Aq1DvCc 5uoHOJjHVpCb1NwFxE23oa2MIgfW7hsv0hgVDyWReXlpDUlGEtJ8DQUvpI1RmDKf5ncKClFhwFj7 qYp5Ltv+PIr5AZSGuinFRzzxGWtM4UG8b+9UyWWl9ZFsH8adhwWkNRmGJe0URQ5ydKm7Qfu2hAv3 B10cCo614pWL+v3ZU7D3EDXy1sayNQZujhNjRt+DhODYKrQFUtZpeXDBec95wRlLS5SHxyakjJRR HIg88T2MLdTZ4AvcRQfSIE9x4CdlNn+bmfljwXDE3Xv3JrGqUnHvjwgbohfBbQMlSEmZwzR44RPE wV4xWu7jcOqSxIc7ersuvwkfFFbwf9ziW2TDPQx526PwwZ33D5bLkACys+pgiIUt4/ZHZmR0Xs2Y txNG2alJwvzl2Soucx2KVOmoXLkeBULdS5phrWD7v/EEvoPoJtBGbD2hAT+Af8+BVQ1CQrQUO9k8 hfx64hdUT6/dUndw67kGPLciFfDoiGPnmBUwHeg3QDY1ZvRGgW+UgT6jFSLTFXHWMpjJwaWkk362 QuvyX1cfJfGrk1t/7D2mrEhKkgOzanjaQ0GLxjP3uyYSvq0phvconb+EC7KdOyZsWHwarK2VqAyT 6EzvXP8qSUHsurmqOzVjC52hMjyTyMk+4r4GZlJtGUOS2Emo7eIzOx+6UlE007JPMW7AZnvA6J2G 18jXIMwFFKisiXGJFRWCZqjofaDHip0sA+C3kJ7Z2NjgO0cnfY201pNF0lsj7nPl9ZkgGgtMaHHv VsGuCiWoStj2GSjxk9WPn2aUwsMMXQ433UGKzHR98VrK9SV//H2SKSf2iy2kN148QpF1cy1f7oqn GdU5XhhcpsGAAafAXfXoo9NGrkrnzYm3nd+vIG5DF6o3lhQcjdUwp2bxeQPvZBrw6R113fntzh7j YRyySaXOrfIHZBDmJvoj4uHLOstdCB6dtCXT51pVfLrThfT+hQ2N62vA/lG2SvFX6ZT1hLu0KDXx rUDzaHCB1JlI87ybfA6Cowqu/ZYhsROcCouqWJWtsxr6jdWrvrlZ7zrpPUanhht9NVwCw993XWWo o/zRYUgboAO20ho5RcTmdI8UhWmFQa/2IW20BOX7HlJ2hZc6aibhZplKsTCh/FF0s3TLXFmA1aMb dbA1rZ2qVVxHjZgnWThPdTMETcaW1SPcWGhy5C96Sxrrxemgw8GPZknUJbIsuNt+ch8ZUhO3NI5a mTPuQlic5WGSmxjhEovLnLeRCyFra1Dg1pI+jox5BeTTNBy7X1XNGi+CziPGyQxTIecexLnphWt0 r6/pvnkl4EcEDTF7wF/vj2/3sl4+nqzP3obyHm9RoqajleZ1NXG+4xKxfZIaArSRQN2aK52wX7pg s/8kx/bJ7JiMUASbJdqpjR4HRxtOIwjiw/JGFOFjYe5+sOPcSR6rH6ZcC6mV8k9QjhB/lsvxvxUq LHw+ooJtTZVIRFUZGZHfMwQcFy/j1Qx5jGgdGE6W+UmifU69lpAlhZo28ZafiQOgBrqxlwRpAdwU 6RvYQ85ivvYrG2F0tAogGqaTLa5iJFnRapu/sRvsRuUyyCMyRxA1oUyuIb/9up8zcP0rUOy9GciS MItCkSGLYWgc2wPS6oQCR+r1WKl4EywPPtfTm2veJeGbUeeEVgFvZGYVlSzeg+EFRmdfspII1Owh vceGFFVpYs95EYvE6rHpa3AM7EMRs9f7B4NkXo0Z8TLiQMimh7p0x5pmd2VsBgPWbqP1KoBz3jun RS87DQfyyhXvwUov9LbOYvhDMWnEB/V38rtm9+IXuV33orvMcTx1rxT+WOiKHFz8WUtjIRNNPCRZ kthBcqgs9MMo1bbLZGoAVNuWYEo18RdtPtPLuFp0/JTDcFzfW0f/zF2+lvwErwc3l3MNog9r+Vd5 yH5gNCeQFb/aaRwbceZyFhqOJpXEFu9Eh1HRkXvPt6mS30rSWE44mC9cy6SFiobZ7y9nWIc0UK2t Vu3OAUTXyHBQ/eZRg8VPHV7E/m/isWJZsoZX2vYtUh+pVvCpjgkixcI/GEQ3HlMrk+KTGVe02eax qHi8eAnIezIIVkWWww4OQmMLWvgP98znjU29mPYG8txH+iDh0+9EHgDCHh8pwBKn/3R/ds8txw8n 7nvC4HtiUmtTPiCp3qZ4hV0uWoraxwrFoGz4hY1edeKAJFsDNkXal5PZ8jaGlMgDnDrH7M5QEmcv rv8AE7yxQcDhHQwWVzKCC5YT6DUiSqTO6dFuK+/Dj1bUda09hiCOzSml0mLYpuFko5NwU5X4wSt5 4Z0zmpUU8Rl/kwZ9TTqDJaY7PrSCbkl1YLf5SfzFeaFNK1KadLqTcGUojiiba0JPua/7oa6zrd1+ HXom867IL573ayLwAQCOgAv48D2xXwFo8CzP49ni2yjjB/rhH1RV906ZsBCAVSlQ07AqLiCHGcJX Q5p9GSolaVSeehni8DAstaaTGnQiPBXMLadm7PXVLyU3LC2UXomiPv1kiqF9DsBpO5Y8mjjV9FJn 0RG87PmlA+AaY6iDb3gjYSv+3vtDEK909e4wC++hHh2YkvkJxmztHKuZkz79Ckf/6v/VMkvnY4hR f/HhoH6XIULp7QX9oV5QRdiZwzUh4kTx8udeN0mXn722wUNj1zr5TP0leelBcexoN1FXdsQMJyF8 +6Twhv+IqcGYj06jsJzE9ufSeHFXojc9kb0K5uyy0kR1okxtKIWPmS0XMa8/m7qVbeZQ03LlBa2c 9n1cOVgzQP0O12ZtgZqYjbu71Ow+63mMoHDug+QHMszL+oWjJ+E88hCELC4s++TrlIdYBdeJ+E0s NuaFST1hsZwIws3I0royTVmcKc+5sUetG73D0MRuj9vBFAYyZqa095Rcc6CB9SfhRbBctishd3Pg 9c+Erx576eu3x4oBLx/Fuc49tYTLwrgmAaujq3jafyNmgDaGPB46+jwmw40n0oWqHC2ExY38v39k SRnijoZS1t0Eg47tWlgAb1SSukVWi+L30/aePZNXPUaCmST19fl8oCvPwd4zbCf0jir3mJi3tdIe vH37Cdt0altgoav6mSzrMaYEIdX3DDlZoEo3/x7CIsTGen+3BEsPVIU8Ih9D9+7n0x6PQ92Zp8xj wnhARaoNSogvNYcdmVfuLwvnWMK355RG4bE98SnwPmbZ8DfyUG1Yz8JGNRG9SonCg6lt65UwDa1Y C9fZXhtWO1RHcIWu87CSTFxZwkhGaUxG1tbEEi2PbHl+NZNQeDmaVgzuurHMafl6ytmICIwcWEcJ gZeg5rSsfjy0Jzn667zxdgdppxEjgt2leOknaXfnB96DEwcfO1ox8mIp4Sm3ye/9Bg8Y+GaRTC06 RLXUItjfaqwLzKV+qfM6OdB+4v8d/Bzxj+7f8jspRRxmt1pvpGzP2oWfmk+f4+FloCIYn7A3EOAf DfEkfzthHCbTU9F7qRZSjuCJ/xoSUCbxOVpd+ynMkaNwDDcBm5vSjFDnm9qMiiyJF6jQv7/Nolos 5S/pSXqY7GCJDk+/Ye0CdvpXlfNsbL/DhgGBrsFGZG7VdvbL7PoJWMEtjiiS5NNkrgGk7EHEuBE7 3VHCdppY5EfVNDrS2SjBGVSFPWaAdgtbtkJYwgwAfap6d5vdfDDTQeyAnX9pZWB33wjDd1JmlDKu r9c2qT2SH59dzR69ukUOikY8PkeAodL6zbFgD5HefceAA0ZlUgrd6ALUzqBv/glel8S3bJP3QU92 PX3URLFy0HbIkMG13ngsd+07f9Xkl4OGdtAkdk+2w4fzxMUT2zEKziPf3bod/jmwNtLkRvE07+1S XA3OpXPvJc+OPdgkTGsJQzrTx5qe+XBTHRbntU8QzRT7+q2MKutjqFsEnOFyPQFJMi8ZvgYboCH8 8Hl+lY+Nqsx415I2XSS1Iz66PGUGohNqcHetFRE2r2BjUfU1ncUxxJHf0gF4EVXU2w+I4pwdkf1D vCu7lRJprHsoNZPH8VsEWg2tyPx8HJVGg3t97di67/MywcksDhUlzdDwV4bKPWRr006G9kTNgX/D ZbHm/6NIduM4OINP9bTOFN2r5RkKCmv1lai+61nkJCoX7efCFvN3urXH6WzNFT+zfkbpIWFn2Uah CORIIP0BhkLbBYd12es4R6dfpBNBjwmerVmeWsicnaCgPvZcUqXHrLBSQGZyoWa5ZhASJGYXg1oU WJ2kefzR9aSmEytuLzCsNzEqb40ZO/Hx9TNs4knqpGsJX+Y3ODk4wvttUJl4ZDL2CxI3BPkXc3Le fAsSHxgkL7mDkPsXb2FiFewXCkzfEhkS24w7XVxk4zRUXQ4mXgn9SF+UfDCMVTryYgYX7G2GioZX KUOVua7q9wOEZZsS0VhI0HHOmkpEjDcns5E/UqLVajAAO+vpZBDfG6TOBmHOkaAtsrwu3dI9mTSq Hpd0vrsqYIInp1LJ9y69MyCfUFhbzCZmz6e5i6MbiyTeEc09KhVMaVlXWi9iRYexV+guYYz1w4/c dd7qTui9xcJT/bIF1O6sYUXgB2yixf76BO1+zDBROwIwu/Fj9hndqJnfB2u26N/6EhJzTAccg2fe 5AasKHBWB0R2MaCL0EFvDt0+tzg+YB0s3+LNEwMdsbENAzJCtFLM6tWIAF/o3oQBUMzT2KkcbRxA q3GEaWAFumTAQFh4lg7HB0fPU4as7xr99FNjn7FsIWDOCDjQfwSNhS8V5jdmyp7nHs2gldFcZ/gf XJ/uoO59Wsc2ef01BxHHjiIrKh8liUf+/RqIN1z77Syl2KkFdOp30df/QWpdZwaoA4+AN19VAMlt UZBzBVmzN/9fxjO81A0Ne0AcqbhWLih+mrDRVsJ2r5Akq3GN2UAoRXfPuXNiILDK6d3h+J2L0HCz xV+4YjMT6nC0Gd5VrYIbM4rEOJnSU2wAJgVv0+2Df8rLy7ZuPkiJ7kSgXOGO7+hWAnRO45c/Y34G qWKszU+Utu9u/9W9OarljX4OM5hwz9hsJ5k3IavwchHgsj6bt8jhfE6NFGFoFJAn0Ti/finaueml vG/aukUn5ST0NsReM5HqkDaE7DWLctbKsfuz0iUiRO0JUnlzP6J7i0DDc9nLlhyHaHOo5sIOupSX 6QU3321HVKm8aLbjcvPRRHSB8ceFCpSgfJPWTmLzeZJLK2TYkiuw+9nISNWu2kjykH2kYsUyLGas b1AlqpOmroS/VxaduXYUP55dOZbKFaX2Q04zKyu67/vuW4h64eDwZ6wXamW5faFcOzxjoXagjh46 Z0ROhSpEcqmHkc38J63kMDbWgZXl4ApyGW6AO/LCpHXzCl4sxKXD8Yq0j1j8ZwdoJbbcPcR68rMM oYbmH9+r+5Ud+iUzq9peKpKOHYrGHiGtYi/7uBUXlMRNdinfcnf4SYtoqWnZT80fPZlB++wl2ILx uCNIfbynYMF90V6yIQbo+2FPGOecGknBa6rFHYeaSIHTz+DK7VMuz6qUxoXw5+a/UAfDgBUa/qtZ 6sjhMJ6PiaGgV60lh1lmegQBFBEtrHt2s6/2eNNmLKxWJ+nXXwhPvjyL9ILDQ3FcpNeeTXZqDxuB hzv7VOF3omzGLA2yyTlfOXIRcNEFF1E+XddkZ1xcc3yeSkMgggYRvEPPQj5ov/xaPslkXeaIQsI0 pQBDOCHTtT1rYMnCBURVzHne/VM0mQZVdgpQ8JxSR5FD0q8l+va2OGIGQPwhoARQsRaiQWnQC5kg oZykMoWAWVcaRoLwnxPzrgBlHC0qx+4dGnSEacMUk0dfCFBbFi0F+NbadDir+CKzIPJvBTRkcZxz f03wYLb2anAd94gtvWmRCIkzUc7gEx5kMxhky1DbLO+Rdj6Rp+GSQWxCrSWMDYsklk7VGReGpzXd HqxeU9gxZzu0gsUTHE/9P5SzTCRA2AieodtsQkeZOK1pd+oTb7ucnY6jvQ1gUX10jfRwBGxHxpQJ 1sll+e6k6dmvHcmsJz9BIHYIzo6IQYasqZuG8KdcuoUIULjTk861qfy4CZtXwBMYCnWMrxQHRqKg 6ZIUq2fwoLnDUZCAShHyfHmBf5/HSVelJSS5GdXyN5Q8knbc/LPdq5mBvi+zFl/YHOM/fA7g3SMO lMuhrUtpWZloNWWuOOnWYjZb+PD7FZlhmvLh/w9agM6tkdyhOBzo5hvyG8RLNZfTZgufyJOAXxkj pv9o9GDNtVLzYEtTPl9ecYmIqVlcuvAL62LdwEUWnnI2hXEHVOunyINSZ92qXUxGN+wQ58IzQpH/ wdB7f8CZ+jWwBEp6FllHr6QI9EddCVeQGzPBDxCSSwBbbs2zuz6vJvwJ1nwuL7XxChst7QFnPBQ1 06wUDS4G9050x0CDf9x/NO3cB7Aac2Y+O4K2PDz06IO7fuf2FFGMGLGBcsenTj3zPkHacNVSwd8R IcWL5FBYHwTfAuQFRwPGKgyBVuhQSuSz0lyGRS4L1me9EF14TIz4zsCI+TjJWFLyy5A6nsDoPm9j Rh+SQWUFY+K+s9sJ4dQ/SEZfbZ0d8YJ23WDJmYD9NppaVK58LKk3ap0jMNYVD/Dr0Ofm6elJ+fxB WYmzW2lQJW8wdoDfbSy+cHWy+UlvbGpSaDakWm+1DpU9uOIh9RMeI9wtYc4EjWGt9K6nM89MPkAL 4F676PeZiMbpFolOOVF6Y0HiqvtGSqpe+QmypwWwfATPBmp+7plO8CcoZ1a/p1TnzmaKXB8bs0Lw 54/QhgxwMX49YfbssFPwg2VxYAqLKZcVx5yYwqllwTMyMXxwOpEn2KPu9/LasEYt8FsyiFWK2TdT tNBD4eAHnV88F6FwbQm6oJxJsyvb1/bPb/l3mAHN4BSDP35Z68fm4S2+hG41IgNHUa2r6hG7qUym vfPtzeJ16JVRRSuOQeFIQ96wVmQ2FZBgJ7/fUnl0dLJe3fBp+ajBXLKbADmi8c2gJQ88sOYnEaUJ fi1JqMs2V9vQfWWAd2/SrBL19zBX0Zs0pkudNSvGrLJFqGmWtqVCzBmS9DsMqxOKQeYkPB94n6u0 rbGkNI9Rq9HNMke8HhiAYI9R0R588t8XWnoJ3n39ABcCE/dO7YQPqnnQgnZmFNXaQg0t9x7LQma6 VWLw3oEYuwAn4Eosqr8f7g4hqwsSMMZhiyz2z7U01WXUN7iDNgUlq7Lj5zPapRm1Hi/JFJdGIdL3 6nM06aWNg7ZCgUaa64mPX9kZ/uliTJPVNCqPhI4k8ctRNZRqlOG4Iq6uMTOBGTLAi02lX7rCQsky ZAGH+Tl5ggYAqngyICUGBfbJ5pXu9NdPouleCwUi0997Odg7wZoULwcCUhC6EARvdgzPGR4EJ5rH L3tHVPjIjd3Wkb/nntR0qPVSxZYNhkt/wT+QaB6RD4siW0ARFE6VFS3V2N5SBwA9hrIpTkqYleOn LiJirjH58maTNcr3a5HU2vBTrSmEuVtZyDEIyxY9QhTzLdB7hDZh8OatLSzRxb0USl864H4BcAnt Lb7QzDUaJ2WbgdzusLKjZ4/mKlEcn4ho9jctNQRvXmPNAnUTPU7bigGOp3xCyb6XMi8fzj+mKRcR pbVERK59JrXqrgkiVuOqQCq4HG7ou/iyOpsUJnQPQXE+UbBQL9qgt3h8M/JVm1C0Q3gk+9GR/EW5 0xZchT3lypAhxbV5XkkVl+YmO3nMi1i7IiTQdIFWluXWJLcuxPTQeuwbkPrQSuTj71AIYAB4GZ3K G5qdSDnvZpnje6l8zZMANPK3LqchXL0e/IOD5pYpazWw8tCkgE2PjEqCzwU0uIu6EzysQfj25kk8 Maqi6j49Mu+0OaXJFCaeZfKVfAyqKbVwMggubAhSRHCYj/DWcjcAapkHREi2FhP5T3m4zzEIj4/L 0xESX4yjIdbB39OIazbyUwpmYx5rcoqyZGDL2/eCBHQMT7D7oOgrG4q7RlvnjE+t3Q+KQYvtPNS5 AxBPEPPPSclQbQlW5F161qCaKxBtiilK68xlIBQ2e/Ih4DOrkIkCJTBNqAVFrU0immDPXDOck+qT IRucTodpmfSiH9FS2+LfdlRlXg4s7pzn4IyYc7NsDkUu4GpAZi+u+iRGsL61vbvCeQo14S3UyqhM uC+/+jKIGpd5lu6W8nO4vr3BYfICRRZfKm3P6qyTGocKMnnPyjjFtGkFcq9F9/Aw5vVbJfC7tA/u DN8SzmSN2SfGeX1kDMFeqL3ezJjir4XANDIU+Ak4UW83zqyGopZ6kc4NtG9R75lRB2tArlvmQ/6Y 7pzeZCdjlMrMJcopMZSX70gKWeck2rB2iEKmOV5oO/lQLiZKgCCVQeaGPUF6MhuAHwxwiXvb3JZN Q4oOwUdcnHj5iPKX26D/7wkS3Lgp08iVD9nC8a7dfcFQLEyJy2b+ezQ4dsCHjXaZN1ny9zW7ddUe Q1IW3mGe2i13NBlEPLgEvavF5X8OjPNtufXvCYUIgwu/v9rpD9KcpSe5E0tYMfkI/gcc6FTj0goi WRucqV1tjfoA05ffi70I6W7xLMbvWEVeQUnMbA5I2UoKwzi5FER4qIlIQV4g5lMN1d3tylWq9JS+ vDE2snSLT5SSBYoZhrBAbGByLOA7MKnuhLYJjq+nmi6EkhraG/MoZOB1Lzn14NbgVpEyEWjgc8Ly 4ws5Qnqxy8iIvAfzeWacOb+1VUwLV6aOX/6sa8aDPCiuHpReiB94QgFBEHat2bDZKUrTHJWWaxew fTh6RGieBmBUJyQrGyMzOoU8ZXqNKwpPUyyrNnrU7feO5fVHM7hz13U2fdzFDqcVwDVyMZVg6CKk sf7Oz21n8WcoDNw5D9htL3XPN1wvBEzcB6DhlJ73W5eewRHB/I+nYdhAUYj7ojFiNvv2bvOAlUU2 E6rrsKQbdJ9QQijkFZAUZJjIV8kk1YFTPC2rEXVWQDT55rr345cwUuyf4AzkDf5XHFurE0AiAQeF QNPQpJiwNESrsKaGjqQB5jpDqnPF+vUkz0dO1ESPNllpQMZqM6heg6MAUbBobw0YIPqjCEqw3KvS oMzG8wQYIPtIH91OzoNiMALr7mUaut8d3PG3soBkZj2BZiEWCUsZ+71FjdviOttoLfMuQC509u4i /RZGPHzo3VZHtmR9T2jIhPTP8XVcidfNHiMIEXACH8cQQ59iK2LyO6wUr+H+32PZb8QCHMBSw1uX u3qzXjCkzMtChOjBO/WhoLz5+TxEz/TQovn2YSatxsohIcJHtyqmx1FWcavpS1F+8i++K8wAQc5J 8VVqGYeEYvcVmqTZMXPQjqBg7CYvtJ+prMNB7hDPPz6NH3JdSObidhJziUA2Mr3Kl2y1hrFe9LcD 7d0XrQePb+hlYSsneyhmsst6rbkhnII586a4fBpyn19wH345ap8xOrIC2X2k3DvdFbNd1ALRXi/A +JfDl112DfD4qXRFFMOZkK5eRBf8my1aAEAHhCnVqG/T6izFalmjlH6XP2cz27hj1cdwXYbOLLAC QXLHAOOZNkMUpNPwrfLW61MpgsrYgV7/go5ROyf+6yYYCXOgOvlCnIdp1RnS1Bs2VJaQiOHRz6kf R7Ib0QVr/QmrewcTWm1oIjd+f/YWiaLhV5JnAEE2+aDJGRFA3KwNIgpSxaxG8ZPQyCq/J5pIvyVa 7ZpllZnYZVY7pJkKxO2U336c1AJMUZKJ8cvvAFKd0qGkgV0tORD5MUJEG4YK18GWHGrsHMP9TGu8 9YmT3KqQc57qBhhJgF0mggvIfSJCXei+BsziMGuvRbwXctXsQ7B6pyAkSDJOQcLotq/B++HXpJ37 JCc6+eqom9V4qlgQN+kBCvn6yiSmL9YLTbnD27VB6FJpp1kiyG6fSFND9+mpix/Whg8iC9Q6zDVg k4uKn5DG5MHhRltbzQEYpELrllbd2Jy4xNupXRirwkrcmVx6coJp+1RGjzkqCH/l3dzw6OOwmhHI PMp8j4/vLbSX8aRUJz8UHZlmigfyRT83lzsprMKS6cMYTtzvIC6NaRGOnyvF2hznrpndQue1nhNR ryCrjpJbs9HUKw1iIuU5LZf6wF+1sG0H9yUGTybuPXaM1iVUCYLso7gZqA/UiAYn5/Vm9zzdxNtc QUSnH0n0W/LDg17x9i2kG+6mpT4xE9+icBhn3HyLy5EPwy8nXMLacRNzAwDX0d6NBUBfppcpwyTd I0h+CaSiI4wjgxphQvSSEPE0FmTpGc9ngKo+lLzF4ZpA5N0+eDYy9YM6LbZHsKxsqczxIKRbNvk+ mf0ateXFk0KolbYnzAo6YVlcFKyYdg2NlTjKlBGkVlmLMwLUyhXa0P2gnSlWNFZDQol6BqqXO2ok cnAndzkuHpFg79PUsMKYevz01j5umIyU4guLT/pPmTL0+shDYfNb0dRkUBMf8aXzx3JwHEYDwCKE e8cZhl860qWl+mxbm8P3j3o03X3P6qzo+TSIuaqtKTNSUow0qDmi1KlOvxccgVveR2Qpbk0SrwER MPUrjLzAcvNNcHpy70drF15mW5v6wlRsRxvMK2rfLXW7sy5ea0YrOD3A+bpe9EbAc1yLBD9RbNKz KebrplyTLcMLIiL6ZgWniZxYboeKjL9qWDEeAT6B43trRut05Y8wP9NjC9DPmTTSx3ss2AV5rima 5m+BcTAXB7HW8s13h4OYuxkS3FeSU5JAP/4i4ZowFcXVmVXxvGNDsXiRLg3OZdujRkFeRxr4bhRM +ul+Cykuvz1r3XJJk5STODJBI5O3YX55QAZZ85zaJqJWAtmLCsMHwSFmU0jcO68zzFTsb4v1lGlT j3SePrCEKO3+ckexAe1aBXnR8jzwjUtk2hcs2M7lX9brJGaKOtlxrrRypTXGmBl+mAooyGkZ9hZV 84hIbQCO/eY31E2fab5OGB3ONEgLo9CHSqgaDEyJUGHfwkGgUT22qz2t6YOmLQVbQXYULOd+Hr6v 073fojKsMqSrW+qvFlpb2DFnhfusF9YImyQIKljcl21B9L8XrsquBbbowRZPKZTs+VCUK1K0gbGG X4nKaLtzo8bNC/4AQTN+8RAdWaCkm+iZC9mKHkqAkVpqFlDzy2qbBSrjIVWaGS1Cv261qXcQtKvV +Xvup5KZNTThHpQz8VNJwWNz//4YZs55rrdfp6VoaTTi/ij+Ph7EI3WTEi2Ya08Y4Xi71IfBbU/K NDdDykddaS66L2Ux7iA6umcjf4eU5jWITl7XMRkUrQMwnuuWnAIJJ4KqqRR8YBda8bWboaOD0tCW vOOTa4nlgwiUgkdLDwpWhexZ1b0z5DJdNq3Ku2LAxMqbtB5Jj8P97oQJ3eo+vnpg8oSfFdo7hwF6 hzIl7ZaiZodAhUpc8IX8b6nwxLquHidXtxQHUaTr4V9FCJVP0NZ4krG0f13KBicAbRAeVu+aIebJ 74DZWl8LswpbDM/ZsnWjri9PfVqmRsrh8HRu6mriiUN35uuiC1O6YglAoLr4a8E8lUEK2PcANMeL JdWiYMPFm2FtGJQpevBiXnYs09bUYjnzH3qrNvJdK77ZfkUWylmoVN/L3LdizmtTaGkK//LEq0g/ sF/Q5I6RbHv4i2xf4YY0/2iWLkMQHyXmzbr01LTBm9RXRrZ3ZyY0fXAYNcAE1c0c1za6H2/yVbul 7YYTnVLFI4/fdj8zCGFSKwEJ0vUdN7MBp3Bzcr93O7mTz0fLIui+fIugCHEuYhjwNcAqkX21KUuy baZJ8Kc23VOVqXM0RE8oh8miFABMNFFHDwcaUVv68zthL+my4kuCMJEbkmnLo8KGO87QEuGzwcR/ 2DZLCdVW20Ylv3bRc/lfpFSeTdQ3W9TLFHZD6CO8G/p3uuB1dzy6gYMqvfDeXjQDsRBssZRfbj+x HBevO9kqIziQzZ2Db4Z8M7gm4xViMd+7b2ASpD8DbRgki1rq2TU1jwiJ3+cqcXeZEUwvrPQYzQBz wYaE/71QaR9LAEldnZfrf6Eqe11oF+lFWL1jsaN8A8MD+0R8yuMBaIIpdbuGxLDlLPsvDSUrm7wZ 79WdAq7TX/O3dLiwNQU3n02n/QCRko/5Y59Dv+OYEC/39vO3aYhhlXTYLUB6Wu5dv6x0TZybxHv0 ZJLBCUIoCPx9gqizdXW/XdNwChiH6FV3daWAkLV53cNloBCjnssu397JR1VPGhmXWV1sxjvNDCAD AbUjPJqNlUK83p2+Joqmvnp8TS0HiojlYZQN53BD/Wj3AFBY+haEZ08IiY37m598ehXsE8NB9P1D L6LkizcpCf3yHr4/4YOXwrTsYaRfynJPpNXoxhNesNZjP1wGDTnGSOOnrSaOD5iiHjUtWtvem0Sv BJ9QCSs0h4Hwg2TjmW4YE7i7lovieKiMfizkrJM46YcOLHsYEc1vcsGzDXDdo26TrgHdSKJMGTmW gBaaA8rWTfK+TUhNX+AtONIOJJ82sjscYAfQ0ffT2kwcEyBTlzyoWuaJ/UIyRIniKqr87SlSWn5P WxHmcuzjrXtYjleGuKjF1HmDpAbxEnzg8VVaytlHgkExMBjPeCOnajDCBCy8xVEv9Dtxk4U6jsoU n/AXz0K8+tiC3JcVZpdGomeLvjd9VAPYYiv6eCmFVW3fw+AD135/9qpcwXtfb25XccrnQgomNQPT QSOg1Gi34OpwgjpEmVx21kk9fqq3XBzc798s5d9KOrKXmewPGh29ie8//JMtNbr5OX9V7XqcrF+V JQmja5PqPra2+vDHEVVDVYuc6QDH1cTa2Qg7CPPyHY1uGW64Nx16RkQ7i3F9LQ9+sR27HfhdwD5Z V3zzq77Y05+Y8+1imnGUBIX1PDtBv5sZoDskeXpuFSAjZIJ7HKb1HQXUq7eDRWMi10bicVxA+aJo s9UXdQk7K2P7w7AmZhVQIkbiJLj3bcLHnoe7VLXeUZ+/pvp0j4+qiJWQzR15CsKr88Pi0h3WY/iU AWmaaQAW/nEO9rl6wpUfqHOqUEWr3IcLg8hsgvEDtkTep7vG7Yvhv3zGdaZU2oIYsGTNbwYiP29p 3GLqo3wiWiCEbyzCxhUBUbW3XajaPmIkyzHEAEL5ydIWzygpSxzbTnzyjhgl47PHt5vPVKwUaxYe rOjFjCXqa9+rMOyZ4B643vdg8ebqWwOmAN2/77BEyWpuHdsbdY9EYml7BNULMIqMKlG3N95WRN/q OD92a9gjeW/4eMxMGW4AFDD8/eL6qVp55yTel59d5rKlVZucsgaacsyDAOg1y6a5R6KGrPjt3Bnb 0CuM+Z1BsFSHIkBpVNDdAkYjMhz3JTGH6Lhqj/PtTKAcIdOL1rsg7IF7SwppKbBg7yeYyWRaV0zL eS6lOKMKqtbSuF4zXFyI42qA+RgloLcqN2SopVlvIix6ZqrirlaegYfbTxMYEQB08L838LbCvHB6 Ud6yrSLufG8qRRU9sNxlBbcLOgGQvwvDtk9On48ruaEvyI8K8f2LGNLLCrWPugzy7idO7G+goORF /0IIhUetsTH6x0dxKodlXihbmIYzgphh1Qj8tCLhYZ3WfxqbayWcAjYW6kFPtAiDi3wBi8DMW23n Q4VoJZCJywMPwBeGFZONke9RUfP+NJo/BWblKfIpOyd7tyng6JMn8xFC/flFITBJ6DDnZejY8nvk ooCZplWm1NctkfqjaaQwpDC51Id3r3//HXZ1LernODnYpXDs435Fc1rrfRtAcV4NlEiCi3HSKPuV y0dpxaY+DLnxZGGgrtVXCuDc8LN0r/0SIRjb3ntWMCr6ZHV98xHcT+BIj8NuasAEicghJkRjcLty eUgnfrW3/w32143CYueZJ+acZMLergcqqr83SOl6ArrMW5+vQCBT6WI2PKBuy/j5fWhCgaLxQOwJ Q8t9WorjZqVM0HaQDSnc05oWwpBAcgzazHElK0T9kefaTFPtQE+kPfwQ+Okf3X06y/GF+n9/MvL2 rTX1zv2SwWX1c4ZP97HKmRdHQqvnGtNKrPIkOf+2864q5DOByfnwwhEPi38Wvc2uAYZXK2kLXL6b AVURDJbYpawb3ImsutCtYglkauOEir6qDiL0NECxkcemFxbzXnZB9r/ShprK2FYsEIGauqH6eTOJ PrGb4cmVtZjh+WJ5+w42ep9SZLryz38ya/lqs55FwQLnUDalsI7t/M+1OtisLhk+spu9ieiy1I5H XGBbug543JZX841a6XBcLRLr+Fh//H08McXlfn5a6WhU1EuTc3uwhwtKe7m1EtwfpfvBmjExpkb4 GGAU3CopI6GHTLwLmp6Miy3JBdWo+q+/rt/xQNMoJ/OKJO+JH4xRosCT3wi0brnsgBxv1GRH7zxo mO/IJPfEsK2pEF0NDk8/m0iPdQOKG3fJlTCzLwRkjSUvS3kNHuPCPOjygKjwVivUogMx5Wu4E5IE L8Ah2Uo8t6L2lOzPluZMKz1EK95ZvdO03fDmkBNCosDJ4yFmfj6AbdZv+aXzzVSIjaXE6KbzGzkj be5ObIn6wfbMN2tVAyI1t1hwWBP3gmorgfNKvIo8DLYp3YdwnS87hE/QvmvEukZ5MC1j4vWESMqd W0ijxoV0nL/p6Jd92ZLew6MVyt+I9xyTgWMmPuVkd0K1AnB25lBPg4gkov4sBGBbpcB5v0FjYrLK /d1mFsqAVfjAAsxnOC5tOUNeNTs6H/T152uThECm9QHgSiAe6Wye5pqIkkCY8p7v+WZhQ+I0tjsD wUwg1NXc6PXSJxTQYJczqG3fe+0ALr5q4dl7XcwS6JK0Fr/M5G+JD5FQkUylcgdaTH3NyBA+BC8T sNOOb+Ng6w/XWCvDqWSqGr/ISutGiYqngdrxHDfJLpq2LqwmtC13gZhaK8SJi2Iyzor8YfAyzfst uC/2LiPrPkLmhjaJobZ/Af8eUqyR6Q3uNcXs1lUrt1zs5ZuNJi8nVTCqzQhHIhwT8xuSXPvtu82h ao1y3q45i+G5FLxfqh1K2WccQmnKJHwbf1+9FXP15M9nOlMZAwCdNOrebuCcKnAhPAZgBac1SRzk gpdS/6coAmIHaI0Lm4l4ZY895FWlIN7szIpfJtUb9joDzq1fsGvlHqCCvlAtTnasqL458Yj7omcw R8Z8SmwNMY58IiGLjJ+ECFPZZjg6qtoXJOM4MDlYCnBpjZ9HMQKRUvgpBne3rCWb5yren+iyOmR1 gzW0I4X1aQBdhnHMWMHyYVXi/QsowzUhimvJl5OzlG5jiz08y1/bL2hvMxpTB6rx/IckJz1kCkZl aFRYL8vha2Rp/ux6aPl9/+MZFGFOy7jJ/zPO1iYNA6meFP1/T6dEPmPgO27zqpSl6n6X/YrOvetf wpS8YWdobAAqjomMS0uyj/kwNqqQLv8A+CJHX2VrgLlHxB6aIG7lpCu5UzNX1shpkm4Sv0GePfxh boYnvLHlbeud2iHswX36WZld5m1y2BF13jOMV/8yiHpO3FbF8IMBC7YK7IbWwvTJrgU+z/tGSwDj D51ly+EmAg2y3hj4RDQzxWoao8RUlHSTkRL8oEQzIGUP8YcQ0oYF+OxlTrFUncj9ln1cIUyssLKt 7QDwcf5V0WqzJWvKv/OR2zc0SZdKcQenDiel6oOo+YR03K8EwYi6paPwZZ2D+upS4LnQZ+Joxo0g YB5xe3EqUwQ4U1D+Cg3bOQvzrZtxH9XXjnk9RXm6U+HtoSp14DlsXLkL63ZPYxTKoPKGhK3NUWRR QZrxkP0r2x3R6sy9GP0bWZWe/IayKcBcThQXtBE7bItkV9BMd5mW7gpEqtr/Qms33insahCvHfh1 lPZsotieqQY74WscBCLAU8KOeRdgi4DNa1PctL37oaInSIeSCHduPtadYBm6kEXTB9Y3LRYAYpYx MyxLdm30SdoTDcmjDHqBPQQbdZ2muXVJpxexcZ0BCAdfrjvVJkeBBisFMSnis+cv1RIegVSah/D2 6+uYQf0wWKS1cYEKBFNDIsY2gjzQk0j5bsmTP+AUShyPOSRoqoKN74/gGjmfLcCcc0YHs/3sBWIV Lrdo0rfbyxU1el24WiW+heiph06k2elhkKafkB4ZhHggnbQjEeScXpgiHDS/BN0YJ97JK5ThFp3e +thcZ8wNSk6OIS+BIAKSY6gas2UUJD4x+ZisG+LjgHolnLhiD5uwuAL0e0ZC2BzttfqKKEZ075lO 8YV+Zuwu62TDXsLmNp/o0LL5hqq/h7XCTZx/gueV9C8INMUItHuqHLoHa6hqabFJCLSgdphI+su1 jsgiFkafrLoc9Qx9ei6sLqHEXh3myZ7kxkhCRCGAqVYQL7SZ1yrRYQGCzFjMJLFhDcqHmAYjQHzH eRfHWJD9hrL0Mq7wGeoOwhVgrvHWYUmhy2KgqhwveYPBpElYadyNQ6CDw2OagPPFiN7RNVTiWAY2 YH+L+KOxZTCCiGrjCz6gShB8F0W0dy9xA1RECSIplo5/tMlhnX47UaCalAvP7+9ZJGFeci5QKt21 E7V0FqqUV9PqDKNp8C8hhnUNlbqQyXvE4pS6yzEAUl43Zd2wyMJeneXbP9j+N7OvNVUlOtAuD0Lh Jo7Gr0C1Bl2dDEke2BpQqXS3yxfTZN04EeonH05jZJDarkYoTXeTk9MA/j4gjBhGN2ocgCwURfC/ jdVNyu8SmZQcpA8fw8Uc+kkmONGTMZvkPv+55sO7GXKmhq6ExuMRJp59dOtfWC6wFhnu0BdisVoC DtpfCbihrSGNF7hhWMblCeXUtROzLwtvCEilazsK19DTdk5FGqOzRjZlr5oiLH6QA8QcP2DQ60ux zCpFBM9IrM6Y0jqUShpT2rvtr+t7LbVI9lppg+fwC21jIT1MayUNQ5zO9xRD7C3B3vD5FKG9UNDN w72DJZoyD4mcYkTBvkXUINBzS2p3VyBnrxqE+VbY/KYzjNMpI51s2PUsrJcfpjPtm65HlE75dY0q JXi3wGREE9GOMpJflfp8a+fsyZHxw5eS8Gy3MmVaEfV04fb/5dhQurMyQFLIDHT091K8a6Gm+6z8 xKz1AUOeY+HUnVj0NsqQw/aRALfCnElYx9zSqL42UA/EZcLAp7UJXfRrOdv6DnIvSxcHuchZ/orU h1WZmaxnZCNZ4MKTTKyb7+HkJ8VOXtfL7UpSORGJVkFadW8QNgqCQy8BR8F6TyU1avwua+MJZ3oM Rgvs3oMgCDHBK2jkYajVsywS89MVehr/KIfXh3BBt2e1R4kd0TfPUd2duKLndTxjViSvrlfx9ZsX C8midOSalspP4cEHLSbKc/kbyAuW5q7IFYYrG4LavOOcaLhzRWzltG5aspp2Mwt1mY4SJyc68ONS ZbcvIpe0g2H93LfZHC2lfDUvYaJPrRxKcfPOcDsEvQ3Dz0Sf4eyYbYKWzoJ3x3peuob8z74uCjZv gMrxKBVHnVssCExBC7huxAFLclw4V8mesfPaC3+R1ZsmgXE03PkNOJp1EDFZ5gIUT9E4iaklLrsr eY2S/nHpkLG/+ZJlof8RTQWNTguzISYxzey46atfO8wYNEtYgXDZC4vMIpbTJgyxiv6+05J2zo6k dbeqS2LPpIecHUjo5WgzRqNBewMAGYr3p17+P7KvgiqCGJ7u0VDg8x3NQh9+xyswLaTViBWJnMuN +3x//oT+qKFUatriXNtVhhh2HEEaxB0tQfoctAVG6Xq7Ixnu84lIsm0FmN7hV9x0fQbPzry/1vyQ UJFCVwNe0Vd5k+I0UNciACz6CCIi1tQWn7Y7Gx/EK2Yws0kpPwJVdXrH1ZcsccLKx1HtNPzr2bC3 RuZuGLSguyd5SiPDO3+AJOM/SRv5sntdtDMQML9T0MZSipVtory24buylJDc9p8kShVLn02ujhvt v++u6cNBy9VX+V6jzjq3ocRVPDXEoglFu/kP37NT7V93n8T99Zs6dV4GdZHLWqdi9F1uvQm3jL1r KmgudVXtK2spqZzD31s6cfIG32zJzB91yODTbk0CPq4OzQ0oeyWEjyx3yeDnTsYbUcZNzPgWck5B 688sX5L1zPaEfmSsJqmy/JEE8UHvPW1nBhHv9ynyrA14aS/NWe2W+nZZr7R6Wturuo+O/KiJfCrr AvCihIih8dgli9evVcDleuywjWCwxPIP6YYvoogWr4175Bgn2PcI+cLCzIaXehiYeYlBwL895q6a KWCVD39IZAetx8rEDufOXysx7VfG6Sh34ayIzDXd5FC1QtmSY0jnH9KpeqL4Xgt71w7mpLiIZnaz V44WYSVVG6UoqcU8XiEhi4BJA4SBfXiWBAJDemeEFRroVObn+D+/iq7HMcm6eYh+mLT+gqvLbUZS ieSOasVYqOMvVJ4qCLGNmx9SLTWIaE4mhlNn/YbAATqK+ZicwUM9kKoiL3VWfTI6y6K0kC/v+pig TC1PDmnbE9zE7PPWfQ9VMar4ZNIFvWmWxQUlzQ06IeyeB0DEbggngd4wkmSfeS1N82nCxm37zvXR net/eLhHB8WqRQXvPx5VNVr7eDvIvxih5RecSVQSRQOPUYk1G9J10767L+IHtfPTtM/vbGtWh1Kt fM/lPcQYtZL3jOGoI4wEBV/UlkxlJeUSJVcZW3fNcQynA+XLBllpD5vQJzDhb5eV2f7kTXbCVflw XFEBlMJfvtmwg0lw5Ia6bvkBbhHzK4Er5KjMj4cHgKFLI+PYQQrNOUeFuGn5DapBEua6yOq/UqpE cm2FuHTWNLP2WL6vkeb0Q50umGt7Ehf821XDtSi0Fd9Lim9gneZol/72raweqLvlBoRxATL85Gcr AdRJwVEDEqH1k3BuLa5+5CCyyl8tJRDcYzmV5PKyTVXSEXi1axMSLKw+mympMAigy9rF3vgoiAxC 9HtKBGgWEu+BIu/io2UYsfxnCgAkvpZ3fp8oUxxPdicLw2f59rDz838NZrZJHfAoCt8MBOmMbnQM +oI6G/a+mGReZOhUoOGk+kzxEPgmS4BJ7Hv8Tb5CcOq8jIw81XtNiFFTzYgGJK0MKOcJdPmCF0KI cL6Qi6ixl7SWFzvBnrDSl1CHIUjl1slhjg1leeUK1VCgFhn8Wjv+9JOY0IVJ4vd6VHgFojpfBvM6 CnZFLKP8TycsQ6e+t91bsLIcqWEFqTxugkvQrjD1i/+8zVHZ5yUK+F31A0bEi8UfX7xuUCiJIFIF uLHATzWbQ6XOqKTTutHdGlPMt6mLdDf4LIA6Zibm5A3idaWFa0kEv/TrsQzP0OQzT9vtmb7x1gTt SI+T1xWo6gTu+0rkkoxS/enosXqar0LO8RbYV3epFjfPoIMiB/aYXB3MoWhlMFThT1n+GXUlybT8 3sdAFurs0Y5hYRAhia/c53oME1x/mUIVp/yLjUvDjr/QFZbIYNH8BaFEfaqGeFyvGIucVJW9xoJs cFj5m9AL1K60Uf1PvaqNv9tOI88VOElfW3HMU+iEDWD1bYb16AqyYc9FIKylp0nLix0F4zY+iBwi gwT/zPPCx5jxgHorPpYfU4MHN+QdLDVfYKDghO3sd0u1qD1orgwwBy2rOPVq+otBvKY8hD5NbySS EVhJi5gUp4DBMV1mDHWmRCkxm2CLCKVdTfM0HctBuM3HxNs2w/Qr//6hdIDQ9F2fWRrZxcQkLqi4 zUw0jHh6t/n0QuskLPdbz/3w0eP7prslo86hgub0GeMmW7+TCQnkwLcZO5ySa5UsPqcf+g748qOV FNP7JNM89Q+0EMyEn6hIYx1ouYcsEA3tzwdQTIURukzmchKZ+dr4xRGJYT1D61Ob/vBoCQG2jHkp dCK25IPVPMvCJCQD+xdmQHhqA6oGg95d5snh3vK4SpfjeWo+Y6mOzj3sDG3F/xSvTuncb6m0w0N0 K2UG1yLydRAuWruZK6RuSGcOZraD/Hz6XVr3Yp1Yfdd0w9LfUwlRzPacWUcufa/zjrPlP1VcYPDU 3eN/iwW727dVSZ1+ijWP1oSfO5eVExAFnMo4m0teMFfVypuCh6fiuV1PzxGJ/uZd20TpgI8yi/eX 7YpPwUyXcpRKzmUdRHKF1VH/gqDypAm8g0xOcqvVOXdeNZpmLq4kU02ttQJVmKRbP4lnbOtDu/Y5 jcwNPcSiS3v6xVNcz1RyNcIEiOAh1c0vdNIo7tlkVz9kjzQDx/0Y83CmPS2wAqMCU8V5oIq7g+WY dyAluWp5r4HbrrhnQwL8J/8rEZf18BrHYHV5bjqR7+V2xDeM2FVEkxIQzwSiy0l1r1f0DuHDDPSj aRSNlq3mvqJe8g7zdgLNRYfmLko3C3eH6VnpQuVdDIQWUjbAi8zk/eOzowC1I0ZfYZ+/l3FOXd2k avRDL4b9IncjTfAK/De3psVZSEFlesJZdMqZmMMMTSPVq0uOv2P8pK7sN6I6pITFWfwjLLTKqiqz 8Ls0XOH97WbICK7gI3TcBKUJPCc+JRqT7kzW5qUoMRtzlnZEwyivtDUUMFhtBaTLzMTQKyHmCxoy XNOI2qVLs21kxyKcyEs6cHV3mQ8CRS/AnzkkgaaLvAQdb/lktY1Mfr83eEP1L02irJx+tZTD7MS4 PJOYUbqPjApom2aiHM2t2pEHK8Chg029obZhxlw964Dt3SymKf8poF7zjQZV5xZqDCKLpn4KCNei 7/95x9ESwQjU4anAsRwcBHhxFcHcoile02GGXww0FH+BGF5hV/xjHwvTFy9ZVqKcRblLDW8Ho+rA eaHJDxdWd8NizI6NVF09dHvK+WdNeXhuEkJotuxPDiZy1BqL9eLYQXa5bb3CLIAprXTaNnsPU0KF A+yDDOHfDJvLZV7i/gSjzoYkuxo5CsaRsrVdTD4V3tf0KXn0QGuCZ9gWwq4HVmKqFZUJ9FueQ+5Q mXy2zKkPDTh6Kv2fzVliWl3ZlCcWE+CkP/JcRfqoP1nRUzCw1RBNwcpqx4ohrJu82Ap5jSuTBgD5 mxuYdCkAZwoFM3kVgJauHEe6vTTRWXhC1kJtzWaurHy9Ozpq5SGxNg1IVF9sUBIslpgWq59sMg7o L+IT0TLFQ3AbRcmp/jBZaW1ZM5/rvSSbf3LoEM1fifZDsvhfDGxNjY0F7DFO3FQh465oJU5YjMjL Y9WUn3ROHI6Wn42jwn28ebUvf2Nz0DC/xXoZ0U1wYJhonOWIWjwYskE6Tda71Jw0H8dquls3WPix MJL8Tb0BJrYCdjVKXwOwYFRERl4MK1YnBduXomlA4YBTUf4xvg85jj+16x6kXdKj5X2naYXzgf8s s96C6jo6STtts0QpX4W0nfzCM9iFUcDEJvxdgXvEjBhFvoDC5eNTGs3m8PLXBaeN6zh9U0h/zqMN iPMiRHu0wuOw18tgJavp/Gh3k5H4Ym3c/QD+fP5vKw/x37llyaJlubFP0lZDGGEViAbnFuMeTIzx jeGuKLRWIE1gIlLNmjKO20Rw0qZ1BKE/fV7ZN9jeHF1t7K2oSgovB+e6qoE3wS2iS1tZ9yHQcThj yEBhcaLAo/3otysJwhB8oXNE94hNAszIZrslTAqXD5cB1C+Tjv9bmp6eTICg7St9dwnSfeaXi2Dl ZSGtnSTMM+qAKXq5mXrtHb0y48Wy7pACr9c2Fi80Xkq8TFSIItFobhcBEpFkws3/1cGF1n/XlodG q9tQ5BEEoOMtw2pM4y1oLxirsLRUPSYVLbKU/BLiRIbt3Yp2qqdoFMJn0RzqYfIIrNsqBNQ/EYjP fLI+6u6DwzCMy0s3UKtGAXD1WPOhde87dVKl9hg4lZQWGd3JnIPnl+gVcaOK9dg7aKakuCBIHj95 +nsQvUUMr9hzbnFrgU3B6iiXo5rVku/zGyCGR78PaXQ0Mzb6SOJ4CJ+lg8j1Yo574kfCW/Ix5mGC Q6v5TgsoZxgnGAQ6jr489RUpKz/xupAzurzxo5F2S0bx0ufzKVC2LaiZVHqDGb8QWBXq6GSgbm1e 8zH//Z+zbJGxpscUEFvMMspu6AmCBU3jzxdZnLPFL5gbD9lzWkDUdkkDXJbbV6Ze4avqOs5Uh9qD wseU5WcMJfmkjkeUQnWtEbXKlg/wfjKxnPoGdcT2nTLagGCUdFnaxwv0vsDfBzy2R7vnHbS4KaTZ 4bXbgYz/xUCsMeheKpvJ/zUVa8gCNiYNiDVVTlnqcvvOb9ZuM3ytA3wpT/JYeyPExiKMhVdiRvz3 qgZAY86RETbgiXk0JB48Sp32yNQbbgIbg21hsCsmdJyDrx3Ow+tftgxQHrwmG1Jw1FV6Tr5RGYyC OM14xbped8/fzrg0Wz7LQbtrBv902htHYMH2ylUsWdx/3/hbaoTFGedQpjX+LJqXtVqCIgg7wJsR Q+KYBE9k0fC683NAxJAKIfBByWwFIFkKX/PrCLZUb2pbvRZ/EyAd67wdUYvx02f2j1Dwbs4adqat 88Ru12Y6AR3QGHtp9i+Ib+hckSY4+oIkq3GeRWbYxXJSDorvzGCFiMxwrqbOGpT02GrZh0oi4Qow fpfFJEPIOXTbJAuwCPUN7TiFE3a9mBeqwO1a+wSak0eYDlVgqDz6oGA+JtIFZ2nCMT1xMBiz3Bws AV+ysu1OK5QrLlh7okmYull42ypGewWwJlrLhiX5ZpXwAykq31Ww81pscnOhrWV5HbxYlfeb5VtF Ax13bT5+f0aJUFT5MQOnoY/SYhFZnWt3VwC5jUszNaLkpra67gFfhGoeKdeUXBM1Zr8Bws9GvPOd HaoWR09qqxdh2agMfLGzEcK/T4P6LhNX5P2vbbtkqsb2GtFbQNHybCxp/JlpWBbFjR3dIW9K0yVE V1Ja1x1Subr4atRih2mFUvlvd0p/imh0KU5IdUtk6um8QwBWWxISDTrwPCKoALR9rapm51yovXU= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block VskZH7d6F3y5J/N9Od/kbLdphMJ1zbPB0ABFxZIx+P5kL0bUrARHyggp/+jo4FcvwYaufj6G5Qdm MiKbBQ7jxg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block W5rgd9J37EikOHejxpGEUisYqf+syULTYjcp4cFu0fEt0uEsDp10uCp+aH0TkN4FAcgF+U/ZMFGZ UfTQ+XjgYdqApMwdEXKZpRhamKVpSouVaxvYnFJw3Zhekb+AvOJ9vPtkhP+1bdRXjSJW7cPPlnwi gDwI1qESc6Ls3tNaw24= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block A+ZLFdfzjd9LtSjLbraRLYNppPa5vXOeYqkoFy7jlj4Wf3uD1AxfV/JZLxFAdW/QtEHggB54NhSW r8qRMbeMc+PyFudFjnJ327zyFH5oDywrESW1kbYyglDXwI3Ckcs1OkEBW995TBsF1Tk+9LgfLUQo g8u0CeL8cXsCZlR5MC2vB2woAn5pcwTIM1VFUhboyzPWcYF9FxaB+2OZHGX69gLppcuQYBGqxTfP utPT7xxf1geM4OFh+//cpTV+tBP2t+/qT9zBEraWPcGOnscUj8L5oia3WPpRtqn1e/HM7ME5sMZp XnCpbfIyRsRNKCVI+KDH3PhJIrf3sWN57NosEw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block xCQlAHm8rbm/gUx6Es2hOxB4mk7ge89mOxtdddp5Y/0IeAja9psLDmQiQQ8cSG0uA+tK993kDfMC 6BJRRULNnWz3rthdCzskYd5Doc0wbIqdaveGGl09fZSJAbl840qYvZOYU457bLBklnSvGwk4WuGw xRK+fLsE2OpjjR4GXJ8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jjjnGDQSBkwFMnRnNE4jx+OsNnN2woBQ1sL38mLKvDlHRy1a0YhnIX+KAMsLlcZI0T7uA9dqmrEX PjfC0uzPjq4HA0PQXTNhQ8ubtF19EVm393X+TTlJlqEb03y7B7YQ7SWqxwZE8FCz+CgFKR7MGtYp sKoW2NEMnueXCIObDJxT/70oblQ+xleedkdIN4OO5TKqqVoTq4o2Lr/YarsOswTiUJYQFDEKUvXa y8PHVxTkRdNub8g7L8WVyjOgNiYb6i9LTOLJy0NfGDWDcRgVQj4IkPRiShZ0d5WU3ShPgJIIURrg 0hDXJknisXwQNcHpOerOgNdZHnwltU/BJRfkKQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 26048) `protect data_block rP5/WmwVleVrfSF2CwEQd5AHOswlD848K3G9NFXbUqOUEQAkYnH/5zLkkMBlXKLmElmGyadgvaiZ SNgAT+bCVN7EY2mZwX7/4c9/hVQaDs2U6JMc9kCP5nHi4Q8OoNt/inZiXbKh9WoKV6RF4Jn2SRP4 9y9oF0JndxgxHgwfuUQ+veB3idziwgL15nA9S6yy3nKG7/n9gCj/GuLWmBLDXjocsb2cFYAlOuf8 77DARIlF7/UBPonobvres4DV5Ak28cPgBE9I5l8MT6HaMwtCUkF8ScvkxUPRkxUc+YOKv3dYfVR/ 8SbrXYXJHMGUmPksrCbbySUuLeXAl6pzOrtPeSp3fTi/xi6LGyyn8Ss9t9+Tp8QnGs0RnIyVmw/R QNBRbGVJtt+qLRCbrU+Ny3tPPiJzhdazwdzjzE1b2T/W0IsIii9IzxKf/3oFRepLWucD9F/9oRW9 y+Pa/5ka/bD4tgaZ9Sw0EK43MoGD2AjMgJa2hPsyhYLJo579phlachZcZRjTjL9oImDaLmEFe8z2 AYNhAxcX/Bjk+reDmhY6AhEGKY9QiO+mRwKWa9CbkUnITcQ5ZgWE7X1f+SYBWbxBJLRnapbClM0N 7GTFFkBcz93kj9P5Hpcj0LLgrb4JAE1zcl2pwpcPcoRM+/jQK9GRH9uUhjQbADurDIDrEfFmfdLj AFDLBOi1jE/17QKJTfpb1Ei+gmqCWjxCRShDYrbzj1BzAiTaVLbodgmR5qO4QEZGfJb80Dii9U9A bnZ+ytqaauRO0ZAs5UcwB1RSD3YbbuZDdExWHDPxhV9k52IERMZcStk8MEVdE4EAhFJK7OCM4LTD zBGIKMZRz2lgMzRq0sGyK8OcPFzTp3tm2N8/BbgPwm0JPKXwZvX5Rjx1H/rg8uUtlQPFjrmK2e+K ISZcCXT3OucbStP/ugdYUD0NG6mPUWmYeIDj6JX4QvKLQQU7DXbp2I3FZSIEAkVqSD7izmpPmCLm 8p/3bih2HZxryb4RlYIPzcALx6LeJpF1zehtBD7XuPYWXy08ojzNr9hAkEG+QEAczNgESru1bUY+ fhcDnjfuGqyXUb8nAXpeOPOWt96AamesMNDMdFWpuiC1RHKTm956DjTRuaLyZjRuhyyuCSn6YpkY JzXIv+7d/r/FjzcJ+AYYzlVAr/juudYxmZlUV1HuDomhbOZ6z73E6Cl/cAJi60J6vjfFVddhg6GQ OiJSYItw9ErE0GeHYz6mqG+b2zhkX4p/r96qWJxM/6jIDtuTFq/NxnXwfjvxPpQxHJHbgUrGuptD 2wbUQX2vNfzBDY7rMfNlKfFpOZMIAgcX9oewtQ+76tUiCCv/UJvmfxcRQq2GqK2IcmRfuROFBOvW BeoCUCa4CrSFRxhUE/D1VWJuWnVTv8LYpGZeL+IF5NleSedyLII/ge7qfhYjcX84jkiLJTJ0IGSF Z3PWMetLanR1gad8ne7WhvSmvOunQBOYkGwrv93nih96LsNoL0GpiQdxfM8p4TtP7qOJXszOh69o bxgvl/ao3f7MQO08tAix9fz/RvKNwgXSoIzOltGJE9Zt9WOJ5sBmF5rN+Pvibum12WNyJ8svEFi8 rcBRgLJfpfPIG5+C7jyDKGTc2Gh8ghDVutlAGB9kQ0DSqXRlnYH2suEUI4ZlYZIIX6oqVcMiZWB+ ZOoCxGbV1VUuihImQB5zxePacGK6d97GhzXGQ3XH7Ge9tyVzvJ5uXwYIvVVF7ILPH3U8fnV16C/C l7BMtbxqW8+E1Q74idq3eukccN4ttrOVTlrw6u8VpsEBlA6n+ZhAz5snivDfbHw0Y08B1TS4brCm nbVnE964EGtorGX+o1fMwnqv9PecWEV1aLYrKCduVB/gJ+g5CghbKbeNvtVAI2TSqVC9TpNypqWW XbhwJIjuyHNCcjbJrP1q4OXdUnj6ZLqyu8E2/RC+w26iA7yDt2LwPKpVT+pyWQyn2U7A8k0NYmKE eoU6J4emTAWZtBuICKACQ9SD09zLNbKjZkwKk8SWn65kRa3Krin3FiSG8lioGlxEKPGLuDV/zncx 0BZizx9INq0rdRKk7MD/2mCEt+1l4IQ9ot1EL7VvxYYT9iwA46RqYPJuuwz6bv/d1VHo8e8KYvRf 65AcXPfjBu6GJZWhipZYtKkJBmaohXME20vNNvpW5jotiPzLms2vqOhKfzm6gBZlGvYCb6cBc3Qr j/1Yj+tzQhex9Fiwocremwl0MM8OpIK+8d2R++dcmJ1noSSKZmCyJ5EbuGOIbd9BP+Ymb7FKO7Jq g2PTwOvqtE76kKUaXcjByGKj3d6+LnTBhdo/syLYJGYzxWNF1TMYJ6vxp/aM8vSX2mJs58Cs7dv/ 75jO+Av5Ri+oSExbqEKeSWYYVE2rcugCv/Xy4pvgHUa65Eev0joRyIhYQxEdY1AJsdaNpGewN5aR eLHOJqfiaIjNmT1xN2W4+twIpMR8kWK4X3DfelWDn17V6eS5ew9VD4amFuNwCb/ipwVyyN1smmNu cLKflQTSw4ZaBtQ1G8l1yjdjMb0+oHjihbujSke19YJVYkkrDY31Kzl52nG73M3mvZYW2HSaDnHq OgCKBP7qx13ucbQo7xNLVHgAWbMHTVrdBwfu61YlxEDygGcNhiyZXKl37PV6qoJt6MU8AodS4a7W nNddc6egbMYdOJWIRgoh0m4gR0LkOoFFpQ4URTHh1461n/lggKsnh0EXOS1kmRscdFeryoGwOiVn IoXDSgFzAEvXw3y7p0jrvzsMmFq1Or+UDqsrY66hCQ7Q9TTtjVWsFX9G7Kfu+6bvnBGzKJECbUPF pS4dWxX5ZtOUTE6MaI1r6JKdaYNJDktKMOeYd+QJ7zH83G/XxG073mkfQ7zwiVAkTUhPBiAeiOOH /nfs1Ziw0BBzroa78alQqPV3E8UI0ZkmECH8Wo9REJ5CZQNa05hEjpOB/5m5y18az6V8v9o5UU8n u9r7Oef3Q/z8UuKflaJ66g1r8DXXjzKAunH2RsxqV+p81N0+I68KFjxfNiX0x3Mj7LyPf/bDOJb+ gcGDpBjB+yXNF1rCm1edL50mPCg1aIdARi7hszUDykuemJ86qUbe2c9EkA7iEbBOSsBhx+WuJyOJ zVIEtc1zc5n94YxvSCGCGaWKFuQ0YdFM5RBVNCHnpKpH0XqqqQc+BaW2PYjSiUoXGe/ubt90JXl/ lSBFi8YdtCUhiHNo0tuboX5cmRXHUe4EZWgM0jc+J9/9YkUJHH8ZM99u2ks8XVdwHYMx9+Dl266q WWDMYy3mSlI87VbzW4Sj0glWrSohpB8Vk8Pv/nGouwam6cFLH+YwF3umhh0wBxoLWiO4CCpHa4RR C8TyR1NIncX3WIeO/BNm5uJ2aMCdcsimHPVjmdPwohYgzzYlTo7DBqofftTeMdplyL0XEmqNJsBS iadmyY8blbdFozI3uI8eDWm17gP4k2B7bpRNUBGQN8jfenhAfETpO3+ywKzHEc2GMiNLrNE5J4Dd 8FNEQjd3xeO0PgFFhPmpkGqxa99HRRTTpQl781SD7kFHS0C5NOIPk/NSkjE4GAOmBKCfhmtdy+DL TJA8Zj2GwjCWV3b9UjSnq1qTS4l2Jz0DVJdxMEHxXWKzoPtpiE0dNf1+i3rTiDoefTCH6tdrKpCt PsKRq/obyyBs9DELl/An4KUpMY1vNEy6INkbpJav+2bFEgGLIL/5MCupnhVEKr5pHiIyjSTJCW5D jNPJyTtKSSTfnQn+iOo4GKcO/UlrksP+8a5WoTYmnMmlnfq1byJJZv64sYxDo2f9RoL/CecA0jj1 KBMFjz/kvPhAYw7LfrtBQsD/uohXoD1tn1PCP8tZ/KKXGg3V6RsmSK2uX8DC7Xd0kD4HgejdqAkK mEp9dECrsB8GjFgqOdflCtfkb2+ompKk3QgQWZKXaC717xDWHzN/hEM//xTH4pPMHj6TGXxYtfEB xFkL66NpVF2ebOyQ8DH1y5v3+XDLqLd16kg2xJbrt8z9pJsYJnQQVd09onjkRgQRiFMQvHhFLa6y Rsw7pFsToj91aHGCsjGBy0SsPiomB/R8PqvczZ+qDbOgJRde9auecuMmkuU2jgUvFtDAEuFImplY zH4ASKWn2ALSEnc099Jmg6t/eBPddfYZBOHMpkLjg8vrbtVZ02rADQO4qdxnfa/OklYWlJMO6CON BrktQ1xboH+hd1BSKFjp7ghN+hr5ueZHmCDM4zXvaAn0ysZ/1VOSfLOEUlUBeg4OEb/YNHFH14xn 0S+4VqZuRB7OOGn9wSRn4sESwRez7ar30mcNQ4rVMFPpabc+WlqdgR/+K53s6MjfvUGvFFylC9of xyc6AxpSLsL1kjmlUL0ttkOaQ8TxmUqKKlMuIl9yPGQnzeuXddEDJydIKj1c79Hr2qoGcsVCv2eE R9CQSe0RnUTpi20e5HHo1zrYQ+0iJU2ObiCSSdqYi3F8TQjZqIoC+ZnJZGhJAilDNo3QLoAjy8xe LvobjmLUMXVXQB9j7PsuUu6CJckb48+Q6wmLpamMQQI6HYBANvNWl2HiM6p0hAL7QVr0X6R7s3O3 IpRL2fOMpshMU6fcx42kzVAeblGDKKLJp64+QXYDiBTi/EeO+w78uUs67XH4I8sX2yT/p/V8Plbz OEMg32OUE/yt//y1YsLYhDAP7iWyaCNP5+bSqBzBnq8TUh5Q0Md60c/Ex1LLsKBYzNdDi7l0RqVx +YakfZzBpmwmkLm5chy74Cne5Tf6t2X3HA3o+c3rZ3vx+0ssLErG3QaylM7b2NGQChCkdCdxhfW/ R3R2YkM0DC6o7CWaUY1UColKtLxx497Yu3mL78taiag5KYyc1mDcDw0rtOv+xP2EkFxmSJzuJMf/ MKgKZmxfbWZVods4tAHxwbusVrf1SErSrNeOBqCrtcNJdhdgIbAfMic7EfiyQp1hUZuQ6C1pFW71 uTFHKEPJ4Xlk3XQzuQXB4mSwdBW7EaLJhtpWMC8mjDQOnY66hT8tsDYrObwXaZaSvBsd457X6oU6 8kjz1kRK5DAlKkofaj0XAijViosz0wihgmn5Kw86tCoZCNydXtc+TgN2kTKVNUtyWzEBTcXmU+6m IIwv/puJtlOX6JT+T2rBd9CUmd9KDtl477bj8PjQ60eOrE3QaH9h6QqOBWBps/XkePD6L01Q5L4C VX0XwFW3LBdlvcDG8tX5sN/pIBuqHfDphC0BjSDlCYG5qvu/G6tcqK5IxtKnyPdyagYrCmV6CpeH sv5cHa9xN7D08vZcVMpnRO4czPej47n17w/Jule9ayXRzAK6sdpoc+8XZ6iM4xjdi3tVuQEhajml I9nU28t5J4AC2XAiL9qqO19UisC34ceiABSI4ob8rK0FduDkcjyYQTw31kK+ZfSbozWznuNagt7i UIjCQgZD9pDifBDYzeAnafbv6o2GPy9cLI2M2z2ixGcBqVTJKuDRycLs7t4v+4voo3Z61Tno2Y0c UZZImT52N18+9FTzaKqsOSSy/UNeJ7c8FyeAiNSrFrZIVUFQ7WZ3aSqrq4SKDP5l2ZVw5Kn8fRcU H9yzYqjM8umWoLzv3ua0vFNwsSPvmzmZB9oU+cFP+NgjP6L35zeI61Dfv1D23UX1T7xVt/7rmI7k 5XRJtKfWK9ml5WAEtnu+COmm+ERHJUWliyBAW3yhGANndzBo5Zd1mrPMAdI8uOl23X1BfeV8RRer /kf8GS2jmuPXn2hndsjwycsns50rG0QBBVMSe5O4m3NUQAXJKofVym55HIqVit+rM/RUPPYfMk58 gTgTXN9QaYSCxhvLjQBlthutX7iaXj7keb54Dg41V7Ousf9F8RorMXhkGKomdl/NwUER57oGXK1U v2/o6QAhjU6M6D6pd9iyWEPD3WLlumL7T1bjOp/qPAI5c8dAhgMLG27KFNc6DJ85rqNh1ef5v+vc hbgemTr5NwpOWBPnAkO3N7e7NQGRrzTXO3WBVrwSgIXIhx3W7cCeUYK9yOJVsjohFVmf/Dn0Gt2+ 8U0oWk0lFHNn8AaIUB5vFwDqp92wMZ9VDc/TOkYNPeNbyRC7YbQiVizHxHks4sLBGqTI++0jWMWM MSHa9zC/MBMog0FwvpzuV0F49x18UVFDdLqIEUR5dYRQdEtL6dRCFUI8PRXojCPMbJ0djzUji+Cu l8ycJ/hIphAa77OE1I/OFcbzXxbdDXtUTD8BhaWQ6egNEYrS6pyplcxTroLTVTKa4iaDJcx3zpm1 xRt6MwF+gcGUMUuEWhdYH1wBNNyJcP4RYEqB5zTbRXdoaTmQSO4yhkl6deiyCbhbTb+H8fgLAZe8 hm6xKY6ubTBD+Xsg/GnZyizfArcXcSgaj04l0iY1Q7IQod7WtE7wfG8h1bbxF3usx9qHmQd+x8Dh nXiEjZjoYPLSQJJ+FSEJTCK6CRXiIZaPZA17VgDybia8SCm5PXJUjDOV9le0GsDRe6X+pyFnXNwi CT2qClGA1rM48KaL1fruK/6VMcFjF6R+dA5sCnISZEgf0odRo6ww2b4aCHVCoXfSA+DSfxIirlQy 3N3Ylxx4GzxZ/OgcHH6YupbfDaFOEiQHtOPBb9Ok6qmUC+J8Rgtwqry0XewHhIHgKJmib9W4b7Um v2YHyTyq0+5b5q+qgzXeUQ5juo2hMJUMh0MwT2Y3Ulf5VeWhyN9rEnTwJrAId5+Wapvfx5O/suTj upMdHolTWtvhgM2UPN8aE9DSJHk8I7fyBJ6PtISNN8UHy9so5B9iGwSQlDQf1GccXe15P+ZT2rvs C4NH3hQsGMmGV2QqRMd9pooTaubKG72pmpYcqQSrXPiMYBz8vcKd9gFYjxTbMebytSk7kHEjoiqX 6sr84LeNxz4YdgljYemGxOoyXFH+cmm4uofpnDHQWj/uB6woHJdyuRo8OaPole51Y7UqI8r7YxOb FWtTBHeTMqmeN9+R/+CqcP9eHCdsp5UE/5J48SiOIvfo1cP66BQ1gmEEa145NNKAuFsgEzMn6Xkt hgKNvlVnC3JQxJIqbCnRpzXT1AeszHfF/MfxfxjMxzu49snSQSB7OdtqBhB9QKZ/zeiwlkddbBq1 /AFeH36d1/1I+2S8iriYKBTr/6xfl9BMErkBaWGUCbv5GZg6F2BXE+SyW/uyzBnbKaGDrp2uVUK6 UZWjz5Ek7ViTgKzIf/eoYJwZZG70JaacZ3Zq9jAiHZr/7Pq9lMB6ek/bWTL4QOXIGSrWCHFja+/1 Wuv+i02OopdlZS1hi5wh+mNcPEm/eE/mM4OY4kAESS+hAlM10YaMweagMwdVVeqDG9/JJCRcArbA Vw9D0/4XERz5pQlt8jnj0mq9qLnlI7quLbVm373aXSi9GvWO13NtlLH71B0Gt/u6jhgyPzADTGn2 zQ6r+eQlyCJkCqqi934X+5bcZeO4Igu8dwbsJNm5s7bB7jPJfwQRU7bHsxGt/HjXxC0s64Mi2o5l 5vo4XmFkVnJwJCTXXuoX6Oc2nzB6Rmj12GoZ6K9T124io52gSSJaITmBexP66lbOkAdW4FSXWR0f XI9MtV0dAeORTccSWOnz+ZIUmQlfDi2DUjyL6ISCA3TN4a0drfan6Hg4Bq7Hu3F/VZzwN1Rtqvj9 pr/+/hY/d9rnF0pFKrmO567UnwjYfG6lYCYF/AdaH+OZpTQkaWUhARKSr9m0AGP6Afkyr3f9zlFn QXmDHah6NNHOEsURPTyV8P7+DKtXN3i/fhLzCRIvMYYaBjH6fwNoyWkUCltE43Sr07tCVB5BsgUg XxKw639YSWQtyJZ6r9rppE4GHLC6L/qWUpz3gUswYzRv+03+ee4LLqhDU34+POs7a+U6hXbkpqc4 vj1ol07T0E5bZE0wVcsmc3vze0pYxZJbk0nB/GU1D75sJLqmXco28Pr9cjnlpTm0w9bBPnO6EJms QF3Tdu49N+UOIPknS+Zf7NcoFIWnWFOnBxZHNvBoiEsEvLimEdgWHHszLFikCXrJkF6Q5E3s5CPU Pt66ZNz1sNEbd1+5hUUS9Ve9KzeGzdDwvSd7ftoVpceJ6BoAVkTEfYR5bFbZq6j86bL/lOXTfK1W kZFcRifSnRBb99JVYiNF62vNDTpbQTPyuyItNvTyq9BWwt5YfwrPF/ssBjJ/iV9x0H0t6VJEc0wC 0PEJ1Wipzp8MQswBJ+v2sROvB/KVEX07UnBm1JBL7e0bidxvqkfPvteBsEWSpI3dh9xAnnL9VNje MVq3zymILDwWkv8p+KhgnAcnGXteLe4lkbjvetw6hIMWQi+SfC6FfqasNg9h2aRDA1BwypuAtfpY WM81EKjJDB8JCzR6JQ18DkxbXsJDNIEcL8cu+BRVZmNV121iyD7YnUlE5e/NQKf/gkqc4BDXNo0H 1Xx/pC93g28qSDAR2f6cGBGLa1KI2yYF45WBClOch26brM0nOrBUgR7fpsYVN0Z83u0k5JWVfvPj cPbSTdwRn+Xr9gilo1lC6GR30aFtorsaGIwVXYDRXa5Yzjm7cQ8wFVQ9ZmzyMzrYbZVa4aij/r0+ eQ2BI+KoV6IUVarj82jTKrmp/J0Gw88R/djVkn4ClehKDbZs38wnz+ObewujeFIXo49SnUugfUIy up47kG5o0qT7vgM/YnHkIyOscho2zdxvxzzuaIG+tV2YYrwnB3/Q2UmAbM3YKsBHRqw9z6SvCj6Z QiVo6nL+hH46wpCqf376l/+lcGVVsefKQwqF+ZI/B9fjUC9H+WRvABV9/FbjnOwG3OKX1kPawU7g IypyrMajkUliMkVzVkBw64S1Nj0AmEvcfpEcbV9aZILhgDYGaJz+17F8zOnieXilC+6CdbjieBKp /70EP5lQDsK1B5qC9Bdq+7ZYFMowNphmVxHSvHNfQP77pQzhVgFuNWRDgFjlgcCOSfWn2+7WC6hv STJL/BP5JdX5ZLV4lsmIGl8wxuuKL6u797z/AWt6LBBN1RtNUFvllLt0VC5uiNsLdU2gbQrt6Hv4 6bz+sgFwex5Ljm5gTS9a7BTkccrJau1mc72RQNojMT59HAn4zLA9IJnITPw23VJmhYXE8EhCmYOZ SekZ/V/ISFrG3DvSDXXp/1wxQldvYYFLdBGHZ71PNbsrOkfmwwpBeufhU70r0rAey74rQWIUSY// dWIx9qhsUgJd9CPmcmck4HMadUYWq/StdrF+zeOWNT4fo8piFgPznwgS50a44+opEkgeZb8HgyxN sZqv4pJ4sT9g0CBQe/AAwMNgdNig5Xx2LZT61vXAXm+psvzk3LHBZEdm6ebZZyBg9AEggqTKXuI9 1wpjmMygbe7n+5na5HGyX0scwG2EukVryCnjtJLWI5xjx2TLQfGJjUsoHe7tioPhSw6ZRBBYHfWT munLywje31CBPbSEbhaoIyQQcckBuIwA3MAFTbVCBHfbo8eXvYbr9WiNGZ8y7LJm+kY1UdBnm9sA Cw9YLCMV8N1S6kUn/qEHsSyCOYbhUyVtt3UMsod6qp93vKN6BJaC/WOf6Es36z8aUgul+UuAYouw 2QjOxEosgqx/OIQzVOhLQkYpGChKxHz55V6g5pQsUKyDJbhmqS0zeSk9UaHTsCEjCqj2z/SXfq1c Ua0+XyUlYub8EhaxoUW0OnwYmZofxunnl6g/N0eg69yiZaZx7OrD9TnsAurBJIUs65AAWzFJhyFu mOR6sa6V9snH+jZjHwdVej8I9OedlxRekc3OmIp0jnqaG754kXKL88X9MKJuYRj9KVyGb/Mm+ImU SPpxYt4MRJgysOlRiQ1d25FP5I7gSzZpcBXbHVUqLXmZOlIExDCjy/t7ynl4xWcDG95CrI26H2gE m8bowl/IyZ3uUTzkN6r56m3CaGDsS7Uz0WptVspri14flTMcgi06YHCxA0pDdAsB9cqC4OKs5Xxr SEKW+Pb49pTVXWoA5vrbCwfnvgWWhdLTIRs+S6ZH8LAynWSnLHagqA0dSrSxLOw7gvMmlygUwcfw f7MVTXE5CzK4j9Y1X9mpD9t/jEo40WEW31gzaFEZEUDdIHEYfNF2OpsDBJLsYgoaEWDhvQyh9GnI xnms3b1Vf+w5NJ+np0TYXup8psdj3b8MEMQrWLTzWBe3Q+pXsE69ZSIqUhlEwTFHNhLXsuVTg9l+ Svg8Jbx3H2KS5dAkWvKdaZxREsBsGqGFy+l5HYPvghdj0qXLvv3d2NY0eQoRGfc3fo8fYHcgcK14 znXM2yNuwZU7HdvgMsphqZBa2uw8/y7i97fAmC3ObTpGgj7LnBAH1sUQprpY+D2mEkiDK492QnPb sUz5UYvLzgRGHow0VDmF/9kmmiqf3fQTZe7FgSK55FBo4nrrqsviGC6yobNZoLhAGoLIpCIGc9gv O/zbAQxcgA6MIZ40J5D2NEYHLQlH69opETLY11E+Rle4+CmNLt3vQql043PmC++rWRKZuwUvAg68 UMqi4Bq9tOQS7UQ9sQ/Cyj/qSBbfMa+Rohs9RcaTg62fdXdZZBoEo5L43J2SFVrK4OreM0EhHDZz pMuvzi8HT0b6MD52r6fRBzgvRnnYsovIf1wuBd+TD5JhrGadUhkTyPvTFAyOLa8Pj9d3rV4N2u6/ e7qmMked9pW3TQiWA4g2jWbEmUpXUUuSLwD38v4arnh/3527qv1hrCWQNvH3DUBQdT1OQKMAGxbD nJcAinni+5MhraL3uB1Efy2ieRA5pQrdxpz8B6eQn73etSJZabx+KruvCmn4Uloy8pijTpn4JgAx NJiLYTwvElwrfAMlO21RBzEGFmGWXJk4v5dv0f4iJioU+OvoCo2u+fFL8UMYhpHLRdKg28M8ZL08 6tHo0XoU2EBrmlERYXM90B6XKfeis8ZblkfrJEQ4758KogMUDmA/k2o5VVeJHRTchH/gFjaV++Nf tHk4J9dpQ06K3E6u+0YvN0sPxpi5PSBSHWwmx+pXcdkLulo6SDgpovI/Xb/mw6UV+OAIwUxN7cz4 NctINcYg5hBiy4okKyZj7gpLh+istRBAdwE/bDh6J6C9LtX1KK899YQK5DgVlItB1PcvNoj4Aic/ VCEneV2h+6L6uWQ2c62f+dvw1ReiP4IhkRlRaZ5mAdsg9n/LOuw9bI7gCNPo7QYPhYOfTVUZd/TA m4aFIMSSyK58VM4pTzUWVlbpygb+j/MWf+UYUYWlaXOFG66m6R3i8LuMvkJhG+7WHevnD73IuQZS NHL9zeEcOHxDoQSJfqfUXNTdk1WFVGNzgJbeUQuA2AVRfhxcG6B/tJqAobIKI387mGbAT9d7LduU KXOQ0G+K/JHKggVlWCfRq1NznfBax3bnHbiZFVQ9BW4PQuxMmixvYftDZqt7AYa1iu0pSPcMRxU0 8a2iro7Q6GljF5lEWlpvEpDfxpIwF9he7RBJIdyvJCc0h7bBvNKzWPWQCU9G3TXFq0NnbCpikB1o fwwsS8B5NaZOmQgWMF3eSJjDGaduXtpIV/Ex6LYWRWNh1DPkNMc8305NRkGE+r6CGrUOo8/lROrX PGuKSyjGK4minItlWGEIXQj28QgbckttjJUk8zwLB5nKQjLXcJ7rrTAjVfM5DIfpQxh8tCr7gFdG it3GapwVkcdmyQMuEJHGqOm46LdrUDmYbh7l6MccXBRj+7M2nVLMPTk6ppf2pojGMwyDkqSk9e37 Glz60QBjHS1VUp1iKBMPBUKCDiWZP5i2YVhk+XDJ3hBVfs08E6xIL5CClDfMQ3Dk0TzQVG4PsIvy 3oO86CYqaHuXq4w/NFnP7BcyBXuG/riC8Z3fkGi0gYxyXgakj0nq5yjc4TVg90mTdLBbJrGVgcqD +CRG6I8K5LQhsYoqXwqsxMcZJMI03u9IEA36l+3Jx+Z6y+ODMBOEf7m6R2I1vuR+7pk1D6ddQs2g jqW1jtyBpLS+Vz3nL+Q5K7NHLrUx/wKZ3x/o0oVj2tBWB73dxf3mW1GoncuoCEPez0nuir1MmuRG /H/IJZf8oaDZs2kN2XRrauadYQRy697mqZsho1R0Cxl9lByRi2Mwkb8dQJXNrrBN15bFk+P9ZrnO QyOVOlq00RNzkN/plFmgpYJh8sY2cYt3Cne2MaAmGjY2teG61Tp7Lbb8m/yZQ2QayLkO8Aq1DvCc 5uoHOJjHVpCb1NwFxE23oa2MIgfW7hsv0hgVDyWReXlpDUlGEtJ8DQUvpI1RmDKf5ncKClFhwFj7 qYp5Ltv+PIr5AZSGuinFRzzxGWtM4UG8b+9UyWWl9ZFsH8adhwWkNRmGJe0URQ5ydKm7Qfu2hAv3 B10cCo614pWL+v3ZU7D3EDXy1sayNQZujhNjRt+DhODYKrQFUtZpeXDBec95wRlLS5SHxyakjJRR HIg88T2MLdTZ4AvcRQfSIE9x4CdlNn+bmfljwXDE3Xv3JrGqUnHvjwgbohfBbQMlSEmZwzR44RPE wV4xWu7jcOqSxIc7ersuvwkfFFbwf9ziW2TDPQx526PwwZ33D5bLkACys+pgiIUt4/ZHZmR0Xs2Y txNG2alJwvzl2Soucx2KVOmoXLkeBULdS5phrWD7v/EEvoPoJtBGbD2hAT+Af8+BVQ1CQrQUO9k8 hfx64hdUT6/dUndw67kGPLciFfDoiGPnmBUwHeg3QDY1ZvRGgW+UgT6jFSLTFXHWMpjJwaWkk362 QuvyX1cfJfGrk1t/7D2mrEhKkgOzanjaQ0GLxjP3uyYSvq0phvconb+EC7KdOyZsWHwarK2VqAyT 6EzvXP8qSUHsurmqOzVjC52hMjyTyMk+4r4GZlJtGUOS2Emo7eIzOx+6UlE007JPMW7AZnvA6J2G 18jXIMwFFKisiXGJFRWCZqjofaDHip0sA+C3kJ7Z2NjgO0cnfY201pNF0lsj7nPl9ZkgGgtMaHHv VsGuCiWoStj2GSjxk9WPn2aUwsMMXQ433UGKzHR98VrK9SV//H2SKSf2iy2kN148QpF1cy1f7oqn GdU5XhhcpsGAAafAXfXoo9NGrkrnzYm3nd+vIG5DF6o3lhQcjdUwp2bxeQPvZBrw6R113fntzh7j YRyySaXOrfIHZBDmJvoj4uHLOstdCB6dtCXT51pVfLrThfT+hQ2N62vA/lG2SvFX6ZT1hLu0KDXx rUDzaHCB1JlI87ybfA6Cowqu/ZYhsROcCouqWJWtsxr6jdWrvrlZ7zrpPUanhht9NVwCw993XWWo o/zRYUgboAO20ho5RcTmdI8UhWmFQa/2IW20BOX7HlJ2hZc6aibhZplKsTCh/FF0s3TLXFmA1aMb dbA1rZ2qVVxHjZgnWThPdTMETcaW1SPcWGhy5C96Sxrrxemgw8GPZknUJbIsuNt+ch8ZUhO3NI5a mTPuQlic5WGSmxjhEovLnLeRCyFra1Dg1pI+jox5BeTTNBy7X1XNGi+CziPGyQxTIecexLnphWt0 r6/pvnkl4EcEDTF7wF/vj2/3sl4+nqzP3obyHm9RoqajleZ1NXG+4xKxfZIaArSRQN2aK52wX7pg s/8kx/bJ7JiMUASbJdqpjR4HRxtOIwjiw/JGFOFjYe5+sOPcSR6rH6ZcC6mV8k9QjhB/lsvxvxUq LHw+ooJtTZVIRFUZGZHfMwQcFy/j1Qx5jGgdGE6W+UmifU69lpAlhZo28ZafiQOgBrqxlwRpAdwU 6RvYQ85ivvYrG2F0tAogGqaTLa5iJFnRapu/sRvsRuUyyCMyRxA1oUyuIb/9up8zcP0rUOy9GciS MItCkSGLYWgc2wPS6oQCR+r1WKl4EywPPtfTm2veJeGbUeeEVgFvZGYVlSzeg+EFRmdfspII1Owh vceGFFVpYs95EYvE6rHpa3AM7EMRs9f7B4NkXo0Z8TLiQMimh7p0x5pmd2VsBgPWbqP1KoBz3jun RS87DQfyyhXvwUov9LbOYvhDMWnEB/V38rtm9+IXuV33orvMcTx1rxT+WOiKHFz8WUtjIRNNPCRZ kthBcqgs9MMo1bbLZGoAVNuWYEo18RdtPtPLuFp0/JTDcFzfW0f/zF2+lvwErwc3l3MNog9r+Vd5 yH5gNCeQFb/aaRwbceZyFhqOJpXEFu9Eh1HRkXvPt6mS30rSWE44mC9cy6SFiobZ7y9nWIc0UK2t Vu3OAUTXyHBQ/eZRg8VPHV7E/m/isWJZsoZX2vYtUh+pVvCpjgkixcI/GEQ3HlMrk+KTGVe02eax qHi8eAnIezIIVkWWww4OQmMLWvgP98znjU29mPYG8txH+iDh0+9EHgDCHh8pwBKn/3R/ds8txw8n 7nvC4HtiUmtTPiCp3qZ4hV0uWoraxwrFoGz4hY1edeKAJFsDNkXal5PZ8jaGlMgDnDrH7M5QEmcv rv8AE7yxQcDhHQwWVzKCC5YT6DUiSqTO6dFuK+/Dj1bUda09hiCOzSml0mLYpuFko5NwU5X4wSt5 4Z0zmpUU8Rl/kwZ9TTqDJaY7PrSCbkl1YLf5SfzFeaFNK1KadLqTcGUojiiba0JPua/7oa6zrd1+ HXom867IL573ayLwAQCOgAv48D2xXwFo8CzP49ni2yjjB/rhH1RV906ZsBCAVSlQ07AqLiCHGcJX Q5p9GSolaVSeehni8DAstaaTGnQiPBXMLadm7PXVLyU3LC2UXomiPv1kiqF9DsBpO5Y8mjjV9FJn 0RG87PmlA+AaY6iDb3gjYSv+3vtDEK909e4wC++hHh2YkvkJxmztHKuZkz79Ckf/6v/VMkvnY4hR f/HhoH6XIULp7QX9oV5QRdiZwzUh4kTx8udeN0mXn722wUNj1zr5TP0leelBcexoN1FXdsQMJyF8 +6Twhv+IqcGYj06jsJzE9ufSeHFXojc9kb0K5uyy0kR1okxtKIWPmS0XMa8/m7qVbeZQ03LlBa2c 9n1cOVgzQP0O12ZtgZqYjbu71Ow+63mMoHDug+QHMszL+oWjJ+E88hCELC4s++TrlIdYBdeJ+E0s NuaFST1hsZwIws3I0royTVmcKc+5sUetG73D0MRuj9vBFAYyZqa095Rcc6CB9SfhRbBctishd3Pg 9c+Erx576eu3x4oBLx/Fuc49tYTLwrgmAaujq3jafyNmgDaGPB46+jwmw40n0oWqHC2ExY38v39k SRnijoZS1t0Eg47tWlgAb1SSukVWi+L30/aePZNXPUaCmST19fl8oCvPwd4zbCf0jir3mJi3tdIe vH37Cdt0altgoav6mSzrMaYEIdX3DDlZoEo3/x7CIsTGen+3BEsPVIU8Ih9D9+7n0x6PQ92Zp8xj wnhARaoNSogvNYcdmVfuLwvnWMK355RG4bE98SnwPmbZ8DfyUG1Yz8JGNRG9SonCg6lt65UwDa1Y C9fZXhtWO1RHcIWu87CSTFxZwkhGaUxG1tbEEi2PbHl+NZNQeDmaVgzuurHMafl6ytmICIwcWEcJ gZeg5rSsfjy0Jzn667zxdgdppxEjgt2leOknaXfnB96DEwcfO1ox8mIp4Sm3ye/9Bg8Y+GaRTC06 RLXUItjfaqwLzKV+qfM6OdB+4v8d/Bzxj+7f8jspRRxmt1pvpGzP2oWfmk+f4+FloCIYn7A3EOAf DfEkfzthHCbTU9F7qRZSjuCJ/xoSUCbxOVpd+ynMkaNwDDcBm5vSjFDnm9qMiiyJF6jQv7/Nolos 5S/pSXqY7GCJDk+/Ye0CdvpXlfNsbL/DhgGBrsFGZG7VdvbL7PoJWMEtjiiS5NNkrgGk7EHEuBE7 3VHCdppY5EfVNDrS2SjBGVSFPWaAdgtbtkJYwgwAfap6d5vdfDDTQeyAnX9pZWB33wjDd1JmlDKu r9c2qT2SH59dzR69ukUOikY8PkeAodL6zbFgD5HefceAA0ZlUgrd6ALUzqBv/glel8S3bJP3QU92 PX3URLFy0HbIkMG13ngsd+07f9Xkl4OGdtAkdk+2w4fzxMUT2zEKziPf3bod/jmwNtLkRvE07+1S XA3OpXPvJc+OPdgkTGsJQzrTx5qe+XBTHRbntU8QzRT7+q2MKutjqFsEnOFyPQFJMi8ZvgYboCH8 8Hl+lY+Nqsx415I2XSS1Iz66PGUGohNqcHetFRE2r2BjUfU1ncUxxJHf0gF4EVXU2w+I4pwdkf1D vCu7lRJprHsoNZPH8VsEWg2tyPx8HJVGg3t97di67/MywcksDhUlzdDwV4bKPWRr006G9kTNgX/D ZbHm/6NIduM4OINP9bTOFN2r5RkKCmv1lai+61nkJCoX7efCFvN3urXH6WzNFT+zfkbpIWFn2Uah CORIIP0BhkLbBYd12es4R6dfpBNBjwmerVmeWsicnaCgPvZcUqXHrLBSQGZyoWa5ZhASJGYXg1oU WJ2kefzR9aSmEytuLzCsNzEqb40ZO/Hx9TNs4knqpGsJX+Y3ODk4wvttUJl4ZDL2CxI3BPkXc3Le fAsSHxgkL7mDkPsXb2FiFewXCkzfEhkS24w7XVxk4zRUXQ4mXgn9SF+UfDCMVTryYgYX7G2GioZX KUOVua7q9wOEZZsS0VhI0HHOmkpEjDcns5E/UqLVajAAO+vpZBDfG6TOBmHOkaAtsrwu3dI9mTSq Hpd0vrsqYIInp1LJ9y69MyCfUFhbzCZmz6e5i6MbiyTeEc09KhVMaVlXWi9iRYexV+guYYz1w4/c dd7qTui9xcJT/bIF1O6sYUXgB2yixf76BO1+zDBROwIwu/Fj9hndqJnfB2u26N/6EhJzTAccg2fe 5AasKHBWB0R2MaCL0EFvDt0+tzg+YB0s3+LNEwMdsbENAzJCtFLM6tWIAF/o3oQBUMzT2KkcbRxA q3GEaWAFumTAQFh4lg7HB0fPU4as7xr99FNjn7FsIWDOCDjQfwSNhS8V5jdmyp7nHs2gldFcZ/gf XJ/uoO59Wsc2ef01BxHHjiIrKh8liUf+/RqIN1z77Syl2KkFdOp30df/QWpdZwaoA4+AN19VAMlt UZBzBVmzN/9fxjO81A0Ne0AcqbhWLih+mrDRVsJ2r5Akq3GN2UAoRXfPuXNiILDK6d3h+J2L0HCz xV+4YjMT6nC0Gd5VrYIbM4rEOJnSU2wAJgVv0+2Df8rLy7ZuPkiJ7kSgXOGO7+hWAnRO45c/Y34G qWKszU+Utu9u/9W9OarljX4OM5hwz9hsJ5k3IavwchHgsj6bt8jhfE6NFGFoFJAn0Ti/finaueml vG/aukUn5ST0NsReM5HqkDaE7DWLctbKsfuz0iUiRO0JUnlzP6J7i0DDc9nLlhyHaHOo5sIOupSX 6QU3321HVKm8aLbjcvPRRHSB8ceFCpSgfJPWTmLzeZJLK2TYkiuw+9nISNWu2kjykH2kYsUyLGas b1AlqpOmroS/VxaduXYUP55dOZbKFaX2Q04zKyu67/vuW4h64eDwZ6wXamW5faFcOzxjoXagjh46 Z0ROhSpEcqmHkc38J63kMDbWgZXl4ApyGW6AO/LCpHXzCl4sxKXD8Yq0j1j8ZwdoJbbcPcR68rMM oYbmH9+r+5Ud+iUzq9peKpKOHYrGHiGtYi/7uBUXlMRNdinfcnf4SYtoqWnZT80fPZlB++wl2ILx uCNIfbynYMF90V6yIQbo+2FPGOecGknBa6rFHYeaSIHTz+DK7VMuz6qUxoXw5+a/UAfDgBUa/qtZ 6sjhMJ6PiaGgV60lh1lmegQBFBEtrHt2s6/2eNNmLKxWJ+nXXwhPvjyL9ILDQ3FcpNeeTXZqDxuB hzv7VOF3omzGLA2yyTlfOXIRcNEFF1E+XddkZ1xcc3yeSkMgggYRvEPPQj5ov/xaPslkXeaIQsI0 pQBDOCHTtT1rYMnCBURVzHne/VM0mQZVdgpQ8JxSR5FD0q8l+va2OGIGQPwhoARQsRaiQWnQC5kg oZykMoWAWVcaRoLwnxPzrgBlHC0qx+4dGnSEacMUk0dfCFBbFi0F+NbadDir+CKzIPJvBTRkcZxz f03wYLb2anAd94gtvWmRCIkzUc7gEx5kMxhky1DbLO+Rdj6Rp+GSQWxCrSWMDYsklk7VGReGpzXd HqxeU9gxZzu0gsUTHE/9P5SzTCRA2AieodtsQkeZOK1pd+oTb7ucnY6jvQ1gUX10jfRwBGxHxpQJ 1sll+e6k6dmvHcmsJz9BIHYIzo6IQYasqZuG8KdcuoUIULjTk861qfy4CZtXwBMYCnWMrxQHRqKg 6ZIUq2fwoLnDUZCAShHyfHmBf5/HSVelJSS5GdXyN5Q8knbc/LPdq5mBvi+zFl/YHOM/fA7g3SMO lMuhrUtpWZloNWWuOOnWYjZb+PD7FZlhmvLh/w9agM6tkdyhOBzo5hvyG8RLNZfTZgufyJOAXxkj pv9o9GDNtVLzYEtTPl9ecYmIqVlcuvAL62LdwEUWnnI2hXEHVOunyINSZ92qXUxGN+wQ58IzQpH/ wdB7f8CZ+jWwBEp6FllHr6QI9EddCVeQGzPBDxCSSwBbbs2zuz6vJvwJ1nwuL7XxChst7QFnPBQ1 06wUDS4G9050x0CDf9x/NO3cB7Aac2Y+O4K2PDz06IO7fuf2FFGMGLGBcsenTj3zPkHacNVSwd8R IcWL5FBYHwTfAuQFRwPGKgyBVuhQSuSz0lyGRS4L1me9EF14TIz4zsCI+TjJWFLyy5A6nsDoPm9j Rh+SQWUFY+K+s9sJ4dQ/SEZfbZ0d8YJ23WDJmYD9NppaVK58LKk3ap0jMNYVD/Dr0Ofm6elJ+fxB WYmzW2lQJW8wdoDfbSy+cHWy+UlvbGpSaDakWm+1DpU9uOIh9RMeI9wtYc4EjWGt9K6nM89MPkAL 4F676PeZiMbpFolOOVF6Y0HiqvtGSqpe+QmypwWwfATPBmp+7plO8CcoZ1a/p1TnzmaKXB8bs0Lw 54/QhgxwMX49YfbssFPwg2VxYAqLKZcVx5yYwqllwTMyMXxwOpEn2KPu9/LasEYt8FsyiFWK2TdT tNBD4eAHnV88F6FwbQm6oJxJsyvb1/bPb/l3mAHN4BSDP35Z68fm4S2+hG41IgNHUa2r6hG7qUym vfPtzeJ16JVRRSuOQeFIQ96wVmQ2FZBgJ7/fUnl0dLJe3fBp+ajBXLKbADmi8c2gJQ88sOYnEaUJ fi1JqMs2V9vQfWWAd2/SrBL19zBX0Zs0pkudNSvGrLJFqGmWtqVCzBmS9DsMqxOKQeYkPB94n6u0 rbGkNI9Rq9HNMke8HhiAYI9R0R588t8XWnoJ3n39ABcCE/dO7YQPqnnQgnZmFNXaQg0t9x7LQma6 VWLw3oEYuwAn4Eosqr8f7g4hqwsSMMZhiyz2z7U01WXUN7iDNgUlq7Lj5zPapRm1Hi/JFJdGIdL3 6nM06aWNg7ZCgUaa64mPX9kZ/uliTJPVNCqPhI4k8ctRNZRqlOG4Iq6uMTOBGTLAi02lX7rCQsky ZAGH+Tl5ggYAqngyICUGBfbJ5pXu9NdPouleCwUi0997Odg7wZoULwcCUhC6EARvdgzPGR4EJ5rH L3tHVPjIjd3Wkb/nntR0qPVSxZYNhkt/wT+QaB6RD4siW0ARFE6VFS3V2N5SBwA9hrIpTkqYleOn LiJirjH58maTNcr3a5HU2vBTrSmEuVtZyDEIyxY9QhTzLdB7hDZh8OatLSzRxb0USl864H4BcAnt Lb7QzDUaJ2WbgdzusLKjZ4/mKlEcn4ho9jctNQRvXmPNAnUTPU7bigGOp3xCyb6XMi8fzj+mKRcR pbVERK59JrXqrgkiVuOqQCq4HG7ou/iyOpsUJnQPQXE+UbBQL9qgt3h8M/JVm1C0Q3gk+9GR/EW5 0xZchT3lypAhxbV5XkkVl+YmO3nMi1i7IiTQdIFWluXWJLcuxPTQeuwbkPrQSuTj71AIYAB4GZ3K G5qdSDnvZpnje6l8zZMANPK3LqchXL0e/IOD5pYpazWw8tCkgE2PjEqCzwU0uIu6EzysQfj25kk8 Maqi6j49Mu+0OaXJFCaeZfKVfAyqKbVwMggubAhSRHCYj/DWcjcAapkHREi2FhP5T3m4zzEIj4/L 0xESX4yjIdbB39OIazbyUwpmYx5rcoqyZGDL2/eCBHQMT7D7oOgrG4q7RlvnjE+t3Q+KQYvtPNS5 AxBPEPPPSclQbQlW5F161qCaKxBtiilK68xlIBQ2e/Ih4DOrkIkCJTBNqAVFrU0immDPXDOck+qT IRucTodpmfSiH9FS2+LfdlRlXg4s7pzn4IyYc7NsDkUu4GpAZi+u+iRGsL61vbvCeQo14S3UyqhM uC+/+jKIGpd5lu6W8nO4vr3BYfICRRZfKm3P6qyTGocKMnnPyjjFtGkFcq9F9/Aw5vVbJfC7tA/u DN8SzmSN2SfGeX1kDMFeqL3ezJjir4XANDIU+Ak4UW83zqyGopZ6kc4NtG9R75lRB2tArlvmQ/6Y 7pzeZCdjlMrMJcopMZSX70gKWeck2rB2iEKmOV5oO/lQLiZKgCCVQeaGPUF6MhuAHwxwiXvb3JZN Q4oOwUdcnHj5iPKX26D/7wkS3Lgp08iVD9nC8a7dfcFQLEyJy2b+ezQ4dsCHjXaZN1ny9zW7ddUe Q1IW3mGe2i13NBlEPLgEvavF5X8OjPNtufXvCYUIgwu/v9rpD9KcpSe5E0tYMfkI/gcc6FTj0goi WRucqV1tjfoA05ffi70I6W7xLMbvWEVeQUnMbA5I2UoKwzi5FER4qIlIQV4g5lMN1d3tylWq9JS+ vDE2snSLT5SSBYoZhrBAbGByLOA7MKnuhLYJjq+nmi6EkhraG/MoZOB1Lzn14NbgVpEyEWjgc8Ly 4ws5Qnqxy8iIvAfzeWacOb+1VUwLV6aOX/6sa8aDPCiuHpReiB94QgFBEHat2bDZKUrTHJWWaxew fTh6RGieBmBUJyQrGyMzOoU8ZXqNKwpPUyyrNnrU7feO5fVHM7hz13U2fdzFDqcVwDVyMZVg6CKk sf7Oz21n8WcoDNw5D9htL3XPN1wvBEzcB6DhlJ73W5eewRHB/I+nYdhAUYj7ojFiNvv2bvOAlUU2 E6rrsKQbdJ9QQijkFZAUZJjIV8kk1YFTPC2rEXVWQDT55rr345cwUuyf4AzkDf5XHFurE0AiAQeF QNPQpJiwNESrsKaGjqQB5jpDqnPF+vUkz0dO1ESPNllpQMZqM6heg6MAUbBobw0YIPqjCEqw3KvS oMzG8wQYIPtIH91OzoNiMALr7mUaut8d3PG3soBkZj2BZiEWCUsZ+71FjdviOttoLfMuQC509u4i /RZGPHzo3VZHtmR9T2jIhPTP8XVcidfNHiMIEXACH8cQQ59iK2LyO6wUr+H+32PZb8QCHMBSw1uX u3qzXjCkzMtChOjBO/WhoLz5+TxEz/TQovn2YSatxsohIcJHtyqmx1FWcavpS1F+8i++K8wAQc5J 8VVqGYeEYvcVmqTZMXPQjqBg7CYvtJ+prMNB7hDPPz6NH3JdSObidhJziUA2Mr3Kl2y1hrFe9LcD 7d0XrQePb+hlYSsneyhmsst6rbkhnII586a4fBpyn19wH345ap8xOrIC2X2k3DvdFbNd1ALRXi/A +JfDl112DfD4qXRFFMOZkK5eRBf8my1aAEAHhCnVqG/T6izFalmjlH6XP2cz27hj1cdwXYbOLLAC QXLHAOOZNkMUpNPwrfLW61MpgsrYgV7/go5ROyf+6yYYCXOgOvlCnIdp1RnS1Bs2VJaQiOHRz6kf R7Ib0QVr/QmrewcTWm1oIjd+f/YWiaLhV5JnAEE2+aDJGRFA3KwNIgpSxaxG8ZPQyCq/J5pIvyVa 7ZpllZnYZVY7pJkKxO2U336c1AJMUZKJ8cvvAFKd0qGkgV0tORD5MUJEG4YK18GWHGrsHMP9TGu8 9YmT3KqQc57qBhhJgF0mggvIfSJCXei+BsziMGuvRbwXctXsQ7B6pyAkSDJOQcLotq/B++HXpJ37 JCc6+eqom9V4qlgQN+kBCvn6yiSmL9YLTbnD27VB6FJpp1kiyG6fSFND9+mpix/Whg8iC9Q6zDVg k4uKn5DG5MHhRltbzQEYpELrllbd2Jy4xNupXRirwkrcmVx6coJp+1RGjzkqCH/l3dzw6OOwmhHI PMp8j4/vLbSX8aRUJz8UHZlmigfyRT83lzsprMKS6cMYTtzvIC6NaRGOnyvF2hznrpndQue1nhNR ryCrjpJbs9HUKw1iIuU5LZf6wF+1sG0H9yUGTybuPXaM1iVUCYLso7gZqA/UiAYn5/Vm9zzdxNtc QUSnH0n0W/LDg17x9i2kG+6mpT4xE9+icBhn3HyLy5EPwy8nXMLacRNzAwDX0d6NBUBfppcpwyTd I0h+CaSiI4wjgxphQvSSEPE0FmTpGc9ngKo+lLzF4ZpA5N0+eDYy9YM6LbZHsKxsqczxIKRbNvk+ mf0ateXFk0KolbYnzAo6YVlcFKyYdg2NlTjKlBGkVlmLMwLUyhXa0P2gnSlWNFZDQol6BqqXO2ok cnAndzkuHpFg79PUsMKYevz01j5umIyU4guLT/pPmTL0+shDYfNb0dRkUBMf8aXzx3JwHEYDwCKE e8cZhl860qWl+mxbm8P3j3o03X3P6qzo+TSIuaqtKTNSUow0qDmi1KlOvxccgVveR2Qpbk0SrwER MPUrjLzAcvNNcHpy70drF15mW5v6wlRsRxvMK2rfLXW7sy5ea0YrOD3A+bpe9EbAc1yLBD9RbNKz KebrplyTLcMLIiL6ZgWniZxYboeKjL9qWDEeAT6B43trRut05Y8wP9NjC9DPmTTSx3ss2AV5rima 5m+BcTAXB7HW8s13h4OYuxkS3FeSU5JAP/4i4ZowFcXVmVXxvGNDsXiRLg3OZdujRkFeRxr4bhRM +ul+Cykuvz1r3XJJk5STODJBI5O3YX55QAZZ85zaJqJWAtmLCsMHwSFmU0jcO68zzFTsb4v1lGlT j3SePrCEKO3+ckexAe1aBXnR8jzwjUtk2hcs2M7lX9brJGaKOtlxrrRypTXGmBl+mAooyGkZ9hZV 84hIbQCO/eY31E2fab5OGB3ONEgLo9CHSqgaDEyJUGHfwkGgUT22qz2t6YOmLQVbQXYULOd+Hr6v 073fojKsMqSrW+qvFlpb2DFnhfusF9YImyQIKljcl21B9L8XrsquBbbowRZPKZTs+VCUK1K0gbGG X4nKaLtzo8bNC/4AQTN+8RAdWaCkm+iZC9mKHkqAkVpqFlDzy2qbBSrjIVWaGS1Cv261qXcQtKvV +Xvup5KZNTThHpQz8VNJwWNz//4YZs55rrdfp6VoaTTi/ij+Ph7EI3WTEi2Ya08Y4Xi71IfBbU/K NDdDykddaS66L2Ux7iA6umcjf4eU5jWITl7XMRkUrQMwnuuWnAIJJ4KqqRR8YBda8bWboaOD0tCW vOOTa4nlgwiUgkdLDwpWhexZ1b0z5DJdNq3Ku2LAxMqbtB5Jj8P97oQJ3eo+vnpg8oSfFdo7hwF6 hzIl7ZaiZodAhUpc8IX8b6nwxLquHidXtxQHUaTr4V9FCJVP0NZ4krG0f13KBicAbRAeVu+aIebJ 74DZWl8LswpbDM/ZsnWjri9PfVqmRsrh8HRu6mriiUN35uuiC1O6YglAoLr4a8E8lUEK2PcANMeL JdWiYMPFm2FtGJQpevBiXnYs09bUYjnzH3qrNvJdK77ZfkUWylmoVN/L3LdizmtTaGkK//LEq0g/ sF/Q5I6RbHv4i2xf4YY0/2iWLkMQHyXmzbr01LTBm9RXRrZ3ZyY0fXAYNcAE1c0c1za6H2/yVbul 7YYTnVLFI4/fdj8zCGFSKwEJ0vUdN7MBp3Bzcr93O7mTz0fLIui+fIugCHEuYhjwNcAqkX21KUuy baZJ8Kc23VOVqXM0RE8oh8miFABMNFFHDwcaUVv68zthL+my4kuCMJEbkmnLo8KGO87QEuGzwcR/ 2DZLCdVW20Ylv3bRc/lfpFSeTdQ3W9TLFHZD6CO8G/p3uuB1dzy6gYMqvfDeXjQDsRBssZRfbj+x HBevO9kqIziQzZ2Db4Z8M7gm4xViMd+7b2ASpD8DbRgki1rq2TU1jwiJ3+cqcXeZEUwvrPQYzQBz wYaE/71QaR9LAEldnZfrf6Eqe11oF+lFWL1jsaN8A8MD+0R8yuMBaIIpdbuGxLDlLPsvDSUrm7wZ 79WdAq7TX/O3dLiwNQU3n02n/QCRko/5Y59Dv+OYEC/39vO3aYhhlXTYLUB6Wu5dv6x0TZybxHv0 ZJLBCUIoCPx9gqizdXW/XdNwChiH6FV3daWAkLV53cNloBCjnssu397JR1VPGhmXWV1sxjvNDCAD AbUjPJqNlUK83p2+Joqmvnp8TS0HiojlYZQN53BD/Wj3AFBY+haEZ08IiY37m598ehXsE8NB9P1D L6LkizcpCf3yHr4/4YOXwrTsYaRfynJPpNXoxhNesNZjP1wGDTnGSOOnrSaOD5iiHjUtWtvem0Sv BJ9QCSs0h4Hwg2TjmW4YE7i7lovieKiMfizkrJM46YcOLHsYEc1vcsGzDXDdo26TrgHdSKJMGTmW gBaaA8rWTfK+TUhNX+AtONIOJJ82sjscYAfQ0ffT2kwcEyBTlzyoWuaJ/UIyRIniKqr87SlSWn5P WxHmcuzjrXtYjleGuKjF1HmDpAbxEnzg8VVaytlHgkExMBjPeCOnajDCBCy8xVEv9Dtxk4U6jsoU n/AXz0K8+tiC3JcVZpdGomeLvjd9VAPYYiv6eCmFVW3fw+AD135/9qpcwXtfb25XccrnQgomNQPT QSOg1Gi34OpwgjpEmVx21kk9fqq3XBzc798s5d9KOrKXmewPGh29ie8//JMtNbr5OX9V7XqcrF+V JQmja5PqPra2+vDHEVVDVYuc6QDH1cTa2Qg7CPPyHY1uGW64Nx16RkQ7i3F9LQ9+sR27HfhdwD5Z V3zzq77Y05+Y8+1imnGUBIX1PDtBv5sZoDskeXpuFSAjZIJ7HKb1HQXUq7eDRWMi10bicVxA+aJo s9UXdQk7K2P7w7AmZhVQIkbiJLj3bcLHnoe7VLXeUZ+/pvp0j4+qiJWQzR15CsKr88Pi0h3WY/iU AWmaaQAW/nEO9rl6wpUfqHOqUEWr3IcLg8hsgvEDtkTep7vG7Yvhv3zGdaZU2oIYsGTNbwYiP29p 3GLqo3wiWiCEbyzCxhUBUbW3XajaPmIkyzHEAEL5ydIWzygpSxzbTnzyjhgl47PHt5vPVKwUaxYe rOjFjCXqa9+rMOyZ4B643vdg8ebqWwOmAN2/77BEyWpuHdsbdY9EYml7BNULMIqMKlG3N95WRN/q OD92a9gjeW/4eMxMGW4AFDD8/eL6qVp55yTel59d5rKlVZucsgaacsyDAOg1y6a5R6KGrPjt3Bnb 0CuM+Z1BsFSHIkBpVNDdAkYjMhz3JTGH6Lhqj/PtTKAcIdOL1rsg7IF7SwppKbBg7yeYyWRaV0zL eS6lOKMKqtbSuF4zXFyI42qA+RgloLcqN2SopVlvIix6ZqrirlaegYfbTxMYEQB08L838LbCvHB6 Ud6yrSLufG8qRRU9sNxlBbcLOgGQvwvDtk9On48ruaEvyI8K8f2LGNLLCrWPugzy7idO7G+goORF /0IIhUetsTH6x0dxKodlXihbmIYzgphh1Qj8tCLhYZ3WfxqbayWcAjYW6kFPtAiDi3wBi8DMW23n Q4VoJZCJywMPwBeGFZONke9RUfP+NJo/BWblKfIpOyd7tyng6JMn8xFC/flFITBJ6DDnZejY8nvk ooCZplWm1NctkfqjaaQwpDC51Id3r3//HXZ1LernODnYpXDs435Fc1rrfRtAcV4NlEiCi3HSKPuV y0dpxaY+DLnxZGGgrtVXCuDc8LN0r/0SIRjb3ntWMCr6ZHV98xHcT+BIj8NuasAEicghJkRjcLty eUgnfrW3/w32143CYueZJ+acZMLergcqqr83SOl6ArrMW5+vQCBT6WI2PKBuy/j5fWhCgaLxQOwJ Q8t9WorjZqVM0HaQDSnc05oWwpBAcgzazHElK0T9kefaTFPtQE+kPfwQ+Okf3X06y/GF+n9/MvL2 rTX1zv2SwWX1c4ZP97HKmRdHQqvnGtNKrPIkOf+2864q5DOByfnwwhEPi38Wvc2uAYZXK2kLXL6b AVURDJbYpawb3ImsutCtYglkauOEir6qDiL0NECxkcemFxbzXnZB9r/ShprK2FYsEIGauqH6eTOJ PrGb4cmVtZjh+WJ5+w42ep9SZLryz38ya/lqs55FwQLnUDalsI7t/M+1OtisLhk+spu9ieiy1I5H XGBbug543JZX841a6XBcLRLr+Fh//H08McXlfn5a6WhU1EuTc3uwhwtKe7m1EtwfpfvBmjExpkb4 GGAU3CopI6GHTLwLmp6Miy3JBdWo+q+/rt/xQNMoJ/OKJO+JH4xRosCT3wi0brnsgBxv1GRH7zxo mO/IJPfEsK2pEF0NDk8/m0iPdQOKG3fJlTCzLwRkjSUvS3kNHuPCPOjygKjwVivUogMx5Wu4E5IE L8Ah2Uo8t6L2lOzPluZMKz1EK95ZvdO03fDmkBNCosDJ4yFmfj6AbdZv+aXzzVSIjaXE6KbzGzkj be5ObIn6wfbMN2tVAyI1t1hwWBP3gmorgfNKvIo8DLYp3YdwnS87hE/QvmvEukZ5MC1j4vWESMqd W0ijxoV0nL/p6Jd92ZLew6MVyt+I9xyTgWMmPuVkd0K1AnB25lBPg4gkov4sBGBbpcB5v0FjYrLK /d1mFsqAVfjAAsxnOC5tOUNeNTs6H/T152uThECm9QHgSiAe6Wye5pqIkkCY8p7v+WZhQ+I0tjsD wUwg1NXc6PXSJxTQYJczqG3fe+0ALr5q4dl7XcwS6JK0Fr/M5G+JD5FQkUylcgdaTH3NyBA+BC8T sNOOb+Ng6w/XWCvDqWSqGr/ISutGiYqngdrxHDfJLpq2LqwmtC13gZhaK8SJi2Iyzor8YfAyzfst uC/2LiPrPkLmhjaJobZ/Af8eUqyR6Q3uNcXs1lUrt1zs5ZuNJi8nVTCqzQhHIhwT8xuSXPvtu82h ao1y3q45i+G5FLxfqh1K2WccQmnKJHwbf1+9FXP15M9nOlMZAwCdNOrebuCcKnAhPAZgBac1SRzk gpdS/6coAmIHaI0Lm4l4ZY895FWlIN7szIpfJtUb9joDzq1fsGvlHqCCvlAtTnasqL458Yj7omcw R8Z8SmwNMY58IiGLjJ+ECFPZZjg6qtoXJOM4MDlYCnBpjZ9HMQKRUvgpBne3rCWb5yren+iyOmR1 gzW0I4X1aQBdhnHMWMHyYVXi/QsowzUhimvJl5OzlG5jiz08y1/bL2hvMxpTB6rx/IckJz1kCkZl aFRYL8vha2Rp/ux6aPl9/+MZFGFOy7jJ/zPO1iYNA6meFP1/T6dEPmPgO27zqpSl6n6X/YrOvetf wpS8YWdobAAqjomMS0uyj/kwNqqQLv8A+CJHX2VrgLlHxB6aIG7lpCu5UzNX1shpkm4Sv0GePfxh boYnvLHlbeud2iHswX36WZld5m1y2BF13jOMV/8yiHpO3FbF8IMBC7YK7IbWwvTJrgU+z/tGSwDj D51ly+EmAg2y3hj4RDQzxWoao8RUlHSTkRL8oEQzIGUP8YcQ0oYF+OxlTrFUncj9ln1cIUyssLKt 7QDwcf5V0WqzJWvKv/OR2zc0SZdKcQenDiel6oOo+YR03K8EwYi6paPwZZ2D+upS4LnQZ+Joxo0g YB5xe3EqUwQ4U1D+Cg3bOQvzrZtxH9XXjnk9RXm6U+HtoSp14DlsXLkL63ZPYxTKoPKGhK3NUWRR QZrxkP0r2x3R6sy9GP0bWZWe/IayKcBcThQXtBE7bItkV9BMd5mW7gpEqtr/Qms33insahCvHfh1 lPZsotieqQY74WscBCLAU8KOeRdgi4DNa1PctL37oaInSIeSCHduPtadYBm6kEXTB9Y3LRYAYpYx MyxLdm30SdoTDcmjDHqBPQQbdZ2muXVJpxexcZ0BCAdfrjvVJkeBBisFMSnis+cv1RIegVSah/D2 6+uYQf0wWKS1cYEKBFNDIsY2gjzQk0j5bsmTP+AUShyPOSRoqoKN74/gGjmfLcCcc0YHs/3sBWIV Lrdo0rfbyxU1el24WiW+heiph06k2elhkKafkB4ZhHggnbQjEeScXpgiHDS/BN0YJ97JK5ThFp3e +thcZ8wNSk6OIS+BIAKSY6gas2UUJD4x+ZisG+LjgHolnLhiD5uwuAL0e0ZC2BzttfqKKEZ075lO 8YV+Zuwu62TDXsLmNp/o0LL5hqq/h7XCTZx/gueV9C8INMUItHuqHLoHa6hqabFJCLSgdphI+su1 jsgiFkafrLoc9Qx9ei6sLqHEXh3myZ7kxkhCRCGAqVYQL7SZ1yrRYQGCzFjMJLFhDcqHmAYjQHzH eRfHWJD9hrL0Mq7wGeoOwhVgrvHWYUmhy2KgqhwveYPBpElYadyNQ6CDw2OagPPFiN7RNVTiWAY2 YH+L+KOxZTCCiGrjCz6gShB8F0W0dy9xA1RECSIplo5/tMlhnX47UaCalAvP7+9ZJGFeci5QKt21 E7V0FqqUV9PqDKNp8C8hhnUNlbqQyXvE4pS6yzEAUl43Zd2wyMJeneXbP9j+N7OvNVUlOtAuD0Lh Jo7Gr0C1Bl2dDEke2BpQqXS3yxfTZN04EeonH05jZJDarkYoTXeTk9MA/j4gjBhGN2ocgCwURfC/ jdVNyu8SmZQcpA8fw8Uc+kkmONGTMZvkPv+55sO7GXKmhq6ExuMRJp59dOtfWC6wFhnu0BdisVoC DtpfCbihrSGNF7hhWMblCeXUtROzLwtvCEilazsK19DTdk5FGqOzRjZlr5oiLH6QA8QcP2DQ60ux zCpFBM9IrM6Y0jqUShpT2rvtr+t7LbVI9lppg+fwC21jIT1MayUNQ5zO9xRD7C3B3vD5FKG9UNDN w72DJZoyD4mcYkTBvkXUINBzS2p3VyBnrxqE+VbY/KYzjNMpI51s2PUsrJcfpjPtm65HlE75dY0q JXi3wGREE9GOMpJflfp8a+fsyZHxw5eS8Gy3MmVaEfV04fb/5dhQurMyQFLIDHT091K8a6Gm+6z8 xKz1AUOeY+HUnVj0NsqQw/aRALfCnElYx9zSqL42UA/EZcLAp7UJXfRrOdv6DnIvSxcHuchZ/orU h1WZmaxnZCNZ4MKTTKyb7+HkJ8VOXtfL7UpSORGJVkFadW8QNgqCQy8BR8F6TyU1avwua+MJZ3oM Rgvs3oMgCDHBK2jkYajVsywS89MVehr/KIfXh3BBt2e1R4kd0TfPUd2duKLndTxjViSvrlfx9ZsX C8midOSalspP4cEHLSbKc/kbyAuW5q7IFYYrG4LavOOcaLhzRWzltG5aspp2Mwt1mY4SJyc68ONS ZbcvIpe0g2H93LfZHC2lfDUvYaJPrRxKcfPOcDsEvQ3Dz0Sf4eyYbYKWzoJ3x3peuob8z74uCjZv gMrxKBVHnVssCExBC7huxAFLclw4V8mesfPaC3+R1ZsmgXE03PkNOJp1EDFZ5gIUT9E4iaklLrsr eY2S/nHpkLG/+ZJlof8RTQWNTguzISYxzey46atfO8wYNEtYgXDZC4vMIpbTJgyxiv6+05J2zo6k dbeqS2LPpIecHUjo5WgzRqNBewMAGYr3p17+P7KvgiqCGJ7u0VDg8x3NQh9+xyswLaTViBWJnMuN +3x//oT+qKFUatriXNtVhhh2HEEaxB0tQfoctAVG6Xq7Ixnu84lIsm0FmN7hV9x0fQbPzry/1vyQ UJFCVwNe0Vd5k+I0UNciACz6CCIi1tQWn7Y7Gx/EK2Yws0kpPwJVdXrH1ZcsccLKx1HtNPzr2bC3 RuZuGLSguyd5SiPDO3+AJOM/SRv5sntdtDMQML9T0MZSipVtory24buylJDc9p8kShVLn02ujhvt v++u6cNBy9VX+V6jzjq3ocRVPDXEoglFu/kP37NT7V93n8T99Zs6dV4GdZHLWqdi9F1uvQm3jL1r KmgudVXtK2spqZzD31s6cfIG32zJzB91yODTbk0CPq4OzQ0oeyWEjyx3yeDnTsYbUcZNzPgWck5B 688sX5L1zPaEfmSsJqmy/JEE8UHvPW1nBhHv9ynyrA14aS/NWe2W+nZZr7R6Wturuo+O/KiJfCrr AvCihIih8dgli9evVcDleuywjWCwxPIP6YYvoogWr4175Bgn2PcI+cLCzIaXehiYeYlBwL895q6a KWCVD39IZAetx8rEDufOXysx7VfG6Sh34ayIzDXd5FC1QtmSY0jnH9KpeqL4Xgt71w7mpLiIZnaz V44WYSVVG6UoqcU8XiEhi4BJA4SBfXiWBAJDemeEFRroVObn+D+/iq7HMcm6eYh+mLT+gqvLbUZS ieSOasVYqOMvVJ4qCLGNmx9SLTWIaE4mhlNn/YbAATqK+ZicwUM9kKoiL3VWfTI6y6K0kC/v+pig TC1PDmnbE9zE7PPWfQ9VMar4ZNIFvWmWxQUlzQ06IeyeB0DEbggngd4wkmSfeS1N82nCxm37zvXR net/eLhHB8WqRQXvPx5VNVr7eDvIvxih5RecSVQSRQOPUYk1G9J10767L+IHtfPTtM/vbGtWh1Kt fM/lPcQYtZL3jOGoI4wEBV/UlkxlJeUSJVcZW3fNcQynA+XLBllpD5vQJzDhb5eV2f7kTXbCVflw XFEBlMJfvtmwg0lw5Ia6bvkBbhHzK4Er5KjMj4cHgKFLI+PYQQrNOUeFuGn5DapBEua6yOq/UqpE cm2FuHTWNLP2WL6vkeb0Q50umGt7Ehf821XDtSi0Fd9Lim9gneZol/72raweqLvlBoRxATL85Gcr AdRJwVEDEqH1k3BuLa5+5CCyyl8tJRDcYzmV5PKyTVXSEXi1axMSLKw+mympMAigy9rF3vgoiAxC 9HtKBGgWEu+BIu/io2UYsfxnCgAkvpZ3fp8oUxxPdicLw2f59rDz838NZrZJHfAoCt8MBOmMbnQM +oI6G/a+mGReZOhUoOGk+kzxEPgmS4BJ7Hv8Tb5CcOq8jIw81XtNiFFTzYgGJK0MKOcJdPmCF0KI cL6Qi6ixl7SWFzvBnrDSl1CHIUjl1slhjg1leeUK1VCgFhn8Wjv+9JOY0IVJ4vd6VHgFojpfBvM6 CnZFLKP8TycsQ6e+t91bsLIcqWEFqTxugkvQrjD1i/+8zVHZ5yUK+F31A0bEi8UfX7xuUCiJIFIF uLHATzWbQ6XOqKTTutHdGlPMt6mLdDf4LIA6Zibm5A3idaWFa0kEv/TrsQzP0OQzT9vtmb7x1gTt SI+T1xWo6gTu+0rkkoxS/enosXqar0LO8RbYV3epFjfPoIMiB/aYXB3MoWhlMFThT1n+GXUlybT8 3sdAFurs0Y5hYRAhia/c53oME1x/mUIVp/yLjUvDjr/QFZbIYNH8BaFEfaqGeFyvGIucVJW9xoJs cFj5m9AL1K60Uf1PvaqNv9tOI88VOElfW3HMU+iEDWD1bYb16AqyYc9FIKylp0nLix0F4zY+iBwi gwT/zPPCx5jxgHorPpYfU4MHN+QdLDVfYKDghO3sd0u1qD1orgwwBy2rOPVq+otBvKY8hD5NbySS EVhJi5gUp4DBMV1mDHWmRCkxm2CLCKVdTfM0HctBuM3HxNs2w/Qr//6hdIDQ9F2fWRrZxcQkLqi4 zUw0jHh6t/n0QuskLPdbz/3w0eP7prslo86hgub0GeMmW7+TCQnkwLcZO5ySa5UsPqcf+g748qOV FNP7JNM89Q+0EMyEn6hIYx1ouYcsEA3tzwdQTIURukzmchKZ+dr4xRGJYT1D61Ob/vBoCQG2jHkp dCK25IPVPMvCJCQD+xdmQHhqA6oGg95d5snh3vK4SpfjeWo+Y6mOzj3sDG3F/xSvTuncb6m0w0N0 K2UG1yLydRAuWruZK6RuSGcOZraD/Hz6XVr3Yp1Yfdd0w9LfUwlRzPacWUcufa/zjrPlP1VcYPDU 3eN/iwW727dVSZ1+ijWP1oSfO5eVExAFnMo4m0teMFfVypuCh6fiuV1PzxGJ/uZd20TpgI8yi/eX 7YpPwUyXcpRKzmUdRHKF1VH/gqDypAm8g0xOcqvVOXdeNZpmLq4kU02ttQJVmKRbP4lnbOtDu/Y5 jcwNPcSiS3v6xVNcz1RyNcIEiOAh1c0vdNIo7tlkVz9kjzQDx/0Y83CmPS2wAqMCU8V5oIq7g+WY dyAluWp5r4HbrrhnQwL8J/8rEZf18BrHYHV5bjqR7+V2xDeM2FVEkxIQzwSiy0l1r1f0DuHDDPSj aRSNlq3mvqJe8g7zdgLNRYfmLko3C3eH6VnpQuVdDIQWUjbAi8zk/eOzowC1I0ZfYZ+/l3FOXd2k avRDL4b9IncjTfAK/De3psVZSEFlesJZdMqZmMMMTSPVq0uOv2P8pK7sN6I6pITFWfwjLLTKqiqz 8Ls0XOH97WbICK7gI3TcBKUJPCc+JRqT7kzW5qUoMRtzlnZEwyivtDUUMFhtBaTLzMTQKyHmCxoy XNOI2qVLs21kxyKcyEs6cHV3mQ8CRS/AnzkkgaaLvAQdb/lktY1Mfr83eEP1L02irJx+tZTD7MS4 PJOYUbqPjApom2aiHM2t2pEHK8Chg029obZhxlw964Dt3SymKf8poF7zjQZV5xZqDCKLpn4KCNei 7/95x9ESwQjU4anAsRwcBHhxFcHcoile02GGXww0FH+BGF5hV/xjHwvTFy9ZVqKcRblLDW8Ho+rA eaHJDxdWd8NizI6NVF09dHvK+WdNeXhuEkJotuxPDiZy1BqL9eLYQXa5bb3CLIAprXTaNnsPU0KF A+yDDOHfDJvLZV7i/gSjzoYkuxo5CsaRsrVdTD4V3tf0KXn0QGuCZ9gWwq4HVmKqFZUJ9FueQ+5Q mXy2zKkPDTh6Kv2fzVliWl3ZlCcWE+CkP/JcRfqoP1nRUzCw1RBNwcpqx4ohrJu82Ap5jSuTBgD5 mxuYdCkAZwoFM3kVgJauHEe6vTTRWXhC1kJtzWaurHy9Ozpq5SGxNg1IVF9sUBIslpgWq59sMg7o L+IT0TLFQ3AbRcmp/jBZaW1ZM5/rvSSbf3LoEM1fifZDsvhfDGxNjY0F7DFO3FQh465oJU5YjMjL Y9WUn3ROHI6Wn42jwn28ebUvf2Nz0DC/xXoZ0U1wYJhonOWIWjwYskE6Tda71Jw0H8dquls3WPix MJL8Tb0BJrYCdjVKXwOwYFRERl4MK1YnBduXomlA4YBTUf4xvg85jj+16x6kXdKj5X2naYXzgf8s s96C6jo6STtts0QpX4W0nfzCM9iFUcDEJvxdgXvEjBhFvoDC5eNTGs3m8PLXBaeN6zh9U0h/zqMN iPMiRHu0wuOw18tgJavp/Gh3k5H4Ym3c/QD+fP5vKw/x37llyaJlubFP0lZDGGEViAbnFuMeTIzx jeGuKLRWIE1gIlLNmjKO20Rw0qZ1BKE/fV7ZN9jeHF1t7K2oSgovB+e6qoE3wS2iS1tZ9yHQcThj yEBhcaLAo/3otysJwhB8oXNE94hNAszIZrslTAqXD5cB1C+Tjv9bmp6eTICg7St9dwnSfeaXi2Dl ZSGtnSTMM+qAKXq5mXrtHb0y48Wy7pACr9c2Fi80Xkq8TFSIItFobhcBEpFkws3/1cGF1n/XlodG q9tQ5BEEoOMtw2pM4y1oLxirsLRUPSYVLbKU/BLiRIbt3Yp2qqdoFMJn0RzqYfIIrNsqBNQ/EYjP fLI+6u6DwzCMy0s3UKtGAXD1WPOhde87dVKl9hg4lZQWGd3JnIPnl+gVcaOK9dg7aKakuCBIHj95 +nsQvUUMr9hzbnFrgU3B6iiXo5rVku/zGyCGR78PaXQ0Mzb6SOJ4CJ+lg8j1Yo574kfCW/Ix5mGC Q6v5TgsoZxgnGAQ6jr489RUpKz/xupAzurzxo5F2S0bx0ufzKVC2LaiZVHqDGb8QWBXq6GSgbm1e 8zH//Z+zbJGxpscUEFvMMspu6AmCBU3jzxdZnLPFL5gbD9lzWkDUdkkDXJbbV6Ze4avqOs5Uh9qD wseU5WcMJfmkjkeUQnWtEbXKlg/wfjKxnPoGdcT2nTLagGCUdFnaxwv0vsDfBzy2R7vnHbS4KaTZ 4bXbgYz/xUCsMeheKpvJ/zUVa8gCNiYNiDVVTlnqcvvOb9ZuM3ytA3wpT/JYeyPExiKMhVdiRvz3 qgZAY86RETbgiXk0JB48Sp32yNQbbgIbg21hsCsmdJyDrx3Ow+tftgxQHrwmG1Jw1FV6Tr5RGYyC OM14xbped8/fzrg0Wz7LQbtrBv902htHYMH2ylUsWdx/3/hbaoTFGedQpjX+LJqXtVqCIgg7wJsR Q+KYBE9k0fC683NAxJAKIfBByWwFIFkKX/PrCLZUb2pbvRZ/EyAd67wdUYvx02f2j1Dwbs4adqat 88Ru12Y6AR3QGHtp9i+Ib+hckSY4+oIkq3GeRWbYxXJSDorvzGCFiMxwrqbOGpT02GrZh0oi4Qow fpfFJEPIOXTbJAuwCPUN7TiFE3a9mBeqwO1a+wSak0eYDlVgqDz6oGA+JtIFZ2nCMT1xMBiz3Bws AV+ysu1OK5QrLlh7okmYull42ypGewWwJlrLhiX5ZpXwAykq31Ww81pscnOhrWV5HbxYlfeb5VtF Ax13bT5+f0aJUFT5MQOnoY/SYhFZnWt3VwC5jUszNaLkpra67gFfhGoeKdeUXBM1Zr8Bws9GvPOd HaoWR09qqxdh2agMfLGzEcK/T4P6LhNX5P2vbbtkqsb2GtFbQNHybCxp/JlpWBbFjR3dIW9K0yVE V1Ja1x1Subr4atRih2mFUvlvd0p/imh0KU5IdUtk6um8QwBWWxISDTrwPCKoALR9rapm51yovXU= `protect end_protected
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 11:02:34 07/20/2006 -- Design Name: -- Module Name: icapFIFO - icapFIFO_rtl -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity icapFIFO is generic ( C_FIFO_DEPTH : integer := 64; C_DIN_WIDTH : integer := 64; C_DOUT_WIDTH : integer := 8 ); port ( clk : in std_logic; reset : in std_logic; wEn_i : in std_logic; wData_i : in std_logic_vector(C_DIN_WIDTH-1 downto 0); rEn_i : in std_logic; rData_o : out std_logic_vector(C_DOUT_WIDTH-1 downto 0); full_o : out std_logic; empty_o : out std_logic ); end icapFIFO; architecture icapFIFO_rtl of icapFIFO is -- A synthesizable function that returns the integer part of the base 2 logarithm for a positive number -- is (uses recursion) from http://tams-www.informatik.uni-hamburg.de/vhdl/doc/faq/FAQ1.html function log2(x:positive) return natural is begin if(x<=1) then return 0; else return log2(x/2)+1; end if; end function log2; constant C_AIN_WIDTH : integer := log2(C_FIFO_DEPTH); -- 6 in this case constant C_AOUT_WIDTH : integer := log2(C_FIFO_DEPTH*C_DIN_WIDTH/C_DOUT_WIDTH); -- 9 in this case constant C_AOUT_SPLIT : integer := C_AOUT_WIDTH - C_AIN_WIDTH; -- 3 in this case type fifo_type is array(C_FIFO_DEPTH-1 downto 0) of std_logic_vector(C_DIN_WIDTH-1 downto 0); signal fifo : fifo_type; signal head, head_n : std_logic_vector(C_AIN_WIDTH-1 downto 0); signal tail, tail_n : std_logic_vector(C_AOUT_WIDTH-1 downto 0); signal empty, empty_p : std_logic; -- Add keep attribute to tail_n to prevent synthesis of both counter and adder attribute keep : string; attribute keep of tail_n : signal is "true"; signal fData : std_logic_vector(C_DIN_WIDTH-1 downto 0); type fMux_type is array(C_DIN_WIDTH/C_DOUT_WIDTH-1 downto 0) of std_logic_vector(C_DOUT_WIDTH-1 downto 0); signal fMux : fMux_type; begin head_n <= head+1 when(wEn_i='1') else head; tail_n <= tail+1 when(rEn_i='1') else tail; process(clk) begin if(clk='1' and clk'event) then if(reset='1') then head <= (others=>'0'); tail <= (others=>'0'); else head <= head_n; tail <= tail_n; end if; -- if wEn_i ='1' write wData_i to Address specified by head pointer. if(wEn_i='1') then fifo(CONV_INTEGER(UNSIGNED(head))) <= wData_i; end if; -- if(wEn_i='1' and tail_n(C_AOUT_WIDTH-1 downto C_AOUT_WIDTH-C_AIN_WIDTH)=head) then -- fData <= wData_i; -- else fData <= fifo(CONV_INTEGER(UNSIGNED(tail_n(C_AOUT_WIDTH-1 downto C_AOUT_SPLIT)))); -- tail_n(8 downto 3) -- ??? -- end if; end if; end process; --empty signal one cycle delayed, because no write through is supported empty <= '1' when(tail(C_AOUT_WIDTH-1 downto C_AOUT_SPLIT) = head) else '0'; -- tail(8 downto 3) process(clk) begin if(clk='1' and clk'event) then empty_p <= empty; end if; end process; empty_o <= '0' when(empty='0' and empty_p='0') else '1'; -- Generate the full signal -- asserted whenever the fifo memory is 3/4 full -- here is an example when the fifo memory is 3/4 full (fMSB = 1100) -- 00 01 10 11 00 01 10 <- MSBs from head and tail -- |________|________|________|________|________|________|________| -- -- ^ ^ -- | | -- Tail Head -- -- The fifo is 3/4 full when fMSB equals (0001, 0110, 1011, 1100) -- These processes generate the full and the empty signal process(head, tail) variable fMSB : std_logic_vector(3 downto 0); begin -- in this case fMSB is composed of head(5) & head (4) & tail(8) & tail(7) fMSB := head(C_AIN_WIDTH-1)&head(C_AIN_WIDTH-2)&tail(C_AOUT_WIDTH-1)&tail(C_AOUT_WIDTH-2); case(fMSB) is when "0000" => full_o <= '0'; when "0001" => full_o <= '1'; when "0010" => full_o <= '0'; when "0011" => full_o <= '0'; when "0100" => full_o <= '0'; when "0101" => full_o <= '0'; when "0110" => full_o <= '1'; when "0111" => full_o <= '0'; when "1000" => full_o <= '0'; when "1001" => full_o <= '0'; when "1010" => full_o <= '0'; when "1011" => full_o <= '1'; when "1100" => full_o <= '1'; when "1101" => full_o <= '0'; when "1110" => full_o <= '0'; when "1111" => full_o <= '0'; when others => full_o <= '0'; end case; end process; process(fData) begin for i in 0 to C_DIN_WIDTH/C_DOUT_WIDTH-1 loop -- BUG: fMux(C_DIN_WIDTH/C_DOUT_WIDTH-1-i) <= fData((i+1)*(C_DIN_WIDTH/C_DOUT_WIDTH)-1 downto i*(C_DIN_WIDTH/C_DOUT_WIDTH)); fMux(C_DIN_WIDTH/C_DOUT_WIDTH-1-i) <= fData((i+1)*C_DOUT_WIDTH-1 downto i*C_DOUT_WIDTH); end loop; end process; rData_o <= fMux(CONV_INTEGER(UNSIGNED(tail(C_AOUT_SPLIT-1 downto 0)))); -- tail(2 downto 0) end icapFIFO_rtl;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 11:02:34 07/20/2006 -- Design Name: -- Module Name: icapFIFO - icapFIFO_rtl -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity icapFIFO is generic ( C_FIFO_DEPTH : integer := 64; C_DIN_WIDTH : integer := 64; C_DOUT_WIDTH : integer := 8 ); port ( clk : in std_logic; reset : in std_logic; wEn_i : in std_logic; wData_i : in std_logic_vector(C_DIN_WIDTH-1 downto 0); rEn_i : in std_logic; rData_o : out std_logic_vector(C_DOUT_WIDTH-1 downto 0); full_o : out std_logic; empty_o : out std_logic ); end icapFIFO; architecture icapFIFO_rtl of icapFIFO is -- A synthesizable function that returns the integer part of the base 2 logarithm for a positive number -- is (uses recursion) from http://tams-www.informatik.uni-hamburg.de/vhdl/doc/faq/FAQ1.html function log2(x:positive) return natural is begin if(x<=1) then return 0; else return log2(x/2)+1; end if; end function log2; constant C_AIN_WIDTH : integer := log2(C_FIFO_DEPTH); -- 6 in this case constant C_AOUT_WIDTH : integer := log2(C_FIFO_DEPTH*C_DIN_WIDTH/C_DOUT_WIDTH); -- 9 in this case constant C_AOUT_SPLIT : integer := C_AOUT_WIDTH - C_AIN_WIDTH; -- 3 in this case type fifo_type is array(C_FIFO_DEPTH-1 downto 0) of std_logic_vector(C_DIN_WIDTH-1 downto 0); signal fifo : fifo_type; signal head, head_n : std_logic_vector(C_AIN_WIDTH-1 downto 0); signal tail, tail_n : std_logic_vector(C_AOUT_WIDTH-1 downto 0); signal empty, empty_p : std_logic; -- Add keep attribute to tail_n to prevent synthesis of both counter and adder attribute keep : string; attribute keep of tail_n : signal is "true"; signal fData : std_logic_vector(C_DIN_WIDTH-1 downto 0); type fMux_type is array(C_DIN_WIDTH/C_DOUT_WIDTH-1 downto 0) of std_logic_vector(C_DOUT_WIDTH-1 downto 0); signal fMux : fMux_type; begin head_n <= head+1 when(wEn_i='1') else head; tail_n <= tail+1 when(rEn_i='1') else tail; process(clk) begin if(clk='1' and clk'event) then if(reset='1') then head <= (others=>'0'); tail <= (others=>'0'); else head <= head_n; tail <= tail_n; end if; -- if wEn_i ='1' write wData_i to Address specified by head pointer. if(wEn_i='1') then fifo(CONV_INTEGER(UNSIGNED(head))) <= wData_i; end if; -- if(wEn_i='1' and tail_n(C_AOUT_WIDTH-1 downto C_AOUT_WIDTH-C_AIN_WIDTH)=head) then -- fData <= wData_i; -- else fData <= fifo(CONV_INTEGER(UNSIGNED(tail_n(C_AOUT_WIDTH-1 downto C_AOUT_SPLIT)))); -- tail_n(8 downto 3) -- ??? -- end if; end if; end process; --empty signal one cycle delayed, because no write through is supported empty <= '1' when(tail(C_AOUT_WIDTH-1 downto C_AOUT_SPLIT) = head) else '0'; -- tail(8 downto 3) process(clk) begin if(clk='1' and clk'event) then empty_p <= empty; end if; end process; empty_o <= '0' when(empty='0' and empty_p='0') else '1'; -- Generate the full signal -- asserted whenever the fifo memory is 3/4 full -- here is an example when the fifo memory is 3/4 full (fMSB = 1100) -- 00 01 10 11 00 01 10 <- MSBs from head and tail -- |________|________|________|________|________|________|________| -- -- ^ ^ -- | | -- Tail Head -- -- The fifo is 3/4 full when fMSB equals (0001, 0110, 1011, 1100) -- These processes generate the full and the empty signal process(head, tail) variable fMSB : std_logic_vector(3 downto 0); begin -- in this case fMSB is composed of head(5) & head (4) & tail(8) & tail(7) fMSB := head(C_AIN_WIDTH-1)&head(C_AIN_WIDTH-2)&tail(C_AOUT_WIDTH-1)&tail(C_AOUT_WIDTH-2); case(fMSB) is when "0000" => full_o <= '0'; when "0001" => full_o <= '1'; when "0010" => full_o <= '0'; when "0011" => full_o <= '0'; when "0100" => full_o <= '0'; when "0101" => full_o <= '0'; when "0110" => full_o <= '1'; when "0111" => full_o <= '0'; when "1000" => full_o <= '0'; when "1001" => full_o <= '0'; when "1010" => full_o <= '0'; when "1011" => full_o <= '1'; when "1100" => full_o <= '1'; when "1101" => full_o <= '0'; when "1110" => full_o <= '0'; when "1111" => full_o <= '0'; when others => full_o <= '0'; end case; end process; process(fData) begin for i in 0 to C_DIN_WIDTH/C_DOUT_WIDTH-1 loop -- BUG: fMux(C_DIN_WIDTH/C_DOUT_WIDTH-1-i) <= fData((i+1)*(C_DIN_WIDTH/C_DOUT_WIDTH)-1 downto i*(C_DIN_WIDTH/C_DOUT_WIDTH)); fMux(C_DIN_WIDTH/C_DOUT_WIDTH-1-i) <= fData((i+1)*C_DOUT_WIDTH-1 downto i*C_DOUT_WIDTH); end loop; end process; rData_o <= fMux(CONV_INTEGER(UNSIGNED(tail(C_AOUT_SPLIT-1 downto 0)))); -- tail(2 downto 0) end icapFIFO_rtl;
--======================================================================================================================== -- Copyright (c) 2018 by Bitvis AS. All rights reserved. -- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not, -- contact Bitvis AS <[email protected]>. -- -- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE -- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS -- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR -- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM. --======================================================================================================================== ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use std.textio.all; library uvvm_util; context uvvm_util.uvvm_util_context; library uvvm_vvc_framework; use uvvm_vvc_framework.ti_generic_queue_pkg; use work.generic_sb_support_pkg.all; package generic_sb_pkg is generic (type t_element; function element_match(received_element : t_element; expected_element : t_element) return boolean; function to_string_element(element : t_element) return string; constant sb_config_default : t_sb_config := C_SB_CONFIG_DEFAULT; constant GC_QUEUE_COUNT_MAX : natural := 1000; constant GC_QUEUE_COUNT_THRESHOLD : natural := 950); type t_generic_sb is protected procedure config( constant sb_config_array : in t_sb_config_array; constant msg : in string := ""); procedure config( constant instance : in integer; constant sb_config : in t_sb_config; constant msg : in string := ""; constant ext_proc_call : in string := ""); procedure config( constant sb_config : in t_sb_config; constant msg : in string := ""); procedure enable( constant instance : in integer; constant msg : in string := ""; constant ext_proc_call : in string := ""); procedure enable( constant msg : in string); procedure enable( constant void : in t_void); procedure disable( constant instance : in integer; constant msg : in string := ""; constant ext_proc_call : in string := ""); procedure disable( constant msg : in string); procedure disable( constant void : in t_void); procedure add_expected( constant instance : in integer; constant expected_element : in t_element; constant tag_usage : in t_tag_usage; constant tag : in string; constant msg : in string := ""; constant source : in string := ""; constant ext_proc_call : in string := ""); procedure add_expected( constant expected_element : in t_element; constant tag_usage : in t_tag_usage; constant tag : in string; constant msg : in string := ""; constant source : in string := ""); procedure add_expected( constant expected_element : in t_element; constant msg : in string := ""; constant source : in string := ""); procedure add_expected( constant instance : in integer; constant expected_element : in t_element; constant msg : in string := ""; constant source : in string := ""); procedure check_received( constant instance : in integer; constant received_element : in t_element; constant tag_usage : in t_tag_usage; constant tag : in string; constant msg : in string := ""; constant ext_proc_call : in string := ""); procedure check_received( constant received_element : in t_element; constant tag_usage : in t_tag_usage; constant tag : in string; constant msg : in string := ""); procedure check_received( constant instance : in integer; constant received_element : in t_element; constant msg : in string := ""); procedure check_received( constant received_element : in t_element; constant msg : in string := ""); procedure flush( constant instance : in integer; constant msg : in string := ""; constant ext_proc_call : in string := ""); procedure flush( constant msg : in string); procedure flush( constant void : in t_void); procedure reset( constant instance : in integer; constant msg : in string := ""; constant ext_proc_call : in string := ""); procedure reset( constant msg : in string); procedure reset( constant void : in t_void); impure function is_empty( constant instance : in integer) return boolean; impure function is_empty( constant void : in t_void) return boolean; impure function get_entered_count( constant instance : in integer) return integer; impure function get_entered_count( constant void : in t_void) return integer; impure function get_pending_count( constant instance : in integer) return integer; impure function get_pending_count( constant void : in t_void) return integer; impure function get_match_count( constant instance : in integer) return integer; impure function get_match_count( constant void : in t_void) return integer; impure function get_mismatch_count( constant instance : in integer) return integer; impure function get_mismatch_count( constant void : in t_void) return integer; impure function get_drop_count( constant instance : in integer) return integer; impure function get_drop_count( constant void : in t_void) return integer; impure function get_initial_garbage_count( constant instance : in integer) return integer; impure function get_initial_garbage_count( constant void : in t_void) return integer; impure function get_delete_count( constant instance : in integer) return integer; impure function get_delete_count( constant void : in t_void) return integer; impure function get_overdue_check_count( constant instance : in integer) return integer; impure function get_overdue_check_count( constant void : in t_void) return integer; procedure set_scope( constant scope : in string); impure function get_scope( constant void : in t_void) return string; procedure enable_log_msg( constant instance : in integer; constant msg_id : in t_msg_id; constant ext_proc_call : in string := ""); procedure enable_log_msg( constant msg_id : in t_msg_id); procedure disable_log_msg( constant instance : in integer; constant msg_id : in t_msg_id; constant ext_proc_call : in string := ""); procedure disable_log_msg( constant msg_id : in t_msg_id); procedure report_counters( constant instance : in integer; constant ext_proc_call : in string := ""); procedure report_counters( constant void : in t_void); procedure insert_expected( constant instance : in integer; constant identifier_option : in t_identifier_option; constant identifier : in positive; constant expected_element : in t_element; constant tag_usage : in t_tag_usage; constant tag : in string; constant msg : in string := ""; constant source : in string := ""; constant ext_proc_call : in string := ""); procedure insert_expected( constant identifier_option : in t_identifier_option; constant identifier : in positive; constant expected_element : in t_element; constant tag_usage : in t_tag_usage; constant tag : in string; constant msg : in string := ""; constant source : in string := ""); procedure delete_expected( constant instance : in integer; constant expected_element : in t_element; constant tag_usage : in t_tag_usage; constant tag : in string; constant msg : in string := ""; constant ext_proc_call : in string := ""); procedure delete_expected( constant expected_element : in t_element; constant tag_usage : in t_tag_usage; constant tag : in string; constant msg : in string := ""); procedure delete_expected( constant instance : in integer; constant expected_element : in t_element; constant msg : in string := ""); procedure delete_expected( constant expected_element : in t_element; constant msg : in string := ""); procedure delete_expected( constant instance : in integer; constant tag_usage : in t_tag_usage; constant tag : in string; constant msg : in string := ""; constant ext_proc_call : in string := ""); procedure delete_expected( constant tag_usage : in t_tag_usage; constant tag : in string; constant msg : in string := ""); procedure delete_expected( constant instance : in integer; constant identifier_option : in t_identifier_option; constant identifier_min : in positive; constant identifier_max : in positive; constant msg : in string := ""; constant ext_proc_call : in string := ""); procedure delete_expected( constant identifier_option : in t_identifier_option; constant identifier_min : in positive; constant identifier_max : in positive; constant msg : in string := ""); procedure delete_expected( constant instance : in integer; constant identifier_option : in t_identifier_option; constant identifier : in positive; constant range_option : in t_range_option; constant msg : in string := ""; constant ext_proc_call : in string := ""); procedure delete_expected( constant identifier_option : in t_identifier_option; constant identifier : in positive; constant range_option : in t_range_option; constant msg : in string := ""); impure function find_expected_entry_num( constant instance : in integer; constant expected_element : in t_element; constant tag_usage : in t_tag_usage; constant tag : in string) return integer; impure function find_expected_entry_num( constant expected_element : in t_element; constant tag_usage : in t_tag_usage; constant tag : in string) return integer; impure function find_expected_entry_num( constant instance : in integer; constant expected_element : in t_element) return integer; impure function find_expected_entry_num( constant expected_element : in t_element) return integer; impure function find_expected_entry_num( constant instance : in integer; constant tag_usage : in t_tag_usage; constant tag : in string) return integer; impure function find_expected_entry_num( constant tag_usage : in t_tag_usage; constant tag : in string) return integer; impure function find_expected_position( constant instance : in integer; constant expected_element : in t_element; constant tag_usage : in t_tag_usage; constant tag : in string) return integer; impure function find_expected_position( constant expected_element : in t_element; constant tag_usage : in t_tag_usage; constant tag : in string) return integer; impure function find_expected_position( constant instance : in integer; constant expected_element : in t_element) return integer; impure function find_expected_position( constant expected_element : in t_element) return integer; impure function find_expected_position( constant instance : in integer; constant tag_usage : in t_tag_usage; constant tag : in string) return integer; impure function find_expected_position( constant tag_usage : in t_tag_usage; constant tag : in string) return integer; impure function peek_expected( constant instance : integer; constant identifier_option : t_identifier_option; constant identifier : positive) return t_element; impure function peek_expected( constant identifier_option : t_identifier_option; constant identifier : positive) return t_element; impure function peek_expected( constant instance : integer) return t_element; impure function peek_expected( constant void : t_void) return t_element; impure function peek_source( constant instance : integer; constant identifier_option : t_identifier_option; constant identifier : positive) return string; impure function peek_source( constant identifier_option : t_identifier_option; constant identifier : positive) return string; impure function peek_source( constant instance : integer) return string; impure function peek_source( constant void : t_void) return string; impure function peek_tag( constant instance : integer; constant identifier_option : t_identifier_option; constant identifier : positive) return string; impure function peek_tag( constant identifier_option : t_identifier_option; constant identifier : positive) return string; impure function peek_tag( constant instance : integer) return string; impure function peek_tag( constant void : t_void) return string; impure function fetch_expected( constant instance : integer; constant identifier_option : t_identifier_option; constant identifier : positive; constant msg : string := ""; constant ext_proc_call : string := "") return t_element; impure function fetch_expected( constant identifier_option : t_identifier_option; constant identifier : positive; constant msg : string := "") return t_element; impure function fetch_expected( constant instance : integer; constant msg : string := "") return t_element; impure function fetch_expected( constant msg : string) return t_element; impure function fetch_expected( constant void : t_void) return t_element; impure function fetch_source( constant instance : integer; constant identifier_option : t_identifier_option; constant identifier : positive; constant msg : string := ""; constant ext_proc_call : string := "") return string; impure function fetch_source( constant identifier_option : t_identifier_option; constant identifier : positive; constant msg : string := "") return string; impure function fetch_source( constant instance : integer; constant msg : string := "") return string; impure function fetch_source( constant msg : string) return string; impure function fetch_source( constant void : t_void) return string; impure function fetch_tag( constant instance : integer; constant identifier_option : t_identifier_option; constant identifier : positive; constant msg : string := ""; constant ext_proc_call : string := "") return string; impure function fetch_tag( constant identifier_option : t_identifier_option; constant identifier : positive; constant msg : string := "") return string; impure function fetch_tag( constant instance : integer; constant msg : string := "") return string; impure function fetch_tag( constant msg : string) return string; impure function fetch_tag( constant void : t_void) return string; impure function exists( constant instance : integer; constant expected_element : t_element; constant tag_usage : t_tag_usage := NO_TAG; constant tag : string := "") return boolean; impure function exists( constant expected_element : t_element; constant tag_usage : t_tag_usage := NO_TAG; constant tag : string := "") return boolean; impure function exists( constant instance : integer; constant tag_usage : t_tag_usage; constant tag : string) return boolean; impure function exists( constant tag_usage : t_tag_usage; constant tag : string) return boolean; end protected t_generic_sb; end package generic_sb_pkg; package body generic_sb_pkg is -- SB type declaration type t_sb_entry is record expected_element : t_element; source : string(1 to C_SB_SOURCE_WIDTH); tag : string(1 to C_SB_TAG_WIDTH); entry_time : time; end record; -- Declaration of sb_queue_pkg used to store all entries package sb_queue_pkg is new uvvm_vvc_framework.ti_generic_queue_pkg generic map ( t_generic_element => t_sb_entry, scope => "SB_queue", GC_QUEUE_COUNT_MAX => 1000, GC_QUEUE_COUNT_THRESHOLD => 750); use sb_queue_pkg.all; type t_generic_sb is protected body ---------------------------------------------------------------------------------------------------- -- Variables ---------------------------------------------------------------------------------------------------- variable vr_scope : string(1 to C_LOG_SCOPE_WIDTH) := (1 to 4 => "?_SB", others => NUL); variable vr_config : t_sb_config_array(0 to C_MAX_QUEUE_INSTANCE_NUM) := (others => sb_config_default); variable vr_instance_enabled : boolean_vector(0 to C_MAX_QUEUE_INSTANCE_NUM) := (others => false); variable vr_sb_queue : sb_queue_pkg.t_generic_queue; type t_msg_id_panel_array is array(0 to C_MAX_QUEUE_INSTANCE_NUM) of t_msg_id_panel; variable vr_msg_id_panel_array : t_msg_id_panel_array := (others => C_SB_MSG_ID_PANEL_DEFAULT); -- Counters variable vr_entered_cnt : integer_vector(0 to C_MAX_QUEUE_INSTANCE_NUM) := (others => -1); variable vr_match_cnt : integer_vector(0 to C_MAX_QUEUE_INSTANCE_NUM) := (others => -1); variable vr_mismatch_cnt : integer_vector(0 to C_MAX_QUEUE_INSTANCE_NUM) := (others => -1); variable vr_drop_cnt : integer_vector(0 to C_MAX_QUEUE_INSTANCE_NUM) := (others => -1); variable vr_initial_garbage_cnt : integer_vector(0 to C_MAX_QUEUE_INSTANCE_NUM) := (others => -1); variable vr_delete_cnt : integer_vector(0 to C_MAX_QUEUE_INSTANCE_NUM) := (others => -1); variable vr_overdue_check_cnt : integer_vector(0 to C_MAX_QUEUE_INSTANCE_NUM) := (others => -1); --================================================================================================== -- NON PUBLIC METHODS --================================================================================================== procedure check_instance_in_range( constant instance : in integer ) is begin check_value_in_range(instance, 0, C_MAX_QUEUE_INSTANCE_NUM, TB_ERROR, "Instance must be within range 0 to C_MAX_QUEUE_INSTANCE_NUM, " & to_string(C_MAX_QUEUE_INSTANCE_NUM) & ".", vr_scope, ID_NEVER); end procedure check_instance_in_range; procedure check_instance_enabled( constant instance : in integer ) is begin check_value(vr_instance_enabled(instance), TB_ERROR, "The instance is not enabled", vr_scope, ID_NEVER); end procedure check_instance_enabled; procedure check_queue_empty( constant instance : in natural ) is begin check_value(not vr_sb_queue.is_empty(instance), TB_ERROR, "The queue is empty", vr_scope, ID_NEVER); end procedure check_queue_empty; procedure check_config_validity( constant config : in t_sb_config ) is begin check_value(config.allow_out_of_order and config.allow_lossy, false, TB_ERROR, "allow_out_of_order and allow_lossy cannot both be enabled. Se documentation for how to handle both modes.", vr_scope, ID_NEVER); check_value(config.overdue_check_time_limit >= 0 ns, TB_ERROR, "overdue_check_time_limit cannot be less than 0 ns.", vr_scope, ID_NEVER); end procedure; impure function match_received_vs_entry ( constant received_element : in t_element; constant sb_entry : in t_sb_entry; constant tag_usage : in t_tag_usage; constant tag : in string ) return boolean is begin -- If TAG then check if tag match if tag_usage = uvvm_util.types_pkg.TAG then if pad_string(tag, NUL, C_SB_TAG_WIDTH) /= sb_entry.tag then return false; end if; end if; return element_match(received_element, sb_entry.expected_element); end function match_received_vs_entry; impure function match_expected_vs_entry ( constant expected_element : in t_element; constant sb_entry : in t_sb_entry; constant tag_usage : in t_tag_usage; constant tag : in string ) return boolean is begin -- If TAG then check if tag match if tag_usage = uvvm_util.types_pkg.TAG then if pad_string(tag, NUL, C_SB_TAG_WIDTH) /= sb_entry.tag then return false; end if; end if; return expected_element = sb_entry.expected_element; end function match_expected_vs_entry; procedure log( instance : natural; msg_id : t_msg_id; msg : string; scope : string ) is begin if vr_msg_id_panel_array(instance)(msg_id) = ENABLED then log(msg_id, msg, scope, C_MSG_ID_PANEL_DEFAULT); end if; end procedure; --================================================================================================== -- PUBLIC METHODS --================================================================================================== ---------------------------------------------------------------------------------------------------- -- -- config -- -- Sets config for each instance, by array or instance parameter -- ---------------------------------------------------------------------------------------------------- procedure config( constant sb_config_array : in t_sb_config_array; constant msg : in string := "" ) is begin -- Check if range is within limits check_value(sb_config_array'low >= 0 and sb_config_array'high <= C_MAX_QUEUE_INSTANCE_NUM, TB_ERROR, "Configuration array must be within range 0 to C_MAX_QUEUE_INSTANCE_NUM, " & to_string(C_MAX_QUEUE_INSTANCE_NUM) & ".", vr_scope, ID_NEVER); -- Apply config to the defined range for i in sb_config_array'low to sb_config_array'high loop check_config_validity(sb_config_array(i)); log(i, ID_CTRL, "config: config applied to instance " & to_string(i) & "." & add_msg_delimiter(msg), vr_scope); vr_config(i) := sb_config_array(i); end loop; end procedure config; procedure config( constant instance : in integer; constant sb_config : in t_sb_config; constant msg : in string := ""; constant ext_proc_call : in string := "" -- not proc??? ) is constant proc_name : string := "config"; begin -- Sanity checks check_instance_in_range(instance); check_config_validity(sb_config); if ext_proc_call = "" then -- Called directly from sequencer/VVC. log(instance, ID_CTRL, proc_name & ": config applied to instance " & to_string(instance) & "." & add_msg_delimiter(msg), vr_scope); else -- Called from other SB method log(instance, ID_CTRL, ext_proc_call & add_msg_delimiter(msg), vr_scope); end if; vr_config(instance) := sb_config; end procedure config; procedure config( constant sb_config : in t_sb_config; constant msg : in string := "" ) is begin config(1, sb_config, msg, "config: config applied to SB."); end procedure config; ---------------------------------------------------------------------------------------------------- -- -- enable -- -- Enable one instance or all instances. Counters is set froom -1 to 0 When enabled for the -- first time. -- ---------------------------------------------------------------------------------------------------- procedure enable( constant instance : in integer; constant msg : in string := ""; constant ext_proc_call : in string := "" -- not proc??? ) is constant proc_name : string := "enable"; begin -- Check if instance is within range if instance /= ALL_INSTANCES then check_instance_in_range(instance); end if; if ext_proc_call = "" then -- Called directly from sequencer/VVC. if instance = ALL_INSTANCES then log(ID_CTRL, proc_name & ": all instances enabled." & add_msg_delimiter(msg), vr_scope); else log(instance, ID_CTRL, proc_name & ": instance " & to_string(instance) & " enabled." & add_msg_delimiter(msg), vr_scope); end if; else -- Called from other SB method log(instance, ID_CTRL, ext_proc_call & add_msg_delimiter(msg), vr_scope); end if; if instance = ALL_INSTANCES then vr_instance_enabled := (others => true); for i in 0 to C_MAX_QUEUE_INSTANCE_NUM loop if vr_entered_cnt(i) = -1 then vr_entered_cnt(i) := 0; vr_match_cnt(i) := 0; vr_mismatch_cnt(i) := 0; vr_drop_cnt(i) := 0; vr_initial_garbage_cnt(i) := 0; vr_delete_cnt(i) := 0; vr_overdue_check_cnt(i) := 0; end if; end loop; else vr_instance_enabled(instance) := true; if vr_entered_cnt(instance) = -1 then vr_entered_cnt(instance) := 0; vr_match_cnt(instance) := 0; vr_mismatch_cnt(instance) := 0; vr_drop_cnt(instance) := 0; vr_initial_garbage_cnt(instance) := 0; vr_delete_cnt(instance) := 0; vr_overdue_check_cnt(instance) := 0; end if; end if; vr_sb_queue.set_scope(instance, "SB queue"); end procedure enable; procedure enable( constant msg : in string ) is begin enable(1, msg, "enable: SB enabled."); end procedure enable; procedure enable( constant void : in t_void ) is begin enable(1, "", "enable: SB enabled."); end procedure enable; ---------------------------------------------------------------------------------------------------- -- -- disable -- -- Disable one instance or all instances. -- ---------------------------------------------------------------------------------------------------- procedure disable( constant instance : in integer; constant msg : in string := ""; constant ext_proc_call : in string := "" -- not proc??? ) is begin -- Check if instance is within range if instance /= ALL_INSTANCES then check_instance_in_range(instance); end if; if instance = ALL_INSTANCES then vr_instance_enabled := (others => false); else vr_instance_enabled(instance) := false; end if; if ext_proc_call = "" then -- Called directly from sequencer/VVC. if instance = ALL_INSTANCES then log(ID_CTRL, "disable: all instances disabled." & add_msg_delimiter(msg), vr_scope); else log(instance, ID_CTRL, "disable: instance " & to_string(instance) & " disabled." & add_msg_delimiter(msg), vr_scope); end if; else -- Called from other SB method log(instance, ID_CTRL, ext_proc_call & add_msg_delimiter(msg), vr_scope); end if; end procedure disable; procedure disable( constant msg : in string ) is begin disable(1, msg, "disable: SB disabled."); end procedure disable; procedure disable( constant void : in t_void ) is begin disable(1, "", "disable: SB disabled."); end procedure disable; ---------------------------------------------------------------------------------------------------- -- -- add_expected -- -- Adds expected element at the back of queue. Optional tag and source. -- ---------------------------------------------------------------------------------------------------- procedure add_expected( constant instance : in integer; constant expected_element : in t_element; constant tag_usage : in t_tag_usage; constant tag : in string; constant msg : in string := ""; constant source : in string := ""; constant ext_proc_call : in string := "" ) is constant proc_name : string := "add_expected"; variable v_sb_entry : t_sb_entry; begin v_sb_entry := (expected_element => expected_element, source => pad_string(source, NUL, C_SB_SOURCE_WIDTH), tag => pad_string(tag, NUL, C_SB_TAG_WIDTH), entry_time => now); if instance = ALL_ENABLED_INSTANCES then for i in 0 to C_MAX_QUEUE_INSTANCE_NUM loop if vr_instance_enabled(i) then -- add entry vr_sb_queue.add(i, v_sb_entry); -- increment counters vr_entered_cnt(i) := vr_entered_cnt(i)+1; if tag_usage = NO_TAG then log(i, ID_DATA, proc_name & "() => instance " & to_string(instance) & ", value: " & to_string_element(expected_element) & ". " & add_msg_delimiter(msg), vr_scope); else log(i, ID_DATA, proc_name & "() => instance " & to_string(instance) & ", value: " & to_string_element(expected_element) & ", tag: " & to_string(tag) & ". " & add_msg_delimiter(msg), vr_scope); end if; end if; end loop; else -- Sanity checks check_instance_in_range(instance); check_instance_enabled(instance); -- add entry vr_sb_queue.add(instance, v_sb_entry); -- increment counters vr_entered_cnt(instance) := vr_entered_cnt(instance)+1; if ext_proc_call = "" then if tag_usage = NO_TAG then log(instance, ID_DATA, proc_name & "() => instance " & to_string(instance) & ", value: " & to_string_element(expected_element) & ". " & add_msg_delimiter(msg), vr_scope); else log(instance, ID_DATA, proc_name & "() => instance " & to_string(instance) & ", value: " & to_string_element(expected_element) & ", tag: " & to_string(tag) & ". " & add_msg_delimiter(msg), vr_scope); end if; else -- Called from other SB method log(instance, ID_DATA, ext_proc_call & add_msg_delimiter(msg), vr_scope); end if; end if; end procedure add_expected; procedure add_expected( constant expected_element : in t_element; constant tag_usage : in t_tag_usage; constant tag : in string; constant msg : in string := ""; constant source : in string := "" ) is begin if tag_usage = NO_TAG then add_expected(1, expected_element, tag_usage, tag, msg, source, "add_expected() => expected: " & to_string_element(expected_element) & ". "); else add_expected(1, expected_element, tag_usage, tag, msg, source, "add_expected() => expected: " & to_string_element(expected_element) & ", tag: " & to_string(tag) & ". "); end if; end procedure add_expected; procedure add_expected( constant instance : in integer; constant expected_element : in t_element; constant msg : in string := ""; constant source : in string := "" ) is begin add_expected(instance, expected_element, NO_TAG, "", msg, source); end procedure add_expected; procedure add_expected( constant expected_element : in t_element; constant msg : in string := ""; constant source : in string := "" ) is begin add_expected(expected_element, NO_TAG, "", msg, source); end procedure add_expected; ---------------------------------------------------------------------------------------------------- -- -- check_received -- -- Checks received against expected. Updates counters acording to match/mismatch and configuration. -- ---------------------------------------------------------------------------------------------------- procedure check_received( constant instance : in integer; constant received_element : in t_element; constant tag_usage : in t_tag_usage; constant tag : in string; constant msg : in string := ""; constant ext_proc_call : in string := "" ) is constant proc_name : string := "check_received"; procedure check_pending_exists( constant instance : in integer ) is begin check_value(not vr_sb_queue.is_empty(instance), TB_ERROR, "instance " & to_string(instance) & ": no pending entries to check.", vr_scope, ID_NEVER); end procedure check_pending_exists; procedure check_received_instance( constant instance : in integer ) is variable v_matched : boolean := false; variable v_entry : t_sb_entry; variable v_dropped_num : natural := 0; begin check_pending_exists(instance); -- If OOB if vr_config(instance).allow_out_of_order then -- Loop through entries in queue until match for i in 1 to get_pending_count(instance) loop v_entry := vr_sb_queue.peek(instance, POSITION, i); if match_received_vs_entry(received_element, v_entry, tag_usage, tag) then v_matched := true; -- Delete entry vr_sb_queue.delete(instance, POSITION, i, SINGLE); exit; end if; end loop; -- If LOSSY elsif vr_config(instance).allow_lossy then -- Loop through entries in queue until match for i in 1 to get_pending_count(instance) loop v_entry := vr_sb_queue.peek(instance, POSITION, i); if match_received_vs_entry(received_element, v_entry, tag_usage, tag) then v_matched := true; -- Delete matching entry and preceding entries for j in i downto 1 loop vr_sb_queue.delete(instance, POSITION, j, SINGLE); end loop; v_dropped_num := i - 1; exit; end if; end loop; -- Not OOB or LOSSY else v_entry := vr_sb_queue.peek(instance); if match_received_vs_entry(received_element, v_entry, tag_usage, tag) then v_matched := true; -- delete entry vr_sb_queue.delete(instance, POSITION, 1, SINGLE); elsif not(vr_match_cnt(instance) = 0 and vr_config(instance).ignore_initial_garbage) then vr_sb_queue.delete(instance, POSITION, 1, SINGLE); end if; end if; -- Update counters vr_drop_cnt(instance) := vr_drop_cnt(instance) + v_dropped_num; if v_matched then vr_match_cnt(instance) := vr_match_cnt(instance) + 1; elsif vr_match_cnt(instance) = 0 and vr_config(instance).ignore_initial_garbage then vr_initial_garbage_cnt(instance) := vr_initial_garbage_cnt(instance) + 1; else vr_mismatch_cnt(instance) := vr_mismatch_cnt(instance) + 1; end if; -- Check if overdue time if v_matched and (vr_config(instance).overdue_check_time_limit /= 0 ns) and (now-v_entry.entry_time > vr_config(instance).overdue_check_time_limit) then if ext_proc_call = "" then alert(vr_config(instance).overdue_check_alert_level, proc_name & "() instance " & to_string(instance) &" => TIME LIMIT OVERDUE: time limit is " & to_string(vr_config(instance).overdue_check_time_limit) & ", time from entry is " & to_string(now-v_entry.entry_time) & ". " & add_msg_delimiter(msg) , vr_scope); else alert(vr_config(instance).overdue_check_alert_level, ext_proc_call & " => TIME LIMIT OVERDUE: time limit is " & to_string(vr_config(instance).overdue_check_time_limit) & ", time from entry is " & to_string(now-v_entry.entry_time) & ". " & add_msg_delimiter(msg) , vr_scope); end if; -- Update counter vr_overdue_check_cnt(instance) := vr_overdue_check_cnt(instance) + 1; end if; -- Logging if v_matched then if ext_proc_call = "" then if tag_usage = NO_TAG then log(instance, ID_DATA, proc_name & "() instance " & to_string(instance) & " => MATCH, for value: " & to_string_element(v_entry.expected_element) & ". " & add_msg_delimiter(msg), vr_scope); else log(instance, ID_DATA, proc_name & "() instance " & to_string(instance) & " => MATCH, for value: " & to_string_element(v_entry.expected_element) & ". tag: '" & to_string(tag) & "'. " & add_msg_delimiter(msg), vr_scope); end if; -- Called from other SB method else if tag_usage = NO_TAG then log(instance, ID_DATA, ext_proc_call & " => MATCH, for received: " & to_string_element(received_element) & ". " & add_msg_delimiter(msg), vr_scope); else log(instance, ID_DATA, ext_proc_call & " => MATCH, for received: " & to_string_element(received_element) & ", tag: '" & to_string(tag) & "'. " & add_msg_delimiter(msg), vr_scope); end if; end if; -- Initial garbage elsif not(vr_match_cnt(instance) = 0 and vr_config(instance).ignore_initial_garbage) then if ext_proc_call = "" then if tag_usage = NO_TAG then alert(vr_config(instance).mismatch_alert_level, proc_name & "() instance " & to_string(instance) & " => MISMATCH, expected: " & to_string_element(v_entry.expected_element) & "; received: " & to_string_element(received_element) & ". " & add_msg_delimiter(msg), vr_scope); else alert(vr_config(instance).mismatch_alert_level, proc_name & "() instance " & to_string(instance) & " => MISMATCH, expected: " & to_string_element(v_entry.expected_element) & ", tag: '" & to_string(v_entry.tag) & "'; received: " & to_string_element(received_element) & ", tag: '" & to_string(tag) & "'. " & add_msg_delimiter(msg), vr_scope); end if; else if tag_usage = NO_TAG then alert(vr_config(instance).mismatch_alert_level, ext_proc_call & " => MISMATCH, expected: " & to_string_element(v_entry.expected_element) & "; received: " & to_string_element(received_element) & add_msg_delimiter(msg), vr_scope); else alert(vr_config(instance).mismatch_alert_level, ext_proc_call & " => MISMATCH, expected: " & to_string_element(v_entry.expected_element) & ", tag: " & to_string(v_entry.tag) & "; received: " & to_string_element(received_element) & ", tag: '" & to_string(tag) & "'. " & add_msg_delimiter(msg), vr_scope); end if; end if; end if; end procedure check_received_instance; begin -- Check if instance is within range if instance /= ALL_ENABLED_INSTANCES then check_instance_in_range(instance); end if; if instance = ALL_ENABLED_INSTANCES then for i in 0 to C_MAX_QUEUE_INSTANCE_NUM loop if vr_instance_enabled(i) then check_received_instance(i); end if; end loop; else check_instance_enabled(instance); check_received_instance(instance); end if; end procedure check_received; procedure check_received( constant received_element : in t_element; constant tag_usage : in t_tag_usage; constant tag : in string; constant msg : in string := "" ) is begin check_received(1, received_element, tag_usage, tag, msg, "check_received()"); end procedure check_received; procedure check_received( constant instance : in integer; constant received_element : in t_element; constant msg : in string := "" ) is begin check_received(instance, received_element, NO_TAG, "", msg); end procedure check_received; procedure check_received( constant received_element : in t_element; constant msg : in string := "" ) is begin check_received(received_element, NO_TAG, "", msg); end procedure check_received; ---------------------------------------------------------------------------------------------------- -- -- flush -- -- Deletes all entries in queue and updates delete counter. -- ---------------------------------------------------------------------------------------------------- procedure flush( constant instance : in integer; constant msg : in string := ""; constant ext_proc_call : in string := "" ) is constant proc_name : string := "flush"; begin if instance = ALL_INSTANCES then log(ID_DATA, proc_name & ": flushing all instances." & add_msg_delimiter(msg), vr_scope); for i in 0 to C_MAX_QUEUE_INSTANCE_NUM loop -- update counters vr_delete_cnt(i) := vr_delete_cnt(i) + vr_sb_queue.get_count(i); -- flush queue vr_sb_queue.flush(i); end loop; elsif instance = ALL_ENABLED_INSTANCES then log(ID_DATA, proc_name & ": flushing all enabled instances." & add_msg_delimiter(msg), vr_scope); for i in 0 to C_MAX_QUEUE_INSTANCE_NUM loop if vr_instance_enabled(i) then -- update counters vr_delete_cnt(i) := vr_delete_cnt(i) + vr_sb_queue.get_count(i); -- flush queue vr_sb_queue.flush(i); end if; end loop; else if ext_proc_call = "" then log(instance, ID_DATA, proc_name & ": flushing instance " & to_string(instance) & "." & add_msg_delimiter(msg), vr_scope); else log(instance, ID_DATA, ext_proc_call & add_msg_delimiter(msg), vr_scope); end if; check_instance_in_range(instance); check_instance_enabled(instance); -- update counters vr_delete_cnt(instance) := vr_delete_cnt(instance) + vr_sb_queue.get_count(instance); -- flush queue vr_sb_queue.flush(instance); end if; end procedure flush; procedure flush( constant msg : in string ) is begin flush(1, msg, "flush: flushing SB."); end procedure flush; procedure flush( constant void : in t_void ) is begin flush(""); end procedure flush; ---------------------------------------------------------------------------------------------------- -- -- reset -- -- Resets all counters and flushes queue. Also resets entry number count. -- ---------------------------------------------------------------------------------------------------- procedure reset( constant instance : in integer; constant msg : in string := ""; constant ext_proc_call : in string := "" ) is constant proc_name : string := "reset"; procedure reset_instance( constant instance : natural ) is begin -- reset instance 0 only if it is used if not(vr_sb_queue.is_empty(0)) or (instance > 0) then vr_sb_queue.reset(instance); vr_entered_cnt(instance) := 0; vr_match_cnt(instance) := 0; vr_mismatch_cnt(instance) := 0; vr_drop_cnt(instance) := 0; vr_initial_garbage_cnt(instance) := 0; vr_delete_cnt(instance) := 0; vr_overdue_check_cnt(instance) := 0; end if; end procedure reset_instance; begin if instance = ALL_INSTANCES then log(ID_CTRL, proc_name & ": reseting all instances. " & add_msg_delimiter(msg), vr_scope); for i in 0 to C_MAX_QUEUE_INSTANCE_NUM loop reset_instance(i); end loop; elsif instance = ALL_ENABLED_INSTANCES then log(ID_CTRL, proc_name & ": reseting all enabled instances. " & add_msg_delimiter(msg), vr_scope); for i in 0 to C_MAX_QUEUE_INSTANCE_NUM loop if vr_instance_enabled(i) then reset_instance(i); end if; end loop; else if ext_proc_call = "" then log(instance, ID_CTRL, proc_name & ": reseting instance " & to_string(instance) & ". " & add_msg_delimiter(msg), vr_scope); else log(instance, ID_CTRL, ext_proc_call & add_msg_delimiter(msg), vr_scope); end if; check_instance_in_range(instance); check_instance_enabled(instance); reset_instance(instance); end if; end procedure reset; procedure reset( constant msg : in string ) is begin reset(1, msg, "reset: reseting SB."); end procedure reset; procedure reset( constant void : in t_void ) is begin reset(""); end procedure reset; ---------------------------------------------------------------------------------------------------- -- -- is_empty -- -- Returns true if scoreboard instance is empty, false if not. -- ---------------------------------------------------------------------------------------------------- impure function is_empty( constant instance : in integer ) return boolean is begin return vr_sb_queue.is_empty(instance); end function is_empty; impure function is_empty( constant void : in t_void ) return boolean is begin return is_empty(1); end function is_empty; ---------------------------------------------------------------------------------------------------- -- -- get_entered_count -- -- Returns total number of entries made to scoreboard instance. -- Added + inserted. -- ---------------------------------------------------------------------------------------------------- impure function get_entered_count( constant instance : in integer ) return integer is begin return vr_entered_cnt(instance); end function get_entered_count; impure function get_entered_count( constant void : in t_void ) return integer is begin return get_entered_count(1); end function get_entered_count; ---------------------------------------------------------------------------------------------------- -- -- get_pending_count -- -- Returns number of entries en scoreboard instance at the moment. -- Added + inserted - checked - deleted. -- ---------------------------------------------------------------------------------------------------- impure function get_pending_count( constant instance : in integer ) return integer is begin if vr_entered_cnt(instance) = -1 then return -1; else return vr_sb_queue.get_count(instance); end if; end function get_pending_count; impure function get_pending_count( constant void : in t_void ) return integer is begin return get_pending_count(1); end function get_pending_count; ---------------------------------------------------------------------------------------------------- -- -- get_match_count -- -- Returns number of entries checked and matched against a received. -- ---------------------------------------------------------------------------------------------------- impure function get_match_count( constant instance : in integer ) return integer is begin return vr_match_cnt(instance); end function get_match_count; impure function get_match_count( constant void : in t_void ) return integer is begin return get_match_count(1); end function get_match_count; ---------------------------------------------------------------------------------------------------- -- -- get_mismatch_count -- -- Returns number of entries checked and not matched against a received. -- ---------------------------------------------------------------------------------------------------- impure function get_mismatch_count( constant instance : in integer ) return integer is begin return vr_mismatch_cnt(instance); end function get_mismatch_count; impure function get_mismatch_count( constant void : in t_void ) return integer is begin return get_mismatch_count(1); end function get_mismatch_count; ---------------------------------------------------------------------------------------------------- -- -- get_drop_count -- -- Returns number of entries dropped, total number of preceding entries before match. -- Only relevant during lossy mode. -- ---------------------------------------------------------------------------------------------------- impure function get_drop_count( constant instance : in integer ) return integer is begin return vr_drop_cnt(instance); end function get_drop_count; impure function get_drop_count( constant void : in t_void ) return integer is begin return get_drop_count(1); end function get_drop_count; ---------------------------------------------------------------------------------------------------- -- -- get_initial_garbage_count -- -- Returns number of received checked before first match. -- Only relevant when allow_initial_garbage is enabled. -- ---------------------------------------------------------------------------------------------------- impure function get_initial_garbage_count( constant instance : in integer ) return integer is begin return vr_initial_garbage_cnt(instance); end function get_initial_garbage_count; impure function get_initial_garbage_count( constant void : in t_void ) return integer is begin return get_initial_garbage_count(1); end function get_initial_garbage_count; ---------------------------------------------------------------------------------------------------- -- -- get_delete_count -- -- Returns number of deleted entries. -- Delete + fetch + flush. -- ---------------------------------------------------------------------------------------------------- impure function get_delete_count( constant instance : in integer ) return integer is begin return vr_delete_cnt(instance); end function get_delete_count; impure function get_delete_count( constant void : in t_void ) return integer is begin return get_delete_count(1); end function get_delete_count; ---------------------------------------------------------------------------------------------------- -- -- get_overdue_check_count -- -- Returns number of received checked when time limit is overdue. -- Only relevant when overdue_check_time_limit is set. -- ---------------------------------------------------------------------------------------------------- impure function get_overdue_check_count( constant instance : in integer ) return integer is begin return vr_overdue_check_cnt(instance); end function get_overdue_check_count; impure function get_overdue_check_count( constant void : in t_void ) return integer is begin return get_overdue_check_count(1); end function get_overdue_check_count; ---------------------------------------------------------------------------------------------------- -- -- set_scope / get_scope -- -- Set/Get the scope of the scoreboard. -- ---------------------------------------------------------------------------------------------------- procedure set_scope( constant scope : in string ) is begin vr_scope := pad_string(scope, NUL, C_LOG_SCOPE_WIDTH); end procedure set_scope; impure function get_scope( constant void : in t_void ) return string is begin return vr_scope; end function get_scope; ---------------------------------------------------------------------------------------------------- -- -- enable_log_msg -- -- Enables the specified message id for the instance. -- ---------------------------------------------------------------------------------------------------- procedure enable_log_msg( constant instance : in integer; constant msg_id : in t_msg_id; constant ext_proc_call : in string := "" ) is constant proc_name : string := "enable_log_msg"; begin if instance = ALL_INSTANCES then log(ID_CTRL, proc_name & ": message id " & to_string(msg_id) & " enabled for all instances", vr_scope); for i in 0 to C_MAX_QUEUE_INSTANCE_NUM loop vr_msg_id_panel_array(i)(msg_id) := ENABLED; end loop; else if ext_proc_call = "" then log(instance, ID_CTRL, proc_name & ": message id " & to_string(msg_id) & " enabled for instance " & to_string(instance), vr_scope); else log(instance, ID_CTRL, ext_proc_call, vr_scope); end if; vr_msg_id_panel_array(instance)(msg_id) := ENABLED; end if; end procedure enable_log_msg; procedure enable_log_msg( constant msg_id : in t_msg_id ) is begin enable_log_msg(1, msg_id, "enable_log_msg: "& ": message id " & to_string(msg_id) & " enabled"); end procedure enable_log_msg; ---------------------------------------------------------------------------------------------------- -- -- disable_log_msg -- -- Disables the specified message id for the instance. -- ---------------------------------------------------------------------------------------------------- procedure disable_log_msg( constant instance : in integer; constant msg_id : in t_msg_id; constant ext_proc_call : in string := "" ) is constant proc_name : string := "disable_log_msg"; begin if instance = ALL_INSTANCES then log(ID_CTRL, proc_name & ": message id " & to_string(msg_id) & " disabled for all instances", vr_scope); for i in 0 to C_MAX_QUEUE_INSTANCE_NUM loop vr_msg_id_panel_array(i)(msg_id) := DISABLED; end loop; else if ext_proc_call = "" then log(instance, ID_CTRL, proc_name & ": message id " & to_string(msg_id) & " disabled for instance " & to_string(instance), vr_scope); else log(instance, ID_CTRL, ext_proc_call, vr_scope); end if; vr_msg_id_panel_array(instance)(msg_id) := DISABLED; end if; end procedure disable_log_msg; procedure disable_log_msg( constant msg_id : in t_msg_id ) is begin disable_log_msg(1, msg_id, "disable_log_msg: "& ": message id " & to_string(msg_id) & " disabled"); end procedure disable_log_msg; ---------------------------------------------------------------------------------------------------- -- -- report_conters -- -- Prints a report of all counters to transcript for either specified instance, all enabled -- instances or all instances. -- ---------------------------------------------------------------------------------------------------- procedure report_counters( constant instance : in integer; constant ext_proc_call : in string := "" ) is variable v_line : line; variable v_line_copy : line; variable v_status_failed : boolean := true; variable v_mismatch : boolean := false; constant C_HEADER : string := "*** SCOREBOARD COUNTERS SUMMARY: " & to_string(vr_scope) & " ***"; constant prefix : string := C_LOG_PREFIX & " "; constant log_counter_width : positive := 8; -- shouldn't be smaller than 8 due to the counters names variable v_log_extra_space : integer := 0; -- add simulation time stamp to scoreboard report header impure function timestamp_header(value : time; txt : string) return string is variable v_line : line; variable v_delimiter_pos : natural; variable v_timestamp_width : natural; variable v_result : string(1 to 50); variable v_return : string(1 to txt'length) := txt; begin -- get a time stamp write(v_line, value, LEFT, 0, C_LOG_TIME_BASE); v_timestamp_width := v_line'length; v_result(1 to v_timestamp_width) := v_line.all; deallocate(v_line); v_delimiter_pos := pos_of_leftmost('.', v_result(1 to v_timestamp_width), 0); -- truncate decimals and add units if C_LOG_TIME_BASE = ns then v_result(v_delimiter_pos+2 to v_delimiter_pos+5) := " ns "; else v_result(v_delimiter_pos+2 to v_delimiter_pos+5) := " ps "; end if; v_timestamp_width := v_delimiter_pos + 5; -- add time string to return string v_return := v_result(1 to v_timestamp_width) & txt(1 to txt'length-v_timestamp_width); return v_return(1 to txt'length); end function timestamp_header; begin -- Calculate how much space we can insert between the columns of the report v_log_extra_space := (C_LOG_LINE_WIDTH - prefix'length - 20 - log_counter_width*6 - 15 - 13)/8; if v_log_extra_space < 1 then alert(TB_WARNING, "C_LOG_LINE_WIDTH is too small, the report will not be properly aligned.", vr_scope); v_log_extra_space := 1; end if; write(v_line, LF & fill_string('=', (C_LOG_LINE_WIDTH - prefix'length)) & LF & timestamp_header(now, justify(C_HEADER, LEFT, C_LOG_LINE_WIDTH - prefix'length, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE)) & LF & fill_string('=', (C_LOG_LINE_WIDTH - prefix'length)) & LF); write(v_line, justify( fill_string(' ', 16) & justify("ENTERED" , center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) & justify("PENDING" , center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) & justify("MATCH" , center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) & justify("MISMATCH" , center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) & justify("DROP" , center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) & justify("INITIAL_GARBAGE", center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) & justify("DELETE" , center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) & justify("OVERDUE_CHECK" , center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space), left, C_LOG_LINE_WIDTH - prefix'length, KEEP_LEADING_SPACE, DISALLOW_TRUNCATE) & LF); if instance = ALL_INSTANCES or instance = ALL_ENABLED_INSTANCES then for i in 1 to C_MAX_QUEUE_INSTANCE_NUM loop if instance = ALL_INSTANCES or (instance = ALL_ENABLED_INSTANCES and vr_instance_enabled(i)) then write(v_line, justify( "instance: " & justify(to_string(i), right, to_string(C_MAX_QUEUE_INSTANCE_NUM)'length, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', 20-4-10-to_string(C_MAX_QUEUE_INSTANCE_NUM)'length) & justify(to_string(get_entered_count(i)) , center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) & justify(to_string(get_pending_count(i)) , center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) & justify(to_string(get_match_count(i)) , center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) & justify(to_string(get_mismatch_count(i)) , center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) & justify(to_string(get_drop_count(i)) , center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) & justify(to_string(get_initial_garbage_count(i)), center, 15, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) & justify(to_string(get_delete_count(i)) , center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) & justify(to_string(get_overdue_check_count(i)) , center, 13, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space), left, C_LOG_LINE_WIDTH - prefix'length, KEEP_LEADING_SPACE, DISALLOW_TRUNCATE) & LF); end if; end loop; else write(v_line, justify( "instance: " & justify(to_string(instance), right, to_string(C_MAX_QUEUE_INSTANCE_NUM)'length, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', 20-4-10-to_string(C_MAX_QUEUE_INSTANCE_NUM)'length) & justify(to_string(get_entered_count(instance)) , center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) & justify(to_string(get_pending_count(instance)) , center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) & justify(to_string(get_match_count(instance)) , center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) & justify(to_string(get_mismatch_count(instance)) , center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) & justify(to_string(get_drop_count(instance)) , center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) & justify(to_string(get_initial_garbage_count(instance)), center, 15, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) & justify(to_string(get_delete_count(instance)) , center, log_counter_width, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) & justify(to_string(get_overdue_check_count(instance)) , center, 13, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space), left, C_LOG_LINE_WIDTH - prefix'length, KEEP_LEADING_SPACE, DISALLOW_TRUNCATE) & LF); end if; write(v_line, fill_string('=', (C_LOG_LINE_WIDTH - prefix'length)) & LF & LF); wrap_lines(v_line, 1, 1, C_LOG_LINE_WIDTH-prefix'length); prefix_lines(v_line, prefix); -- Write the info string to transcript write (v_line_copy, v_line.all); -- copy line writeline(OUTPUT, v_line); writeline(LOG_FILE, v_line_copy); end procedure report_counters; procedure report_counters( constant void : in t_void ) is begin report_counters(1, "no instance label"); end procedure report_counters; --================================================================================================== -- ADVANCED METHODS --================================================================================================== ---------------------------------------------------------------------------------------------------- -- -- insert_expected -- -- Inserts expected element to the queue based on position or entry number -- ---------------------------------------------------------------------------------------------------- procedure insert_expected( constant instance : in integer; constant identifier_option : in t_identifier_option; constant identifier : in positive; constant expected_element : in t_element; constant tag_usage : in t_tag_usage; constant tag : in string; constant msg : in string := ""; constant source : in string := ""; constant ext_proc_call : in string := "" ) is constant proc_name : string := "insert_expected"; variable v_sb_entry : t_sb_entry; begin -- Check if instance is within range if instance /= ALL_ENABLED_INSTANCES then check_instance_in_range(instance); end if; v_sb_entry := (expected_element => expected_element, source => pad_string(source, NUL, C_SB_SOURCE_WIDTH), tag => pad_string(tag, NUL, C_SB_TAG_WIDTH), entry_time => now); if instance = ALL_ENABLED_INSTANCES then for i in 0 to C_MAX_QUEUE_INSTANCE_NUM loop if vr_instance_enabled(i) then -- Check that instance is enabled check_queue_empty(instance); -- add entry vr_sb_queue.insert(i, identifier_option, identifier, v_sb_entry); -- increment counters vr_entered_cnt(i) := vr_entered_cnt(i)+1; end if; end loop; else -- Check that instance is in valid range and enabled check_instance_in_range(instance); check_instance_enabled(instance); check_queue_empty(instance); -- add entry vr_sb_queue.insert(instance, identifier_option, identifier, v_sb_entry); -- increment counters vr_entered_cnt(instance) := vr_entered_cnt(instance)+1; end if; -- Logging if ext_proc_call = "" then if instance = ALL_ENABLED_INSTANCES then if identifier_option = POSITION then if tag_usage = NO_TAG then log(instance, ID_DATA, proc_name & "() inserted expected after entry with position " & to_string(identifier) & " for all enabled instances. Expected: " & to_string_element(expected_element) & ". " & add_msg_delimiter(msg), vr_scope); else log(instance, ID_DATA, proc_name & "() inserted expected after entry with position " & to_string(identifier) & " for all enabled instances. Expected: " & to_string_element(expected_element) & ", tag: '" & to_string(tag) & "'. " & add_msg_delimiter(msg), vr_scope); end if; else if tag_usage = NO_TAG then log(instance, ID_DATA, proc_name & "() inserted expected after entry with entry number " & to_string(identifier) & " for all enabled instances. Expected: " & to_string_element(expected_element) & ". " & add_msg_delimiter(msg), vr_scope); else log(instance, ID_DATA, proc_name & "() inserted expected after entry with entry number " & to_string(identifier) & " for all enabled instances. Expected: " & to_string_element(expected_element) & ", tag: '" & to_string(tag) & "'. " & add_msg_delimiter(msg), vr_scope); end if; end if; else if identifier_option = POSITION then log(instance, ID_DATA, proc_name & "() inserted expected after entry with position " & to_string(identifier) & " for instance " & to_string(instance) & "." & add_msg_delimiter(msg), vr_scope); else log(instance, ID_DATA, proc_name & "() inserted expected after entry with entry number " & to_string(identifier) & " for instance " & to_string(instance) & "." & add_msg_delimiter(msg), vr_scope); end if; end if; else if tag_usage = NO_TAG then log(instance, ID_DATA, ext_proc_call & " Expected: " & to_string_element(expected_element) & ". " & add_msg_delimiter(msg), vr_scope); else log(instance, ID_DATA, ext_proc_call & " Expected: " & to_string_element(expected_element) & ", tag: '" & to_string(tag) & "'. " & add_msg_delimiter(msg), vr_scope); end if; end if; end procedure insert_expected; procedure insert_expected( constant identifier_option : in t_identifier_option; constant identifier : in positive; constant expected_element : in t_element; constant tag_usage : in t_tag_usage; constant tag : in string; constant msg : in string := ""; constant source : in string := "" ) is begin if identifier_option = POSITION then insert_expected(1, identifier_option, identifier, expected_element, tag_usage, tag, msg, source, "insert_expected() inserted expected after entry with position " & to_string(identifier) & "."); else insert_expected(1, identifier_option, identifier, expected_element, tag_usage, tag, msg, source, "insert_expected() inserted expected after entry with entry number " & to_string(identifier) & "."); end if; end procedure insert_expected; ---------------------------------------------------------------------------------------------------- -- -- find_expected_entry_num -- -- Returns entry number of matching entry, no match returns -1 -- ---------------------------------------------------------------------------------------------------- impure function find_expected_entry_num( constant instance : in integer; constant expected_element : in t_element; constant tag_usage : in t_tag_usage; constant tag : in string ) return integer is variable v_sb_entry : t_sb_entry; begin -- Sanity check check_instance_in_range(instance); check_instance_enabled(instance); check_queue_empty(instance); for i in 1 to get_pending_count(instance) loop -- get entry i v_sb_entry := vr_sb_queue.peek(instance, POSITION, i); -- check if match if match_expected_vs_entry(expected_element, v_sb_entry, tag_usage, tag) then return vr_sb_queue.get_entry_num(instance, i); end if; end loop; return -1; end function find_expected_entry_num; impure function find_expected_entry_num( constant expected_element : in t_element; constant tag_usage : in t_tag_usage; constant tag : in string ) return integer is begin return find_expected_entry_num(1, expected_element, tag_usage, tag); end function find_expected_entry_num; impure function find_expected_entry_num( constant instance : in integer; constant expected_element : in t_element ) return integer is begin return find_expected_entry_num(instance, expected_element, NO_TAG, ""); end function find_expected_entry_num; impure function find_expected_entry_num( constant expected_element : in t_element ) return integer is begin return find_expected_entry_num(1, expected_element, NO_TAG, ""); end function find_expected_entry_num; impure function find_expected_entry_num( constant instance : in integer; constant tag_usage : in t_tag_usage; constant tag : in string ) return integer is variable v_sb_entry : t_sb_entry; begin -- Sanity check check_instance_in_range(instance); check_instance_enabled(instance); check_queue_empty(instance); for i in 1 to get_pending_count(instance) loop -- get entry i v_sb_entry := vr_sb_queue.peek(instance, POSITION, i); -- check if match if v_sb_entry.tag = pad_string(tag, NUL, C_SB_TAG_WIDTH) then return vr_sb_queue.get_entry_num(instance, i); end if; end loop; return -1; end function find_expected_entry_num; impure function find_expected_entry_num( constant tag_usage : in t_tag_usage; constant tag : in string ) return integer is begin return find_expected_entry_num(1, tag_usage, tag); end function find_expected_entry_num; ---------------------------------------------------------------------------------------------------- -- -- find_expected_position -- -- Returns position of matching entry, no match returns -1 -- ---------------------------------------------------------------------------------------------------- impure function find_expected_position( constant instance : in integer; constant expected_element : in t_element; constant tag_usage : in t_tag_usage; constant tag : in string ) return integer is variable v_sb_entry : t_sb_entry; begin -- Sanity check check_instance_in_range(instance); check_instance_enabled(instance); check_queue_empty(instance); for i in 1 to get_pending_count(instance) loop -- get entry i v_sb_entry := vr_sb_queue.peek(instance, POSITION, i); -- check if match if match_expected_vs_entry(expected_element, v_sb_entry, tag_usage, tag) then return i; end if; end loop; return -1; end function find_expected_position; impure function find_expected_position( constant expected_element : in t_element; constant tag_usage : in t_tag_usage; constant tag : in string ) return integer is begin return find_expected_position(1, expected_element, tag_usage, tag); end function find_expected_position; impure function find_expected_position( constant instance : in integer; constant expected_element : in t_element ) return integer is begin return find_expected_position(instance, expected_element, NO_TAG, ""); end function find_expected_position; impure function find_expected_position( constant expected_element : in t_element ) return integer is begin return find_expected_position(1, expected_element, NO_TAG, ""); end function find_expected_position; impure function find_expected_position( constant instance : in integer; constant tag_usage : in t_tag_usage; constant tag : in string ) return integer is variable v_sb_entry : t_sb_entry; begin -- Sanity check check_instance_in_range(instance); check_instance_enabled(instance); check_queue_empty(instance); for i in 1 to get_pending_count(instance) loop -- get entry i v_sb_entry := vr_sb_queue.peek(instance, POSITION, i); -- check if match if v_sb_entry.tag = pad_string(tag, NUL, C_SB_TAG_WIDTH) then return i; end if; end loop; return -1; end function find_expected_position; impure function find_expected_position( constant tag_usage : in t_tag_usage; constant tag : in string ) return integer is begin return find_expected_position(1, tag_usage, tag); end function find_expected_position; ---------------------------------------------------------------------------------------------------- -- -- delete_expected -- -- Deletes expected element in queue based on specified element, position or entry number -- ---------------------------------------------------------------------------------------------------- procedure delete_expected( constant instance : in integer; constant expected_element : in t_element; constant tag_usage : in t_tag_usage; constant tag : in string; constant msg : in string := ""; constant ext_proc_call : in string := "" ) is constant proc_name : string := "delete_expected"; variable v_position : integer; begin -- Sanity checks done in find_expected_position v_position := find_expected_position(instance, expected_element, tag_usage, tag); if v_position /= -1 then vr_sb_queue.delete(instance, POSITION, v_position, SINGLE); vr_delete_cnt(instance) := vr_delete_cnt(instance) + 1; if ext_proc_call = "" then log(instance, ID_DATA, proc_name & ": instance " & to_string(instance) & ", value: " & to_string_element(expected_element) & ", tag: '" & to_string(tag) & "'. " & add_msg_delimiter(msg), vr_scope); else log(instance, ID_DATA, ext_proc_call & add_msg_delimiter(msg), vr_scope); end if; else log(instance, ID_DATA, proc_name & ": NO DELETION. Did not find matching entry. " & add_msg_delimiter(msg), vr_scope); end if; end procedure delete_expected; procedure delete_expected( constant expected_element : in t_element; constant tag_usage : in t_tag_usage; constant tag : in string; constant msg : in string := "" ) is begin delete_expected(1, expected_element, tag_usage, tag, msg, "delete_expected: value: " & to_string_element(expected_element) & ", tag: '" & to_string(tag) & "'. "); end procedure delete_expected; procedure delete_expected( constant instance : in integer; constant expected_element : in t_element; constant msg : in string := "" ) is begin delete_expected(instance, expected_element, NO_TAG, "", msg, "delete_expected: instance " & to_string(instance) & ", value: " & to_string_element(expected_element) & ". "); end procedure delete_expected; procedure delete_expected( constant expected_element : in t_element; constant msg : in string := "" ) is begin delete_expected(1, expected_element, NO_TAG, "", msg, "delete_expected: instance value: " & to_string_element(expected_element) & ". "); end procedure delete_expected; procedure delete_expected( constant instance : in integer; constant tag_usage : in t_tag_usage; constant tag : in string; constant msg : in string := ""; constant ext_proc_call : in string := "" ) is constant proc_name : string := "delete_expected"; variable v_position : integer; begin -- Sanity checks done in find_expected_position v_position := find_expected_position(instance, tag_usage, tag); if v_position /= -1 then vr_sb_queue.delete(instance, POSITION, v_position, SINGLE); vr_delete_cnt(instance) := vr_delete_cnt(instance) + 1; if ext_proc_call = "" then log(instance, ID_DATA, proc_name & ": instance " & to_string(instance) & ", tag: '" & to_string(tag) & "'. " & add_msg_delimiter(msg), vr_scope); else log(instance, ID_DATA, ext_proc_call & add_msg_delimiter(msg), vr_scope); end if; else log(instance, ID_DATA, proc_name & ": NO DELETION. Did not find matching entry. " & add_msg_delimiter(msg), vr_scope); end if; end procedure delete_expected; procedure delete_expected( constant tag_usage : in t_tag_usage; constant tag : in string; constant msg : in string := "" ) is begin delete_expected(1, tag_usage, tag, msg, "delete_expected: tag: '" & to_string(tag) & "'. "); end procedure delete_expected; procedure delete_expected( constant instance : in integer; constant identifier_option : in t_identifier_option; constant identifier_min : in positive; constant identifier_max : in positive; constant msg : in string := ""; constant ext_proc_call : in string := "" ) is constant proc_name : string := "delete_expected"; constant C_PRE_DELETE_PENDING_CNT : natural := vr_sb_queue.get_count(instance); variable v_num_deleted : natural; begin -- Sanity check check_instance_in_range(instance); check_instance_enabled(instance); check_queue_empty(instance); -- Delete entries vr_sb_queue.delete(instance, identifier_option, identifier_min, identifier_max); v_num_deleted := C_PRE_DELETE_PENDING_CNT - vr_sb_queue.get_count(instance); vr_delete_cnt(instance) := vr_delete_cnt(instance) + v_num_deleted; -- If error if v_num_deleted = 0 then log(instance, ID_DATA, proc_name & ": NO DELETION. Did not find matching entry. " & add_msg_delimiter(msg), vr_scope); else if ext_proc_call = "" then log(instance, ID_DATA, proc_name & ": instance " & to_string(instance) & ", entries with identifier " & to_string(identifier_option) & " range " & to_string(identifier_min) & " to " & to_string(identifier_max) & " deleted. " & add_msg_delimiter(msg), vr_scope); else log(instance, ID_DATA, ext_proc_call & add_msg_delimiter(msg), vr_scope); end if; end if; end procedure delete_expected; procedure delete_expected( constant identifier_option : in t_identifier_option; constant identifier_min : in positive; constant identifier_max : in positive; constant msg : in string := "" ) is begin delete_expected(1, identifier_option, identifier_min, identifier_max, msg, "delete_expected: entries with identifier " & to_string(identifier_option) & " range " & to_string(identifier_min) & " to " & to_string(identifier_max) & " deleted. "); end procedure delete_expected; procedure delete_expected( constant instance : in integer; constant identifier_option : in t_identifier_option; constant identifier : in positive; constant range_option : in t_range_option; constant msg : in string := ""; constant ext_proc_call : in string := "" ) is constant proc_name : string := "delete_expected"; constant C_PRE_DELETE_PENDING_CNT : natural := vr_sb_queue.get_count(instance); variable v_num_deleted : natural; begin -- Sanity check check_instance_in_range(instance); check_instance_enabled(instance); check_queue_empty(instance); -- Delete entries vr_sb_queue.delete(instance, identifier_option, identifier, range_option); v_num_deleted := C_PRE_DELETE_PENDING_CNT - vr_sb_queue.get_count(instance); vr_delete_cnt(instance) := vr_delete_cnt(instance) + v_num_deleted; -- If error if v_num_deleted = 0 then log(instance, ID_DATA, proc_name & ": NO DELETION. Did not find matching entry. " & add_msg_delimiter(msg), vr_scope); else if ext_proc_call = "" then if range_option = SINGLE then log(instance, ID_DATA, proc_name & ": instance " & to_string(instance) & ", entry with identifier " & to_string(identifier_option) & " " & to_string(identifier) & ". " & add_msg_delimiter(msg), vr_scope); else log(instance, ID_DATA, proc_name & ": instance " & to_string(instance) & ", entries with identifier " & to_string(identifier_option) & " range " & to_string(identifier) & " " & to_string(range_option) & " deleted. " & add_msg_delimiter(msg), vr_scope); end if; else log(instance, ID_DATA, ext_proc_call & add_msg_delimiter(msg), vr_scope); end if; end if; end procedure delete_expected; procedure delete_expected( constant identifier_option : in t_identifier_option; constant identifier : in positive; constant range_option : in t_range_option; constant msg : in string := "" ) is begin if range_option = SINGLE then delete_expected(1, identifier_option, identifier, range_option, msg, "delete_expected: entry with identifier '" & to_string(identifier_option) & " " & to_string(identifier) & " deleted. "); else delete_expected(1, identifier_option, identifier, range_option, msg, "delete_expected: entries with identifier '" & to_string(identifier_option) & " range " & to_string(identifier) & " to " & to_string(range_option) & " deleted. "); end if; end procedure delete_expected; ---------------------------------------------------------------------------------------------------- -- non public local_entry -- Used by all peek functions ---------------------------------------------------------------------------------------------------- impure function peek_entry( constant instance : integer; constant identifier_option : t_identifier_option; constant identifier : positive ) return t_sb_entry is begin -- Check that instance is in valid range and enabled check_instance_in_range(instance); check_instance_enabled(instance); check_queue_empty(instance); return vr_sb_queue.peek(instance, identifier_option, identifier); end function peek_entry; ---------------------------------------------------------------------------------------------------- -- -- peek_expected -- -- Returns expected element from queue entry based on position or entry number without deleting entry -- ---------------------------------------------------------------------------------------------------- impure function peek_expected( constant instance : integer; constant identifier_option : t_identifier_option; constant identifier : positive ) return t_element is begin return peek_entry(instance, identifier_option, identifier).expected_element; end function peek_expected; impure function peek_expected( constant identifier_option : t_identifier_option; constant identifier : positive ) return t_element is begin return peek_entry(1, identifier_option, identifier).expected_element; end function peek_expected; impure function peek_expected( constant instance : integer ) return t_element is begin return peek_entry(instance, POSITION, 1).expected_element; end function peek_expected; impure function peek_expected( constant void : t_void ) return t_element is begin return peek_entry(1, POSITION, 1).expected_element; end function peek_expected; ---------------------------------------------------------------------------------------------------- -- -- peek_source -- -- Returns source element from queue entry based on position or entry number without deleting entry -- ---------------------------------------------------------------------------------------------------- impure function peek_source( constant instance : integer; constant identifier_option : t_identifier_option; constant identifier : positive ) return string is begin return to_string(peek_entry(instance, identifier_option, identifier).source); end function peek_source; impure function peek_source( constant identifier_option : t_identifier_option; constant identifier : positive ) return string is begin return peek_source(1, identifier_option, identifier); end function peek_source; impure function peek_source( constant instance : integer ) return string is begin return peek_source(instance, POSITION, 1); end function peek_source; impure function peek_source( constant void : t_void ) return string is begin return peek_source(1, POSITION, 1); end function peek_source; ---------------------------------------------------------------------------------------------------- -- -- peek_tag -- -- Returns tag from queue entry based on position or entry number without deleting entry -- ---------------------------------------------------------------------------------------------------- impure function peek_tag( constant instance : integer; constant identifier_option : t_identifier_option; constant identifier : positive ) return string is begin return to_string(peek_entry(instance, identifier_option, identifier).tag); end function peek_tag; impure function peek_tag( constant identifier_option : t_identifier_option; constant identifier : positive ) return string is begin return peek_tag(1, identifier_option, identifier); end function peek_tag; impure function peek_tag( constant instance : integer ) return string is begin return peek_tag(instance, POSITION, 1); end function peek_tag; impure function peek_tag( constant void : t_void ) return string is begin return peek_tag(1, POSITION, 1); end function peek_tag; ---------------------------------------------------------------------------------------------------- -- Non public fetch_entry -- Used by all fetch functions ---------------------------------------------------------------------------------------------------- impure function fetch_entry( constant instance : integer; constant identifier_option : t_identifier_option; constant identifier : positive ) return t_sb_entry is variable v_sb_entry : t_sb_entry; begin -- Sanity check check_instance_in_range(instance); check_instance_enabled(instance); check_queue_empty(instance); v_sb_entry := vr_sb_queue.fetch(instance, identifier_option, identifier); vr_delete_cnt(instance) := vr_delete_cnt(instance) + 1; return v_sb_entry; end function fetch_entry; ---------------------------------------------------------------------------------------------------- -- -- fetch_expected -- -- Returns expected element from queue entry based on position or entry number and deleting entry -- ---------------------------------------------------------------------------------------------------- impure function fetch_expected( constant instance : integer; constant identifier_option : t_identifier_option; constant identifier : positive; constant msg : string := ""; constant ext_proc_call : string := "" ) return t_element is constant proc_name : string := "fetch_expected"; begin -- Sanity checks in fetch entry -- Logging if ext_proc_call = "" then log(instance, ID_DATA, proc_name & ": instance " & to_string(instance) & ", fetching expected by " & to_string(identifier_option) & " " & to_string(identifier) & ". " & add_msg_delimiter(msg), vr_scope); else log(instance, ID_DATA, ext_proc_call & add_msg_delimiter(msg), vr_scope); end if; return fetch_entry(instance, identifier_option, identifier).expected_element; end function fetch_expected; impure function fetch_expected( constant identifier_option : t_identifier_option; constant identifier : positive; constant msg : string := "" ) return t_element is begin return fetch_expected(1, identifier_option, identifier, msg, "fetch_expected: fetching expected by " & to_string(identifier_option) & " " & to_string(identifier) & ". "); end function fetch_expected; impure function fetch_expected( constant instance : integer; constant msg : string := "" ) return t_element is begin return fetch_expected(instance, POSITION, 1, msg); end function fetch_expected; impure function fetch_expected( constant msg : string ) return t_element is begin return fetch_expected(POSITION, 1, msg); end function fetch_expected; impure function fetch_expected( constant void : t_void ) return t_element is begin return fetch_expected(POSITION, 1); end function fetch_expected; ---------------------------------------------------------------------------------------------------- -- -- fetch_source -- -- Returns source element from queue entry based on position or entry number and deleting entry -- ---------------------------------------------------------------------------------------------------- impure function fetch_source( constant instance : integer; constant identifier_option : t_identifier_option; constant identifier : positive; constant msg : string := ""; constant ext_proc_call : string := "" ) return string is constant proc_name : string := "fetch_source"; begin -- Sanity checks in fetch entry -- Logging if ext_proc_call = "" then log(instance, ID_DATA, proc_name & ": instance " & to_string(instance) & ", fetching source by " & to_string(identifier_option) & " " & to_string(identifier) & ". " & add_msg_delimiter(msg), vr_scope); else log(instance, ID_DATA, ext_proc_call & add_msg_delimiter(msg), vr_scope); end if; return to_string(fetch_entry(instance, identifier_option, identifier).source); end function fetch_source; impure function fetch_source( constant identifier_option : t_identifier_option; constant identifier : positive; constant msg : string := "" ) return string is begin return fetch_source(1, identifier_option, identifier, msg, "fetch_source: fetching source by " & to_string(identifier_option) & " " & to_string(identifier) & ". "); end function fetch_source; impure function fetch_source( constant instance : integer; constant msg : string := "" ) return string is begin return fetch_source(instance, POSITION, 1, msg); end function fetch_source; impure function fetch_source( constant msg : string ) return string is begin return fetch_source(POSITION, 1, msg); end function fetch_source; impure function fetch_source( constant void : t_void ) return string is begin return fetch_source(POSITION, 1); end function fetch_source; ---------------------------------------------------------------------------------------------------- -- -- fetch_tag -- -- Returns tag from queue entry based on position or entry number and deleting entry -- ---------------------------------------------------------------------------------------------------- impure function fetch_tag( constant instance : integer; constant identifier_option : t_identifier_option; constant identifier : positive; constant msg : string := ""; constant ext_proc_call : string := "" ) return string is constant proc_name : string := "fetch_tag"; begin -- Sanity checks in fetch entry -- Logging if ext_proc_call = "" then log(instance, ID_DATA, proc_name & ": instance " & to_string(instance) & ", fetching tag by " & to_string(identifier_option) & " " & to_string(identifier) & ". " & add_msg_delimiter(msg), vr_scope); else log(instance, ID_DATA, ext_proc_call & add_msg_delimiter(msg), vr_scope); end if; return to_string(fetch_entry(instance, identifier_option, identifier).tag); end function fetch_tag; impure function fetch_tag( constant identifier_option : t_identifier_option; constant identifier : positive; constant msg : string := "" ) return string is begin return fetch_tag(1, identifier_option, identifier, msg, "fetch_tag: fetching tag by " & to_string(identifier_option) & " " & to_string(identifier) & ". "); end function fetch_tag; impure function fetch_tag( constant instance : integer; constant msg : string := "" ) return string is begin return fetch_tag(instance, POSITION, 1, msg); end function fetch_tag; impure function fetch_tag( constant msg : string ) return string is begin return fetch_tag(POSITION, 1, msg); end function fetch_tag; impure function fetch_tag( constant void : t_void ) return string is begin return fetch_tag(POSITION, 1); end function fetch_tag; ---------------------------------------------------------------------------------------------------- -- -- exists -- -- Returns true if entry exists, false if not. -- ---------------------------------------------------------------------------------------------------- impure function exists( constant instance : integer; constant expected_element : t_element; constant tag_usage : t_tag_usage := NO_TAG; constant tag : string := "" ) return boolean is begin return (find_expected_position(instance, expected_element, tag_usage, tag) /= C_NO_MATCH); end function exists; impure function exists( constant expected_element : t_element; constant tag_usage : t_tag_usage := NO_TAG; constant tag : string := "" ) return boolean is begin return exists(1, expected_element, tag_usage, tag); end function exists; impure function exists( constant instance : integer; constant tag_usage : t_tag_usage; constant tag : string ) return boolean is begin return (find_expected_position(instance, tag_usage, tag) /= C_NO_MATCH); end function exists; impure function exists( constant tag_usage : t_tag_usage; constant tag : string ) return boolean is begin return exists(1, tag_usage, tag); end function exists; end protected body; end package body generic_sb_pkg;
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used solely -- -- for design, simulation, implementation and creation of design files -- -- limited to Xilinx devices or technologies. Use with non-Xilinx -- -- devices or technologies is expressly prohibited and immediately -- -- terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- -- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- -- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- -- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- -- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- -- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- -- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support appliances, -- -- devices, or systems. Use in such applications are expressly -- -- prohibited. -- -- -- -- (c) Copyright 1995-2013 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- Generated from core with identifier: xilinx.com:ip:fifo_generator:9.2 -- -- -- -- The FIFO Generator is a parameterizable first-in/first-out memory -- -- queue generator. Use it to generate resource and performance -- -- optimized FIFOs with common or independent read/write clock domains, -- -- and optional fixed or programmable full and empty flags and -- -- handshaking signals. Choose from a selection of memory resource -- -- types for implementation. Optional Hamming code based error -- -- detection and correction as well as error injection capability for -- -- system test help to insure data integrity. FIFO width and depth are -- -- parameterizable, and for native interface FIFOs, asymmetric read and -- -- write port widths are also supported. -- -------------------------------------------------------------------------------- -- Synthesized Netlist Wrapper -- This file is provided to wrap around the synthesized netlist (if appropriate) -- Interfaces: -- AXI4Stream_MASTER_M_AXIS -- AXI4Stream_SLAVE_S_AXIS -- AXI4_MASTER_M_AXI -- AXI4_SLAVE_S_AXI -- AXI4Lite_MASTER_M_AXI -- AXI4Lite_SLAVE_S_AXI LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY image_selector_fifo IS PORT ( rst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; rd_clk : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(23 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(23 DOWNTO 0); full : OUT STD_LOGIC; almost_full : OUT STD_LOGIC; empty : OUT STD_LOGIC; almost_empty : OUT STD_LOGIC; valid : OUT STD_LOGIC ); END image_selector_fifo; ARCHITECTURE spartan6 OF image_selector_fifo IS BEGIN -- WARNING: This file provides an entity declaration with empty architecture, it -- does not support direct instantiation. Please use an instantiation -- template (VHO) to instantiate the IP within a design. END spartan6;
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used solely -- -- for design, simulation, implementation and creation of design files -- -- limited to Xilinx devices or technologies. Use with non-Xilinx -- -- devices or technologies is expressly prohibited and immediately -- -- terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- -- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- -- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- -- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- -- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- -- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- -- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support appliances, -- -- devices, or systems. Use in such applications are expressly -- -- prohibited. -- -- -- -- (c) Copyright 1995-2013 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- Generated from core with identifier: xilinx.com:ip:fifo_generator:9.2 -- -- -- -- The FIFO Generator is a parameterizable first-in/first-out memory -- -- queue generator. Use it to generate resource and performance -- -- optimized FIFOs with common or independent read/write clock domains, -- -- and optional fixed or programmable full and empty flags and -- -- handshaking signals. Choose from a selection of memory resource -- -- types for implementation. Optional Hamming code based error -- -- detection and correction as well as error injection capability for -- -- system test help to insure data integrity. FIFO width and depth are -- -- parameterizable, and for native interface FIFOs, asymmetric read and -- -- write port widths are also supported. -- -------------------------------------------------------------------------------- -- Synthesized Netlist Wrapper -- This file is provided to wrap around the synthesized netlist (if appropriate) -- Interfaces: -- AXI4Stream_MASTER_M_AXIS -- AXI4Stream_SLAVE_S_AXIS -- AXI4_MASTER_M_AXI -- AXI4_SLAVE_S_AXI -- AXI4Lite_MASTER_M_AXI -- AXI4Lite_SLAVE_S_AXI LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY image_selector_fifo IS PORT ( rst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; rd_clk : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(23 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(23 DOWNTO 0); full : OUT STD_LOGIC; almost_full : OUT STD_LOGIC; empty : OUT STD_LOGIC; almost_empty : OUT STD_LOGIC; valid : OUT STD_LOGIC ); END image_selector_fifo; ARCHITECTURE spartan6 OF image_selector_fifo IS BEGIN -- WARNING: This file provides an entity declaration with empty architecture, it -- does not support direct instantiation. Please use an instantiation -- template (VHO) to instantiate the IP within a design. END spartan6;
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used solely -- -- for design, simulation, implementation and creation of design files -- -- limited to Xilinx devices or technologies. Use with non-Xilinx -- -- devices or technologies is expressly prohibited and immediately -- -- terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- -- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- -- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- -- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- -- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- -- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- -- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support appliances, -- -- devices, or systems. Use in such applications are expressly -- -- prohibited. -- -- -- -- (c) Copyright 1995-2013 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- Generated from core with identifier: xilinx.com:ip:fifo_generator:9.2 -- -- -- -- The FIFO Generator is a parameterizable first-in/first-out memory -- -- queue generator. Use it to generate resource and performance -- -- optimized FIFOs with common or independent read/write clock domains, -- -- and optional fixed or programmable full and empty flags and -- -- handshaking signals. Choose from a selection of memory resource -- -- types for implementation. Optional Hamming code based error -- -- detection and correction as well as error injection capability for -- -- system test help to insure data integrity. FIFO width and depth are -- -- parameterizable, and for native interface FIFOs, asymmetric read and -- -- write port widths are also supported. -- -------------------------------------------------------------------------------- -- Synthesized Netlist Wrapper -- This file is provided to wrap around the synthesized netlist (if appropriate) -- Interfaces: -- AXI4Stream_MASTER_M_AXIS -- AXI4Stream_SLAVE_S_AXIS -- AXI4_MASTER_M_AXI -- AXI4_SLAVE_S_AXI -- AXI4Lite_MASTER_M_AXI -- AXI4Lite_SLAVE_S_AXI LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY image_selector_fifo IS PORT ( rst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; rd_clk : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(23 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(23 DOWNTO 0); full : OUT STD_LOGIC; almost_full : OUT STD_LOGIC; empty : OUT STD_LOGIC; almost_empty : OUT STD_LOGIC; valid : OUT STD_LOGIC ); END image_selector_fifo; ARCHITECTURE spartan6 OF image_selector_fifo IS BEGIN -- WARNING: This file provides an entity declaration with empty architecture, it -- does not support direct instantiation. Please use an instantiation -- template (VHO) to instantiate the IP within a design. END spartan6;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use std.textio.all; package SIMIO_PACKAGE is component dspemulator generic ( DSP_INC_FILE : string := "UNUSED"; ABUS_WIDTH : integer := 16; DBUS_WIDTH : integer := 16 ); port ( clk : in std_logic; dspce : out std_logic; dspa : out std_logic_vector( ABUS_WIDTH-1 downto 0); data : out std_logic_vector( DBUS_WIDTH-1 downto 0); wr : out std_logic; IOstb : out std_logic); end component ; component probe generic ( PROBE_FILE : string := "UNUSED"; SIGNAL1_WIDTH : NATURAL:=6; SIGNAL1_MASK : integer:=0; SIGNAL1_TRG : integer:=0; SIGNAL2_WIDTH : NATURAL:=6; SIGNAL2_MASK : integer:=0; SIGNAL2_TRG : integer:=0; SIGNAL3_WIDTH : NATURAL:=6; SIGNAL3_MASK : integer:=0; SIGNAL3_TRG : integer:=0; SIGNAL4_WIDTH : NATURAL:=6; SIGNAL4_MASK : integer:=0; SIGNAL4_TRG : integer:=0); port ( clk : in std_logic; signal1 : in std_logic_vector(SIGNAL1_WIDTH-1 downto 0); signal2 : in std_logic_vector(SIGNAL2_WIDTH-1 downto 0); signal3 : in std_logic_vector(SIGNAL3_WIDTH-1 downto 0); signal4 : in std_logic_vector(SIGNAL4_WIDTH-1 downto 0)); end component; component ADemulator generic ( AD_FILE : string := "UNUSED"; DATA_WIDTH : integer := 6 ); port ( clk : in std_logic; ce : in std_logic; data : out std_logic_vector(DATA_WIDTH-1 downto 0)); end component ; component DAemulator generic ( DA_FILE : string := "UNUSED"; DATA_WIDTH : integer := 6 ); port ( clk : in std_logic; ce : in std_logic; data : in std_logic_vector(DATA_WIDTH-1 downto 0)); end component ; component IQADemulator generic ( AD_FILE : string := "UNUSED"; DATA_WIDTH : integer := 6 ); port ( clk : in std_logic; ce : in std_logic; Iout : out std_logic_vector(DATA_WIDTH-1 downto 0); Qout : out std_logic_vector(DATA_WIDTH-1 downto 0)); end component ; component IQDAemulator generic ( DA_FILE : string := "UNUSED"; DATA_WIDTH : integer := 6 ); port ( clk : in std_logic; ce : in std_logic; Iin : in std_logic_vector(DATA_WIDTH-1 downto 0); Qin : in std_logic_vector(DATA_WIDTH-1 downto 0)); end component ; function int_to_str( value : integer ) return string; function hex_str_to_int( str : string ) return integer; function hex_to_str( value : integer ) return string; procedure Shrink_line(L : inout LINE; pos : in integer); end SIMIO_PACKAGE; package body SIMIO_PACKAGE is function int_to_str( value : integer ) return string is variable ivalue,index : integer; variable digit : integer; variable line_no: string(8 downto 1) := " "; begin ivalue := value; index := 1; while (ivalue > 0 ) loop digit := ivalue MOD 10; ivalue := ivalue/10; case digit is when 0 => line_no(index) := '0'; when 1 => line_no(index) := '1'; when 2 => line_no(index) := '2'; when 3 => line_no(index) := '3'; when 4 => line_no(index) := '4'; when 5 => line_no(index) := '5'; when 6 => line_no(index) := '6'; when 7 => line_no(index) := '7'; when 8 => line_no(index) := '8'; when 9 => line_no(index) := '9'; when others => ASSERT FALSE REPORT "Illegal number!" SEVERITY ERROR; end case; index := index + 1; end loop; return line_no; end; function hex_str_to_int( str : string ) return integer is variable len : integer := str'length; variable ivalue : integer := 0; variable digit : integer; begin for i in len downto 1 loop case str(i) is when '0' => digit := 0; when '1' => digit := 1; when '2' => digit := 2; when '3' => digit := 3; when '4' => digit := 4; when '5' => digit := 5; when '6' => digit := 6; when '7' => digit := 7; when '8' => digit := 8; when '9' => digit := 9; when 'A' => digit := 10; when 'a' => digit := 10; when 'B' => digit := 11; when 'b' => digit := 11; when 'C' => digit := 12; when 'c' => digit := 12; when 'D' => digit := 13; when 'd' => digit := 13; when 'E' => digit := 14; when 'e' => digit := 14; when 'F' => digit := 15; when 'f' => digit := 15; when others=> ASSERT FALSE REPORT "Illegal character "& str(i) & "in Intel Hex File! " SEVERITY ERROR; end case; ivalue := ivalue * 16 + digit; end loop; return ivalue; end; function hex_to_str( value : integer ) return string is variable ivalue,index : integer; variable digit : integer; variable line_no: string(8 downto 1) := " "; begin ivalue := value; index := 1; while ( index<=8 ) loop digit := ivalue MOD 16; ivalue := ivalue/16; case digit is when 0 => line_no(index) := '0'; when 1 => line_no(index) := '1'; when 2 => line_no(index) := '2'; when 3 => line_no(index) := '3'; when 4 => line_no(index) := '4'; when 5 => line_no(index) := '5'; when 6 => line_no(index) := '6'; when 7 => line_no(index) := '7'; when 8 => line_no(index) := '8'; when 9 => line_no(index) := '9'; when 10 => line_no(index) := 'A'; when 11 => line_no(index) := 'B'; when 12 => line_no(index) := 'C'; when 13 => line_no(index) := 'D'; when 14 => line_no(index) := 'E'; when 15 => line_no(index) := 'F'; when others => ASSERT FALSE REPORT "Illegal number!" SEVERITY ERROR; end case; index := index + 1; end loop; return line_no; end; procedure Shrink_line(L : inout LINE; pos : in integer) is subtype nstring is string(1 to pos); variable stmp : nstring; begin if pos >= 1 then read(l,stmp); end if; end; end SIMIO_PACKAGE;
------------------------------------------------------------------------------- -- File Name : ZZ_TOP.vhd -- -- Project : JPEG_ENC -- -- Module : ZZ_TOP -- -- Content : ZigZag Top level -- -- Description : Zig Zag scan -- -- Spec. : -- -- Author : Michal Krepa -- ------------------------------------------------------------------------------- -- History : -- 20090301: (MK): Initial Creation. ------------------------------------------------------------------------------- -- ////////////////////////////////////////////////////////////////////////////// -- /// Copyright (c) 2013, Jahanzeb Ahmad -- /// All rights reserved. -- /// -- /// Redistribution and use in source and binary forms, with or without modification, -- /// are permitted provided that the following conditions are met: -- /// -- /// * Redistributions of source code must retain the above copyright notice, -- /// this list of conditions and the following disclaimer. -- /// * Redistributions in binary form must reproduce the above copyright notice, -- /// this list of conditions and the following disclaimer in the documentation and/or -- /// other materials provided with the distribution. -- /// -- /// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY -- /// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES -- /// OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT -- /// SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- /// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT -- /// LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR -- /// PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, -- /// WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- /// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- /// POSSIBILITY OF SUCH DAMAGE. -- /// -- /// -- /// * http://opensource.org/licenses/MIT -- /// * http://copyfree.org/licenses/mit/license.txt -- /// -- ////////////////////////////////////////////////////////////////////////////// ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ----------------------------------- LIBRARY/PACKAGE --------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- generic packages/libraries: ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; ------------------------------------------------------------------------------- -- user packages/libraries: ------------------------------------------------------------------------------- library work; use work.JPEG_PKG.all; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ----------------------------------- ENTITY ------------------------------------ ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- entity ZZ_TOP is port ( CLK : in std_logic; RST : in std_logic; -- CTRL start_pb : in std_logic; ready_pb : out std_logic; zig_sm_settings : in T_SM_SETTINGS; -- Quantizer qua_buf_sel : in std_logic; qua_rdaddr : in std_logic_vector(5 downto 0); qua_data : out std_logic_vector(11 downto 0); -- FDCT fdct_buf_sel : out std_logic; fdct_rd_addr : out std_logic_vector(5 downto 0); fdct_data : in std_logic_vector(11 downto 0); fdct_rden : out std_logic ); end entity ZZ_TOP; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ----------------------------------- ARCHITECTURE ------------------------------ ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- architecture RTL of ZZ_TOP is signal dbuf_data : std_logic_vector(11 downto 0); signal dbuf_q : std_logic_vector(11 downto 0); signal dbuf_we : std_logic; signal dbuf_waddr : std_logic_vector(6 downto 0); signal dbuf_raddr : std_logic_vector(6 downto 0); signal zigzag_di : std_logic_vector(11 downto 0); signal zigzag_divalid : std_logic; signal zigzag_dout : std_logic_vector(11 downto 0); signal zigzag_dovalid : std_logic; signal wr_cnt : unsigned(5 downto 0):= (others => '0'); signal rd_cnt : unsigned(5 downto 0):= (others => '0'); signal rd_en_d : std_logic_vector(5 downto 0); signal rd_en : std_logic; signal fdct_buf_sel_s : std_logic; signal zz_rd_addr : std_logic_vector(5 downto 0); signal fifo_empty : std_logic; signal fifo_rden : std_logic; ------------------------------------------------------------------------------- -- Architecture: begin ------------------------------------------------------------------------------- begin fdct_rd_addr <= std_logic_vector(zz_rd_addr); qua_data <= dbuf_q; fdct_buf_sel <= fdct_buf_sel_s; fdct_rden <= rd_en; ------------------------------------------------------------------- -- ZigZag Core ------------------------------------------------------------------- U_zigzag : entity work.zigzag generic map ( RAMADDR_W => 6, RAMDATA_W => 12 ) port map ( rst => RST, clk => CLK, di => zigzag_di, divalid => zigzag_divalid, rd_addr => rd_cnt, fifo_rden => fifo_rden, fifo_empty => fifo_empty, dout => zigzag_dout, dovalid => zigzag_dovalid, zz_rd_addr => zz_rd_addr ); zigzag_di <= fdct_data; zigzag_divalid <= rd_en_d(1); ------------------------------------------------------------------- -- DBUF ------------------------------------------------------------------- U_RAMZ : entity work.RAMZ generic map ( RAMADDR_W => 7, RAMDATA_W => 12 ) port map ( d => dbuf_data, waddr => dbuf_waddr, raddr => dbuf_raddr, we => dbuf_we, clk => CLK, q => dbuf_q ); dbuf_data <= zigzag_dout; dbuf_waddr <= (not qua_buf_sel) & std_logic_vector(wr_cnt); dbuf_we <= zigzag_dovalid; dbuf_raddr <= qua_buf_sel & qua_rdaddr; ------------------------------------------------------------------- -- FIFO Ctrl ------------------------------------------------------------------- p_fifo_ctrl : process(CLK, RST) begin if RST = '1' then fifo_rden <= '0'; elsif CLK'event and CLK = '1' then if fifo_empty = '0' then fifo_rden <= '1'; else fifo_rden <= '0'; end if; end if; end process; ------------------------------------------------------------------- -- Counter1 ------------------------------------------------------------------- p_counter1 : process(CLK, RST) begin if RST = '1' then rd_en <= '0'; rd_en_d <= (others => '0'); rd_cnt <= (others => '0'); elsif CLK'event and CLK = '1' then rd_en_d <= rd_en_d(rd_en_d'length-2 downto 0) & rd_en; if start_pb = '1' then rd_cnt <= (others => '0'); rd_en <= '1'; end if; if rd_en = '1' then if rd_cnt = 64-1 then rd_cnt <= (others => '0'); rd_en <= '0'; else rd_cnt <= rd_cnt + 1; end if; end if; end if; end process; ------------------------------------------------------------------- -- wr_cnt ------------------------------------------------------------------- p_wr_cnt : process(CLK, RST) begin if RST = '1' then wr_cnt <= (others => '0'); ready_pb <= '0'; elsif CLK'event and CLK = '1' then ready_pb <= '0'; if start_pb = '1' then wr_cnt <= (others => '0'); end if; if zigzag_dovalid = '1' then if wr_cnt = 64-1 then wr_cnt <= (others => '0'); else wr_cnt <=wr_cnt + 1; end if; -- give ready ahead to save cycles! if wr_cnt = 64-1-3 then ready_pb <= '1'; end if; end if; end if; end process; ------------------------------------------------------------------- -- fdct_buf_sel ------------------------------------------------------------------- p_buf_sel : process(CLK, RST) begin if RST = '1' then fdct_buf_sel_s <= '0'; elsif CLK'event and CLK = '1' then if start_pb = '1' then fdct_buf_sel_s <= not fdct_buf_sel_s; end if; end if; end process; end architecture RTL; ------------------------------------------------------------------------------- -- Architecture: end -------------------------------------------------------------------------------
------------------------------------------------------------------------------- -- File Name : ZZ_TOP.vhd -- -- Project : JPEG_ENC -- -- Module : ZZ_TOP -- -- Content : ZigZag Top level -- -- Description : Zig Zag scan -- -- Spec. : -- -- Author : Michal Krepa -- ------------------------------------------------------------------------------- -- History : -- 20090301: (MK): Initial Creation. ------------------------------------------------------------------------------- -- ////////////////////////////////////////////////////////////////////////////// -- /// Copyright (c) 2013, Jahanzeb Ahmad -- /// All rights reserved. -- /// -- /// Redistribution and use in source and binary forms, with or without modification, -- /// are permitted provided that the following conditions are met: -- /// -- /// * Redistributions of source code must retain the above copyright notice, -- /// this list of conditions and the following disclaimer. -- /// * Redistributions in binary form must reproduce the above copyright notice, -- /// this list of conditions and the following disclaimer in the documentation and/or -- /// other materials provided with the distribution. -- /// -- /// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY -- /// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES -- /// OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT -- /// SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- /// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT -- /// LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR -- /// PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, -- /// WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- /// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- /// POSSIBILITY OF SUCH DAMAGE. -- /// -- /// -- /// * http://opensource.org/licenses/MIT -- /// * http://copyfree.org/licenses/mit/license.txt -- /// -- ////////////////////////////////////////////////////////////////////////////// ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ----------------------------------- LIBRARY/PACKAGE --------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- generic packages/libraries: ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; ------------------------------------------------------------------------------- -- user packages/libraries: ------------------------------------------------------------------------------- library work; use work.JPEG_PKG.all; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ----------------------------------- ENTITY ------------------------------------ ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- entity ZZ_TOP is port ( CLK : in std_logic; RST : in std_logic; -- CTRL start_pb : in std_logic; ready_pb : out std_logic; zig_sm_settings : in T_SM_SETTINGS; -- Quantizer qua_buf_sel : in std_logic; qua_rdaddr : in std_logic_vector(5 downto 0); qua_data : out std_logic_vector(11 downto 0); -- FDCT fdct_buf_sel : out std_logic; fdct_rd_addr : out std_logic_vector(5 downto 0); fdct_data : in std_logic_vector(11 downto 0); fdct_rden : out std_logic ); end entity ZZ_TOP; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ----------------------------------- ARCHITECTURE ------------------------------ ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- architecture RTL of ZZ_TOP is signal dbuf_data : std_logic_vector(11 downto 0); signal dbuf_q : std_logic_vector(11 downto 0); signal dbuf_we : std_logic; signal dbuf_waddr : std_logic_vector(6 downto 0); signal dbuf_raddr : std_logic_vector(6 downto 0); signal zigzag_di : std_logic_vector(11 downto 0); signal zigzag_divalid : std_logic; signal zigzag_dout : std_logic_vector(11 downto 0); signal zigzag_dovalid : std_logic; signal wr_cnt : unsigned(5 downto 0):= (others => '0'); signal rd_cnt : unsigned(5 downto 0):= (others => '0'); signal rd_en_d : std_logic_vector(5 downto 0); signal rd_en : std_logic; signal fdct_buf_sel_s : std_logic; signal zz_rd_addr : std_logic_vector(5 downto 0); signal fifo_empty : std_logic; signal fifo_rden : std_logic; ------------------------------------------------------------------------------- -- Architecture: begin ------------------------------------------------------------------------------- begin fdct_rd_addr <= std_logic_vector(zz_rd_addr); qua_data <= dbuf_q; fdct_buf_sel <= fdct_buf_sel_s; fdct_rden <= rd_en; ------------------------------------------------------------------- -- ZigZag Core ------------------------------------------------------------------- U_zigzag : entity work.zigzag generic map ( RAMADDR_W => 6, RAMDATA_W => 12 ) port map ( rst => RST, clk => CLK, di => zigzag_di, divalid => zigzag_divalid, rd_addr => rd_cnt, fifo_rden => fifo_rden, fifo_empty => fifo_empty, dout => zigzag_dout, dovalid => zigzag_dovalid, zz_rd_addr => zz_rd_addr ); zigzag_di <= fdct_data; zigzag_divalid <= rd_en_d(1); ------------------------------------------------------------------- -- DBUF ------------------------------------------------------------------- U_RAMZ : entity work.RAMZ generic map ( RAMADDR_W => 7, RAMDATA_W => 12 ) port map ( d => dbuf_data, waddr => dbuf_waddr, raddr => dbuf_raddr, we => dbuf_we, clk => CLK, q => dbuf_q ); dbuf_data <= zigzag_dout; dbuf_waddr <= (not qua_buf_sel) & std_logic_vector(wr_cnt); dbuf_we <= zigzag_dovalid; dbuf_raddr <= qua_buf_sel & qua_rdaddr; ------------------------------------------------------------------- -- FIFO Ctrl ------------------------------------------------------------------- p_fifo_ctrl : process(CLK, RST) begin if RST = '1' then fifo_rden <= '0'; elsif CLK'event and CLK = '1' then if fifo_empty = '0' then fifo_rden <= '1'; else fifo_rden <= '0'; end if; end if; end process; ------------------------------------------------------------------- -- Counter1 ------------------------------------------------------------------- p_counter1 : process(CLK, RST) begin if RST = '1' then rd_en <= '0'; rd_en_d <= (others => '0'); rd_cnt <= (others => '0'); elsif CLK'event and CLK = '1' then rd_en_d <= rd_en_d(rd_en_d'length-2 downto 0) & rd_en; if start_pb = '1' then rd_cnt <= (others => '0'); rd_en <= '1'; end if; if rd_en = '1' then if rd_cnt = 64-1 then rd_cnt <= (others => '0'); rd_en <= '0'; else rd_cnt <= rd_cnt + 1; end if; end if; end if; end process; ------------------------------------------------------------------- -- wr_cnt ------------------------------------------------------------------- p_wr_cnt : process(CLK, RST) begin if RST = '1' then wr_cnt <= (others => '0'); ready_pb <= '0'; elsif CLK'event and CLK = '1' then ready_pb <= '0'; if start_pb = '1' then wr_cnt <= (others => '0'); end if; if zigzag_dovalid = '1' then if wr_cnt = 64-1 then wr_cnt <= (others => '0'); else wr_cnt <=wr_cnt + 1; end if; -- give ready ahead to save cycles! if wr_cnt = 64-1-3 then ready_pb <= '1'; end if; end if; end if; end process; ------------------------------------------------------------------- -- fdct_buf_sel ------------------------------------------------------------------- p_buf_sel : process(CLK, RST) begin if RST = '1' then fdct_buf_sel_s <= '0'; elsif CLK'event and CLK = '1' then if start_pb = '1' then fdct_buf_sel_s <= not fdct_buf_sel_s; end if; end if; end process; end architecture RTL; ------------------------------------------------------------------------------- -- Architecture: end -------------------------------------------------------------------------------
------------------------------------------------------------------------------- -- File Name : ZZ_TOP.vhd -- -- Project : JPEG_ENC -- -- Module : ZZ_TOP -- -- Content : ZigZag Top level -- -- Description : Zig Zag scan -- -- Spec. : -- -- Author : Michal Krepa -- ------------------------------------------------------------------------------- -- History : -- 20090301: (MK): Initial Creation. ------------------------------------------------------------------------------- -- ////////////////////////////////////////////////////////////////////////////// -- /// Copyright (c) 2013, Jahanzeb Ahmad -- /// All rights reserved. -- /// -- /// Redistribution and use in source and binary forms, with or without modification, -- /// are permitted provided that the following conditions are met: -- /// -- /// * Redistributions of source code must retain the above copyright notice, -- /// this list of conditions and the following disclaimer. -- /// * Redistributions in binary form must reproduce the above copyright notice, -- /// this list of conditions and the following disclaimer in the documentation and/or -- /// other materials provided with the distribution. -- /// -- /// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY -- /// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES -- /// OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT -- /// SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- /// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT -- /// LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR -- /// PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, -- /// WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- /// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- /// POSSIBILITY OF SUCH DAMAGE. -- /// -- /// -- /// * http://opensource.org/licenses/MIT -- /// * http://copyfree.org/licenses/mit/license.txt -- /// -- ////////////////////////////////////////////////////////////////////////////// ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ----------------------------------- LIBRARY/PACKAGE --------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- generic packages/libraries: ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; ------------------------------------------------------------------------------- -- user packages/libraries: ------------------------------------------------------------------------------- library work; use work.JPEG_PKG.all; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ----------------------------------- ENTITY ------------------------------------ ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- entity ZZ_TOP is port ( CLK : in std_logic; RST : in std_logic; -- CTRL start_pb : in std_logic; ready_pb : out std_logic; zig_sm_settings : in T_SM_SETTINGS; -- Quantizer qua_buf_sel : in std_logic; qua_rdaddr : in std_logic_vector(5 downto 0); qua_data : out std_logic_vector(11 downto 0); -- FDCT fdct_buf_sel : out std_logic; fdct_rd_addr : out std_logic_vector(5 downto 0); fdct_data : in std_logic_vector(11 downto 0); fdct_rden : out std_logic ); end entity ZZ_TOP; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ----------------------------------- ARCHITECTURE ------------------------------ ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- architecture RTL of ZZ_TOP is signal dbuf_data : std_logic_vector(11 downto 0); signal dbuf_q : std_logic_vector(11 downto 0); signal dbuf_we : std_logic; signal dbuf_waddr : std_logic_vector(6 downto 0); signal dbuf_raddr : std_logic_vector(6 downto 0); signal zigzag_di : std_logic_vector(11 downto 0); signal zigzag_divalid : std_logic; signal zigzag_dout : std_logic_vector(11 downto 0); signal zigzag_dovalid : std_logic; signal wr_cnt : unsigned(5 downto 0):= (others => '0'); signal rd_cnt : unsigned(5 downto 0):= (others => '0'); signal rd_en_d : std_logic_vector(5 downto 0); signal rd_en : std_logic; signal fdct_buf_sel_s : std_logic; signal zz_rd_addr : std_logic_vector(5 downto 0); signal fifo_empty : std_logic; signal fifo_rden : std_logic; ------------------------------------------------------------------------------- -- Architecture: begin ------------------------------------------------------------------------------- begin fdct_rd_addr <= std_logic_vector(zz_rd_addr); qua_data <= dbuf_q; fdct_buf_sel <= fdct_buf_sel_s; fdct_rden <= rd_en; ------------------------------------------------------------------- -- ZigZag Core ------------------------------------------------------------------- U_zigzag : entity work.zigzag generic map ( RAMADDR_W => 6, RAMDATA_W => 12 ) port map ( rst => RST, clk => CLK, di => zigzag_di, divalid => zigzag_divalid, rd_addr => rd_cnt, fifo_rden => fifo_rden, fifo_empty => fifo_empty, dout => zigzag_dout, dovalid => zigzag_dovalid, zz_rd_addr => zz_rd_addr ); zigzag_di <= fdct_data; zigzag_divalid <= rd_en_d(1); ------------------------------------------------------------------- -- DBUF ------------------------------------------------------------------- U_RAMZ : entity work.RAMZ generic map ( RAMADDR_W => 7, RAMDATA_W => 12 ) port map ( d => dbuf_data, waddr => dbuf_waddr, raddr => dbuf_raddr, we => dbuf_we, clk => CLK, q => dbuf_q ); dbuf_data <= zigzag_dout; dbuf_waddr <= (not qua_buf_sel) & std_logic_vector(wr_cnt); dbuf_we <= zigzag_dovalid; dbuf_raddr <= qua_buf_sel & qua_rdaddr; ------------------------------------------------------------------- -- FIFO Ctrl ------------------------------------------------------------------- p_fifo_ctrl : process(CLK, RST) begin if RST = '1' then fifo_rden <= '0'; elsif CLK'event and CLK = '1' then if fifo_empty = '0' then fifo_rden <= '1'; else fifo_rden <= '0'; end if; end if; end process; ------------------------------------------------------------------- -- Counter1 ------------------------------------------------------------------- p_counter1 : process(CLK, RST) begin if RST = '1' then rd_en <= '0'; rd_en_d <= (others => '0'); rd_cnt <= (others => '0'); elsif CLK'event and CLK = '1' then rd_en_d <= rd_en_d(rd_en_d'length-2 downto 0) & rd_en; if start_pb = '1' then rd_cnt <= (others => '0'); rd_en <= '1'; end if; if rd_en = '1' then if rd_cnt = 64-1 then rd_cnt <= (others => '0'); rd_en <= '0'; else rd_cnt <= rd_cnt + 1; end if; end if; end if; end process; ------------------------------------------------------------------- -- wr_cnt ------------------------------------------------------------------- p_wr_cnt : process(CLK, RST) begin if RST = '1' then wr_cnt <= (others => '0'); ready_pb <= '0'; elsif CLK'event and CLK = '1' then ready_pb <= '0'; if start_pb = '1' then wr_cnt <= (others => '0'); end if; if zigzag_dovalid = '1' then if wr_cnt = 64-1 then wr_cnt <= (others => '0'); else wr_cnt <=wr_cnt + 1; end if; -- give ready ahead to save cycles! if wr_cnt = 64-1-3 then ready_pb <= '1'; end if; end if; end if; end process; ------------------------------------------------------------------- -- fdct_buf_sel ------------------------------------------------------------------- p_buf_sel : process(CLK, RST) begin if RST = '1' then fdct_buf_sel_s <= '0'; elsif CLK'event and CLK = '1' then if start_pb = '1' then fdct_buf_sel_s <= not fdct_buf_sel_s; end if; end if; end process; end architecture RTL; ------------------------------------------------------------------------------- -- Architecture: end -------------------------------------------------------------------------------
------------------------------------------------------------------------------- -- File Name : ZZ_TOP.vhd -- -- Project : JPEG_ENC -- -- Module : ZZ_TOP -- -- Content : ZigZag Top level -- -- Description : Zig Zag scan -- -- Spec. : -- -- Author : Michal Krepa -- ------------------------------------------------------------------------------- -- History : -- 20090301: (MK): Initial Creation. ------------------------------------------------------------------------------- -- ////////////////////////////////////////////////////////////////////////////// -- /// Copyright (c) 2013, Jahanzeb Ahmad -- /// All rights reserved. -- /// -- /// Redistribution and use in source and binary forms, with or without modification, -- /// are permitted provided that the following conditions are met: -- /// -- /// * Redistributions of source code must retain the above copyright notice, -- /// this list of conditions and the following disclaimer. -- /// * Redistributions in binary form must reproduce the above copyright notice, -- /// this list of conditions and the following disclaimer in the documentation and/or -- /// other materials provided with the distribution. -- /// -- /// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY -- /// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES -- /// OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT -- /// SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- /// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT -- /// LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR -- /// PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, -- /// WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- /// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- /// POSSIBILITY OF SUCH DAMAGE. -- /// -- /// -- /// * http://opensource.org/licenses/MIT -- /// * http://copyfree.org/licenses/mit/license.txt -- /// -- ////////////////////////////////////////////////////////////////////////////// ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ----------------------------------- LIBRARY/PACKAGE --------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- generic packages/libraries: ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; ------------------------------------------------------------------------------- -- user packages/libraries: ------------------------------------------------------------------------------- library work; use work.JPEG_PKG.all; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ----------------------------------- ENTITY ------------------------------------ ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- entity ZZ_TOP is port ( CLK : in std_logic; RST : in std_logic; -- CTRL start_pb : in std_logic; ready_pb : out std_logic; zig_sm_settings : in T_SM_SETTINGS; -- Quantizer qua_buf_sel : in std_logic; qua_rdaddr : in std_logic_vector(5 downto 0); qua_data : out std_logic_vector(11 downto 0); -- FDCT fdct_buf_sel : out std_logic; fdct_rd_addr : out std_logic_vector(5 downto 0); fdct_data : in std_logic_vector(11 downto 0); fdct_rden : out std_logic ); end entity ZZ_TOP; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ----------------------------------- ARCHITECTURE ------------------------------ ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- architecture RTL of ZZ_TOP is signal dbuf_data : std_logic_vector(11 downto 0); signal dbuf_q : std_logic_vector(11 downto 0); signal dbuf_we : std_logic; signal dbuf_waddr : std_logic_vector(6 downto 0); signal dbuf_raddr : std_logic_vector(6 downto 0); signal zigzag_di : std_logic_vector(11 downto 0); signal zigzag_divalid : std_logic; signal zigzag_dout : std_logic_vector(11 downto 0); signal zigzag_dovalid : std_logic; signal wr_cnt : unsigned(5 downto 0):= (others => '0'); signal rd_cnt : unsigned(5 downto 0):= (others => '0'); signal rd_en_d : std_logic_vector(5 downto 0); signal rd_en : std_logic; signal fdct_buf_sel_s : std_logic; signal zz_rd_addr : std_logic_vector(5 downto 0); signal fifo_empty : std_logic; signal fifo_rden : std_logic; ------------------------------------------------------------------------------- -- Architecture: begin ------------------------------------------------------------------------------- begin fdct_rd_addr <= std_logic_vector(zz_rd_addr); qua_data <= dbuf_q; fdct_buf_sel <= fdct_buf_sel_s; fdct_rden <= rd_en; ------------------------------------------------------------------- -- ZigZag Core ------------------------------------------------------------------- U_zigzag : entity work.zigzag generic map ( RAMADDR_W => 6, RAMDATA_W => 12 ) port map ( rst => RST, clk => CLK, di => zigzag_di, divalid => zigzag_divalid, rd_addr => rd_cnt, fifo_rden => fifo_rden, fifo_empty => fifo_empty, dout => zigzag_dout, dovalid => zigzag_dovalid, zz_rd_addr => zz_rd_addr ); zigzag_di <= fdct_data; zigzag_divalid <= rd_en_d(1); ------------------------------------------------------------------- -- DBUF ------------------------------------------------------------------- U_RAMZ : entity work.RAMZ generic map ( RAMADDR_W => 7, RAMDATA_W => 12 ) port map ( d => dbuf_data, waddr => dbuf_waddr, raddr => dbuf_raddr, we => dbuf_we, clk => CLK, q => dbuf_q ); dbuf_data <= zigzag_dout; dbuf_waddr <= (not qua_buf_sel) & std_logic_vector(wr_cnt); dbuf_we <= zigzag_dovalid; dbuf_raddr <= qua_buf_sel & qua_rdaddr; ------------------------------------------------------------------- -- FIFO Ctrl ------------------------------------------------------------------- p_fifo_ctrl : process(CLK, RST) begin if RST = '1' then fifo_rden <= '0'; elsif CLK'event and CLK = '1' then if fifo_empty = '0' then fifo_rden <= '1'; else fifo_rden <= '0'; end if; end if; end process; ------------------------------------------------------------------- -- Counter1 ------------------------------------------------------------------- p_counter1 : process(CLK, RST) begin if RST = '1' then rd_en <= '0'; rd_en_d <= (others => '0'); rd_cnt <= (others => '0'); elsif CLK'event and CLK = '1' then rd_en_d <= rd_en_d(rd_en_d'length-2 downto 0) & rd_en; if start_pb = '1' then rd_cnt <= (others => '0'); rd_en <= '1'; end if; if rd_en = '1' then if rd_cnt = 64-1 then rd_cnt <= (others => '0'); rd_en <= '0'; else rd_cnt <= rd_cnt + 1; end if; end if; end if; end process; ------------------------------------------------------------------- -- wr_cnt ------------------------------------------------------------------- p_wr_cnt : process(CLK, RST) begin if RST = '1' then wr_cnt <= (others => '0'); ready_pb <= '0'; elsif CLK'event and CLK = '1' then ready_pb <= '0'; if start_pb = '1' then wr_cnt <= (others => '0'); end if; if zigzag_dovalid = '1' then if wr_cnt = 64-1 then wr_cnt <= (others => '0'); else wr_cnt <=wr_cnt + 1; end if; -- give ready ahead to save cycles! if wr_cnt = 64-1-3 then ready_pb <= '1'; end if; end if; end if; end process; ------------------------------------------------------------------- -- fdct_buf_sel ------------------------------------------------------------------- p_buf_sel : process(CLK, RST) begin if RST = '1' then fdct_buf_sel_s <= '0'; elsif CLK'event and CLK = '1' then if start_pb = '1' then fdct_buf_sel_s <= not fdct_buf_sel_s; end if; end if; end process; end architecture RTL; ------------------------------------------------------------------------------- -- Architecture: end -------------------------------------------------------------------------------
------------------------------------------------------------------------------- -- File Name : ZZ_TOP.vhd -- -- Project : JPEG_ENC -- -- Module : ZZ_TOP -- -- Content : ZigZag Top level -- -- Description : Zig Zag scan -- -- Spec. : -- -- Author : Michal Krepa -- ------------------------------------------------------------------------------- -- History : -- 20090301: (MK): Initial Creation. ------------------------------------------------------------------------------- -- ////////////////////////////////////////////////////////////////////////////// -- /// Copyright (c) 2013, Jahanzeb Ahmad -- /// All rights reserved. -- /// -- /// Redistribution and use in source and binary forms, with or without modification, -- /// are permitted provided that the following conditions are met: -- /// -- /// * Redistributions of source code must retain the above copyright notice, -- /// this list of conditions and the following disclaimer. -- /// * Redistributions in binary form must reproduce the above copyright notice, -- /// this list of conditions and the following disclaimer in the documentation and/or -- /// other materials provided with the distribution. -- /// -- /// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY -- /// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES -- /// OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT -- /// SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- /// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT -- /// LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR -- /// PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, -- /// WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- /// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- /// POSSIBILITY OF SUCH DAMAGE. -- /// -- /// -- /// * http://opensource.org/licenses/MIT -- /// * http://copyfree.org/licenses/mit/license.txt -- /// -- ////////////////////////////////////////////////////////////////////////////// ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ----------------------------------- LIBRARY/PACKAGE --------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- generic packages/libraries: ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; ------------------------------------------------------------------------------- -- user packages/libraries: ------------------------------------------------------------------------------- library work; use work.JPEG_PKG.all; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ----------------------------------- ENTITY ------------------------------------ ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- entity ZZ_TOP is port ( CLK : in std_logic; RST : in std_logic; -- CTRL start_pb : in std_logic; ready_pb : out std_logic; zig_sm_settings : in T_SM_SETTINGS; -- Quantizer qua_buf_sel : in std_logic; qua_rdaddr : in std_logic_vector(5 downto 0); qua_data : out std_logic_vector(11 downto 0); -- FDCT fdct_buf_sel : out std_logic; fdct_rd_addr : out std_logic_vector(5 downto 0); fdct_data : in std_logic_vector(11 downto 0); fdct_rden : out std_logic ); end entity ZZ_TOP; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- ----------------------------------- ARCHITECTURE ------------------------------ ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- architecture RTL of ZZ_TOP is signal dbuf_data : std_logic_vector(11 downto 0); signal dbuf_q : std_logic_vector(11 downto 0); signal dbuf_we : std_logic; signal dbuf_waddr : std_logic_vector(6 downto 0); signal dbuf_raddr : std_logic_vector(6 downto 0); signal zigzag_di : std_logic_vector(11 downto 0); signal zigzag_divalid : std_logic; signal zigzag_dout : std_logic_vector(11 downto 0); signal zigzag_dovalid : std_logic; signal wr_cnt : unsigned(5 downto 0):= (others => '0'); signal rd_cnt : unsigned(5 downto 0):= (others => '0'); signal rd_en_d : std_logic_vector(5 downto 0); signal rd_en : std_logic; signal fdct_buf_sel_s : std_logic; signal zz_rd_addr : std_logic_vector(5 downto 0); signal fifo_empty : std_logic; signal fifo_rden : std_logic; ------------------------------------------------------------------------------- -- Architecture: begin ------------------------------------------------------------------------------- begin fdct_rd_addr <= std_logic_vector(zz_rd_addr); qua_data <= dbuf_q; fdct_buf_sel <= fdct_buf_sel_s; fdct_rden <= rd_en; ------------------------------------------------------------------- -- ZigZag Core ------------------------------------------------------------------- U_zigzag : entity work.zigzag generic map ( RAMADDR_W => 6, RAMDATA_W => 12 ) port map ( rst => RST, clk => CLK, di => zigzag_di, divalid => zigzag_divalid, rd_addr => rd_cnt, fifo_rden => fifo_rden, fifo_empty => fifo_empty, dout => zigzag_dout, dovalid => zigzag_dovalid, zz_rd_addr => zz_rd_addr ); zigzag_di <= fdct_data; zigzag_divalid <= rd_en_d(1); ------------------------------------------------------------------- -- DBUF ------------------------------------------------------------------- U_RAMZ : entity work.RAMZ generic map ( RAMADDR_W => 7, RAMDATA_W => 12 ) port map ( d => dbuf_data, waddr => dbuf_waddr, raddr => dbuf_raddr, we => dbuf_we, clk => CLK, q => dbuf_q ); dbuf_data <= zigzag_dout; dbuf_waddr <= (not qua_buf_sel) & std_logic_vector(wr_cnt); dbuf_we <= zigzag_dovalid; dbuf_raddr <= qua_buf_sel & qua_rdaddr; ------------------------------------------------------------------- -- FIFO Ctrl ------------------------------------------------------------------- p_fifo_ctrl : process(CLK, RST) begin if RST = '1' then fifo_rden <= '0'; elsif CLK'event and CLK = '1' then if fifo_empty = '0' then fifo_rden <= '1'; else fifo_rden <= '0'; end if; end if; end process; ------------------------------------------------------------------- -- Counter1 ------------------------------------------------------------------- p_counter1 : process(CLK, RST) begin if RST = '1' then rd_en <= '0'; rd_en_d <= (others => '0'); rd_cnt <= (others => '0'); elsif CLK'event and CLK = '1' then rd_en_d <= rd_en_d(rd_en_d'length-2 downto 0) & rd_en; if start_pb = '1' then rd_cnt <= (others => '0'); rd_en <= '1'; end if; if rd_en = '1' then if rd_cnt = 64-1 then rd_cnt <= (others => '0'); rd_en <= '0'; else rd_cnt <= rd_cnt + 1; end if; end if; end if; end process; ------------------------------------------------------------------- -- wr_cnt ------------------------------------------------------------------- p_wr_cnt : process(CLK, RST) begin if RST = '1' then wr_cnt <= (others => '0'); ready_pb <= '0'; elsif CLK'event and CLK = '1' then ready_pb <= '0'; if start_pb = '1' then wr_cnt <= (others => '0'); end if; if zigzag_dovalid = '1' then if wr_cnt = 64-1 then wr_cnt <= (others => '0'); else wr_cnt <=wr_cnt + 1; end if; -- give ready ahead to save cycles! if wr_cnt = 64-1-3 then ready_pb <= '1'; end if; end if; end if; end process; ------------------------------------------------------------------- -- fdct_buf_sel ------------------------------------------------------------------- p_buf_sel : process(CLK, RST) begin if RST = '1' then fdct_buf_sel_s <= '0'; elsif CLK'event and CLK = '1' then if start_pb = '1' then fdct_buf_sel_s <= not fdct_buf_sel_s; end if; end if; end process; end architecture RTL; ------------------------------------------------------------------------------- -- Architecture: end -------------------------------------------------------------------------------
-- $Id: tbd_serport_autobaud.vhd 476 2013-01-26 22:23:53Z mueller $ -- -- Copyright 2007-2011 by Walter F.J. Mueller <[email protected]> -- -- This program is free software; you may redistribute and/or modify it under -- the terms of the GNU General Public License as published by the Free -- Software Foundation, either version 2, or at your option any later version. -- -- This program is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for complete details. -- ------------------------------------------------------------------------------ -- Module Name: tbd_serport_autobaud - syn -- Description: Wrapper for serport_uart_autobaud and serport_uart_rxtx to -- avoid records. It has a port interface which will not be -- modified by xst synthesis (no records, no generic port). -- -- Dependencies: clkdivce -- serport_uart_autobaud -- serport_uart_rxtx -- serport_uart_rx -- -- To test: serport_uart_autobaud -- serport_uart_rxtx -- -- Target Devices: generic -- -- Synthesized (xst): -- Date Rev ise Target flop lutl lutm slic t peri -- 2007-10-27 92 9.2.02 J39 xc3s1000-4 151 291 0 - t 9.23 -- 2007-10-27 92 9.1 J30 xc3s1000-4 151 291 0 - t 9.23 -- 2007-10-27 92 8.2.03 I34 xc3s1000-4 153 338 0 178 s 9.45 -- 2007-10-27 92 8.1.03 I27 xc3s1000-4 152 293 0 - s 9.40 -- -- Tool versions: xst 8.2, 9.1, 9.2, 13.1; ghdl 0.18-0.29 -- Revision History: -- Date Rev Version Comment -- 2008-01-20 112 1.0.1 rename clkgen->clkdivce -- 2007-06-24 60 1.0 Initial version ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.slvtypes.all; use work.genlib.all; use work.serportlib.all; entity tbd_serport_autobaud is -- serial port autobaud [tb design] port ( CLK : in slbit; -- clock RESET : in slbit; -- reset RXSD : in slbit; -- receive serial data (uart view) CE_USEC : out slbit; -- usec pulse (here every 4 clocks) CE_MSEC : out slbit; -- msec pulse (here every 20 clocks) CLKDIV : out slv13; -- clock divider setting ABACT : out slbit; -- autobaud active ABDONE : out slbit; -- autobaud done RXDATA : out slv8; -- receiver data out (1st rx) RXVAL : out slbit; -- receiver data valid (1st rx) RXERR : out slbit; -- receiver data error (1st rx) RXACT : out slbit; -- receiver active (1st rx) TXSD2 : out slbit; -- transmit serial data (2nd tx) RXDATA3 : out slv8; -- receiver data out (3rd rx) RXVAL3 : out slbit; -- receiver data valid (3rd rx) RXERR3 : out slbit; -- receiver data error (3rd rx) RXACT3 : out slbit -- receiver active (3rd rx) ); end tbd_serport_autobaud; architecture syn of tbd_serport_autobaud is constant cdwidth : positive := 13; signal LCE_MSEC : slbit := '0'; signal LCLKDIV : slv13 := (others=>'0'); signal LRXDATA : slv8 := (others=>'0'); signal LRXVAL : slbit := '0'; signal LTXSD2 : slbit := '0'; signal LABACT : slbit := '0'; begin CKLDIV : clkdivce generic map ( CDUWIDTH => 6, USECDIV => 4, MSECDIV => 5) port map ( CLK => CLK, CE_USEC => CE_USEC, CE_MSEC => LCE_MSEC ); AUTOBAUD : serport_uart_autobaud generic map ( CDWIDTH => cdwidth, CDINIT => 15) port map ( CLK => CLK, CE_MSEC => LCE_MSEC, RESET => RESET, RXSD => RXSD, CLKDIV => LCLKDIV, ACT => LABACT, DONE => ABDONE ); UART1 : serport_uart_rxtx generic map ( CDWIDTH => cdwidth) port map ( CLK => CLK, RESET => LABACT, CLKDIV => LCLKDIV, RXSD => RXSD, RXDATA => LRXDATA, RXVAL => LRXVAL, RXERR => RXERR, RXACT => RXACT, TXSD => LTXSD2, TXDATA => LRXDATA, TXENA => LRXVAL, TXBUSY => open ); UART2 : serport_uart_rx generic map ( CDWIDTH => cdwidth) port map ( CLK => CLK, RESET => LABACT, CLKDIV => LCLKDIV, RXSD => LTXSD2, RXDATA => RXDATA3, RXVAL => RXVAL3, RXERR => RXERR3, RXACT => RXACT3 ); CE_MSEC <= LCE_MSEC; CLKDIV <= LCLKDIV; ABACT <= LABACT; RXDATA <= LRXDATA; RXVAL <= LRXVAL; TXSD2 <= LTXSD2; end syn;
--======================================================================================================================== -- Copyright (c) 2017 by Bitvis AS. All rights reserved. -- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not, -- contact Bitvis AS <[email protected]>. -- -- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE -- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS -- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR -- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM. --======================================================================================================================== ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library uvvm_util; context uvvm_util.uvvm_util_context; library uvvm_vvc_framework; use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all; --================================================================================================= --================================================================================================= --================================================================================================= package vvc_cmd_pkg is --=============================================================================================== -- t_operation -- - Bitvis defined BFM operations --=============================================================================================== type t_operation is ( -- UVVM common NO_OPERATION, AWAIT_COMPLETION, AWAIT_ANY_COMPLETION, ENABLE_LOG_MSG, DISABLE_LOG_MSG, FLUSH_COMMAND_QUEUE, FETCH_RESULT, INSERT_DELAY, TERMINATE_CURRENT_COMMAND, -- VVC local MASTER_TRANSMIT_AND_RECEIVE, MASTER_TRANSMIT_AND_CHECK, MASTER_TRANSMIT_ONLY, MASTER_RECEIVE_ONLY, MASTER_CHECK_ONLY, SLAVE_TRANSMIT_AND_RECEIVE, SLAVE_TRANSMIT_AND_CHECK, SLAVE_TRANSMIT_ONLY, SLAVE_RECEIVE_ONLY, SLAVE_CHECK_ONLY); constant C_VVC_CMD_STRING_MAX_LENGTH : natural := 300; constant C_VVC_CMD_DATA_MAX_LENGTH : natural := 32; constant C_VVC_CMD_MAX_WORDS : natural := 8; --=============================================================================================== -- t_vvc_cmd_record -- - Record type used for communication with the VVC --=============================================================================================== type t_vvc_cmd_record is record -- VVC dedicated fields data : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0); data_exp : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0); num_words : natural; word_length : natural; when_to_start_transfer : t_when_to_start_transfer; action_when_transfer_is_done : t_action_when_transfer_is_done; action_between_words : t_action_between_words; -- Common VVC fields (Used by td_vvc_framework_common_methods_pkg procedures, and thus mandatory) operation : t_operation; proc_call : string(1 to C_VVC_CMD_STRING_MAX_LENGTH); msg : string(1 to C_VVC_CMD_STRING_MAX_LENGTH); cmd_idx : natural; command_type : t_immediate_or_queued; -- QUEUED/IMMEDIATE msg_id : t_msg_id; gen_integer_array : t_integer_array(0 to 1); -- Increase array length if needed gen_boolean : boolean; -- Generic boolean timeout : time; alert_level : t_alert_level; delay : time; quietness : t_quietness; end record; constant C_VVC_CMD_DEFAULT : t_vvc_cmd_record := ( data => (others => (others => '0')), data_exp => (others => (others => '0')), num_words => 0, word_length => 0, when_to_start_transfer => START_TRANSFER_IMMEDIATE, action_when_transfer_is_done => RELEASE_LINE_AFTER_TRANSFER, action_between_words => HOLD_LINE_BETWEEN_WORDS, -- Common VVC fields operation => NO_OPERATION, proc_call => (others => NUL), msg => (others => NUL), cmd_idx => 0, command_type => NO_COMMAND_TYPE, msg_id => NO_ID, gen_integer_array => (others => -1), gen_boolean => false, timeout => 0 ns, alert_level => failure, delay => 0 ns, quietness => NON_QUIET ); --=============================================================================================== -- shared_vvc_cmd -- - Shared variable used for transmitting VVC commands --=============================================================================================== shared variable shared_vvc_cmd : t_vvc_cmd_record := C_VVC_CMD_DEFAULT; --=============================================================================================== -- t_vvc_result, t_vvc_result_queue_element, t_vvc_response and shared_vvc_response : -- -- - Used for storing the result of a BFM procedure called by the VVC, -- so that the result can be transported from the VVC to for example a sequencer via -- fetch_result() as described in VVC_Framework_common_methods_QuickRef -- -- - t_vvc_result includes the return value of the procedure in the BFM. -- It can also be defined as a record if multiple values shall be transported from the BFM --=============================================================================================== subtype t_vvc_result is std_logic_vector(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0); type t_vvc_result_queue_element is record cmd_idx : natural; -- from UVVM handshake mechanism result : t_vvc_result; end record; type t_vvc_response is record fetch_is_accepted : boolean; transaction_result : t_transaction_result; result : t_vvc_result; end record; shared variable shared_vvc_response : t_vvc_response; --=============================================================================================== -- t_last_received_cmd_idx : -- - Used to store the last queued cmd in vvc interpreter. --=============================================================================================== type t_last_received_cmd_idx is array (t_channel range <>, natural range <>) of integer; --=============================================================================================== -- shared_vvc_last_received_cmd_idx -- - Shared variable used to get last queued index from vvc to sequencer --=============================================================================================== shared variable shared_vvc_last_received_cmd_idx : t_last_received_cmd_idx(t_channel'left to t_channel'right, 0 to C_MAX_VVC_INSTANCE_NUM) := (others => (others => -1)); end package vvc_cmd_pkg; --================================================================================================= --================================================================================================= package body vvc_cmd_pkg is end package body vvc_cmd_pkg;
--======================================================================================================================== -- Copyright (c) 2017 by Bitvis AS. All rights reserved. -- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not, -- contact Bitvis AS <[email protected]>. -- -- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE -- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS -- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR -- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM. --======================================================================================================================== ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library uvvm_util; context uvvm_util.uvvm_util_context; library uvvm_vvc_framework; use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all; --================================================================================================= --================================================================================================= --================================================================================================= package vvc_cmd_pkg is --=============================================================================================== -- t_operation -- - Bitvis defined BFM operations --=============================================================================================== type t_operation is ( -- UVVM common NO_OPERATION, AWAIT_COMPLETION, AWAIT_ANY_COMPLETION, ENABLE_LOG_MSG, DISABLE_LOG_MSG, FLUSH_COMMAND_QUEUE, FETCH_RESULT, INSERT_DELAY, TERMINATE_CURRENT_COMMAND, -- VVC local MASTER_TRANSMIT_AND_RECEIVE, MASTER_TRANSMIT_AND_CHECK, MASTER_TRANSMIT_ONLY, MASTER_RECEIVE_ONLY, MASTER_CHECK_ONLY, SLAVE_TRANSMIT_AND_RECEIVE, SLAVE_TRANSMIT_AND_CHECK, SLAVE_TRANSMIT_ONLY, SLAVE_RECEIVE_ONLY, SLAVE_CHECK_ONLY); constant C_VVC_CMD_STRING_MAX_LENGTH : natural := 300; constant C_VVC_CMD_DATA_MAX_LENGTH : natural := 32; constant C_VVC_CMD_MAX_WORDS : natural := 8; --=============================================================================================== -- t_vvc_cmd_record -- - Record type used for communication with the VVC --=============================================================================================== type t_vvc_cmd_record is record -- VVC dedicated fields data : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0); data_exp : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0); num_words : natural; word_length : natural; when_to_start_transfer : t_when_to_start_transfer; action_when_transfer_is_done : t_action_when_transfer_is_done; action_between_words : t_action_between_words; -- Common VVC fields (Used by td_vvc_framework_common_methods_pkg procedures, and thus mandatory) operation : t_operation; proc_call : string(1 to C_VVC_CMD_STRING_MAX_LENGTH); msg : string(1 to C_VVC_CMD_STRING_MAX_LENGTH); cmd_idx : natural; command_type : t_immediate_or_queued; -- QUEUED/IMMEDIATE msg_id : t_msg_id; gen_integer_array : t_integer_array(0 to 1); -- Increase array length if needed gen_boolean : boolean; -- Generic boolean timeout : time; alert_level : t_alert_level; delay : time; quietness : t_quietness; end record; constant C_VVC_CMD_DEFAULT : t_vvc_cmd_record := ( data => (others => (others => '0')), data_exp => (others => (others => '0')), num_words => 0, word_length => 0, when_to_start_transfer => START_TRANSFER_IMMEDIATE, action_when_transfer_is_done => RELEASE_LINE_AFTER_TRANSFER, action_between_words => HOLD_LINE_BETWEEN_WORDS, -- Common VVC fields operation => NO_OPERATION, proc_call => (others => NUL), msg => (others => NUL), cmd_idx => 0, command_type => NO_COMMAND_TYPE, msg_id => NO_ID, gen_integer_array => (others => -1), gen_boolean => false, timeout => 0 ns, alert_level => failure, delay => 0 ns, quietness => NON_QUIET ); --=============================================================================================== -- shared_vvc_cmd -- - Shared variable used for transmitting VVC commands --=============================================================================================== shared variable shared_vvc_cmd : t_vvc_cmd_record := C_VVC_CMD_DEFAULT; --=============================================================================================== -- t_vvc_result, t_vvc_result_queue_element, t_vvc_response and shared_vvc_response : -- -- - Used for storing the result of a BFM procedure called by the VVC, -- so that the result can be transported from the VVC to for example a sequencer via -- fetch_result() as described in VVC_Framework_common_methods_QuickRef -- -- - t_vvc_result includes the return value of the procedure in the BFM. -- It can also be defined as a record if multiple values shall be transported from the BFM --=============================================================================================== subtype t_vvc_result is std_logic_vector(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0); type t_vvc_result_queue_element is record cmd_idx : natural; -- from UVVM handshake mechanism result : t_vvc_result; end record; type t_vvc_response is record fetch_is_accepted : boolean; transaction_result : t_transaction_result; result : t_vvc_result; end record; shared variable shared_vvc_response : t_vvc_response; --=============================================================================================== -- t_last_received_cmd_idx : -- - Used to store the last queued cmd in vvc interpreter. --=============================================================================================== type t_last_received_cmd_idx is array (t_channel range <>, natural range <>) of integer; --=============================================================================================== -- shared_vvc_last_received_cmd_idx -- - Shared variable used to get last queued index from vvc to sequencer --=============================================================================================== shared variable shared_vvc_last_received_cmd_idx : t_last_received_cmd_idx(t_channel'left to t_channel'right, 0 to C_MAX_VVC_INSTANCE_NUM) := (others => (others => -1)); end package vvc_cmd_pkg; --================================================================================================= --================================================================================================= package body vvc_cmd_pkg is end package body vvc_cmd_pkg;
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** FLOATING POINT CORE LIBRARY *** --*** *** --*** DP_LNRND.VHD *** --*** *** --*** Function: DP LOG Output Block - Rounded *** --*** *** --*** 18/02/08 ML *** --*** *** --*** (c) 2008 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*** *** --*************************************************** ENTITY dp_lnrnd IS PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; signln : IN STD_LOGIC; exponentln : IN STD_LOGIC_VECTOR (11 DOWNTO 1); mantissaln : IN STD_LOGIC_VECTOR (53 DOWNTO 1); nanin : IN STD_LOGIC; infinityin : IN STD_LOGIC; zeroin : IN STD_LOGIC; signout : OUT STD_LOGIC; exponentout : OUT STD_LOGIC_VECTOR (11 DOWNTO 1); mantissaout : OUT STD_LOGIC_VECTOR (52 DOWNTO 1); -------------------------------------------------- nanout : OUT STD_LOGIC; overflowout : OUT STD_LOGIC; zeroout : OUT STD_LOGIC ); END dp_lnrnd; ARCHITECTURE rtl OF dp_lnrnd IS constant expwidth : positive := 11; constant manwidth : positive := 52; type exponentfftype IS ARRAY (2 DOWNTO 1) OF STD_LOGIC_VECTOR (expwidth DOWNTO 1); signal zerovec : STD_LOGIC_VECTOR (manwidth-1 DOWNTO 1); signal nanff : STD_LOGIC_VECTOR (2 DOWNTO 1); signal zeroff : STD_LOGIC_VECTOR (2 DOWNTO 1); signal signff : STD_LOGIC_VECTOR (2 DOWNTO 1); signal infinityff : STD_LOGIC_VECTOR (2 DOWNTO 1); signal manoverflowbitff : STD_LOGIC; signal roundmantissaff, mantissaff : STD_LOGIC_VECTOR (manwidth DOWNTO 1); signal exponentnode : STD_LOGIC_VECTOR (expwidth+2 DOWNTO 1); signal exponentoneff : STD_LOGIC_VECTOR (expwidth+2 DOWNTO 1); signal exponenttwoff : STD_LOGIC_VECTOR (expwidth DOWNTO 1); signal manoverflow : STD_LOGIC_VECTOR (manwidth+1 DOWNTO 1); signal setmanzero, setmanmax : STD_LOGIC; signal setexpzero, setexpmax : STD_LOGIC; BEGIN gzv: FOR k IN 1 TO manwidth-1 GENERATE zerovec(k) <= '0'; END GENERATE; pra: PROCESS (sysclk,reset) BEGIN IF (reset = '1') THEN nanff <= "00"; signff <= "00"; FOR k IN 1 TO manwidth LOOP roundmantissaff(k) <= '0'; mantissaff(k) <= '0'; END LOOP; FOR k IN 1 TO expwidth+2 LOOP exponentoneff(k) <= '0'; END LOOP; FOR k IN 1 TO expwidth LOOP exponenttwoff(k) <= '0'; END LOOP; ELSIF (rising_edge(sysclk)) THEN IF(enable = '1') THEN nanff(1) <= nanin; nanff(2) <= nanff(1); infinityff(1) <= infinityin; infinityff(2) <= infinityff(1); zeroff(1) <= zeroin; zeroff(2) <= zeroff(1); signff(1) <= signln; signff(2) <= signff(1); manoverflowbitff <= manoverflow(manwidth+1); roundmantissaff <= mantissaln(manwidth+1 DOWNTO 2) + (zerovec & mantissaln(1)); FOR k IN 1 TO manwidth LOOP mantissaff(k) <= (roundmantissaff(k) AND NOT(setmanzero)) OR setmanmax; END LOOP; exponentoneff(expwidth+2 DOWNTO 1) <= "00" & exponentln; FOR k IN 1 TO expwidth LOOP exponenttwoff(k) <= (exponentnode(k) AND NOT(setexpzero)) OR setexpmax; END LOOP; END IF; END IF; END PROCESS; exponentnode <= exponentoneff(expwidth+2 DOWNTO 1) + (zerovec(expwidth+1 DOWNTO 1) & manoverflowbitff); --********************************* --*** PREDICT MANTISSA OVERFLOW *** --********************************* manoverflow(1) <= mantissaln(1); gmoa: FOR k IN 2 TO manwidth+1 GENERATE manoverflow(k) <= manoverflow(k-1) AND mantissaln(k); END GENERATE; --********************************** --*** CHECK GENERATED CONDITIONS *** --********************************** -- all set to '1' when condition true -- set mantissa to 0 when infinity or zero condition setmanzero <= NOT(zeroff(1)) OR infinityff(1); -- setmantissa to "11..11" when nan setmanmax <= nanff(1); -- set exponent to 0 when zero condition setexpzero <= NOT(zeroff(1)); -- set exponent to "11..11" when nan or infinity setexpmax <= nanff(1) OR infinityff(1); --*************** --*** OUTPUTS *** --*************** signout <= signff(2); mantissaout <= mantissaff; exponentout <= exponenttwoff; ----------------------------------------------- nanout <= nanff(2); overflowout <= infinityff(2); zeroout <= zeroff(2); END rtl;
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** FLOATING POINT CORE LIBRARY *** --*** *** --*** DP_LNRND.VHD *** --*** *** --*** Function: DP LOG Output Block - Rounded *** --*** *** --*** 18/02/08 ML *** --*** *** --*** (c) 2008 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*** *** --*************************************************** ENTITY dp_lnrnd IS PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; signln : IN STD_LOGIC; exponentln : IN STD_LOGIC_VECTOR (11 DOWNTO 1); mantissaln : IN STD_LOGIC_VECTOR (53 DOWNTO 1); nanin : IN STD_LOGIC; infinityin : IN STD_LOGIC; zeroin : IN STD_LOGIC; signout : OUT STD_LOGIC; exponentout : OUT STD_LOGIC_VECTOR (11 DOWNTO 1); mantissaout : OUT STD_LOGIC_VECTOR (52 DOWNTO 1); -------------------------------------------------- nanout : OUT STD_LOGIC; overflowout : OUT STD_LOGIC; zeroout : OUT STD_LOGIC ); END dp_lnrnd; ARCHITECTURE rtl OF dp_lnrnd IS constant expwidth : positive := 11; constant manwidth : positive := 52; type exponentfftype IS ARRAY (2 DOWNTO 1) OF STD_LOGIC_VECTOR (expwidth DOWNTO 1); signal zerovec : STD_LOGIC_VECTOR (manwidth-1 DOWNTO 1); signal nanff : STD_LOGIC_VECTOR (2 DOWNTO 1); signal zeroff : STD_LOGIC_VECTOR (2 DOWNTO 1); signal signff : STD_LOGIC_VECTOR (2 DOWNTO 1); signal infinityff : STD_LOGIC_VECTOR (2 DOWNTO 1); signal manoverflowbitff : STD_LOGIC; signal roundmantissaff, mantissaff : STD_LOGIC_VECTOR (manwidth DOWNTO 1); signal exponentnode : STD_LOGIC_VECTOR (expwidth+2 DOWNTO 1); signal exponentoneff : STD_LOGIC_VECTOR (expwidth+2 DOWNTO 1); signal exponenttwoff : STD_LOGIC_VECTOR (expwidth DOWNTO 1); signal manoverflow : STD_LOGIC_VECTOR (manwidth+1 DOWNTO 1); signal setmanzero, setmanmax : STD_LOGIC; signal setexpzero, setexpmax : STD_LOGIC; BEGIN gzv: FOR k IN 1 TO manwidth-1 GENERATE zerovec(k) <= '0'; END GENERATE; pra: PROCESS (sysclk,reset) BEGIN IF (reset = '1') THEN nanff <= "00"; signff <= "00"; FOR k IN 1 TO manwidth LOOP roundmantissaff(k) <= '0'; mantissaff(k) <= '0'; END LOOP; FOR k IN 1 TO expwidth+2 LOOP exponentoneff(k) <= '0'; END LOOP; FOR k IN 1 TO expwidth LOOP exponenttwoff(k) <= '0'; END LOOP; ELSIF (rising_edge(sysclk)) THEN IF(enable = '1') THEN nanff(1) <= nanin; nanff(2) <= nanff(1); infinityff(1) <= infinityin; infinityff(2) <= infinityff(1); zeroff(1) <= zeroin; zeroff(2) <= zeroff(1); signff(1) <= signln; signff(2) <= signff(1); manoverflowbitff <= manoverflow(manwidth+1); roundmantissaff <= mantissaln(manwidth+1 DOWNTO 2) + (zerovec & mantissaln(1)); FOR k IN 1 TO manwidth LOOP mantissaff(k) <= (roundmantissaff(k) AND NOT(setmanzero)) OR setmanmax; END LOOP; exponentoneff(expwidth+2 DOWNTO 1) <= "00" & exponentln; FOR k IN 1 TO expwidth LOOP exponenttwoff(k) <= (exponentnode(k) AND NOT(setexpzero)) OR setexpmax; END LOOP; END IF; END IF; END PROCESS; exponentnode <= exponentoneff(expwidth+2 DOWNTO 1) + (zerovec(expwidth+1 DOWNTO 1) & manoverflowbitff); --********************************* --*** PREDICT MANTISSA OVERFLOW *** --********************************* manoverflow(1) <= mantissaln(1); gmoa: FOR k IN 2 TO manwidth+1 GENERATE manoverflow(k) <= manoverflow(k-1) AND mantissaln(k); END GENERATE; --********************************** --*** CHECK GENERATED CONDITIONS *** --********************************** -- all set to '1' when condition true -- set mantissa to 0 when infinity or zero condition setmanzero <= NOT(zeroff(1)) OR infinityff(1); -- setmantissa to "11..11" when nan setmanmax <= nanff(1); -- set exponent to 0 when zero condition setexpzero <= NOT(zeroff(1)); -- set exponent to "11..11" when nan or infinity setexpmax <= nanff(1) OR infinityff(1); --*************** --*** OUTPUTS *** --*************** signout <= signff(2); mantissaout <= mantissaff; exponentout <= exponenttwoff; ----------------------------------------------- nanout <= nanff(2); overflowout <= infinityff(2); zeroout <= zeroff(2); END rtl;
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** FLOATING POINT CORE LIBRARY *** --*** *** --*** DP_LNRND.VHD *** --*** *** --*** Function: DP LOG Output Block - Rounded *** --*** *** --*** 18/02/08 ML *** --*** *** --*** (c) 2008 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*** *** --*************************************************** ENTITY dp_lnrnd IS PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; signln : IN STD_LOGIC; exponentln : IN STD_LOGIC_VECTOR (11 DOWNTO 1); mantissaln : IN STD_LOGIC_VECTOR (53 DOWNTO 1); nanin : IN STD_LOGIC; infinityin : IN STD_LOGIC; zeroin : IN STD_LOGIC; signout : OUT STD_LOGIC; exponentout : OUT STD_LOGIC_VECTOR (11 DOWNTO 1); mantissaout : OUT STD_LOGIC_VECTOR (52 DOWNTO 1); -------------------------------------------------- nanout : OUT STD_LOGIC; overflowout : OUT STD_LOGIC; zeroout : OUT STD_LOGIC ); END dp_lnrnd; ARCHITECTURE rtl OF dp_lnrnd IS constant expwidth : positive := 11; constant manwidth : positive := 52; type exponentfftype IS ARRAY (2 DOWNTO 1) OF STD_LOGIC_VECTOR (expwidth DOWNTO 1); signal zerovec : STD_LOGIC_VECTOR (manwidth-1 DOWNTO 1); signal nanff : STD_LOGIC_VECTOR (2 DOWNTO 1); signal zeroff : STD_LOGIC_VECTOR (2 DOWNTO 1); signal signff : STD_LOGIC_VECTOR (2 DOWNTO 1); signal infinityff : STD_LOGIC_VECTOR (2 DOWNTO 1); signal manoverflowbitff : STD_LOGIC; signal roundmantissaff, mantissaff : STD_LOGIC_VECTOR (manwidth DOWNTO 1); signal exponentnode : STD_LOGIC_VECTOR (expwidth+2 DOWNTO 1); signal exponentoneff : STD_LOGIC_VECTOR (expwidth+2 DOWNTO 1); signal exponenttwoff : STD_LOGIC_VECTOR (expwidth DOWNTO 1); signal manoverflow : STD_LOGIC_VECTOR (manwidth+1 DOWNTO 1); signal setmanzero, setmanmax : STD_LOGIC; signal setexpzero, setexpmax : STD_LOGIC; BEGIN gzv: FOR k IN 1 TO manwidth-1 GENERATE zerovec(k) <= '0'; END GENERATE; pra: PROCESS (sysclk,reset) BEGIN IF (reset = '1') THEN nanff <= "00"; signff <= "00"; FOR k IN 1 TO manwidth LOOP roundmantissaff(k) <= '0'; mantissaff(k) <= '0'; END LOOP; FOR k IN 1 TO expwidth+2 LOOP exponentoneff(k) <= '0'; END LOOP; FOR k IN 1 TO expwidth LOOP exponenttwoff(k) <= '0'; END LOOP; ELSIF (rising_edge(sysclk)) THEN IF(enable = '1') THEN nanff(1) <= nanin; nanff(2) <= nanff(1); infinityff(1) <= infinityin; infinityff(2) <= infinityff(1); zeroff(1) <= zeroin; zeroff(2) <= zeroff(1); signff(1) <= signln; signff(2) <= signff(1); manoverflowbitff <= manoverflow(manwidth+1); roundmantissaff <= mantissaln(manwidth+1 DOWNTO 2) + (zerovec & mantissaln(1)); FOR k IN 1 TO manwidth LOOP mantissaff(k) <= (roundmantissaff(k) AND NOT(setmanzero)) OR setmanmax; END LOOP; exponentoneff(expwidth+2 DOWNTO 1) <= "00" & exponentln; FOR k IN 1 TO expwidth LOOP exponenttwoff(k) <= (exponentnode(k) AND NOT(setexpzero)) OR setexpmax; END LOOP; END IF; END IF; END PROCESS; exponentnode <= exponentoneff(expwidth+2 DOWNTO 1) + (zerovec(expwidth+1 DOWNTO 1) & manoverflowbitff); --********************************* --*** PREDICT MANTISSA OVERFLOW *** --********************************* manoverflow(1) <= mantissaln(1); gmoa: FOR k IN 2 TO manwidth+1 GENERATE manoverflow(k) <= manoverflow(k-1) AND mantissaln(k); END GENERATE; --********************************** --*** CHECK GENERATED CONDITIONS *** --********************************** -- all set to '1' when condition true -- set mantissa to 0 when infinity or zero condition setmanzero <= NOT(zeroff(1)) OR infinityff(1); -- setmantissa to "11..11" when nan setmanmax <= nanff(1); -- set exponent to 0 when zero condition setexpzero <= NOT(zeroff(1)); -- set exponent to "11..11" when nan or infinity setexpmax <= nanff(1) OR infinityff(1); --*************** --*** OUTPUTS *** --*************** signout <= signff(2); mantissaout <= mantissaff; exponentout <= exponenttwoff; ----------------------------------------------- nanout <= nanff(2); overflowout <= infinityff(2); zeroout <= zeroff(2); END rtl;
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** FLOATING POINT CORE LIBRARY *** --*** *** --*** DP_LNRND.VHD *** --*** *** --*** Function: DP LOG Output Block - Rounded *** --*** *** --*** 18/02/08 ML *** --*** *** --*** (c) 2008 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*** *** --*************************************************** ENTITY dp_lnrnd IS PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; signln : IN STD_LOGIC; exponentln : IN STD_LOGIC_VECTOR (11 DOWNTO 1); mantissaln : IN STD_LOGIC_VECTOR (53 DOWNTO 1); nanin : IN STD_LOGIC; infinityin : IN STD_LOGIC; zeroin : IN STD_LOGIC; signout : OUT STD_LOGIC; exponentout : OUT STD_LOGIC_VECTOR (11 DOWNTO 1); mantissaout : OUT STD_LOGIC_VECTOR (52 DOWNTO 1); -------------------------------------------------- nanout : OUT STD_LOGIC; overflowout : OUT STD_LOGIC; zeroout : OUT STD_LOGIC ); END dp_lnrnd; ARCHITECTURE rtl OF dp_lnrnd IS constant expwidth : positive := 11; constant manwidth : positive := 52; type exponentfftype IS ARRAY (2 DOWNTO 1) OF STD_LOGIC_VECTOR (expwidth DOWNTO 1); signal zerovec : STD_LOGIC_VECTOR (manwidth-1 DOWNTO 1); signal nanff : STD_LOGIC_VECTOR (2 DOWNTO 1); signal zeroff : STD_LOGIC_VECTOR (2 DOWNTO 1); signal signff : STD_LOGIC_VECTOR (2 DOWNTO 1); signal infinityff : STD_LOGIC_VECTOR (2 DOWNTO 1); signal manoverflowbitff : STD_LOGIC; signal roundmantissaff, mantissaff : STD_LOGIC_VECTOR (manwidth DOWNTO 1); signal exponentnode : STD_LOGIC_VECTOR (expwidth+2 DOWNTO 1); signal exponentoneff : STD_LOGIC_VECTOR (expwidth+2 DOWNTO 1); signal exponenttwoff : STD_LOGIC_VECTOR (expwidth DOWNTO 1); signal manoverflow : STD_LOGIC_VECTOR (manwidth+1 DOWNTO 1); signal setmanzero, setmanmax : STD_LOGIC; signal setexpzero, setexpmax : STD_LOGIC; BEGIN gzv: FOR k IN 1 TO manwidth-1 GENERATE zerovec(k) <= '0'; END GENERATE; pra: PROCESS (sysclk,reset) BEGIN IF (reset = '1') THEN nanff <= "00"; signff <= "00"; FOR k IN 1 TO manwidth LOOP roundmantissaff(k) <= '0'; mantissaff(k) <= '0'; END LOOP; FOR k IN 1 TO expwidth+2 LOOP exponentoneff(k) <= '0'; END LOOP; FOR k IN 1 TO expwidth LOOP exponenttwoff(k) <= '0'; END LOOP; ELSIF (rising_edge(sysclk)) THEN IF(enable = '1') THEN nanff(1) <= nanin; nanff(2) <= nanff(1); infinityff(1) <= infinityin; infinityff(2) <= infinityff(1); zeroff(1) <= zeroin; zeroff(2) <= zeroff(1); signff(1) <= signln; signff(2) <= signff(1); manoverflowbitff <= manoverflow(manwidth+1); roundmantissaff <= mantissaln(manwidth+1 DOWNTO 2) + (zerovec & mantissaln(1)); FOR k IN 1 TO manwidth LOOP mantissaff(k) <= (roundmantissaff(k) AND NOT(setmanzero)) OR setmanmax; END LOOP; exponentoneff(expwidth+2 DOWNTO 1) <= "00" & exponentln; FOR k IN 1 TO expwidth LOOP exponenttwoff(k) <= (exponentnode(k) AND NOT(setexpzero)) OR setexpmax; END LOOP; END IF; END IF; END PROCESS; exponentnode <= exponentoneff(expwidth+2 DOWNTO 1) + (zerovec(expwidth+1 DOWNTO 1) & manoverflowbitff); --********************************* --*** PREDICT MANTISSA OVERFLOW *** --********************************* manoverflow(1) <= mantissaln(1); gmoa: FOR k IN 2 TO manwidth+1 GENERATE manoverflow(k) <= manoverflow(k-1) AND mantissaln(k); END GENERATE; --********************************** --*** CHECK GENERATED CONDITIONS *** --********************************** -- all set to '1' when condition true -- set mantissa to 0 when infinity or zero condition setmanzero <= NOT(zeroff(1)) OR infinityff(1); -- setmantissa to "11..11" when nan setmanmax <= nanff(1); -- set exponent to 0 when zero condition setexpzero <= NOT(zeroff(1)); -- set exponent to "11..11" when nan or infinity setexpmax <= nanff(1) OR infinityff(1); --*************** --*** OUTPUTS *** --*************** signout <= signff(2); mantissaout <= mantissaff; exponentout <= exponenttwoff; ----------------------------------------------- nanout <= nanff(2); overflowout <= infinityff(2); zeroout <= zeroff(2); END rtl;
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** FLOATING POINT CORE LIBRARY *** --*** *** --*** DP_LNRND.VHD *** --*** *** --*** Function: DP LOG Output Block - Rounded *** --*** *** --*** 18/02/08 ML *** --*** *** --*** (c) 2008 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*** *** --*************************************************** ENTITY dp_lnrnd IS PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; signln : IN STD_LOGIC; exponentln : IN STD_LOGIC_VECTOR (11 DOWNTO 1); mantissaln : IN STD_LOGIC_VECTOR (53 DOWNTO 1); nanin : IN STD_LOGIC; infinityin : IN STD_LOGIC; zeroin : IN STD_LOGIC; signout : OUT STD_LOGIC; exponentout : OUT STD_LOGIC_VECTOR (11 DOWNTO 1); mantissaout : OUT STD_LOGIC_VECTOR (52 DOWNTO 1); -------------------------------------------------- nanout : OUT STD_LOGIC; overflowout : OUT STD_LOGIC; zeroout : OUT STD_LOGIC ); END dp_lnrnd; ARCHITECTURE rtl OF dp_lnrnd IS constant expwidth : positive := 11; constant manwidth : positive := 52; type exponentfftype IS ARRAY (2 DOWNTO 1) OF STD_LOGIC_VECTOR (expwidth DOWNTO 1); signal zerovec : STD_LOGIC_VECTOR (manwidth-1 DOWNTO 1); signal nanff : STD_LOGIC_VECTOR (2 DOWNTO 1); signal zeroff : STD_LOGIC_VECTOR (2 DOWNTO 1); signal signff : STD_LOGIC_VECTOR (2 DOWNTO 1); signal infinityff : STD_LOGIC_VECTOR (2 DOWNTO 1); signal manoverflowbitff : STD_LOGIC; signal roundmantissaff, mantissaff : STD_LOGIC_VECTOR (manwidth DOWNTO 1); signal exponentnode : STD_LOGIC_VECTOR (expwidth+2 DOWNTO 1); signal exponentoneff : STD_LOGIC_VECTOR (expwidth+2 DOWNTO 1); signal exponenttwoff : STD_LOGIC_VECTOR (expwidth DOWNTO 1); signal manoverflow : STD_LOGIC_VECTOR (manwidth+1 DOWNTO 1); signal setmanzero, setmanmax : STD_LOGIC; signal setexpzero, setexpmax : STD_LOGIC; BEGIN gzv: FOR k IN 1 TO manwidth-1 GENERATE zerovec(k) <= '0'; END GENERATE; pra: PROCESS (sysclk,reset) BEGIN IF (reset = '1') THEN nanff <= "00"; signff <= "00"; FOR k IN 1 TO manwidth LOOP roundmantissaff(k) <= '0'; mantissaff(k) <= '0'; END LOOP; FOR k IN 1 TO expwidth+2 LOOP exponentoneff(k) <= '0'; END LOOP; FOR k IN 1 TO expwidth LOOP exponenttwoff(k) <= '0'; END LOOP; ELSIF (rising_edge(sysclk)) THEN IF(enable = '1') THEN nanff(1) <= nanin; nanff(2) <= nanff(1); infinityff(1) <= infinityin; infinityff(2) <= infinityff(1); zeroff(1) <= zeroin; zeroff(2) <= zeroff(1); signff(1) <= signln; signff(2) <= signff(1); manoverflowbitff <= manoverflow(manwidth+1); roundmantissaff <= mantissaln(manwidth+1 DOWNTO 2) + (zerovec & mantissaln(1)); FOR k IN 1 TO manwidth LOOP mantissaff(k) <= (roundmantissaff(k) AND NOT(setmanzero)) OR setmanmax; END LOOP; exponentoneff(expwidth+2 DOWNTO 1) <= "00" & exponentln; FOR k IN 1 TO expwidth LOOP exponenttwoff(k) <= (exponentnode(k) AND NOT(setexpzero)) OR setexpmax; END LOOP; END IF; END IF; END PROCESS; exponentnode <= exponentoneff(expwidth+2 DOWNTO 1) + (zerovec(expwidth+1 DOWNTO 1) & manoverflowbitff); --********************************* --*** PREDICT MANTISSA OVERFLOW *** --********************************* manoverflow(1) <= mantissaln(1); gmoa: FOR k IN 2 TO manwidth+1 GENERATE manoverflow(k) <= manoverflow(k-1) AND mantissaln(k); END GENERATE; --********************************** --*** CHECK GENERATED CONDITIONS *** --********************************** -- all set to '1' when condition true -- set mantissa to 0 when infinity or zero condition setmanzero <= NOT(zeroff(1)) OR infinityff(1); -- setmantissa to "11..11" when nan setmanmax <= nanff(1); -- set exponent to 0 when zero condition setexpzero <= NOT(zeroff(1)); -- set exponent to "11..11" when nan or infinity setexpmax <= nanff(1) OR infinityff(1); --*************** --*** OUTPUTS *** --*************** signout <= signff(2); mantissaout <= mantissaff; exponentout <= exponenttwoff; ----------------------------------------------- nanout <= nanff(2); overflowout <= infinityff(2); zeroout <= zeroff(2); END rtl;
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** FLOATING POINT CORE LIBRARY *** --*** *** --*** DP_LNRND.VHD *** --*** *** --*** Function: DP LOG Output Block - Rounded *** --*** *** --*** 18/02/08 ML *** --*** *** --*** (c) 2008 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*** *** --*************************************************** ENTITY dp_lnrnd IS PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; signln : IN STD_LOGIC; exponentln : IN STD_LOGIC_VECTOR (11 DOWNTO 1); mantissaln : IN STD_LOGIC_VECTOR (53 DOWNTO 1); nanin : IN STD_LOGIC; infinityin : IN STD_LOGIC; zeroin : IN STD_LOGIC; signout : OUT STD_LOGIC; exponentout : OUT STD_LOGIC_VECTOR (11 DOWNTO 1); mantissaout : OUT STD_LOGIC_VECTOR (52 DOWNTO 1); -------------------------------------------------- nanout : OUT STD_LOGIC; overflowout : OUT STD_LOGIC; zeroout : OUT STD_LOGIC ); END dp_lnrnd; ARCHITECTURE rtl OF dp_lnrnd IS constant expwidth : positive := 11; constant manwidth : positive := 52; type exponentfftype IS ARRAY (2 DOWNTO 1) OF STD_LOGIC_VECTOR (expwidth DOWNTO 1); signal zerovec : STD_LOGIC_VECTOR (manwidth-1 DOWNTO 1); signal nanff : STD_LOGIC_VECTOR (2 DOWNTO 1); signal zeroff : STD_LOGIC_VECTOR (2 DOWNTO 1); signal signff : STD_LOGIC_VECTOR (2 DOWNTO 1); signal infinityff : STD_LOGIC_VECTOR (2 DOWNTO 1); signal manoverflowbitff : STD_LOGIC; signal roundmantissaff, mantissaff : STD_LOGIC_VECTOR (manwidth DOWNTO 1); signal exponentnode : STD_LOGIC_VECTOR (expwidth+2 DOWNTO 1); signal exponentoneff : STD_LOGIC_VECTOR (expwidth+2 DOWNTO 1); signal exponenttwoff : STD_LOGIC_VECTOR (expwidth DOWNTO 1); signal manoverflow : STD_LOGIC_VECTOR (manwidth+1 DOWNTO 1); signal setmanzero, setmanmax : STD_LOGIC; signal setexpzero, setexpmax : STD_LOGIC; BEGIN gzv: FOR k IN 1 TO manwidth-1 GENERATE zerovec(k) <= '0'; END GENERATE; pra: PROCESS (sysclk,reset) BEGIN IF (reset = '1') THEN nanff <= "00"; signff <= "00"; FOR k IN 1 TO manwidth LOOP roundmantissaff(k) <= '0'; mantissaff(k) <= '0'; END LOOP; FOR k IN 1 TO expwidth+2 LOOP exponentoneff(k) <= '0'; END LOOP; FOR k IN 1 TO expwidth LOOP exponenttwoff(k) <= '0'; END LOOP; ELSIF (rising_edge(sysclk)) THEN IF(enable = '1') THEN nanff(1) <= nanin; nanff(2) <= nanff(1); infinityff(1) <= infinityin; infinityff(2) <= infinityff(1); zeroff(1) <= zeroin; zeroff(2) <= zeroff(1); signff(1) <= signln; signff(2) <= signff(1); manoverflowbitff <= manoverflow(manwidth+1); roundmantissaff <= mantissaln(manwidth+1 DOWNTO 2) + (zerovec & mantissaln(1)); FOR k IN 1 TO manwidth LOOP mantissaff(k) <= (roundmantissaff(k) AND NOT(setmanzero)) OR setmanmax; END LOOP; exponentoneff(expwidth+2 DOWNTO 1) <= "00" & exponentln; FOR k IN 1 TO expwidth LOOP exponenttwoff(k) <= (exponentnode(k) AND NOT(setexpzero)) OR setexpmax; END LOOP; END IF; END IF; END PROCESS; exponentnode <= exponentoneff(expwidth+2 DOWNTO 1) + (zerovec(expwidth+1 DOWNTO 1) & manoverflowbitff); --********************************* --*** PREDICT MANTISSA OVERFLOW *** --********************************* manoverflow(1) <= mantissaln(1); gmoa: FOR k IN 2 TO manwidth+1 GENERATE manoverflow(k) <= manoverflow(k-1) AND mantissaln(k); END GENERATE; --********************************** --*** CHECK GENERATED CONDITIONS *** --********************************** -- all set to '1' when condition true -- set mantissa to 0 when infinity or zero condition setmanzero <= NOT(zeroff(1)) OR infinityff(1); -- setmantissa to "11..11" when nan setmanmax <= nanff(1); -- set exponent to 0 when zero condition setexpzero <= NOT(zeroff(1)); -- set exponent to "11..11" when nan or infinity setexpmax <= nanff(1) OR infinityff(1); --*************** --*** OUTPUTS *** --*************** signout <= signff(2); mantissaout <= mantissaff; exponentout <= exponenttwoff; ----------------------------------------------- nanout <= nanff(2); overflowout <= infinityff(2); zeroout <= zeroff(2); END rtl;
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** FLOATING POINT CORE LIBRARY *** --*** *** --*** DP_LNRND.VHD *** --*** *** --*** Function: DP LOG Output Block - Rounded *** --*** *** --*** 18/02/08 ML *** --*** *** --*** (c) 2008 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*** *** --*************************************************** ENTITY dp_lnrnd IS PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; signln : IN STD_LOGIC; exponentln : IN STD_LOGIC_VECTOR (11 DOWNTO 1); mantissaln : IN STD_LOGIC_VECTOR (53 DOWNTO 1); nanin : IN STD_LOGIC; infinityin : IN STD_LOGIC; zeroin : IN STD_LOGIC; signout : OUT STD_LOGIC; exponentout : OUT STD_LOGIC_VECTOR (11 DOWNTO 1); mantissaout : OUT STD_LOGIC_VECTOR (52 DOWNTO 1); -------------------------------------------------- nanout : OUT STD_LOGIC; overflowout : OUT STD_LOGIC; zeroout : OUT STD_LOGIC ); END dp_lnrnd; ARCHITECTURE rtl OF dp_lnrnd IS constant expwidth : positive := 11; constant manwidth : positive := 52; type exponentfftype IS ARRAY (2 DOWNTO 1) OF STD_LOGIC_VECTOR (expwidth DOWNTO 1); signal zerovec : STD_LOGIC_VECTOR (manwidth-1 DOWNTO 1); signal nanff : STD_LOGIC_VECTOR (2 DOWNTO 1); signal zeroff : STD_LOGIC_VECTOR (2 DOWNTO 1); signal signff : STD_LOGIC_VECTOR (2 DOWNTO 1); signal infinityff : STD_LOGIC_VECTOR (2 DOWNTO 1); signal manoverflowbitff : STD_LOGIC; signal roundmantissaff, mantissaff : STD_LOGIC_VECTOR (manwidth DOWNTO 1); signal exponentnode : STD_LOGIC_VECTOR (expwidth+2 DOWNTO 1); signal exponentoneff : STD_LOGIC_VECTOR (expwidth+2 DOWNTO 1); signal exponenttwoff : STD_LOGIC_VECTOR (expwidth DOWNTO 1); signal manoverflow : STD_LOGIC_VECTOR (manwidth+1 DOWNTO 1); signal setmanzero, setmanmax : STD_LOGIC; signal setexpzero, setexpmax : STD_LOGIC; BEGIN gzv: FOR k IN 1 TO manwidth-1 GENERATE zerovec(k) <= '0'; END GENERATE; pra: PROCESS (sysclk,reset) BEGIN IF (reset = '1') THEN nanff <= "00"; signff <= "00"; FOR k IN 1 TO manwidth LOOP roundmantissaff(k) <= '0'; mantissaff(k) <= '0'; END LOOP; FOR k IN 1 TO expwidth+2 LOOP exponentoneff(k) <= '0'; END LOOP; FOR k IN 1 TO expwidth LOOP exponenttwoff(k) <= '0'; END LOOP; ELSIF (rising_edge(sysclk)) THEN IF(enable = '1') THEN nanff(1) <= nanin; nanff(2) <= nanff(1); infinityff(1) <= infinityin; infinityff(2) <= infinityff(1); zeroff(1) <= zeroin; zeroff(2) <= zeroff(1); signff(1) <= signln; signff(2) <= signff(1); manoverflowbitff <= manoverflow(manwidth+1); roundmantissaff <= mantissaln(manwidth+1 DOWNTO 2) + (zerovec & mantissaln(1)); FOR k IN 1 TO manwidth LOOP mantissaff(k) <= (roundmantissaff(k) AND NOT(setmanzero)) OR setmanmax; END LOOP; exponentoneff(expwidth+2 DOWNTO 1) <= "00" & exponentln; FOR k IN 1 TO expwidth LOOP exponenttwoff(k) <= (exponentnode(k) AND NOT(setexpzero)) OR setexpmax; END LOOP; END IF; END IF; END PROCESS; exponentnode <= exponentoneff(expwidth+2 DOWNTO 1) + (zerovec(expwidth+1 DOWNTO 1) & manoverflowbitff); --********************************* --*** PREDICT MANTISSA OVERFLOW *** --********************************* manoverflow(1) <= mantissaln(1); gmoa: FOR k IN 2 TO manwidth+1 GENERATE manoverflow(k) <= manoverflow(k-1) AND mantissaln(k); END GENERATE; --********************************** --*** CHECK GENERATED CONDITIONS *** --********************************** -- all set to '1' when condition true -- set mantissa to 0 when infinity or zero condition setmanzero <= NOT(zeroff(1)) OR infinityff(1); -- setmantissa to "11..11" when nan setmanmax <= nanff(1); -- set exponent to 0 when zero condition setexpzero <= NOT(zeroff(1)); -- set exponent to "11..11" when nan or infinity setexpmax <= nanff(1) OR infinityff(1); --*************** --*** OUTPUTS *** --*************** signout <= signff(2); mantissaout <= mantissaff; exponentout <= exponenttwoff; ----------------------------------------------- nanout <= nanff(2); overflowout <= infinityff(2); zeroout <= zeroff(2); END rtl;
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** FLOATING POINT CORE LIBRARY *** --*** *** --*** DP_LNRND.VHD *** --*** *** --*** Function: DP LOG Output Block - Rounded *** --*** *** --*** 18/02/08 ML *** --*** *** --*** (c) 2008 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*** *** --*************************************************** ENTITY dp_lnrnd IS PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; signln : IN STD_LOGIC; exponentln : IN STD_LOGIC_VECTOR (11 DOWNTO 1); mantissaln : IN STD_LOGIC_VECTOR (53 DOWNTO 1); nanin : IN STD_LOGIC; infinityin : IN STD_LOGIC; zeroin : IN STD_LOGIC; signout : OUT STD_LOGIC; exponentout : OUT STD_LOGIC_VECTOR (11 DOWNTO 1); mantissaout : OUT STD_LOGIC_VECTOR (52 DOWNTO 1); -------------------------------------------------- nanout : OUT STD_LOGIC; overflowout : OUT STD_LOGIC; zeroout : OUT STD_LOGIC ); END dp_lnrnd; ARCHITECTURE rtl OF dp_lnrnd IS constant expwidth : positive := 11; constant manwidth : positive := 52; type exponentfftype IS ARRAY (2 DOWNTO 1) OF STD_LOGIC_VECTOR (expwidth DOWNTO 1); signal zerovec : STD_LOGIC_VECTOR (manwidth-1 DOWNTO 1); signal nanff : STD_LOGIC_VECTOR (2 DOWNTO 1); signal zeroff : STD_LOGIC_VECTOR (2 DOWNTO 1); signal signff : STD_LOGIC_VECTOR (2 DOWNTO 1); signal infinityff : STD_LOGIC_VECTOR (2 DOWNTO 1); signal manoverflowbitff : STD_LOGIC; signal roundmantissaff, mantissaff : STD_LOGIC_VECTOR (manwidth DOWNTO 1); signal exponentnode : STD_LOGIC_VECTOR (expwidth+2 DOWNTO 1); signal exponentoneff : STD_LOGIC_VECTOR (expwidth+2 DOWNTO 1); signal exponenttwoff : STD_LOGIC_VECTOR (expwidth DOWNTO 1); signal manoverflow : STD_LOGIC_VECTOR (manwidth+1 DOWNTO 1); signal setmanzero, setmanmax : STD_LOGIC; signal setexpzero, setexpmax : STD_LOGIC; BEGIN gzv: FOR k IN 1 TO manwidth-1 GENERATE zerovec(k) <= '0'; END GENERATE; pra: PROCESS (sysclk,reset) BEGIN IF (reset = '1') THEN nanff <= "00"; signff <= "00"; FOR k IN 1 TO manwidth LOOP roundmantissaff(k) <= '0'; mantissaff(k) <= '0'; END LOOP; FOR k IN 1 TO expwidth+2 LOOP exponentoneff(k) <= '0'; END LOOP; FOR k IN 1 TO expwidth LOOP exponenttwoff(k) <= '0'; END LOOP; ELSIF (rising_edge(sysclk)) THEN IF(enable = '1') THEN nanff(1) <= nanin; nanff(2) <= nanff(1); infinityff(1) <= infinityin; infinityff(2) <= infinityff(1); zeroff(1) <= zeroin; zeroff(2) <= zeroff(1); signff(1) <= signln; signff(2) <= signff(1); manoverflowbitff <= manoverflow(manwidth+1); roundmantissaff <= mantissaln(manwidth+1 DOWNTO 2) + (zerovec & mantissaln(1)); FOR k IN 1 TO manwidth LOOP mantissaff(k) <= (roundmantissaff(k) AND NOT(setmanzero)) OR setmanmax; END LOOP; exponentoneff(expwidth+2 DOWNTO 1) <= "00" & exponentln; FOR k IN 1 TO expwidth LOOP exponenttwoff(k) <= (exponentnode(k) AND NOT(setexpzero)) OR setexpmax; END LOOP; END IF; END IF; END PROCESS; exponentnode <= exponentoneff(expwidth+2 DOWNTO 1) + (zerovec(expwidth+1 DOWNTO 1) & manoverflowbitff); --********************************* --*** PREDICT MANTISSA OVERFLOW *** --********************************* manoverflow(1) <= mantissaln(1); gmoa: FOR k IN 2 TO manwidth+1 GENERATE manoverflow(k) <= manoverflow(k-1) AND mantissaln(k); END GENERATE; --********************************** --*** CHECK GENERATED CONDITIONS *** --********************************** -- all set to '1' when condition true -- set mantissa to 0 when infinity or zero condition setmanzero <= NOT(zeroff(1)) OR infinityff(1); -- setmantissa to "11..11" when nan setmanmax <= nanff(1); -- set exponent to 0 when zero condition setexpzero <= NOT(zeroff(1)); -- set exponent to "11..11" when nan or infinity setexpmax <= nanff(1) OR infinityff(1); --*************** --*** OUTPUTS *** --*************** signout <= signff(2); mantissaout <= mantissaff; exponentout <= exponenttwoff; ----------------------------------------------- nanout <= nanff(2); overflowout <= infinityff(2); zeroout <= zeroff(2); END rtl;
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** FLOATING POINT CORE LIBRARY *** --*** *** --*** DP_LNRND.VHD *** --*** *** --*** Function: DP LOG Output Block - Rounded *** --*** *** --*** 18/02/08 ML *** --*** *** --*** (c) 2008 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*** *** --*************************************************** ENTITY dp_lnrnd IS PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; signln : IN STD_LOGIC; exponentln : IN STD_LOGIC_VECTOR (11 DOWNTO 1); mantissaln : IN STD_LOGIC_VECTOR (53 DOWNTO 1); nanin : IN STD_LOGIC; infinityin : IN STD_LOGIC; zeroin : IN STD_LOGIC; signout : OUT STD_LOGIC; exponentout : OUT STD_LOGIC_VECTOR (11 DOWNTO 1); mantissaout : OUT STD_LOGIC_VECTOR (52 DOWNTO 1); -------------------------------------------------- nanout : OUT STD_LOGIC; overflowout : OUT STD_LOGIC; zeroout : OUT STD_LOGIC ); END dp_lnrnd; ARCHITECTURE rtl OF dp_lnrnd IS constant expwidth : positive := 11; constant manwidth : positive := 52; type exponentfftype IS ARRAY (2 DOWNTO 1) OF STD_LOGIC_VECTOR (expwidth DOWNTO 1); signal zerovec : STD_LOGIC_VECTOR (manwidth-1 DOWNTO 1); signal nanff : STD_LOGIC_VECTOR (2 DOWNTO 1); signal zeroff : STD_LOGIC_VECTOR (2 DOWNTO 1); signal signff : STD_LOGIC_VECTOR (2 DOWNTO 1); signal infinityff : STD_LOGIC_VECTOR (2 DOWNTO 1); signal manoverflowbitff : STD_LOGIC; signal roundmantissaff, mantissaff : STD_LOGIC_VECTOR (manwidth DOWNTO 1); signal exponentnode : STD_LOGIC_VECTOR (expwidth+2 DOWNTO 1); signal exponentoneff : STD_LOGIC_VECTOR (expwidth+2 DOWNTO 1); signal exponenttwoff : STD_LOGIC_VECTOR (expwidth DOWNTO 1); signal manoverflow : STD_LOGIC_VECTOR (manwidth+1 DOWNTO 1); signal setmanzero, setmanmax : STD_LOGIC; signal setexpzero, setexpmax : STD_LOGIC; BEGIN gzv: FOR k IN 1 TO manwidth-1 GENERATE zerovec(k) <= '0'; END GENERATE; pra: PROCESS (sysclk,reset) BEGIN IF (reset = '1') THEN nanff <= "00"; signff <= "00"; FOR k IN 1 TO manwidth LOOP roundmantissaff(k) <= '0'; mantissaff(k) <= '0'; END LOOP; FOR k IN 1 TO expwidth+2 LOOP exponentoneff(k) <= '0'; END LOOP; FOR k IN 1 TO expwidth LOOP exponenttwoff(k) <= '0'; END LOOP; ELSIF (rising_edge(sysclk)) THEN IF(enable = '1') THEN nanff(1) <= nanin; nanff(2) <= nanff(1); infinityff(1) <= infinityin; infinityff(2) <= infinityff(1); zeroff(1) <= zeroin; zeroff(2) <= zeroff(1); signff(1) <= signln; signff(2) <= signff(1); manoverflowbitff <= manoverflow(manwidth+1); roundmantissaff <= mantissaln(manwidth+1 DOWNTO 2) + (zerovec & mantissaln(1)); FOR k IN 1 TO manwidth LOOP mantissaff(k) <= (roundmantissaff(k) AND NOT(setmanzero)) OR setmanmax; END LOOP; exponentoneff(expwidth+2 DOWNTO 1) <= "00" & exponentln; FOR k IN 1 TO expwidth LOOP exponenttwoff(k) <= (exponentnode(k) AND NOT(setexpzero)) OR setexpmax; END LOOP; END IF; END IF; END PROCESS; exponentnode <= exponentoneff(expwidth+2 DOWNTO 1) + (zerovec(expwidth+1 DOWNTO 1) & manoverflowbitff); --********************************* --*** PREDICT MANTISSA OVERFLOW *** --********************************* manoverflow(1) <= mantissaln(1); gmoa: FOR k IN 2 TO manwidth+1 GENERATE manoverflow(k) <= manoverflow(k-1) AND mantissaln(k); END GENERATE; --********************************** --*** CHECK GENERATED CONDITIONS *** --********************************** -- all set to '1' when condition true -- set mantissa to 0 when infinity or zero condition setmanzero <= NOT(zeroff(1)) OR infinityff(1); -- setmantissa to "11..11" when nan setmanmax <= nanff(1); -- set exponent to 0 when zero condition setexpzero <= NOT(zeroff(1)); -- set exponent to "11..11" when nan or infinity setexpmax <= nanff(1) OR infinityff(1); --*************** --*** OUTPUTS *** --*************** signout <= signff(2); mantissaout <= mantissaff; exponentout <= exponenttwoff; ----------------------------------------------- nanout <= nanff(2); overflowout <= infinityff(2); zeroout <= zeroff(2); END rtl;
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; --*************************************************** --*** *** --*** FLOATING POINT CORE LIBRARY *** --*** *** --*** DP_LNRND.VHD *** --*** *** --*** Function: DP LOG Output Block - Rounded *** --*** *** --*** 18/02/08 ML *** --*** *** --*** (c) 2008 Altera Corporation *** --*** *** --*** Change History *** --*** *** --*** *** --*** *** --*** *** --*** *** --*************************************************** ENTITY dp_lnrnd IS PORT ( sysclk : IN STD_LOGIC; reset : IN STD_LOGIC; enable : IN STD_LOGIC; signln : IN STD_LOGIC; exponentln : IN STD_LOGIC_VECTOR (11 DOWNTO 1); mantissaln : IN STD_LOGIC_VECTOR (53 DOWNTO 1); nanin : IN STD_LOGIC; infinityin : IN STD_LOGIC; zeroin : IN STD_LOGIC; signout : OUT STD_LOGIC; exponentout : OUT STD_LOGIC_VECTOR (11 DOWNTO 1); mantissaout : OUT STD_LOGIC_VECTOR (52 DOWNTO 1); -------------------------------------------------- nanout : OUT STD_LOGIC; overflowout : OUT STD_LOGIC; zeroout : OUT STD_LOGIC ); END dp_lnrnd; ARCHITECTURE rtl OF dp_lnrnd IS constant expwidth : positive := 11; constant manwidth : positive := 52; type exponentfftype IS ARRAY (2 DOWNTO 1) OF STD_LOGIC_VECTOR (expwidth DOWNTO 1); signal zerovec : STD_LOGIC_VECTOR (manwidth-1 DOWNTO 1); signal nanff : STD_LOGIC_VECTOR (2 DOWNTO 1); signal zeroff : STD_LOGIC_VECTOR (2 DOWNTO 1); signal signff : STD_LOGIC_VECTOR (2 DOWNTO 1); signal infinityff : STD_LOGIC_VECTOR (2 DOWNTO 1); signal manoverflowbitff : STD_LOGIC; signal roundmantissaff, mantissaff : STD_LOGIC_VECTOR (manwidth DOWNTO 1); signal exponentnode : STD_LOGIC_VECTOR (expwidth+2 DOWNTO 1); signal exponentoneff : STD_LOGIC_VECTOR (expwidth+2 DOWNTO 1); signal exponenttwoff : STD_LOGIC_VECTOR (expwidth DOWNTO 1); signal manoverflow : STD_LOGIC_VECTOR (manwidth+1 DOWNTO 1); signal setmanzero, setmanmax : STD_LOGIC; signal setexpzero, setexpmax : STD_LOGIC; BEGIN gzv: FOR k IN 1 TO manwidth-1 GENERATE zerovec(k) <= '0'; END GENERATE; pra: PROCESS (sysclk,reset) BEGIN IF (reset = '1') THEN nanff <= "00"; signff <= "00"; FOR k IN 1 TO manwidth LOOP roundmantissaff(k) <= '0'; mantissaff(k) <= '0'; END LOOP; FOR k IN 1 TO expwidth+2 LOOP exponentoneff(k) <= '0'; END LOOP; FOR k IN 1 TO expwidth LOOP exponenttwoff(k) <= '0'; END LOOP; ELSIF (rising_edge(sysclk)) THEN IF(enable = '1') THEN nanff(1) <= nanin; nanff(2) <= nanff(1); infinityff(1) <= infinityin; infinityff(2) <= infinityff(1); zeroff(1) <= zeroin; zeroff(2) <= zeroff(1); signff(1) <= signln; signff(2) <= signff(1); manoverflowbitff <= manoverflow(manwidth+1); roundmantissaff <= mantissaln(manwidth+1 DOWNTO 2) + (zerovec & mantissaln(1)); FOR k IN 1 TO manwidth LOOP mantissaff(k) <= (roundmantissaff(k) AND NOT(setmanzero)) OR setmanmax; END LOOP; exponentoneff(expwidth+2 DOWNTO 1) <= "00" & exponentln; FOR k IN 1 TO expwidth LOOP exponenttwoff(k) <= (exponentnode(k) AND NOT(setexpzero)) OR setexpmax; END LOOP; END IF; END IF; END PROCESS; exponentnode <= exponentoneff(expwidth+2 DOWNTO 1) + (zerovec(expwidth+1 DOWNTO 1) & manoverflowbitff); --********************************* --*** PREDICT MANTISSA OVERFLOW *** --********************************* manoverflow(1) <= mantissaln(1); gmoa: FOR k IN 2 TO manwidth+1 GENERATE manoverflow(k) <= manoverflow(k-1) AND mantissaln(k); END GENERATE; --********************************** --*** CHECK GENERATED CONDITIONS *** --********************************** -- all set to '1' when condition true -- set mantissa to 0 when infinity or zero condition setmanzero <= NOT(zeroff(1)) OR infinityff(1); -- setmantissa to "11..11" when nan setmanmax <= nanff(1); -- set exponent to 0 when zero condition setexpzero <= NOT(zeroff(1)); -- set exponent to "11..11" when nan or infinity setexpmax <= nanff(1) OR infinityff(1); --*************** --*** OUTPUTS *** --*************** signout <= signff(2); mantissaout <= mantissaff; exponentout <= exponenttwoff; ----------------------------------------------- nanout <= nanff(2); overflowout <= infinityff(2); zeroout <= zeroff(2); END rtl;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc504.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c03s02b02x00p03n01i00504ent IS END c03s02b02x00p03n01i00504ent; ARCHITECTURE c03s02b02x00p03n01i00504arch OF c03s02b02x00p03n01i00504ent IS type DATE is record DAY : Integer range 1 to 31; MONTH : Integer range 1 to 12; YEAR : Integer range 0 to 1000; end record --- Failure_here ; Missing semicolon BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c03s02b02x00p03n01i00504 -Missing semicolon" severity ERROR; wait; END PROCESS TESTING; END c03s02b02x00p03n01i00504arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc504.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c03s02b02x00p03n01i00504ent IS END c03s02b02x00p03n01i00504ent; ARCHITECTURE c03s02b02x00p03n01i00504arch OF c03s02b02x00p03n01i00504ent IS type DATE is record DAY : Integer range 1 to 31; MONTH : Integer range 1 to 12; YEAR : Integer range 0 to 1000; end record --- Failure_here ; Missing semicolon BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c03s02b02x00p03n01i00504 -Missing semicolon" severity ERROR; wait; END PROCESS TESTING; END c03s02b02x00p03n01i00504arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc504.vhd,v 1.2 2001-10-26 16:30:26 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c03s02b02x00p03n01i00504ent IS END c03s02b02x00p03n01i00504ent; ARCHITECTURE c03s02b02x00p03n01i00504arch OF c03s02b02x00p03n01i00504ent IS type DATE is record DAY : Integer range 1 to 31; MONTH : Integer range 1 to 12; YEAR : Integer range 0 to 1000; end record --- Failure_here ; Missing semicolon BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c03s02b02x00p03n01i00504 -Missing semicolon" severity ERROR; wait; END PROCESS TESTING; END c03s02b02x00p03n01i00504arch;
-------------------------------------------------------------------------------- -- Company: University of Genoa -- Engineer: Alessio Leoncini, Alberto Oliveri -- -- Create Date: 16:27:59 10/06/2011 -- Design Name: -- Module Name: testCaosAlAl.vhd -- Project Name: Caos -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: CaosAlAl -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; use ieee.std_logic_textio.all; LIBRARY std; use STD.textio.all; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY testCaosAlAl IS END testCaosAlAl; ARCHITECTURE behavior OF testCaosAlAl IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT CaosAlAl PORT( ck : IN std_logic; res : IN std_logic; out0 : OUT std_logic ); END COMPONENT; --Inputs signal ck : std_logic := '0'; signal res : std_logic := '0'; --Outputs signal out0 : std_logic; -- No clocks detected in port list. Replace ck below with -- appropriate port name constant ck_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: CaosAlAl PORT MAP ( ck => ck, res => res, out0 => out0 ); -- Clock process definitions ck_process :process begin ck <= '0'; wait for ck_period/2; ck <= '1'; wait for ck_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. res <= '1'; wait for 100 ns; res<='0'; -- write a single line wait; end process; -- Write bigregister process write_file: process (ck) is file my_output : TEXT open WRITE_MODE is "Test.out"; variable my_output_line : LINE; begin if rising_edge(ck) then write(my_output_line,out0); writeline(my_output, my_output_line); end if; end process write_file; END;
library ieee; use ieee.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.all; use IEEE.MATH_REAL.ALL; entity Arbiter_with_checkers_top is port ( Req_N, Req_E, Req_W, Req_S, Req_L:in std_logic; -- From LBDR modules DCTS: in std_logic; -- Getting the CTS signal from the input FIFO of the next router/NI (for hand-shaking) RTS_FF: in std_logic; state: in std_logic_vector (5 downto 0); -- 6 states for Arbiter's FSM -- Arbiter outputs Grant_N, Grant_E, Grant_W, Grant_S, Grant_L:out std_logic; -- Grants given to LBDR requests (encoded as one-hot) Xbar_sel : out std_logic_vector(4 downto 0); -- select lines for XBAR RTS_FF_in: out std_logic; -- Valid output which is sent to the next router/NI to specify that the data on the output port is valid state_in: out std_logic_vector (5 downto 0); -- 6 states for Arbiter's FSM next_state_out: out std_logic_vector (5 downto 0); -- 6 states for Arbiter's FSM -- Checker outputs err_state_IDLE_xbar, err_state_not_IDLE_xbar, err_state_IDLE_RTS_FF_in, err_state_not_IDLE_RTS_FF_RTS_FF_in, err_state_not_IDLE_DCTS_RTS_FF_RTS_FF_in, err_state_not_IDLE_not_DCTS_RTS_FF_RTS_FF_in, err_RTS_FF_not_DCTS_state_state_in, err_not_RTS_FF_state_in_next_state, err_RTS_FF_DCTS_state_in_next_state, err_not_DCTS_Grants, err_DCTS_not_RTS_FF_Grants, err_DCTS_RTS_FF_IDLE_Grants, err_DCTS_RTS_FF_not_IDLE_Grants_onehot, err_Requests_next_state_IDLE, err_IDLE_Req_L, err_Local_Req_L, err_North_Req_N, err_East_Req_E, err_West_Req_W, err_South_Req_S, err_IDLE_Req_N, err_Local_Req_N, err_North_Req_E, err_East_Req_W, err_West_Req_S, err_South_Req_L, err_IDLE_Req_E, err_Local_Req_E, err_North_Req_W, err_East_Req_S, err_West_Req_L, err_South_Req_N, err_IDLE_Req_W, err_Local_Req_W, err_North_Req_S, err_East_Req_L, err_West_Req_N, err_South_Req_E, err_IDLE_Req_S, err_Local_Req_S, err_North_Req_L, err_East_Req_N, err_West_Req_E, err_South_Req_W, err_next_state_onehot, err_state_in_onehot, err_DCTS_RTS_FF_state_Grant_L, err_DCTS_RTS_FF_state_Grant_N, err_DCTS_RTS_FF_state_Grant_E, err_DCTS_RTS_FF_state_Grant_W, err_DCTS_RTS_FF_state_Grant_S, err_state_north_xbar_sel, err_state_east_xbar_sel, err_state_west_xbar_sel, err_state_south_xbar_sel, err_state_local_xbar_sel : out std_logic ); end Arbiter_with_checkers_top; architecture behavior of Arbiter_with_checkers_top is component Arbiter_pseudo is port ( Req_N, Req_E, Req_W, Req_S, Req_L:in std_logic; -- From LBDR modules DCTS: in std_logic; -- Getting the CTS signal from the input FIFO of the next router/NI (for hand-shaking) RTS_FF: in std_logic; state: in std_logic_vector (5 downto 0); -- 6 states for Arbiter's FSM Grant_N, Grant_E, Grant_W, Grant_S, Grant_L:out std_logic; -- Grants given to LBDR requests (encoded as one-hot) Xbar_sel : out std_logic_vector (4 downto 0); -- select lines for XBAR RTS_FF_in: out std_logic; -- Valid output which is sent to the next router/NI to specify that the data on the output port is valid state_in: out std_logic_vector (5 downto 0); -- 6 states for Arbiter's FSM next_state_out: out std_logic_vector (5 downto 0) -- 6 states for Arbiter's FSM ); end component; component Arbiter_checkers is port ( Req_N, Req_E, Req_W, Req_S, Req_L:in std_logic; DCTS: in std_logic; Grant_N, Grant_E, Grant_W, Grant_S, Grant_L: in std_logic; Xbar_sel : in std_logic_vector(4 downto 0); state: in std_logic_vector (5 downto 0); state_in: in std_logic_vector (5 downto 0); next_state_out: in std_logic_vector (5 downto 0); RTS_FF: in std_logic; RTS_FF_in: in std_logic; -- Checker outputs err_state_IDLE_xbar, err_state_not_IDLE_xbar, err_state_IDLE_RTS_FF_in, err_state_not_IDLE_RTS_FF_RTS_FF_in, err_state_not_IDLE_DCTS_RTS_FF_RTS_FF_in, err_state_not_IDLE_not_DCTS_RTS_FF_RTS_FF_in, err_RTS_FF_not_DCTS_state_state_in, err_not_RTS_FF_state_in_next_state, err_RTS_FF_DCTS_state_in_next_state, err_not_DCTS_Grants, err_DCTS_not_RTS_FF_Grants, err_DCTS_RTS_FF_IDLE_Grants, err_DCTS_RTS_FF_not_IDLE_Grants_onehot, err_Requests_next_state_IDLE, err_IDLE_Req_L, err_Local_Req_L, err_North_Req_N, err_East_Req_E, err_West_Req_W, err_South_Req_S, err_IDLE_Req_N, err_Local_Req_N, err_North_Req_E, err_East_Req_W, err_West_Req_S, err_South_Req_L, err_IDLE_Req_E, err_Local_Req_E, err_North_Req_W, err_East_Req_S, err_West_Req_L, err_South_Req_N, err_IDLE_Req_W, err_Local_Req_W, err_North_Req_S, err_East_Req_L, err_West_Req_N, err_South_Req_E, err_IDLE_Req_S, err_Local_Req_S, err_North_Req_L, err_East_Req_N, err_West_Req_E, err_South_Req_W, err_next_state_onehot, err_state_in_onehot, err_DCTS_RTS_FF_state_Grant_L, err_DCTS_RTS_FF_state_Grant_N, err_DCTS_RTS_FF_state_Grant_E, err_DCTS_RTS_FF_state_Grant_W, err_DCTS_RTS_FF_state_Grant_S, err_state_north_xbar_sel, err_state_east_xbar_sel, err_state_west_xbar_sel, err_state_south_xbar_sel, err_state_local_xbar_sel : out std_logic ); end component; signal Grant_N_sig, Grant_E_sig, Grant_W_sig, Grant_S_sig, Grant_L_sig: std_logic; signal Xbar_sel_sig: std_logic_vector(4 downto 0); signal state_in_sig: std_logic_vector (5 downto 0); signal next_state_out_sig: std_logic_vector (5 downto 0); signal RTS_FF_in_sig: std_logic; begin Grant_N <= Grant_N_sig; Grant_E <= Grant_E_sig; Grant_W <= Grant_W_sig; Grant_S <= Grant_S_sig; Grant_L <= Grant_L_sig; Xbar_sel <= Xbar_sel_sig; state_in <= state_in_sig; RTS_FF_in <= RTS_FF_in_sig; next_state_out <= next_state_out_sig; -- Arbiter instantiation ARBITER: Arbiter_pseudo port map ( Req_N=>Req_N, Req_E=>Req_E, Req_W=>Req_W, Req_S=>Req_S, Req_L=>Req_L, DCTS => DCTS, RTS_FF => RTS_FF, state=>state, Grant_N => Grant_N_sig, Grant_E => Grant_E_sig, Grant_W => Grant_W_sig, Grant_S => Grant_S_sig, Grant_L => Grant_L_sig, Xbar_sel => Xbar_sel_sig, RTS_FF_in => RTS_FF_in, state_in => state_in_sig, next_state_out => next_state_out_sig ); -- Checkers instantiation CHECKERS: Arbiter_checkers port map ( Req_N => Req_N, Req_E => Req_E, Req_W => Req_W, Req_S => Req_S, Req_L => Req_L, DCTS => DCTS, RTS_FF => RTS_FF, state => state, Grant_N => Grant_N_sig, Grant_E => Grant_E_sig, Grant_W => Grant_W_sig, Grant_S => Grant_S_sig, Grant_L => Grant_L_sig, Xbar_sel=>Xbar_sel_sig, state_in => state_in_sig, next_state_out => next_state_out_sig, RTS_FF_in => RTS_FF_in_sig, err_state_IDLE_xbar => err_state_IDLE_xbar, err_state_not_IDLE_xbar => err_state_not_IDLE_xbar, err_state_IDLE_RTS_FF_in => err_state_IDLE_RTS_FF_in, err_state_not_IDLE_RTS_FF_RTS_FF_in => err_state_not_IDLE_RTS_FF_RTS_FF_in, err_state_not_IDLE_DCTS_RTS_FF_RTS_FF_in => err_state_not_IDLE_DCTS_RTS_FF_RTS_FF_in, err_state_not_IDLE_not_DCTS_RTS_FF_RTS_FF_in => err_state_not_IDLE_not_DCTS_RTS_FF_RTS_FF_in, err_RTS_FF_not_DCTS_state_state_in => err_RTS_FF_not_DCTS_state_state_in, err_not_RTS_FF_state_in_next_state => err_not_RTS_FF_state_in_next_state, err_RTS_FF_DCTS_state_in_next_state => err_RTS_FF_DCTS_state_in_next_state, err_not_DCTS_Grants => err_not_DCTS_Grants, err_DCTS_not_RTS_FF_Grants => err_DCTS_not_RTS_FF_Grants, err_DCTS_RTS_FF_IDLE_Grants => err_DCTS_RTS_FF_IDLE_Grants, err_DCTS_RTS_FF_not_IDLE_Grants_onehot => err_DCTS_RTS_FF_not_IDLE_Grants_onehot, err_Requests_next_state_IDLE => err_Requests_next_state_IDLE, err_IDLE_Req_L => err_IDLE_Req_L, err_Local_Req_L => err_Local_Req_L, err_North_Req_N => err_North_Req_N, err_East_Req_E => err_East_Req_E, err_West_Req_W => err_West_Req_W, err_South_Req_S => err_South_Req_S, err_IDLE_Req_N => err_IDLE_Req_N, err_Local_Req_N => err_Local_Req_N, err_North_Req_E => err_North_Req_E, err_East_Req_W => err_East_Req_W, err_West_Req_S => err_West_Req_S, err_South_Req_L => err_South_Req_L, err_IDLE_Req_E => err_IDLE_Req_E, err_Local_Req_E => err_Local_Req_E, err_North_Req_W => err_North_Req_W, err_East_Req_S => err_East_Req_S, err_West_Req_L => err_West_Req_L, err_South_Req_N => err_South_Req_N, err_IDLE_Req_W => err_IDLE_Req_W, err_Local_Req_W => err_Local_Req_W, err_North_Req_S => err_North_Req_S, err_East_Req_L => err_East_Req_L, err_West_Req_N => err_West_Req_N, err_South_Req_E => err_South_Req_E, err_IDLE_Req_S => err_IDLE_Req_S, err_Local_Req_S => err_Local_Req_S, err_North_Req_L => err_North_Req_L, err_East_Req_N => err_East_Req_N, err_West_Req_E => err_West_Req_E, err_South_Req_W => err_South_Req_W, err_next_state_onehot => err_next_state_onehot, err_state_in_onehot => err_state_in_onehot, err_DCTS_RTS_FF_state_Grant_L => err_DCTS_RTS_FF_state_Grant_L, err_DCTS_RTS_FF_state_Grant_N => err_DCTS_RTS_FF_state_Grant_N, err_DCTS_RTS_FF_state_Grant_E => err_DCTS_RTS_FF_state_Grant_E, err_DCTS_RTS_FF_state_Grant_W => err_DCTS_RTS_FF_state_Grant_W, err_DCTS_RTS_FF_state_Grant_S => err_DCTS_RTS_FF_state_Grant_S, err_state_north_xbar_sel => err_state_north_xbar_sel, err_state_east_xbar_sel => err_state_east_xbar_sel, err_state_west_xbar_sel => err_state_west_xbar_sel, err_state_south_xbar_sel => err_state_south_xbar_sel, err_state_local_xbar_sel => err_state_local_xbar_sel ); end behavior;
library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_ARITH.all; library work; use work.zpu_config.all; package zpupkg is -- This bit is set for read/writes to IO -- FIX!!! eventually this should be set to wordSize-1 so as to -- to make the address of IO independent of amount of memory -- reserved for CPU. Requires trivial tweaks in toolchain/runtime -- libraries. constant byteBits : integer := wordPower-3; -- # of bits in a word that addresses bytes constant maxAddrBit : integer := maxAddrBitIncIO-1; constant ioBit : integer := maxAddrBit+1; constant wordSize : integer := 2**wordPower; constant wordBytes : integer := wordSize/8; constant minAddrBit : integer := byteBits; -- configurable internal stack size. Probably going to be 16 after toolchain is done constant stack_bits : integer := 5; constant stack_size : integer := 2**stack_bits; component dualport_ram is port (clk : in std_logic; memAWriteEnable : in std_logic; memAAddr : in std_logic_vector(maxAddrBit downto minAddrBit); memAWrite : in std_logic_vector(wordSize-1 downto 0); memARead : out std_logic_vector(wordSize-1 downto 0); memBWriteEnable : in std_logic; memBAddr : in std_logic_vector(maxAddrBit downto minAddrBit); memBWrite : in std_logic_vector(wordSize-1 downto 0); memBRead : out std_logic_vector(wordSize-1 downto 0)); end component; component dram is port (clk : in std_logic; areset : in std_logic; mem_writeEnable : in std_logic; mem_readEnable : in std_logic; mem_addr : in std_logic_vector(maxAddrBit downto 0); mem_write : in std_logic_vector(wordSize-1 downto 0); mem_read : out std_logic_vector(wordSize-1 downto 0); mem_busy : out std_logic; mem_writeMask : in std_logic_vector(wordBytes-1 downto 0)); end component; component trace is port( clk : in std_logic; begin_inst : in std_logic; pc : in std_logic_vector(maxAddrBitIncIO downto 0); opcode : in std_logic_vector(7 downto 0); sp : in std_logic_vector(maxAddrBitIncIO downto minAddrBit); memA : in std_logic_vector(wordSize-1 downto 0); memB : in std_logic_vector(wordSize-1 downto 0); busy : in std_logic; intSp : in std_logic_vector(stack_bits-1 downto 0) ); end component; component zpu_core is port ( clk : in std_logic; areset : in std_logic; enable : in std_logic; mem_req : out std_logic; mem_we : out std_logic; mem_ack : in std_logic; mem_read : in std_logic_vector(wordSize-1 downto 0); mem_write : out std_logic_vector(wordSize-1 downto 0); out_mem_addr : out std_logic_vector(maxAddrBitIncIO downto 0); mem_writeMask: out std_logic_vector(wordBytes-1 downto 0); interrupt : in std_logic; break : out std_logic; zpu_status : out std_logic_vector(63 downto 0)); end component; component timer is port( clk : in std_logic; areset : in std_logic; sample : in std_logic; reset : in std_logic; counter : out std_logic_vector(63 downto 0)); end component; component zpuio is port ( areset : in std_logic; cpu_clk : in std_logic; clk_status : in std_logic_vector(2 downto 0); cpu_din : in std_logic_vector(15 downto 0); cpu_a : in std_logic_vector(20 downto 0); cpu_we : in std_logic_vector(1 downto 0); cpu_re : in std_logic; cpu_dout : inout std_logic_vector(15 downto 0)); end component; -- opcode decode constants constant OpCode_Im : std_logic_vector(7 downto 7) := "1"; constant OpCode_StoreSP : std_logic_vector(7 downto 5) := "010"; constant OpCode_LoadSP : std_logic_vector(7 downto 5) := "011"; constant OpCode_Emulate : std_logic_vector(7 downto 5) := "001"; constant OpCode_AddSP : std_logic_vector(7 downto 4) := "0001"; constant OpCode_Short : std_logic_vector(7 downto 4) := "0000"; constant OpCode_Break : std_logic_vector(3 downto 0) := "0000"; constant OpCode_Shiftleft: std_logic_vector(3 downto 0) := "0001"; constant OpCode_PushSP : std_logic_vector(3 downto 0) := "0010"; constant OpCode_PushInt : std_logic_vector(3 downto 0) := "0011"; constant OpCode_PopPC : std_logic_vector(3 downto 0) := "0100"; constant OpCode_Add : std_logic_vector(3 downto 0) := "0101"; constant OpCode_And : std_logic_vector(3 downto 0) := "0110"; constant OpCode_Or : std_logic_vector(3 downto 0) := "0111"; constant OpCode_Load : std_logic_vector(3 downto 0) := "1000"; constant OpCode_Not : std_logic_vector(3 downto 0) := "1001"; constant OpCode_Flip : std_logic_vector(3 downto 0) := "1010"; constant OpCode_Nop : std_logic_vector(3 downto 0) := "1011"; constant OpCode_Store : std_logic_vector(3 downto 0) := "1100"; constant OpCode_PopSP : std_logic_vector(3 downto 0) := "1101"; constant OpCode_Compare : std_logic_vector(3 downto 0) := "1110"; constant OpCode_PopInt : std_logic_vector(3 downto 0) := "1111"; constant OpCode_Lessthan : std_logic_vector(5 downto 0) := conv_std_logic_vector(36, 6); constant OpCode_Lessthanorequal : std_logic_vector(5 downto 0) := conv_std_logic_vector(37, 6); constant OpCode_Ulessthan : std_logic_vector(5 downto 0) := conv_std_logic_vector(38, 6); constant OpCode_Ulessthanorequal : std_logic_vector(5 downto 0) := conv_std_logic_vector(39, 6); constant OpCode_Swap : std_logic_vector(5 downto 0) := conv_std_logic_vector(40, 6); constant OpCode_Mult : std_logic_vector(5 downto 0) := conv_std_logic_vector(41, 6); constant OpCode_Lshiftright : std_logic_vector(5 downto 0) := conv_std_logic_vector(42, 6); constant OpCode_Ashiftleft : std_logic_vector(5 downto 0) := conv_std_logic_vector(43, 6); constant OpCode_Ashiftright : std_logic_vector(5 downto 0) := conv_std_logic_vector(44, 6); constant OpCode_Call : std_logic_vector(5 downto 0) := conv_std_logic_vector(45, 6); constant OpCode_Eq : std_logic_vector(5 downto 0) := conv_std_logic_vector(46, 6); constant OpCode_Neq : std_logic_vector(5 downto 0) := conv_std_logic_vector(47, 6); constant OpCode_Sub : std_logic_vector(5 downto 0) := conv_std_logic_vector(49, 6); constant OpCode_Loadb : std_logic_vector(5 downto 0) := conv_std_logic_vector(51, 6); constant OpCode_Storeb : std_logic_vector(5 downto 0) := conv_std_logic_vector(52, 6); constant OpCode_Eqbranch : std_logic_vector(5 downto 0) := conv_std_logic_vector(55, 6); constant OpCode_Neqbranch : std_logic_vector(5 downto 0) := conv_std_logic_vector(56, 6); constant OpCode_Poppcrel : std_logic_vector(5 downto 0) := conv_std_logic_vector(57, 6); constant OpCode_Pushspadd : std_logic_vector(5 downto 0) := conv_std_logic_vector(61, 6); constant OpCode_Mult16x16 : std_logic_vector(5 downto 0) := conv_std_logic_vector(62, 6); constant OpCode_Callpcrel : std_logic_vector(5 downto 0) := conv_std_logic_vector(63, 6); constant OpCode_Size : integer := 8; end zpupkg;
entity forty_two is port ( bv_out : out bit_vector ); end forty_two; architecture only of forty_two is begin -- only process begin -- process bv_out <= "0110"; wait; end process; end only; entity test_bench is end test_bench; architecture only of test_bench is component forty_two_component port ( c_bv_out : out bit_vector ); end component; for ft0 : forty_two_component use entity work.forty_two(only) port map ( bv_out => c_bv_out ); signal bv_signal : bit_vector( 3 downto 0 ); begin -- only ft0 : component forty_two_component port map ( c_bv_out => bv_signal ); test: process begin -- process test wait for 1 ms; assert bv_signal = "0110" report "TEST FAILED" severity ERROR; assert not(bv_signal = "0110") report "TEST PASSED" severity NOTE; wait; end process test; end only;
entity forty_two is port ( bv_out : out bit_vector ); end forty_two; architecture only of forty_two is begin -- only process begin -- process bv_out <= "0110"; wait; end process; end only; entity test_bench is end test_bench; architecture only of test_bench is component forty_two_component port ( c_bv_out : out bit_vector ); end component; for ft0 : forty_two_component use entity work.forty_two(only) port map ( bv_out => c_bv_out ); signal bv_signal : bit_vector( 3 downto 0 ); begin -- only ft0 : component forty_two_component port map ( c_bv_out => bv_signal ); test: process begin -- process test wait for 1 ms; assert bv_signal = "0110" report "TEST FAILED" severity ERROR; assert not(bv_signal = "0110") report "TEST PASSED" severity NOTE; wait; end process test; end only;
entity forty_two is port ( bv_out : out bit_vector ); end forty_two; architecture only of forty_two is begin -- only process begin -- process bv_out <= "0110"; wait; end process; end only; entity test_bench is end test_bench; architecture only of test_bench is component forty_two_component port ( c_bv_out : out bit_vector ); end component; for ft0 : forty_two_component use entity work.forty_two(only) port map ( bv_out => c_bv_out ); signal bv_signal : bit_vector( 3 downto 0 ); begin -- only ft0 : component forty_two_component port map ( c_bv_out => bv_signal ); test: process begin -- process test wait for 1 ms; assert bv_signal = "0110" report "TEST FAILED" severity ERROR; assert not(bv_signal = "0110") report "TEST PASSED" severity NOTE; wait; end process test; end only;
library ieee; use ieee.numeric_std.all; use ieee.std_logic_1164.all; entity ex7_nov is port( clock: in std_logic; input: in std_logic_vector(1 downto 0); output: out std_logic_vector(1 downto 0) ); end ex7_nov; architecture behaviour of ex7_nov is constant s1: std_logic_vector(3 downto 0) := "1100"; constant s2: std_logic_vector(3 downto 0) := "1101"; constant s3: std_logic_vector(3 downto 0) := "0111"; constant s4: std_logic_vector(3 downto 0) := "1010"; constant s5: std_logic_vector(3 downto 0) := "1000"; constant s6: std_logic_vector(3 downto 0) := "1011"; constant s7: std_logic_vector(3 downto 0) := "1001"; constant s8: std_logic_vector(3 downto 0) := "1111"; constant s9: std_logic_vector(3 downto 0) := "1110"; constant s0: std_logic_vector(3 downto 0) := "0000"; signal current_state, next_state: std_logic_vector(3 downto 0); begin process(clock) begin if rising_edge(clock) then current_state <= next_state; end if; end process; process(input, current_state) begin next_state <= "----"; output <= "--"; case current_state is when s1 => if std_match(input, "00") then next_state <= s7; output <= "11"; elsif std_match(input, "01") then next_state <= s0; output <= "--"; elsif std_match(input, "10") then next_state <= s0; output <= "00"; elsif std_match(input, "11") then next_state <= s0; output <= "--"; end if; when s2 => if std_match(input, "00") then next_state <= s0; output <= "--"; elsif std_match(input, "01") then next_state <= s2; output <= "--"; elsif std_match(input, "10") then next_state <= s5; output <= "--"; elsif std_match(input, "11") then next_state <= s0; output <= "--"; end if; when s3 => if std_match(input, "00") then next_state <= s0; output <= "--"; elsif std_match(input, "01") then next_state <= s0; output <= "11"; elsif std_match(input, "10") then next_state <= s8; output <= "--"; elsif std_match(input, "11") then next_state <= s5; output <= "--"; end if; when s4 => if std_match(input, "00") then next_state <= s0; output <= "--"; elsif std_match(input, "01") then next_state <= s0; output <= "00"; elsif std_match(input, "10") then next_state <= s0; output <= "--"; elsif std_match(input, "11") then next_state <= s1; output <= "11"; end if; when s5 => if std_match(input, "00") then next_state <= s7; output <= "00"; elsif std_match(input, "01") then next_state <= s5; output <= "--"; elsif std_match(input, "10") then next_state <= s2; output <= "11"; elsif std_match(input, "11") then next_state <= s0; output <= "--"; end if; when s6 => if std_match(input, "00") then next_state <= s0; output <= "--"; elsif std_match(input, "01") then next_state <= s9; output <= "--"; elsif std_match(input, "10") then next_state <= s0; output <= "--"; elsif std_match(input, "11") then next_state <= s2; output <= "00"; end if; when s7 => if std_match(input, "00") then next_state <= s4; output <= "--"; elsif std_match(input, "01") then next_state <= s4; output <= "--"; elsif std_match(input, "10") then next_state <= s0; output <= "00"; elsif std_match(input, "11") then next_state <= s5; output <= "--"; end if; when s8 => if std_match(input, "00") then next_state <= s0; output <= "--"; elsif std_match(input, "01") then next_state <= s3; output <= "--"; elsif std_match(input, "10") then next_state <= s0; output <= "--"; elsif std_match(input, "11") then next_state <= s4; output <= "11"; end if; when s9 => if std_match(input, "00") then next_state <= s6; output <= "11"; elsif std_match(input, "01") then next_state <= s3; output <= "00"; elsif std_match(input, "10") then next_state <= s0; output <= "00"; elsif std_match(input, "11") then next_state <= s0; output <= "--"; end if; when others => next_state <= "----"; output <= "--"; end case; end process; end behaviour;
-- ------------------------------------------------------------- -- -- Entity Declaration for inst_e_e -- -- Generated -- by: wig -- on: Wed Nov 30 06:48:17 2005 -- cmd: /cygdrive/h/work/eclipse/MIX/mix_0.pl -strip -nodelta ../generic.xls -- -- !!! Do not edit this file! Autogenerated by MIX !!! -- $Author: wig $ -- $Id: inst_e_e-e.vhd,v 1.3 2005/11/30 14:04:03 wig Exp $ -- $Date: 2005/11/30 14:04:03 $ -- $Log: inst_e_e-e.vhd,v $ -- Revision 1.3 2005/11/30 14:04:03 wig -- Updated testcase references -- -- -- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v -- Id: MixWriter.pm,v 1.71 2005/11/22 11:00:47 wig Exp -- -- Generator: mix_0.pl Version: Revision: 1.42 , [email protected] -- (C) 2003,2005 Micronas GmbH -- -- -------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; -- No project specific VHDL libraries/enty -- -- -- Start of Generated Entity inst_e_e -- entity inst_e_e is -- Generics: -- No Generated Generics for Entity inst_e_e -- Generated Port Declaration: -- No Generated Port for Entity inst_e_e end inst_e_e; -- -- End of Generated Entity inst_e_e -- -- --!End of Entity/ies -- --------------------------------------------------------------
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.nano_cpu_pkg.all; entity nano_cpu is port ( clock : in std_logic; reset : in std_logic; -- instruction/data ram ram_addr : out std_logic_vector(9 downto 0); ram_en : out std_logic; ram_we : out std_logic; ram_wdata : out std_logic_vector(15 downto 0); ram_rdata : in std_logic_vector(15 downto 0); -- i/o interface io_addr : out unsigned(7 downto 0); io_write : out std_logic := '0'; io_read : out std_logic := '0'; io_wdata : out std_logic_vector(15 downto 0); io_rdata : in std_logic_vector(15 downto 0); stall : in std_logic ); end entity; architecture gideon of nano_cpu is signal i_addr : unsigned(9 downto 0); signal inst : std_logic_vector(15 downto 11) := (others => '0'); signal accu : unsigned(15 downto 0); signal branch_taken : boolean; signal n, z : boolean; signal stack_top : std_logic_vector(i_addr'range); signal push : std_logic; signal pop : std_logic; signal update_accu : std_logic; signal update_flag : std_logic; signal long_inst : std_logic; type t_state is (fetch_inst, decode_inst, data_state, external_data); signal state : t_state; begin with ram_rdata(c_br_eq'range) select branch_taken <= z when c_br_eq, not z when c_br_neq, n when c_br_mi, not n when c_br_pl, true when c_br_always, true when c_br_call, false when others; with state select ram_addr <= std_logic_vector(i_addr) when fetch_inst, ram_rdata(ram_addr'range) when others; io_wdata <= std_logic_vector(accu); ram_wdata <= std_logic_vector(accu); ram_en <= '0' when (state = decode_inst) and (ram_rdata(c_store'range) = c_store) else not stall; push <= '1' when (state = decode_inst) and (ram_rdata(c_br_call'range) = c_br_call) and (ram_rdata(c_branch'range) = c_branch) else '0'; pop <= '1' when (state = decode_inst) and (ram_rdata(c_return'range) = c_return) else '0'; with ram_rdata(inst'range) select long_inst <= '1' when c_store, '1' when c_load_ind, '1' when c_store_ind, '0' when others; process(clock) begin if rising_edge(clock) then if stall='0' then io_addr <= unsigned(ram_rdata(io_addr'range)); update_accu <= '0'; update_flag <= '0'; end if; io_write <= '0'; io_read <= '0'; ram_we <= '0'; case state is when fetch_inst => i_addr <= i_addr + 1; state <= decode_inst; when decode_inst => state <= fetch_inst; inst <= ram_rdata(inst'range); update_accu <= ram_rdata(11); update_flag <= not ram_rdata(15); -- special instructions if ram_rdata(c_in'range) = c_in then io_read <= '1'; state <= external_data; elsif ram_rdata(c_branch'range) = c_branch then update_accu <= '0'; update_flag <= '0'; if branch_taken then i_addr <= unsigned(ram_rdata(i_addr'range)); end if; elsif ram_rdata(c_return'range) = c_return then i_addr <= unsigned(stack_top); update_accu <= '0'; update_flag <= '0'; elsif ram_rdata(c_out'range) = c_out then io_write <= '1'; if ram_rdata(7) = '1' then -- optimization: for ulpi access only state <= external_data; end if; -- not so special instructions: alu instructions! else if long_inst='1' then state <= data_state; end if; if ram_rdata(c_store'range) = c_store then ram_we <= '1'; end if; if ram_rdata(c_store_ind'range) = c_store_ind then ram_we <= '1'; end if; end if; when external_data => if stall = '0' then update_accu <= '0'; update_flag <= '0'; state <= fetch_inst; end if; when data_state => if inst = c_load_ind then update_accu <= '1'; update_flag <= '1'; end if; state <= fetch_inst; when others => state <= fetch_inst; end case; if reset='1' then state <= fetch_inst; i_addr <= (others => '0'); end if; end if; end process; i_alu: entity work.nano_alu port map ( clock => clock, reset => reset, value_in => unsigned(ram_rdata), ext_in => unsigned(io_rdata), alu_oper => inst(14 downto 12), update_accu => update_accu, update_flag => update_flag, accu => accu, z => z, n => n ); i_stack : entity work.distributed_stack generic map ( width => i_addr'length, simultaneous_pushpop => false ) port map ( clock => clock, reset => reset, pop => pop, push => push, flush => '0', data_in => std_logic_vector(i_addr), data_out => stack_top, full => open, data_valid => open ); end architecture;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.nano_cpu_pkg.all; entity nano_cpu is port ( clock : in std_logic; reset : in std_logic; -- instruction/data ram ram_addr : out std_logic_vector(9 downto 0); ram_en : out std_logic; ram_we : out std_logic; ram_wdata : out std_logic_vector(15 downto 0); ram_rdata : in std_logic_vector(15 downto 0); -- i/o interface io_addr : out unsigned(7 downto 0); io_write : out std_logic := '0'; io_read : out std_logic := '0'; io_wdata : out std_logic_vector(15 downto 0); io_rdata : in std_logic_vector(15 downto 0); stall : in std_logic ); end entity; architecture gideon of nano_cpu is signal i_addr : unsigned(9 downto 0); signal inst : std_logic_vector(15 downto 11) := (others => '0'); signal accu : unsigned(15 downto 0); signal branch_taken : boolean; signal n, z : boolean; signal stack_top : std_logic_vector(i_addr'range); signal push : std_logic; signal pop : std_logic; signal update_accu : std_logic; signal update_flag : std_logic; signal long_inst : std_logic; type t_state is (fetch_inst, decode_inst, data_state, external_data); signal state : t_state; begin with ram_rdata(c_br_eq'range) select branch_taken <= z when c_br_eq, not z when c_br_neq, n when c_br_mi, not n when c_br_pl, true when c_br_always, true when c_br_call, false when others; with state select ram_addr <= std_logic_vector(i_addr) when fetch_inst, ram_rdata(ram_addr'range) when others; io_wdata <= std_logic_vector(accu); ram_wdata <= std_logic_vector(accu); ram_en <= '0' when (state = decode_inst) and (ram_rdata(c_store'range) = c_store) else not stall; push <= '1' when (state = decode_inst) and (ram_rdata(c_br_call'range) = c_br_call) and (ram_rdata(c_branch'range) = c_branch) else '0'; pop <= '1' when (state = decode_inst) and (ram_rdata(c_return'range) = c_return) else '0'; with ram_rdata(inst'range) select long_inst <= '1' when c_store, '1' when c_load_ind, '1' when c_store_ind, '0' when others; process(clock) begin if rising_edge(clock) then if stall='0' then io_addr <= unsigned(ram_rdata(io_addr'range)); update_accu <= '0'; update_flag <= '0'; end if; io_write <= '0'; io_read <= '0'; ram_we <= '0'; case state is when fetch_inst => i_addr <= i_addr + 1; state <= decode_inst; when decode_inst => state <= fetch_inst; inst <= ram_rdata(inst'range); update_accu <= ram_rdata(11); update_flag <= not ram_rdata(15); -- special instructions if ram_rdata(c_in'range) = c_in then io_read <= '1'; state <= external_data; elsif ram_rdata(c_branch'range) = c_branch then update_accu <= '0'; update_flag <= '0'; if branch_taken then i_addr <= unsigned(ram_rdata(i_addr'range)); end if; elsif ram_rdata(c_return'range) = c_return then i_addr <= unsigned(stack_top); update_accu <= '0'; update_flag <= '0'; elsif ram_rdata(c_out'range) = c_out then io_write <= '1'; if ram_rdata(7) = '1' then -- optimization: for ulpi access only state <= external_data; end if; -- not so special instructions: alu instructions! else if long_inst='1' then state <= data_state; end if; if ram_rdata(c_store'range) = c_store then ram_we <= '1'; end if; if ram_rdata(c_store_ind'range) = c_store_ind then ram_we <= '1'; end if; end if; when external_data => if stall = '0' then update_accu <= '0'; update_flag <= '0'; state <= fetch_inst; end if; when data_state => if inst = c_load_ind then update_accu <= '1'; update_flag <= '1'; end if; state <= fetch_inst; when others => state <= fetch_inst; end case; if reset='1' then state <= fetch_inst; i_addr <= (others => '0'); end if; end if; end process; i_alu: entity work.nano_alu port map ( clock => clock, reset => reset, value_in => unsigned(ram_rdata), ext_in => unsigned(io_rdata), alu_oper => inst(14 downto 12), update_accu => update_accu, update_flag => update_flag, accu => accu, z => z, n => n ); i_stack : entity work.distributed_stack generic map ( width => i_addr'length, simultaneous_pushpop => false ) port map ( clock => clock, reset => reset, pop => pop, push => push, flush => '0', data_in => std_logic_vector(i_addr), data_out => stack_top, full => open, data_valid => open ); end architecture;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.nano_cpu_pkg.all; entity nano_cpu is port ( clock : in std_logic; reset : in std_logic; -- instruction/data ram ram_addr : out std_logic_vector(9 downto 0); ram_en : out std_logic; ram_we : out std_logic; ram_wdata : out std_logic_vector(15 downto 0); ram_rdata : in std_logic_vector(15 downto 0); -- i/o interface io_addr : out unsigned(7 downto 0); io_write : out std_logic := '0'; io_read : out std_logic := '0'; io_wdata : out std_logic_vector(15 downto 0); io_rdata : in std_logic_vector(15 downto 0); stall : in std_logic ); end entity; architecture gideon of nano_cpu is signal i_addr : unsigned(9 downto 0); signal inst : std_logic_vector(15 downto 11) := (others => '0'); signal accu : unsigned(15 downto 0); signal branch_taken : boolean; signal n, z : boolean; signal stack_top : std_logic_vector(i_addr'range); signal push : std_logic; signal pop : std_logic; signal update_accu : std_logic; signal update_flag : std_logic; signal long_inst : std_logic; type t_state is (fetch_inst, decode_inst, data_state, external_data); signal state : t_state; begin with ram_rdata(c_br_eq'range) select branch_taken <= z when c_br_eq, not z when c_br_neq, n when c_br_mi, not n when c_br_pl, true when c_br_always, true when c_br_call, false when others; with state select ram_addr <= std_logic_vector(i_addr) when fetch_inst, ram_rdata(ram_addr'range) when others; io_wdata <= std_logic_vector(accu); ram_wdata <= std_logic_vector(accu); ram_en <= '0' when (state = decode_inst) and (ram_rdata(c_store'range) = c_store) else not stall; push <= '1' when (state = decode_inst) and (ram_rdata(c_br_call'range) = c_br_call) and (ram_rdata(c_branch'range) = c_branch) else '0'; pop <= '1' when (state = decode_inst) and (ram_rdata(c_return'range) = c_return) else '0'; with ram_rdata(inst'range) select long_inst <= '1' when c_store, '1' when c_load_ind, '1' when c_store_ind, '0' when others; process(clock) begin if rising_edge(clock) then if stall='0' then io_addr <= unsigned(ram_rdata(io_addr'range)); update_accu <= '0'; update_flag <= '0'; end if; io_write <= '0'; io_read <= '0'; ram_we <= '0'; case state is when fetch_inst => i_addr <= i_addr + 1; state <= decode_inst; when decode_inst => state <= fetch_inst; inst <= ram_rdata(inst'range); update_accu <= ram_rdata(11); update_flag <= not ram_rdata(15); -- special instructions if ram_rdata(c_in'range) = c_in then io_read <= '1'; state <= external_data; elsif ram_rdata(c_branch'range) = c_branch then update_accu <= '0'; update_flag <= '0'; if branch_taken then i_addr <= unsigned(ram_rdata(i_addr'range)); end if; elsif ram_rdata(c_return'range) = c_return then i_addr <= unsigned(stack_top); update_accu <= '0'; update_flag <= '0'; elsif ram_rdata(c_out'range) = c_out then io_write <= '1'; if ram_rdata(7) = '1' then -- optimization: for ulpi access only state <= external_data; end if; -- not so special instructions: alu instructions! else if long_inst='1' then state <= data_state; end if; if ram_rdata(c_store'range) = c_store then ram_we <= '1'; end if; if ram_rdata(c_store_ind'range) = c_store_ind then ram_we <= '1'; end if; end if; when external_data => if stall = '0' then update_accu <= '0'; update_flag <= '0'; state <= fetch_inst; end if; when data_state => if inst = c_load_ind then update_accu <= '1'; update_flag <= '1'; end if; state <= fetch_inst; when others => state <= fetch_inst; end case; if reset='1' then state <= fetch_inst; i_addr <= (others => '0'); end if; end if; end process; i_alu: entity work.nano_alu port map ( clock => clock, reset => reset, value_in => unsigned(ram_rdata), ext_in => unsigned(io_rdata), alu_oper => inst(14 downto 12), update_accu => update_accu, update_flag => update_flag, accu => accu, z => z, n => n ); i_stack : entity work.distributed_stack generic map ( width => i_addr'length, simultaneous_pushpop => false ) port map ( clock => clock, reset => reset, pop => pop, push => push, flush => '0', data_in => std_logic_vector(i_addr), data_out => stack_top, full => open, data_valid => open ); end architecture;
--------------------------------------------------------------------- -- -- Registers: -- REG00 (i, t0) -- REG01 (t1, t5) -- REG02 (e, t4) -- REG03 (a, t3) -- REG04 (b, t2) -- REG05 (h) -- REG06 (g) -- REG07 (f) -- REG08 (d) -- REG09 (c) -- Functional Units: -- MULT00 (op1, op3, op5) -- MULT01 (op2, op4) -- SUB00 (op6, op7) -- Multiplexers: -- MX_MULT00 (op1, op3, op5) -- MX_MULT01 (op2, op4) -- MX_SUB00 (op6, op7) -- MX_REG00 (i, t0) -- MX_REG01 (t1, t5) -- MX_REG02 (e, t4) -- MX_REG03 (a, t3) -- MX_REG04 (b, t2) -- Expressions: -- i = f(a, b, c, d, e, f, g, h) = (a * b * c * d) - h - (g * e * f) -- --------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; entity input_dp is port ( a, b, c, d, e, f, g, h : IN std_logic_vector(3 downto 0); i : OUT std_logic_vector(3 downto 0); ctrl : IN std_logic_vector(0 to 18); clear, clock : IN std_logic ); end input_dp; architecture rtl1 of input_dp is component c_multiplexer generic ( width : integer := 4; no_of_inputs : integer := 2; select_size : integer := 1 ); port ( input : in std_logic_vector(((width * no_of_inputs) - 1) downto 0); mux_select : in std_logic_vector ((select_size - 1) downto 0); output : out std_logic_vector ((width - 1) downto 0) ); end component; for all : c_multiplexer use entity work.c_multiplexer(behavior); component c_register generic ( width : integer := 4 ); port ( input : in std_logic_vector((width - 1) downto 0); WR : in std_logic; clear : in std_logic; clock : in std_logic; output : out std_logic_vector((width - 1) downto 0) ); end component; for all : c_register use entity work.c_register(behavior); component c_multiplier generic ( width : integer := 4 ); port ( input1 : std_logic_vector((width - 1) downto 0); input2 : std_logic_vector((width - 1) downto 0); output : out std_logic_vector((width - 1) downto 0) ); end component; for all : c_multiplier use entity work.c_multiplier(behavior); component c_subtractor generic ( width : integer := 4 ); port ( input1, input2 : in std_logic_vector((width - 1) downto 0); output : out std_logic_vector((width - 1) downto 0) ); end component; for all : c_subtractor use entity work.c_subtractor(behavior); -- Outputs of registers signal REG00_out, REG01_out, REG02_out, REG03_out, REG04_out, REG05_out, REG06_out, REG07_out, REG08_out, REG09_out : std_logic_vector(3 downto 0); -- Outputs of FUs signal MULT00_out, MULT01_out, SUB00_out : std_logic_vector(3 downto 0); -- Outputs of Interconnect Units signal MX_MULT00_out, MX_MULT01_out, MX_SUB00_out : std_logic_vector(7 downto 0); signal MX_REG00_out, MX_REG01_out, MX_REG02_out, MX_REG03_out, MX_REG04_out : std_logic_vector(3 downto 0); begin -- Registers -- REG00 (i, t0) REG00 : c_register generic map(4) port map ( input(3 downto 0) => MX_REG00_out(3 downto 0), -- Items: i, t0 wr => ctrl(0), clear => clear, clock => clock, output => REG00_out ); -- REG01 (t1, t5) REG01 : c_register generic map(4) port map ( input(3 downto 0) => MX_REG01_out(3 downto 0), -- Items: t1, t5 wr => ctrl(1), clear => clear, clock => clock, output => REG01_out ); -- REG02 (e, t4) REG02 : c_register generic map(4) port map ( input(3 downto 0) => MX_REG02_out(3 downto 0), -- Items: e, t4 wr => ctrl(2), clear => clear, clock => clock, output => REG02_out ); -- REG03 (a, t3) REG03 : c_register generic map(4) port map ( input(3 downto 0) => MX_REG03_out(3 downto 0), -- Items: a, t3 wr => ctrl(3), clear => clear, clock => clock, output => REG03_out ); -- REG04 (b, t2) REG04 : c_register generic map(4) port map ( input(3 downto 0) => MX_REG04_out(3 downto 0), -- Items: b, t2 wr => ctrl(4), clear => clear, clock => clock, output => REG04_out ); -- REG05 (h) REG05 : c_register generic map(4) port map ( input(3 downto 0) => h(3 downto 0), wr => ctrl(5), clear => clear, clock => clock, output => REG05_out ); -- REG06 (g) REG06 : c_register generic map(4) port map ( input(3 downto 0) => g(3 downto 0), wr => ctrl(6), clear => clear, clock => clock, output => REG06_out ); -- REG07 (f) REG07 : c_register generic map(4) port map ( input(3 downto 0) => f(3 downto 0), wr => ctrl(7), clear => clear, clock => clock, output => REG07_out ); -- REG08 (d) REG08 : c_register generic map(4) port map ( input(3 downto 0) => d(3 downto 0), wr => ctrl(8), clear => clear, clock => clock, output => REG08_out ); -- REG09 (c) REG09 : c_register generic map(4) port map ( input(3 downto 0) => c(3 downto 0), wr => ctrl(9), clear => clear, clock => clock, output => REG09_out ); -- Functional Units -- MULT00 MULT(op1, op3, op5) MULT00 : c_multiplier generic map(4) port map ( input1(3 downto 0) => MX_MULT00_out(3 downto 0), -- a, e, g input2(3 downto 0) => MX_MULT00_out(7 downto 4), -- b, f, t2 output(3 downto 0) => MULT00_out(3 downto 0) ); -- MULT01 MULT(op2, op4) MULT01 : c_multiplier generic map(4) port map ( input1(3 downto 0) => MX_MULT01_out(3 downto 0), -- c, t0 input2(3 downto 0) => MX_MULT01_out(7 downto 4), -- d, t1 output(3 downto 0) => MULT01_out(3 downto 0) ); -- SUB00 SUB(op6, op7) SUB00 : c_subtractor generic map(4) port map ( input1(3 downto 0) => MX_SUB00_out(3 downto 0), -- t3, t5 input2(3 downto 0) => MX_SUB00_out(7 downto 4), -- h, t4 output(3 downto 0) => SUB00_out(3 downto 0) ); -- Multiplexers -- MX_MULT00: op1, op3, op5 MX_MULT00 : c_multiplexer generic map(8, 3, 2) port map ( -- Operation op1: MULT(a, b) input(3 downto 0) => REG03_out(3 downto 0), -- a input(7 downto 4) => REG04_out(3 downto 0), -- b -- Operation op3: MULT(e, f) input(11 downto 8) => REG02_out(3 downto 0), -- e input(15 downto 12) => REG07_out(3 downto 0), -- f -- Operation op5: MULT(g, t2) input(19 downto 16) => REG06_out(3 downto 0), -- g input(23 downto 20) => REG04_out(3 downto 0), -- t2 mux_select(1 downto 0) => ctrl(10 to 11), output => MX_MULT00_out ); -- MX_MULT01: op2, op4 MX_MULT01 : c_multiplexer generic map(8, 2, 1) port map ( -- Operation op2: MULT(c, d) input(3 downto 0) => REG09_out(3 downto 0), -- c input(7 downto 4) => REG08_out(3 downto 0), -- d -- Operation op4: MULT(t0, t1) input(11 downto 8) => REG00_out(3 downto 0), -- t0 input(15 downto 12) => REG01_out(3 downto 0), -- t1 mux_select(0) => ctrl(12), output => MX_MULT01_out ); -- MX_SUB00: op6, op7 MX_SUB00 : c_multiplexer generic map(8, 2, 1) port map ( -- Operation op6: SUB(t3, h) input(3 downto 0) => REG03_out(3 downto 0), -- t3 input(7 downto 4) => REG05_out(3 downto 0), -- h -- Operation op7: SUB(t5, t4) input(11 downto 8) => REG01_out(3 downto 0), -- t5 input(15 downto 12) => REG02_out(3 downto 0), -- t4 mux_select(0) => ctrl(13), output => MX_SUB00_out ); -- MX_REG00: i, t0 MX_REG00 : c_multiplexer generic map(4, 2, 1) port map ( input(3 downto 0) => SUB00_out(3 downto 0), -- i input(7 downto 4) => MULT00_out(3 downto 0), -- t0 mux_select(0) => ctrl(14), output => MX_REG00_out ); -- MX_REG01: t1, t5 MX_REG01 : c_multiplexer generic map(4, 2, 1) port map ( input(3 downto 0) => MULT01_out(3 downto 0), -- t1 input(7 downto 4) => SUB00_out(3 downto 0), -- t5 mux_select(0) => ctrl(15), output => MX_REG01_out ); -- MX_REG02: e, t4 MX_REG02 : c_multiplexer generic map(4, 2, 1) port map ( input(3 downto 0) => e(3 downto 0), -- e input(7 downto 4) => MULT00_out(3 downto 0), -- t4 mux_select(0) => ctrl(16), output => MX_REG02_out ); -- MX_REG03: a, t3 MX_REG03 : c_multiplexer generic map(4, 2, 1) port map ( input(3 downto 0) => a(3 downto 0), -- a input(7 downto 4) => MULT01_out(3 downto 0), -- t3 mux_select(0) => ctrl(17), output => MX_REG03_out ); -- MX_REG04: b, t2 MX_REG04 : c_multiplexer generic map(4, 2, 1) port map ( input(3 downto 0) => b(3 downto 0), -- b input(7 downto 4) => MULT00_out(3 downto 0), -- t2 mux_select(0) => ctrl(18), output => MX_REG04_out ); -- Primary outputs i(3 downto 0) <= REG00_out(3 downto 0); end rtl1;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block dT8Tb9ahHeETEy/UiavApTtyb3xj3UeP+1xub2U43CeYaoZPOVdjx1ZyWtmFUdr7hs9E1oecs3UI dCO7O1wH4Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block QxvVaKL9Miy8/VAF2yZ6DhQ+hx6wQWZWmmrsAHWB3n/dTtiSMeIx8fq4frxGhFHeRHG0OgL0OHu9 BeZ7lwu+goFXpDmfgS5gNrqnfdjbxERizWbVvLtD4Zb0f9GTJy2+GJdofbiT89r2g6EI+ol5FzLG 2aoM+FVa+ha6LP1c1OA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block QBONNqxHy3daxEpEBSkRL1hYJettdhLVw0Kllo6O9jIziXIX2OhMuRiZM7ymX3NcP2JeMQz3wNKu SMktCeykkHcO8YgD0MSNhAbOXPfyqLdjFZZz06uA5W9xmB3Aj56UwFsSYiX+r4/7CeK4Lgke8zeX WHQLMEJ0BnJo5izdsNdZADVHEpe4ZoXq/gFZwemAxhlG91Cz17DQUZm2KiI6LCt6sOrn/Hq3ptuj fZ8HFMRjdnJsQUmHulvHfdS8OzLnpYI8MeoR+n1VAY1y6LEq4LgBJm09eu9jMmAttF6C1mkMFu3Q 1lsu/mp9XAM6U5w7HE+NAtaLZ6C9BYdY+8ZblA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block i6P7jim++hvjc9f8xbRGMPNAlb1tP9JfbfWUNy94jLBADqvv3+932QzrUWEfGf4H+m6qG4l3icYM 3LSqXh7JOGy/0X4Wf8SeQXoAuLkHg9Gae6UrUpZJTvFjwXlNsPJKA3lNHFF356xtaNlQrrBVt8/p BJplAUIkYsuXOohsxYI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Nbv8tE1Xu5jsGCYd70uP78atWsus3c20DhozkeLWkiwQtnfDCkDlg7tvedECPSfmywtV4E0SsDaO +xqKO6S/x9t2u2/2xRQCYhwup1tYaO+mNnjGZmq74TGTQB8y3TMualEbPi5m+l5KXyj+AC7HodWj 1YOH/QvQZariIunER3gFfdnoF3xGhGzyz8p+m2ZrL751OvwfJvY8frmtApHJjIM0ZlFGuFpgOcEZ BfJRoVAOO5nDyUJwfRDNzujK4hRaGBMPWEGXcHB8xQTq5LNfBytizwSoE03zvy8VeB6tvNGAb9Oz 49SKEHTG9qZS3tM4O3/Nr0cJNn6pY7X4UhrdeQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 40448) `protect data_block 61ml2/lBJpyku8P8DKIuuXr66CvxRM83R/q945W0nVmeduy1ib2atdBgSljb5onLCSrc53ExLABy EJAaS4mbqrtJeg9AYt1xcV3Y9u+mMqxD7aS6Oc7rFlioGFOGCJvL9FvqUMj+hdrFAM6LczaqQl5i oJ1kmFufFEhm5+no5n9pYcWf988RlQGsODlEAXCvXlMyQN407wgKmqlUJfAzXzl8fC3CZIbhmsWn VDHUtzLlah9K/+1OSE3fpNnYSLioklSO6INBlwB4g3DhhLLSpGjtG3Axck5p+nY2tQ9bkJ9F3x5P BM2S0cvVG3iIYoIuLpd+16iqbT5VV5hFFYcEmCztI9k010YzCryqAtx+JxWwlHDNCO/83fSTNS7H +njLDfhKCFM+Prp99kZLTTDmzRjUR92UmfnJ0eVPy2X1x0DMU9wXd/zQH5Qfd/ip98XS7vz9Lt++ 35f7xTu7MGTgx8NQPiJO7DAadDfxmLW2J1b79yAhUcFF5ddJTqGLmA8JiXq+dymeojF600H72TP+ zhpOKeMyxXbO4Dt6Py5dn1R2T5PRl8Sy+A2Dji344+qhAbkV/SYM6+YTnoZFBlxVXAI7mq5+j7Ha 2rhDWct9ce/EV1cluFKpOzzqeSiB5Jjj+QYgAd75VlsUm6FqUY+k5MNqJPmZysN/yaXaNOdwFx2x PT6b6lobrw7/WnOSTiSRF91XTX703RQDFwzuOL1Gpm9wNjpnghSntsHZCg+Q+rV9kMKYymqWoCup zZEQefg6J+NaRvjqB9EB4Tr913xzeo5IEPTvakSdZ0tpPD9ttsGpYaUzDl0GKNZVdX3GrVL/ETUk RSLmUOsXktnayolwD7IHqL0QwfZUKBCntiHoItgwO5uLcxPXhpjWIthidHwaG7/Jbo5DSL4XLN7R yoT3/2yMPnampKq4QUBChbZFLvJzsThxpEVUOIj8Sd6DEeOdhUjRRdrp8SU7ukSaXPUyd/iRiRl4 KhPs206HUxAx5gAMZKnJ2RYyaJtJ2htb+XvToBRJ0FDs/EDmSlYo3gC4G7tLFqOIeIv650ROxNkj P/Jtmmb/iq4smGxdiGjfb3Xq/yEm2RXvY2+NbJKgz0P88yOyWw9rlYVspCguy4JbfMlhvGklK00y EsSprxWCcqqv8D8g2kNNhhEDKip2fPZ2xKhoPtcrEyUsSlZIOPxpr9gc1ax95dkPqNbhcstF323r 2rHmFm0lqTZ1o5qAGP4Nafo84EXK7iSQNqOlEVtIUzRc3YWrzfCF1v/AiMK+BXlTaDTHPsVIMo8L yiU6SOsEyc5gc9MDzcRdQCyIQCagvLdCUCk7YkdQKLCQy66nppAJJB8txmbI+sCyv+jeVh56olW1 1itK0Wdd4DSZnrrXyN1DUtZnWgxNYFS3FDnW9Wd87LVJGGLDC60uQVSLUXSEP43agJ7OP0wdczPE B9+angvmcMR5FNpAKNtd5JX1k6gwlQFbLth/j4okQTqqw1r8D055z+WstbWUZ/ARHtOADbuAo77d +y99x8I8V40bsOAsJ91K5aLx2IWCo4INMHspcyVfSCrIJjte1TvTCMfbUsV3aTc9NibjWDI5WhCj TNCIbxUGCmWvw7Kc52p4i/usJIbYA8C3TlqXfQs1lj55t4voBsymsgWAQfMEURF5/ilRW5YYj5Ah WFR1JUwvSDCJiORrkA+OIZkkNiOeU7d4XHUK12MYhpVOez59Is5wFu0Xx+1m2VkEJGX+7pWFA6aI zibh6/oGtR0HwGcafgy0n1aVnQxEtAQLgF9vCY0QvpLEVI8V/Gg2JEkFaOHYmdBxR2rVciGbvNEz Oy5E3XLTWCUIklwXWqfW6xI8YnocfVDK37rLQN7M9LORNidXz7qSoUIpU5ffCrW3q/ALODcieCNN 8Gvxp90k8xeJZPG0QqWwnEZNHMfwNwSDVs9Hkc/egxUD5gyr9X0YXcRCEBZSMNL41JD5susekhGj bpzLI9nXeiG6eaxrFv6qcwiQv/BGd506I/WWp2N6YUNo7BofigxM0jBgo5htcq5wvMLCjQWhVqjy /3aXwD8wi9vNaP+wKWUixzpXLUyiaKmSDqICyiX/CSXZqHeFh2VmycBcxqMy/w0qgKnxYdSPru0I RsYw8DaUBt7+OaXo4PSZZMtnFHQCt2lgobjiGUbd7gj7briGS7mqY7Mzy5fo/wOWOhYCnIrZfTMO NALF7KWq35IuK2ZVNFz8aiedzxawX1RCrWO20xFpaoaJNfPd6f5048NRiv4pdEfY4W08Ck7NIWo6 ssd+kk9fTkUcoFkVfiiwEB2sJV8N+XyEQF/3BOSU0iiQPSXHcuuFoEyFaxei4gRbDi9NYlb0Y/Bj 7NHKHCJ9dGf0GwYPtO0bvOUh98HDXDn39Zx+AFl639ECuOXoG6HEGvpTKkLANR/EVMUF+AXabo5s Kuht/9I0QWDu6BmfoSmdMV3O9nEYWzZZ37uvAgnTtceH2xSjSfDxO0BfajkqRc8CNcfGBqnza/Qc TarSdrGT1bhQtqFORM/lhN8wxA4N7mVNc/xT6PGCHt0D1+rPWtYbK3N5rrAWV9kGrceTb3Do3wlM 3OWUcsCfU3EpB4H5+cOj9K+9kiC/tEixtCo6at7shuh3Olv4PapV5dE/ZTdHOjdyUeSGGhjVAuNe Hzr5VQoR/0MWUawcEP/e/YUXz27gWGjQupU4vVCR0l+DqRxdGxpin7rAT5snSSAzfRzlbth6Zhdt BlFkZwyoiSHCIMRCG3O4Gv6xEQi7FvHXcLKlm2u4Rg51szCqfpN4JrqBnaD0n+B5I3CIVx+kF4cM 2quM70A3AORK0jH0oI9NpoEq4knTdMIgpJP0Uil0uRVd11H4aOfGq6CH3Kbw0rsxNaNeZpYubueD v1F0hUbZ3pm0jMXVt0/YT++IfrfD44XoRaizyfug5nb9g7K7vdmU5JvWkiP49p/qGX9GLRmTNyC3 iqBI+iT7Z6kq9RDRx/OgN3KGzEr+h18reOvLKoLXLpa/AEJbcxJSdJnrnFmLmC2OAvSgVdBJYVu9 Th5xAU+IYfEBgdPnqclMjtUZTfuTgWerO0ydf04GiXp2eSZmilc30Y2y/rmhsV6BFuOsdNBMMM5g 8Yz4i+xtuGzVp7vaSRMCTwhtsufFGVPFUBqzsw6hXg//mk+1A+zOZvXrpnOv//8IiRJj+jHs05j4 gA3WC8WRGwxJamXIjMo1ikTx/zsgm8lsBRmKoG3W7QLCWN4nOl/TrG4ptaQiPD0s8UJQn5OEqTDb vffkDD5K79pq6H8QOFQrcn/oDNGlYkvty+LPpmhXHIbaBTUCc5F7B47BaAuG8xE4ddp9RM4MO/OR 75CRcwq+Xsk7H1DRxXs3XLz+6YuupApMcThZRnc1C00WocNQeDG7UPq3JaP2IfbHh8Phz9alXPgg yUjA5L4PP3MDBcv9i6gmiFFFhBMlQ2vnhnn7J3Zw+zqli2qbaFLkOregA+mr4Rcww9hPTD9GvQk7 fbaPH2p4h09Mud8zo4NEnVRiRHqS3c9K6/DrkNDwY6U3K8+bCjwaFXx5zDppZecF1fQvLpfL7vYu 3aI5tDXA9XBEuNAJLJjx3m1fRFDq+w+7wrO9OKqorlJb7/QYMb3xWgfHxfPvd4GB+AYmag0Wkcwq z3fnbDByxD23h3yDk6IE6RD0ivqmoEh0v/KS6hRZnIxAyP/0Se6mdmuWydaJdzdfJ9jS+liVzXJ7 XjToLTFVPYxKuuFXXUCXaJT3r6M/yoOUm7FCLlGyjpDnuN6Ke/xCThVdOewU6Qra1LqDhS8QIi4p cxKuG7/tqGsOo2HBWjFf4EtTl5x2K8UhFUIpWZXfZ5FFibuL4+qgoIPBr/CLumYZ8gIBZLQwAMov BlKe4/f8YqDqO1SWCrhZ+3gf9kmPRELHf5zajUvPT6MFV8WlbAoqBCRh9Op4w4MPWgQ/sofSdO56 wXDfumL2VrKns+UjRmP0+gGhaNKHgdWVbHCPMZxgLDqAIKXYO5dLhCLEH7G140vxJVkYY0dje23I zWMIbPv95KIbk1Y67WEqK+o8en9bRgKenb11/2eVa3EfQIraxyG5qEH2JKhCxaw6DJKNyrD3bDjs knZMAyguAL+IQpRGcl2NYdeO6PauzQZKX0HCgWsV4CuJzlJA+RWHR3FPGsgYLZm3gdKW3RPaQSZx Oupq3Dr9tNQNhJfiv6FNubIOKlx5HMjsPgNJeNfa/TWiokh6lRMYaxszK2tzivOWMW8ukjIkhv35 uAYsXORSjvozkGBA0bwlNRfirFa2rc4CESX8cSU6wOvsqj4V0s4jrglggGmbG1lPupdwS2Ej67Nw 06nlmoH1LgOaejWUMprg7GTf+L1vwJKnxOPMXIj3lMr4gMJBXkgDwQ/AJR/VeHqo+bCJqVDX+Ms8 Wh/uq5AK3hLODWcS5txiXhwKCZSYJjp1sbChk37iE8fTvSGgp4+YDE6gN+P7FQde98/7Krn/9fKx 5sDzKIJF6ySEGx6cSOEd8kMIpGS90j/+XD9eliNoiRG5HIUbzTVeo+VVbeHB/UdrGOl9kkETCjSE pZfmZRLtuMPOF8raOI0E54X2A4AEzWJ2+ODRCipKUnyhO08gCrWA9pPQRrHHl8Z5g7EnJvGrDbNR P0xCEjNh11hCVDci1fCsLNVNtHzDTTcJO1oyl3IeUNshoT2iJQLPakyWZNQRM86xknbmTmo13NgY k+QkO7vbEJdfeFCAHdePp6gjNOnnB2uyTGLxBfMlmBj6qDjTe5VT2PMcgmXVNU7xm3Lw64DM/rcQ 1I9t5SvOb9p+p0QmVBhKkOYZV3j+cSEdGrYDUft6whsUIjbQs01wg8KwFIfxVanzhvZ8T67TeKQO 4KG6H/3XcXeRZ+9XlTNqiyM8E6HlgwkJJmoPD1IHTl0iCvFjonQwZl9IBzR7UcmXVTx4+trRtlfH trrpk7RjrzfYkyqK+J5y1Il06T2bBpT86MDKcdk919vyr4qPnHfyjMpFUln+08vkruoSblbEkytz ukhG8WPrSPdixjEPagU0oubMtntDpQO3y1rdTds5/uc+f43uW5znC2sWyd79QdqHqo3jdlqboyn9 FvfsG+LsOUqjOP5Z2ZnE0iv0MTgkcnmGsseJJxN9/EVJRnqSHAs4AW/aqrGAs5yM7axHpMYKMPB5 NHdH4IPK5vANpErUMRhsenM/rpInJOLMFvQd469INSfJ2qymPXIVUwz+aPoauyMABowVXCipX7DI 5kLRKTi2TgC+F2hBszYqcsVsugcDBebY+xmZWc6w54nmXT5F36HU5b2YJi1sMSbFjKDFh6Wq4nho 8L3qBMblkj/8VwAijE9e0rDw2azSuqA6Fwk+fxNgSiQUp0OqZ/N/bBgVDVzwSr7g9Q4RNWYViwy+ hL+KMcS7sRN2Ci6oUxEgbHaOcYsEig5Bwu927GT1xxXXuVBwwOO8PnCEnxR0aZCCnsI62nOJfiZL ez8YxP+lRWcN4LsFQ5ihyEguPZxIYYO3f+PpPv2xeQRX32vH/OfKYR8RZDRzdd6JHqv7Exj16m28 jwUr4C7KSZOuJr2J38fXR0EB5w6KXyOBm7uibzgFQ2HztJKTAIxiG5YZzAQa5s2mbMFQ/X1kjF7E 62H9ixcCudZJhJkZjrgij/SYKHWmXIKJh7EFec8n41RmGrKALDfxPv45seZm9DWhHtOVL5fyy/Gm 3Rb6Dw/fZCRC40bFmuxnNPaVAvhih59rVWYQ8tHUniJrQziElYXGbhsiApXbDKih6O35LZ+vXV6q dJyyPmccYolQ1C/2i1OPMLhXalIeLxBPTrhHiY6CTl+VVpKzucE2YnZcqFTrqpj6eS+45j3ORhxP oeOrYJppMvgfesXRERtVn486GRcT0FS8VXNDP0VU3vG4+t8onQtUDssxjJgC3P6CzbxgE2ufxbiu BxsmpYLc3Z0F0m0xgCcn7uitQwm08xe0RyZSyfsMRYbuCto5c4F/odRtTNiKiY4qp75DorAUjTvl aNegt5Mjs3LKkrPTOJWbLNcovPlIfnuejURGAlwpgCORu0PaY0P5rJiN/r0/S5pKwTBSh8JB5T3z pQuPnQClmb8hNyDfDb/y4sx/Ar6XO4wFY1vkpF98NeWFlVez6DkhDgFGvv7B2Y6qgWJHeyIJL8jI 8f8gPHwK1GQAvziierRyVSzKDUEv4kLa+3FemX/yBUjpz9USURdVYPtaFgNloxEMAsyBkmJixdHv Z8Xa/1VByei9b/wUN4CgixXtugWzLeD9gHHAGhFQNGk7FvoO40aqQdwcnKlcjDGaotY9DVXXyrL0 jv6s43rKwDwJ61O5v2GrB8h1CRODadFCy6TmLF1foLLRHlmz2FP2LKcdUE4XH+I3TP71h71mJV+2 0m0ch65iaNn+qsNte5J1kSXWhcZK/Jkuqp4ERjs16tlyqzLI5WRDKwP4iCRCGUdWKlQNEMkWE31+ spSfTZckHEUpXxHyV2pNJ+Ot4XVy4nOSfulXnpKZ1PB2hJ+MyTCIRMTpCJon7gCS0x41znrwDdUD kEP11sANnqhXGQBxtQ9HJd+h3zRTvgXiRg2W4YvcI8zqCWGFyIYedBbqxQC9gYGsJ36vskWcdXFG ML11DXRUImN7PuQDRstSXBpqGSA5P0rhrvOY9KWwZjKaTFpOhVaI3zXMI+NPj4mgcFV5iSuxeRny yzJ1oJfg3SqVXsVrtNkPVc+dSTr4IqjfHZiMakYjB/zJcGJvlLneV8hn05HcI2ebn+woyVMRZOVO JM5HMb53VW5BujJMy1jzui4WrYuEtGTtY6wSTA5zEHZQVf8Jr7SC7gJw/6xUrhYK1N3UhWhf9DKn 84LF4LDqC7fqbTgf5TTw16bwfdz9VpRqTG66bKBPrkzXWB8vISdW9dOOhKu3BhohyT1QQr03GHbR jbVAPCLP3xA0z0E1Vn3SM1zxD/PmMM33Q/RXJV3QSJWleEaGjFJZIrUNbfFtggoQJVmrbdB1CVUD aM8lku4wz1Wm+frwMe8wyWcxz55RAnSvpY4A7dZZyGMkiXJfki8JauQRW+QVuwcWkPFcvTjgaAEp iB9fXjH9l6xqXJxcbsbAef9QwhZpL3PZ5KF6uFT/YCg2dxKFmk39axta/uT7Sxt1kJuVAfDN06LB QTfmLXWfGJUyMznIhd625n9bsMfbtlgume8Yn5DOFnYTdtwS6ajki/k6JURTfNTobBnGzP51X/GG idiWh968dy1k14Ejwq1dcmuSsy1/CcksVWwQ3i5z94MOYW+b5mNHrxllhPJLq8DmAv1J7q4Tj+EI 2mM7W5intMVlCIkDSQILLzUXBWVvHaw2Y+IKjz3gsuNQQrPNp4gN6dr1gJJugvjKWkg3jscOpOmc 3Z5zqQQaBUc3FWWKXPLMruOVx87Kaw6jV8bO2OdDdiI8Yh+jcpNuiqT4C9e9S3649GjmyJlZVnqq CJWTBs0gaE5RmD3p+pekiM/JrG6nEm8hZiB2LPiWF5aFkMMZRl0xy9kTBkCNO68uIv5wKJIGD5Ry J99EMvZ1tlbpp8p7YG47MwSJjnEAHdFYPjHHv/O/+O1FvKQC5abmBvFOuJ/W8g4UWcByQHgzXebS OEtu0y41FJ0uEvkJQe5eo4Hd8vfjaU9szKLCuaDu5l8rlpvmR+q0fBzz1yHrGEdJgPb/mu1D9kGH b8DSuSEOsu6+WW/RdAt7TraLliHoX81bKeyH6ZXBMxWdBrCL5ucuZER5YXy88x7i98XWJ1EVOjZ0 /iaCd4XDlf1ESw19Cn1Mc7zB2ODdThtqnm2ooLbcwXmKCn6l9IFIGrkjTWB4Pl2tibMYclXSE0Ru TfgEg7pDU3U1Qq1OoDHJF8oqSXPCSOEujClZfkKwBNI70LJqiqxaKb0qqHPtuD74vwlApCcookz9 RZrUA8ORhcKQInt8V12eDeNxGLkgOOo1o9AbWYuqaa83Z63V/QPvtSBzIt5pDL8K0kCxjGBVRUPv a/MaooP8NDVnIIZkKpTjZQXBhguHF7qNCUqvsatYMQ9TqznqTCgY09FMKOSPFf2ubRO8tQ/0sX72 16vgnYYxXoB7Bxb/WYeVaqiChGDa5KY882qc9DEHkQpaSy36n8EHs/IeOSHhA0LhsBxPweQKZ3S8 7sfLnpLEM9oGffFlI39n7+M+/4mtKY1ZpyOhzulA+sH7/+GJ8DjoIV2jDtMrZe5lkF6X+RXYYIvK PvQcRX6DHf5D0O8MZndBW/iDe7/Sc1+kf8+9imA3hPG9DJXYOVCSbrJlHFdSoD7Psnf/tKaKn7Kf 2un+iL6UnORIDscZ5fpapUlYzsz7p7lfSZXRS/Rze5cnuuAA1KXg3HnwJ3xAhIwMXt5AicY0A5+N qjaIdzFjNbfhYC0X1cCr5sSKk+JFK/Qb3M0lm9A29hMqOB/OjEQWnqc7T55PW5Joct2Exz7pBM0K qvbKrpaRDGdi3byJD1kmOAu/OngANNEDgyzcznIeSrK3jYtGaZqz2sWHiD18rNUmDLXZAKDKzdkW r1qeTlCaMlf90V4OZee9rL/nreyrQfnxwjXcsnrxbIRDrbHZOuyY0UTA91lBQDSutV8xWxvBKqAp vZeZEYg27tpojTL+bLHeBjRW71QMekvKs44vnLrMcnV5nmN3RaPHCvPWQxb6wabu3MZJmfRG/SGX wXSgF/HKX6A1dZDhen4oEcShTvritl7CoS7+NjvxUxL/fD8HAnLjoiqRQBHzd3yt6kIxfnz19Gfi fEwNDVk9SXsq3xAHXBPhXmVRKglUJA+Ov7LxMUudyGrwqFdwqh1Tb/40Ydhy3ctdK5iMF+0i3u9T 9abFMT2sqmE+0+PuWTrJTP2PHRbxhwjDCP6e3sggdibfQnXVgq8dHA+0bwi4Efqfy+s1EK7OP6Yp yEtG36OKcYK8c4Dadzo89iQhECI6a5Yq+Gfl/4yiyxBFCF8wDoO0dUp73MkCURYL/lxjnBStPWGd fKBZhiMUb/NiG5MiNcB7Coaihtlkuy8bLizuD977yAm8AN8ikAfVP4CC+hZI2rwK1lwQDXubs/1O eZRPLnXgRHqJu0MlQq0hOoDgXYESo/j/y9dgGXyo/UzN8SAPIT84ueW3Qon+s47RWREjC5pjDBwm SdYU8kwLOl4gteLJamV024Nr64IOMSSx944as4Cbq5v38JCaDLNJrisGFJD4DrBorTZ5Pk2zyjI/ Zgc/g10oE8SadyAgblr7g+Ppwmn47sco4NBjZFp04XSnplJFUH2wH0i8SU6tTZ+RM8ME1uyff1+T lRLZ9nxa5OpSf76HwxT4J9+aAbHgydO+cf1cCVXwbpEE61bIITzGSZHzpswPmlezf1LF6nQ3jjjm orO52CQCkrwJ0zWfQwsIUSBThOTThIBZIY0oV4PD4Ef2TIBPLkbNM3XGkbOEHl6YvvbaoGCMfKVm eFq5wTyDIzi3JB2+DZLMO6aMuRYpjAJoIRu4nrIR7iXBSfWyKRKgM8FTc2VeMIlUeOnovWV9eKCb r0+Cc9zjrd8cXAgVSLTNXApahI1eBGUQFAir9GZYznC/fZ2s1VoTns9IQ/TZwF2uBtEx7x/1qunk e4LcN9Odnh1zZ260AxoGPn///P6ZCITOMXIoB6nBHYfYjR1M9y4qGhThyM1fbunXfy+utXS7xMsU aak9YyejSWs+Fp3Hs2Ljg4LxpyBYp/7GTfpBwvlybF/wWUKZgIrVCqA2HTtHGpSpEiQNsgnolsz2 DmNb6/w2vHP17ldYqMyNRu0mVrxsBsg8vhqBfileefNlyCUR3hCRqW2i+L2KPgJjUJdbmyUeFL4X yIE434qvC2IQzhGGXsu1rQ7Llp5tv1k01hhrqbXPwxAXAQCWsNG5ZDaGoZrNtt37BTVLW1GSyUU2 h5CG3TM7257iwzaHIZs/u5rVCxil4hJ/z+kg73/qz87p1HI9ISHZtpK8frPgTVmm5jO1zaAsXdbS O/9cbNDIPvl58JCBNvxpxF4700DLLMvxq47UpN24bwzLryZ3BXrDTTtlLZwNIl8TAswCGUng0OoU q6EjTEBgl18ixDhIUAFB7Mng6jhP3ZSBE8EjcpMcXAoX4t+0BaRxUKEpZhHIDoEpsxrSzQpRV0kW 5PQxBtPs56KeKHKLVUHnHYbeELnfnChGpXjBkC9dAHyhdQAm/XsWfde7nlkjZJHOSjpAI3mqE1Xm QveJlp9b21HTlRQ/WcgJ67VgoPaT0nlHb7nzSaowwaNcZhQ0qZYO3Eekew5Q6VAknE4yg94QlZuN uiHXwJ+iKN6RJLD7zxnju8KCHpuZmcaO42AnhY5tXnA4nUnDGyl0NWXFr8XUp8ySu5LMy8PHjRQE QpkBWyd98rvK1g8doYYhznNIjLPyRVTOqp+NJurqFGS6XFjlELc9q00hLv3yLnLm1F8qSPvqDerp 7xcuJ+q8jvJ2MC5L9g6CAH27o3L2U0wMDiAvLeplKX85Mg7/31Sg5iWttMITVV3b/9xpAuTWu0fL oTYUhTqMGC8dqBv42H9L9TebD0+1r8gvk7ZEkPvn5u6/iSFrDQYTMcyUdAi5NZ4LTQptyI6sYi02 /km+B0uoGlBECgUvWojCcSRNgP6bz7SsSoVssNvAXXlRHsnruXi3B/KVc9z1gXmh1R6EVtcjUHnI OjsuLWFrHPHqChlwSk2535vZg9M8/M9/MUM4HKV0Judsr1NP4mg61DvaLHz3Zp+OIw0dKYU3E/W4 88y80YTUvuGfQWMIU9418ARSlBB98Ff/rMLwJwhzzwqu5X1cPpJskww6hNVs2I8TRxVM0axEfNNb Mv7mfosYs7cnkw3C81BODG3j7BwBp39ahw/WavKOg8TyTjemVFR0eJvhjEh5ckBK4bjQLwz7jfxM NzfPtpK510KNcnqYrcZxgIhrfi+AwflTK+DupG/ktPgxNZ/pMvWhili5h0fKNE2qlKBljbNo/Ce1 2TpL6aGz8rwZMyxglXEKXNzSJ0o1qy3MdhC6kZTL6FczIGog3/6O96cmfwVEjWo+aycUaIouFwDD VUmo70A5tWnQmzyIkFXxXfHYaVYeNPDZ6sO0Q9mWnUKElu77fW/576nzwfm+dNPMyryTyeAPG/yf qYf98kikVFdRFxQugS3xcPxeVH52yAgM+KvXsKIacPPIcPCuZopug7WHoo1m7Af5eGWtVYBXb4dI HJy/7BlDjXIIvZhwVpHpAd/i1KztQ95BPkR9LiO9wZJaUlry9zWX64jPqN2491g1UuuVltf0Hqt6 DgLCFrzqWOx5ghLsfUNmDmNEFunSPEDZjKkVaVyro+a6y9RNpa3+zC8gQxeGhtmrP7xCegrvXNq/ ZuHy/BMFeZixZlwFF2BYaZOMXnrWqqytAnAg3JjhhBUR1bboz3Xphjmq3z06yTCKciok5G1+n1Xv sWaNoM6MsGJjXZ6v4r4Yw5y2k70N6xHwXHktAqO5ADBVt2TkodwsHBurhx8KDmTI3/F2eKCCrH1e Y9GETdvD8ak9QuCdBSQk/fcBrO6akjsW7vekaEO3XkWbVBTkba/YyNQ3gCczbWEN96T5H6GZni20 //Zu6cp2FEnsSwX7LTD5Gv7Jkyan5unKldxQ5fHfmQZK2p+L/kxRseIbwag/xW08DwTW3RFlo4b9 1cgoexGr2U+gTM2q548x/SkyOrXmENygarU2AP1azkJHQQ1RuELzvl8ezU76uaDB5tO1IvyMOMhO 0+ljNtqIWEN9QccNXywIOEYDZ8iq+kRrYh9Iry58dsUC2Nlwoy0aWYD2BaAg9cSm5fqfs4kgs9ZA lJRljYZDj8REvFHA1xzGOx+lF80dpfOt11ZMEk4Q/Qcn0P1RyCjfX4+ifLDpK1KXNlBJ9M/7PiKv 5wik/DEMugxVgOHa6AmaA7IPD3tsHmyBSL5OxUz6x2rAbdfsV2HWmkBS0QClCqpBEGAa8XJCxXup HOLh2SUh1JiiPhrk7ZRA/lSZDnJAsTolMtWpSZ1MzbhOGyH14iQ91WbQ2pSok9xZ9Kc7Jaev+8do KJG4v3ZWrhwWJEsLE2zpfopUaWODQGjulc+N1xsHWw3gvBSqCOHZSWZfcQ+WYcNztiTHjIOb3P9c 17AbXaHjWk9tm9Pxr4PTCIUhYvoZJyRbHoEDuN77ui4ay8y4em8mKMg4Iafc/qPdTs4rTfNIyZAy N0qBNBAzOGB6N3oW2uxsAWt/XioCPOKChYYhy+kdm94nFbZYW6hIw7tTCOix5+k7to4JwcPR+g71 wa1+e6mlGz1a3/SjYPvHWO/Gn9KrrcAeCQ3e7BlC2PPtzGlLp3sTzK2uvRD9o93oYn34vxJTWb9x hKlgfjCbfvgNtXZ9qdjYSn1IbF0zwndeiqGnukmxRnGyg/7U7Xy6529GN1UrfE+Em1SQkU8hG9un I+6mexE424pu+iq5Otw34BpmBlcOwgLK/i44ZVPrrdFjJstYE7xy2gP1zG/raEjWr5FJIkkv04D8 Sit+LuBbcpWNE8KyKkJJMUcL7JDu+1QfebT7kKkx5jWt4ViGtQHRzouaTNe4Jw+AJWTlYlHYm/zV mhMRN34DI8w0hQZRfHjesaBZ8Ag+T/sV7mP/24a5qtcYSp4/7ksWMG2Efk5W3sZmnbOUFAPLbj/9 XPtUcseObj+F6AF8CdfG9wvXU2YkS9uCrbwfYpYwWBAtcB1d4pGcUmHbz7MIc/w8MXjqws1T+0Nk g/bl6RgkGpf2IqAFOZxgAl8+Yu7By6YxyqbaO/A4TyVH9MieEogREpO6okyWPZjhy1QxhMkfTkGo +3olkx/2Vhx9qdtmzHv9GN3lv6vpj6BWPlD1YIp17ls6phuMjvMqSWYxEuGQNKX+Bl0/apyik6LG 7H8eHVcxfk7xp1hUUCnizsNpVm+y/6l5pUw00rNyQ/FJuvwp1CRHA64ynGFUGVp/6g/DHRLojwzx dmRay0Hahlfh8QmUtfpz4MG54tcl78P06brdlSVEUavKK3rRCJG5KS0sDko72TX4MjF9DGwGELaa jX6Ew2F2aQGg9JZ6jT3QaaHd3tYJhToUd+96yR4ohKVVKucjaN1yuTHy7JlheeNI1LV6Ofjz2TZK zIcOTVAxz67WwKYvdvlLmqeZ6nJ/qYY8rseEIgw4BX9ERCVH8OJUSvWWjBf+7Tqa0WanyxFNomKX +SY/sw5LU1GgquprH02H0KTYF9hao579ou0yMZOHvW+ic3F/H1nJXWgvEe3TePWBLGt74oDJ31aE R7BsrwzhVNWM6NV2sbV2HAoDjcpa83buhqqR94aJFABA7Gk8YeIovd9OL3LcYLuL1JojGKY10ffV UButO0e60adsqqc+wPkuXWuLOpzp+sjfM4jhI/e2U5zyHxkur7pF5XN3/9BaImyjUIYIHLCuHjUV d8eg7L1ErQ09QAUmwTsndyoFcbX2zYS+3KHI7Hqo+SrLro5cgRg4N3N6awXHG+5BF6CbrheK2qrk RbknUr7X9KJzeLnuaq9Vx1lRRVqf2jENJ3Pzvso3ZVyfZHWubqHQSzO3ryLqjwGqIzdftYLguNEp jzdLsvup2NZfj6gtVWvOm5f4iV4FfS5MTWTW8h/77KM5dvLdtqcAh55aCLTO5CJ6OVMvG5MbVCtT w5XtPae5gidQkv6VvkTvea8vv6w6905pJRXeCkP+eEsVd8iLJT5GFGRicrrUr0kJhXxsnleYQHMI eYWHeqmbJ+LAVBg3XRZqzAKcLTUovTVk0+HyLthc4QS2UnlgGUlVIUvySNqkJzAkCw7nNZWPjss7 SHqf84S8M02z1SUlwPcnDKw/OxCo2VEK26VnzBYHWP2b3eaL4QwSE2ZsQet91iTTp4OrbzNVxy7B jCeO0EhjzObl/vAdBQUasAg7Wkegmks0b+Ew87GvQseNlNPEo76eWhvp2M/+mr1gj040h1w+vGIZ BOiqZC3l3n9vZxyxqbomr6tJi9rSMIiUmmtusOFQd+Lv81sJmPKmjlB/rBFxzkA51pW/mQhWZ0aF J5DHmdtffAKKjzYBCOBf0KjjWZNeY4xNrB8iEY6maH8U7Vkv5xN9Zw8TgUMiGQYbCYUNLKRL5ktU 0ehQC1maaN3q/Dyp5zmJWSCXCtGkein1ZckHU6jDJMOpKXyJqndCMqIvoAINLKQXu55UcotMT2Vw is2oufiePcvR2lXd40HtsAjD20m1UTuWFON7MgJd/eYNOzP14LoXh+zMrq9SfHcvyw/rq0RpH7zm eARWCbHjxIsg/sxQuAuP5TIpAOdJxWRRldzXN28U5h4cFY7BTNNXJlpMUnxxkl6aMmHJdc4iM7xV F+hqZQsSTRkkEyZKZfZL3GopDY4Le5ITA/luyBM8vcWC12yY+6dlYYDg4yn85TccxnRRXooAPNLw rxkFSSUAqoCezOgVbBlSCKQk1IEMrPu/TvdcoNSEWKcXfkYXugnXb/UpPEi9qIZqoeEyhTiwoZlV abTfQpa+uFlEqJBpQ0Sr+U2YB/+7D0HaEDhikbRPx2WzU0LjAOLa+qNZIdMGihO/y6bH1aSDZk+q wHMNrExVo0ymGvgSqiUDojRCxiJjdXKydvXYZwQgA9L+PnzorcYi3BzzvI9f2pKuWU/mKe1WDLg4 LPsDatLpKohkWbJu2B9PMa3aj3nCf4mztckDLENxmxgzPoRp9J9F7AsVL1EcaltqtEz4j494cOPw L5KvGmfhTTi1fJCmzFzD5h40H8aCGJa3pSHmPdnz4Z1d09KW7Gi8gNs/xzf+WHiBjdRia2S25j39 e8U3gzITzsUSCQTA6ktAc05bdaeY1kc67y7GIq8nRv6qXQiv9spysZW8st/DZ+MlPnAbDs2wTXcy FZH68otHQUMHEjWUb74Iamo7Wjzit+dZbutcJHdX0wp+/srnmOduX30V55N4Mo5BdbMmzJxdOjPZ 9+GBweRiU69R4Pezu4+9eq0WpnI4IHGZZtlcBBspotbnMDM7TdX6eQKyuSZmwOTOXgKsa2H2gMw0 pN+jWoPFpYzsYPQeyrkjgayRUyKuupwQRlHx2Oi3oxNanqzbYvEwquXnu4OIT8O3KaKfuk4yLtkm cPpwCNfz0kTT/eUmqNU/WSTz+Vt1K6aKtmqgEiyOPkGQNG1baghJbPfWuCrwgVISYMuZh3EVCFLe htCLd9SCFKRGEKu9zQEjYocA/xyhhTxc4b45Hy5xUHVearAnMRfKy2SQnk7A1US/fCjkjqBwy6TM /T+AmoJKsBmttoxi0jqjqly7AmVv/OqQWV9AaVuOp8Vft+juj5u9BxNrEM4kMWSmtOr4vtW/jNKN 19mQbJ4v4uEQOL8HV98a7+pIfBWL7Rv9Hb1GByjUJoalqcpN+obraDJXJz3wCkiO45gUoN+5uqyK PpXFipig334/NTzbaxk3Xg6mXkQmw+RjmDZ5SDGk3GCYvch/eH1+gl2h4cUPIlH03Q+vFjsZ8gzM 3By1kR+1CCdhzLor7BlF8Anp4ssO5cQcpEnaoi5F8KtSOV0fGsOOjW8H8NzrVhwaDdGeDWDyrl4I 5wvisC0dV68h6PRRCHP5vk2w3oREOpZs6TCDiyr61Ts7t17+Mrxp0ZUrwdL/7sswHiIzMmo/MVMf hHHIYe8LZzpveW2VSci6fQoxm9EgSu2ZojDv1caAd5fcBE5NdEAlNSuTAHGITFZ+XA2isCRrFimm f11cZrO0un45PeI6wByng4TUAmCcM8eNZfBC74HOkYy/FKv/ds44fxHIDtwmkuJO+NM3R2/Nzxhe 3tgnwViWYd/vGgy1zAJt34zqN0hbHMrePkMiQ2CBQLkrvFyu7oomLVzDh9I3b0t99MGodX97+4Bj 1UuvdfLxxvkP0Fu7njhvgQ6BjPGDCFKWZGEyZvHlg4UpqRL+yOBzCN5BY0TCpchE0YDyY1+uj1bb sKgZRAG67eenw6GU3hMTP+RzvZ5Q1rVf27OxilyDnZ2Xb1XM0D3RqrN8NIBVXv6ZZW1UvbgGZOFN Hz4RfxoTgC583Ov7RXR6nr1lYcQKJN0fkGxcuIRQjrIOOGXgLA4cOq8bLcW4WQtMSeb9lncT+PrY ww3VrZRlO9A9Hu8gjP9/s1T0wFPKTGP6UDtdgD5YGV48trI9NHd3M2SadTEAfO0Gicza11Nj74Io FZbmw9Yeg7o8+GrIPQEBPqCvDF/PRaCdiXhgezIBHJMeDcSr1vSPysaZahIF+PNF3+iIS/rweXSg cDiyAG2d8E4QWGodzILLXezygMCvipsUgQqMk3nMMWXy3d6eHRAa9fqmnZByAIP2qAYfTMRm5/Xi 141C3URw7Jg7slwdaRh067CXm/exCKzIW61K7e5aO6lBU8i/oimxmP5aU+FdBv2KqfsYz8PnPOAK YNstLk0j8n39lu27mmqYUWwfo0psiK/KtXbAy3NYlJUTSPVbwaYxn5xGXou+wmVoQJbGdHYcybgl dHXKu/F0ZBWDFZ/G2VyejLGG/uNSWjBBVfNWs52z2RbhkkErASwu/F8OwWXgsmOv/7C23Ra6Upc0 r6NKXBm8zRWBuHeCslhheVL0RAeii1qcCUcLg2inPKwEDpFgNK3OCIBkVMY3u8Cr5JwQUHA52Qnj I9p7oYh2SN4ZYsgcI+in7aVQXGgs7/HDsGZvVERQ8MRm5zNhc3QlexJ58QMvh4kXSFZb7+XX7++1 mgIfqEf0tlixft/K1f33HySfN7b4nSogjDCqcb+NTEQktLCWemO2a8rsgMXwLjdm5V8d0kpfFv0A zRVStCKUJqwnqEm5kfUjwtjr3sOu3yeS/RL5ZrRZiRVp3h/3oiLFz1t4Gt5+4XklcfX/9hm/jQHl pErMA6pvqMoI4hwWNbaGxNBE+UwMX+s1Yu7CYEvpwkc2BfGmclyrnmzVu9I++pwWmlNKg3NfeoGm uhKnie5Hbukoaq93Ic48rEhkoQ+3Lu1Jll4h7AfOixpDAWrSnPyKCGSKLJcOaOpfE6/aoB2RPbVp 75N16UITASoqXMv2j3D+MxOvahUJVuRIK8FqRva6LthJQCq3EdQmzcppmAA8NFuapDgEisUtUKKu 6bkVW6mtdTjqzspqmz+nwReCCQBMp1jAOkXjM3xo0MaGNX4APJEl5J1fxe85oXB6TL4Gsu0708BJ BOIAMH4pucIIZH9TJ72KJfH0pE1zWKrl2+CnlOlR28NM9GaltDCe9n4BvchPCh18J/R6ORrnL+iI 54NxAn3ZlkERf3MFjCnmgtfZn2ThROb5QfGlF7GbWBgclE4AyFgTqbsZwg+nOmjVSn2Ixo+hvYvP FZ1cA8vpu/rz9bngoyJ38u9W3YyWDftvd18Zxy7wJ9XEEkCFZM90Bo+6mSs41LMHByzARGPltCgn wIrnZbRw+owp0oMDORZtvDnX41F/EYzNfE6z9kRFq/xe+xeajXdb4jamwiObsD96Jy7GA9L2xal0 QDiVaz+YSPPl7ud5+/QlM1M9keTNAlkzCfS6vVCrDEvc8yM7A79m3gPHTdwlN45OhyG1s+ANxS8D jHdhCV0KpNIqwe2xvr+ehpJ5Xn+gmmh71Qg9z0HtB1ucNkpYV3wfZiulwvkdeHAdpSrAISbmR6Br y9FYcpgITJOrdNA8+e9+6hoiPRfdD575Ckxor3a46xrAQLzI0+D7GiF2c5WBTc1eCrEFiYeBOjuE p1q9smX4YPUEkqJgu7IpXN0MZDVegMhrFN4ZC2LX2vF6Pq7GG8Il+S97+Aw6XaYIKsY3BCiF4ivT vG56jE74RCYF7fLUVlQtFs2mdxNcAW30fdhQFJvvqt+od915a17Hldraa44MRjobKzFfpjmPzOnp vNAkMJyqciUmyFiAMEqCE6s5seOCbIM2bMESnw97OHBWxQOeguaII8IAoE8Jl65OwcJlQ6q7v8eR wj5yoFHG8fLYbqpiOB8UxGPdPpDKu4QWhzu3V/g0DrhRG5Hj0b9MsCmDyT09VzGSvjIZ+lJ7xbtE 7fbUOj7GNBVLUO1sh281ulN/GP0HcZxUF4ivSY/sCP5saqaC37rxilMJrb/FpvvWfpfoYQfBGcvF 5/dBe8eb4JpuFxj8GN+IVlMs1632lIlpRIeYKPe1gWLG7W4W9+tAFc2+xJu+PoqTBVkh2ZuEyw0o nEWKjWDPJRD/jRY8do4tKY51XzkuO9pquIzritMI8lYsBzd1jVhHGrn4EtLAHZXdLltBjZKwwjfY Kwmykev96jcmzSWXzFajYpq2X7R03pJLu3WIIBmY1q8HathppFmOzo3LiQPY70LMhQXhxW3UGXaI IzsxomS9uzgl2oCU/f0oStptJZgcg6g6vxATmkVtZ9KJI7DRtiBD/TsRaf2bUVrz4t5/k0wqGstz PnO6gxqQsUI4mCH59mzNBrDeI0cQJYGk72Xxbb6zvkr9FuXnLXp67NxKzaQKHv1yEjj3eLMtaUR6 18G3JKopAGg1FXce/jwWh2WGfJGZr8FGs0z4ds8/nZVR+LBPKnw8QDXNvuLk+Mpiwrrzk5PI/G+5 uzNaVCRoWywHgicFQFwLyMqgPMs041Uj09Pt0reLpWD8WZZpJ4iZzfdkqnRGcF6g1CGQoQ7zo7Md yt0MGtju4VSE5ZPZmPsAZYsxt/Bd0SQPs1Bg9LdVlAF0Sjn0F9l8yw4Tl24Ex6brKYhgI1KfuAI8 zRn0umtecMRrF15oJTk/M7Q9vIjr8X7R+4Ppv2wiCmeGjfcLjsgGuczPmjowTXhmj/vhGOpj8T7+ 3CxPZkmXFKJgXO626NAEN3WrCAXs1bbi9qB3/OhIVPIG7pGrM9tzjmIiBoybcYK37dNDC3WuTM6i 5ou2G1WWmnSGsgozJgnzwwEOnvhPHS1xukjINDT/d1xwNyFM62e1pGLcGG6jumPj09rZfMsTImPB 0BvsnJWcvWjyonMFsEOlC5V3HttOzgC25240vtjKt8Bkogb03CcLYs+PsW0ykoIP6v9uKaquWh1p A703Afb5Ih/ReGknb/zXoYaKeWV4nojL3eCrEiGhZOO3zflKMGlYGfNfqPr7b2VZMPVb33VmUy4A xUw2CVttSFaICBG8HlE8HNqturcZ+34sN0iZFfq/6csn6plv+76V2F9YMVtjUSVNvwIBALVCR9Sn o+efaHzxvOyB9SFdN4Nu74FIjbiUwTxtbcrCSNsQd60/OGmN3qbt6++vF11b22ClEMeCEP4aIHvh vt/nzwA4MgdvaYOn9+lYT6m4H+07gYS1UkXq3V4CFRMEZFRIirDDjHhmiKuPfJEbXYV5eg9Mc4bM rgP09aSqcyZgxeyXzuPEKTqcplBF29K6TcLOaZ4SbUwtwb5Lsg4I0Ezu4LFSnB967FEzvnR02j6S 4g6u3AkTbt9yZCv5NHm/jA5BNtdaLxX9Xleyv3416cRpdO+mNUXWZkE/GXs0R0VIvktkf+0/3h2T ZqwWvI9CAV+T6iFfkxpV8iDT8a6X0X4nXzhPjk8gNObcOOx5V/Hat+7PJkjxYHVfg/TyHpcE5Ki/ JhH27yRIrH3WD5Po+Rnzq4c4qDp7aiWiNyDDh1Ra1iUa3KUjU0RNCpR/v8UgHC7ryptOCWqKpppE D6jzJcpUApAavlNvvRSWTWLBZimBvWWMBr7dSjuhRa3a3ACetiMbqgMUZSaDIQRs2XQuTJk0Jvuw adfux/4PyU297+7gDHFRaunWewp921v7BffQPGvuxu4/nB5Fn0tbrLMQZaeDDTo6NJYOwBMxf8Bd XvN64nbPup3gyN19u6D7tEmP00Veh7SIi/TtqS4rUXZch0ycEIx+dX5mAZk/PEYv9l4OnU18o0oN 8cYCKXc7H+8bbYAwbNSVmY/PhVY76is8iUECIIN+VVWSabjxz2zAjfmOY8ABYgxEnCSEGm3i0V0m Ag7DezeGKnsxw1GtdCKbhzmmtHrdHMMh/ExxBT8h9SVN9sxPuuFfjLAvsea0W3qF4KklJHgdPINo aJLvJkqV5rsEsAdiCEHea5kQiaVaF1wjhfR9a3jFP5f5mSg9CQ3IY37UxuZENOdK85nvcVjhInhv fi0owF52XpZV+UBieET/5seJlsezUJLk3jSCgIFJ6bwWrkCRu3c+qCDYCZxiRf6LrFax5BIQsvTD O+UE20kxrbd+L84IbEh9fyBROoX6/OhNfAOd0Y5THYXLsolTdFeyDsR2+5VsAtJ00hpjsx/jQSYP DQJ/ejwodsjM88ETAG1GU0ZhmAbtvFlh+WaB5N0DaC8uTMv1hSAMN+fRIClVP4gpwMf/cYLy1HuK RIxklhja9xYSXJrfsgAuh9MBJXIsnK95knXuGQDBBKkmrjEr5iPmK9K1jmqvzRmVb1lumm5sOcgT vpjBMVzjM+0DqV7shKcJTL5nxZ1+EAbXPbu5GXS+A0L62eEYUk0umk7RIFMphfrJgp+xGjXZ9+wD 8OT8iOBmxGXIgmFpFgRv1B+NYuhKz5ges/iSgebNboT0vsZ9NPJdlSy6zfPtM+8PiroIXaLFFtnB 2ZcAgK4/tvoLZuErm5lNzz7F9Tmh35d6xF4DLgrihedBNCq/XryPcbr15czj/datqIrJitodNw89 Ll7y5XItywNZ8Mpm0/T49Mv7YcVLyW4YOTZO3gODTjBMs9A4eTz5tp6+wPJ7xZBb6DJohdIV7zQs Q1cw27UZ8s+u9RPPgBXnzy51Bv06auRUU5lLbkOb9fMOUnJN0qQg8+YQZkc2LUlec7LlfJmc+4eO BAPF8fiw1WJpanmn+N0bYEDFvRNajqw46irdJ0uK2YMA3w0BFpzfQDdVmIWRVVNdYk+aMKTGpuNF Kk3Uyq96vhLpl+eoRM86lCOO9mXu14NJkoLS+pnDBGD9pBUT9SuXh7CQB3TuGaOozpZyIaRx5wgB SUqoeSxByowZ5fhNjLcyrTp0PMwrlzvIyBE9PYWT7MgwPLmJg3c2lR7B+WQROY5cKB30vYfGPbBz 4l9bj+ChokCjb/dgY92gjrcUCLq7fv94buSnPTCdXQTQ1IilWzxNHVZPS3lsvTNpfrYaO5jbnL6p sdE/2P4z5Jtu8fEKo1WhrTdpCboooKUo87/apRVsBwu8j/CZuOhImHAxDSIwU4LibtjVA2pnxkUg ULFL2mqBZJIWT9CFxVMEVxWJ3stQPdRDB/g3XNY15Kv9XuG+wWotziq6ft1tdT0srTlgD0PeX0pp uEBxEGHQdmEmLgZxoDynL03efmWR/7RQ+DQFDz2ejUroeiDPJ6jyXWG73e1wvgyTPqknF+hQKRIc FMymnyf+FIM4f4r9dDq2YeTlwRepmKyjdc/pJEP/6/HFIvMmNYJVrTr9f5EakHfJLODwrvhya5KX tv+SIH/hS4eqbKo7mLx02tfvIesKagGvESMJ9euxskS2ajtjr5nCddcAQIgwLoMMaYcNJbDbEeye ysVWzFY7LegyS3XceOqGTQO4OU8SfWTz3f8TM93l0IsbylseJ98DLOxIA4NCtRpZd65n0OVz36p8 XLjIywf5Iriw6A9EUo6ByMZNnO25xnc8if+eh1FfOHHyZIY9kjEQz5rIxVcvzSopYSIvuwmW/HHs MsxZIxZKdt01WHIMeQgJAK3jAerYg+0rpsIMtEp/BD8tWQB3FBbcncVS4Ea2IAB6uVlms38kcPtU /M39HBrdan3FwiMJ4DrrH1Z0cruD7grA/sKCJF2PE6Bk5EOy8GNrbS9VnAf3zz1YHpIUXmYOpCOB c2yA/R5t24zUZw/3KJ5gj2H5bJAl9HguY9IkGwbm3hFqnlgvdKHOEIF0WSbB7EBUWZH/n1aDje4W ZRiuRVdHdMOgus+PfmQHNr6upc0D+hJeFhMKChnGgfSXUgqaMPG28JKThlW84w9ua716+1BWR2Ch FQrEZEkWKgDnMa4wiTUfDCSW0uzZlKJmXogfKvqAyFb0m/KIhRS7K3b3Z37x3ppdiwxsFbhxubd7 5uSQbUA0h7suAVgCt+u502qN8pgwJfxBKwhnfESZoVJDFFEOMM0GdiN9hGSgudqjMCQ2Htr5H6/v HUtqyeCMGVrr4StKdAWYWo5UHscWVicSkPpgTlbfKKbM1UbF4gsYHwBSYeHjebCgXOZ2AYmkO/UI beaZ70baqBYsYBP1u6MhPNqiPBfpDd7wPQ00jzCOS8bZ9olzWHRC+hyQ+7EPqxoYhSAR5wHlxafo utZr1z9L6hMe8bUTG0whUAABTkkaJ++eBdpf1c9NTrLJwrhDJpoJVuhwi4onkBLVrywxWY1JlfjI ef1OxxSQgOhbIxIz5u4rlul5GAKWSswJ+VjAF6dcXkVQOlNV1jZcBSqWShjwp5hFcf2yM9qEcb7/ ENmOJrW9rkZA+Y5qGAGCeAkyYF2bL0nTY9FbrLxRWKckgp0OyODG4gRvN7mrF7VZAT0YzHgV23E4 ueQI/ZDyesduXLG2RJ+GwS7F88s9t9TDRJTtmVrpZsKo0ji9IvglV+RLuLMk2wUi2iVIKjWhqe08 R/IqTIBp4wEgqd7QRY7O5E9pd+zWu8Ov0zWBOm3TXqLJgDsi2H3kkQCt00R//66VzOHCxYXh/lHv kq+VlcRPlTTVgkNqoc03oph7AVjPclXWRgBxLix2YjEoc/WG9N2FE6ooeTeCgzbPAOAJivwI2Jr2 995lEYvCs6o+cQnpt7p6kNwDeT/JJLwwK7/kDaHwz0yMfchKUzqvHA84C8krFjAwuhOT30vL2OMD wjhliI+q47Mq7ah3xKYocrOp7DM1ZyGfdNLPYrw9F1GrYYH/GQUNVhdftXX60oG/oWaV7vBa8zpG Ov3LGBjPHfY0q7sZsf0TdQlReHB2MiadBSxBPg2IE+INbvzD6a5u3qjn50cvH97wBeTa+XOS4GJ+ isnQfZ74xdFjlddfgVABiKgLMmMpwgChi748EwkFG9l687Zwlbxob+QkQ9zTTR+tIEQRbjBcfX6Q XvpxJda94HOTiOztxnRYzHlyJnJxEwBL8wl9VqizMT/+tIcG6ou8HqTy1K6oyMgHhFGxdUHuHdYk W2hoxi+z2XaQu2riBXS/a/oXiVG84y6FUi7qCxXCcF2PSoHUn5f2eu8QwbxN85r8appTYlbLJN9v 0zH+72LHVJPTWWmuEhRHB8xBSQbxwnQX575a2mkFPl3Vm4+LesVNQwXutz4cpK729RLgaGFV9MzV nAVIq2lXxlPAPC43/RHSiGLlJd7LSz4WNyZphCxY4uUeOXRw6hG4chJ2UUyxcnuwVIGUGej5M56Y Mth2ng1j8TFnrq/qPRAJ6ptSMInmmsb0p25qjvk65aHQvnqsBJdx9YceeP9OJpHCPuYiEQFcdXN3 c6ZW3CQd/bQfXT9nkJOKOds3/B1jOo7bEtZ2HLedP32lr0cAZBcqT/naisdzqn/6GgV2fdt/C3vr q8c5in/rxJdH4a+y6JVhMeIuSgkFhHI+fDMC/4PMTteasYf5DkStYbvWXLhbulsaiJKE9JLp6gMY w0SGOxNpkNqBj8AZDBy2dP4XRh3nV/MBAAKW+7w8nSwm5c2oKGtha6zZgwppL8RRXGpTaUUl9Vdm Xj4ecILj6xceovpVUh/OtDOq1yxIkyv57GHMAJw098lgdi0tdE7pChrUC47jRttLlbNGjk+kIdIh K9jJ6ljgCwdLpFcNr2OWZP9ShY64QQcwhwE2UaaZUkGq00IoIR55Rp+hS4FSq0dNuL9IklZ6LLDm ZDmExS1ObIiv422KFQhzKzpJ5SGcJDGyQ+/bCfqnmxv7IuDnlOuKA2FzVJe2uucyMJRkafJvAB+2 lr9vVWD9HowPiEzUF6zPAMB/hV1OQBf6nLNmIwmqBu3vc8c+60zI8IV2ywbN6I6IUkUjTitB6n62 5BxGMhKj47+m9fjmCwqY3b51pB4Nwr1cEO1B60+k2auuLM9xATrIVFY45Qen9CVuKHMKiWpa3o0N 9xaeNUWSFRNoA7jxqWSOwe8vrnEOrHk1vud9/VHDi0t/565JiSLg54eaK9fgCF67okbiQ/ANWwBS vG5cYv9dKVREa6mUpQKng4/oMygyuZ/jP+3DeqlIdM1XEC/nJBcwQrGD0ja+n6pN9k0flNQb0v5b lMVuqtuxNxF1qBuuCMkX0dANL1vUabsXm3FLyklA/zdqHJbQ18hkFsD72Am1nfJsYVFVF083YEPZ Ry5zxPxPen8Rue2TM0o4PbMrpQNL+Qtu6DKOzODKBmSzZeIoelHCYL1GXGDHoy7QVPIRSAI1Zu+o 5hMhBFX4UeTnYpOX9BkqClqpOAwgXpalfR/15mEn2ChUackd03ACsh75Kl8GjRRlFcIyz1Exmzte 1jyXErXOtGxMKqJr4GCMk5gObr0lIzXfx7hXXQJO3Ich2Dsj84Kr5rTrDE6HNPlCGQZIv56CeuQD rqJDuATOBQH6t0CDIsJl3YtPZ0LLdrHKlJUwVjG6OqUJZFY5Jmcb1xHecMMpAd5ovGleGs7lAjel Vfn5FWDLbOs/9LM87ONGW+HkIvcKi/65/qQQe+/MfRmhegggApcYbUDV4jvQg0R+OQ3r33z2vavX A1e8wwSRFKdl59f7irZONnMoghUYfrn5pbe2UaSJFqbDXd+yvLN/IPEg66icC2b103eSaiqCmA4G lUg8MO0mjxtbimBi7qjlGR6LzluvtLdebNhuG1Iex6pOQ5k20aKVvClYtvnxP5x4YMnbxrWX3bOj y1vtwmdcjY/8tok/cnl97o3KOb3L0pPnSvc/15C76ITcE0zM79L6qLqvdq3RLa0XmXkVeOO75Xro Hk8tpBGh1ZUbfmQuB1VPekV+8cdbS0zL1vIIRXrRT9ONicQgLDkwbX7lVryo9sgyk4f7TDhZ2d1y dgyjSJTUiuw2tybPit0R8tYNcHajK/V6IZvmv3ebEPtHpBHmTtOgcFKpL3xaOG7gOeNdt4kKlF73 er5noFZI9nqKSP3Gs07yCbWpcdBHVG+jKKKvWgUGOgvauFuLNb3OvDdC6f7pvS4vH79nd01uSRH5 QXD98Se4t7QHThqx49nC8BOQQ0QI25oYMePagEHeUXp3THb3m9SAe6eWBLO4oV9gPEm1+HNwbJul AbjkiY0BnIjPmZpIbtq/fQ+VpgAsCSGA9qN0RqOkgl2N1yyhfpWAGNPagxIe5rZ+rIaXH4EWW8sw +X6cxRjIoFVCoe49qCHZAtu5HQ5Lqyjah1jazJPp+LjNMkwCxT8p684bhcapxvLu6IkvSaKYUPZK Ytr3PJ+4D0EKsVgJFLSx4d2Qov5bMvqHsG3PDRYeLEIjbqJqL5ZkB3RIWFCc43O6RbpEs1liQQnc ePldDjy9PgaSmyEhYY28ursCtzhzXtwLddyHlV2oaLnC1poG+IROyytDNYVmuZFj4tZlUQOY197I zoMHaqBpr5CWVbGUay0+16b24NeENsqiCsSHRukmG/EAXMKq/lJmukRydyD0EyretUH5G+Wg1hmq Wk2hjmz+2WpULlm00JUI57HJNOOuvWVIR6YExrC83A2kwpvv9Vv9kYu8NbtP6hZsY/sgpMkGwDGc 6YFMViPx+UCe7Ps2fm8mprWQyrd7P4ETIKvTY+rxbJ4wUlhwtb2oe8KgfUn5Z7/oKKR1aOJHi2X6 a5UgCWD2li8eQeNH8OqdjxOY9/iLkT4Oq0JKsXGAZ7sRJBRqwks1BJ1XGHm0U8Pqqn2q6ZcLW3Y4 prQMJH2135aIO9zAZjmPbQxmjBTaDu76INsYDVZYLycN2JuFL0A8xyJZUerfl4cdD9reft6dNE1D ksNeP37XD2aZhJDrDuzGR6IpBuxhAmIABS7SoWBWwg9qFHfXYVcA4fP/UCayOBbGf1HFq+KZHSFo tojFWlMMSMPQvIguuGb6E6MwF38tKAUwhLCF8zbAVhRkpTnY2Vd4zoaaWM0UWWvAJOM4i/q0j+SX oFYgCR7qXLAo+bFARY9kWDLkPZrdm6U5bjMw0pev9laUTwuIb0CQOwojAO7T07wff1cYchbbXTNz jucjPL0h0FBqVx2+OmeiFXnT/TtyQA20yHy2qzMD4GuVyjlQX+6wHEtZfQytiNLmlIuLFADlwwg6 y0m/NbAHabTJzkXedmNg0UYLlevI8v0xdczCnk8m+5zC2/auTuwHdc4ixA60aiWl4NZwrDxZK5LM ZzP2FDFxGkT8VYwKEqOdM0yXmVWf0oFLBZbbzM8ym2O4dNBPy9QLFJgmfQp5JP/+TsYtpvapkHwW hanWc+P1aSFU9EY7W4UmxX4DyxCu77L/26VPIl9txy8VH4oSdtx0tEzsZnJ5ADZW8E15A9eUtlNU lHXmyfwTGA2GUt7xWCd0wILyXi0uvGAFNr7PJib09+KVz6BGyJoxitJP6P0KM6CuPhRzuJIs+uQJ wDQZgo3rEBEDhrijD2s7Evx4I1HIfE1rWkbd5YWenYUkKi7IQRst5Bs8gv9BbP9o6SZJIMlI56FK 7Snet8NAQGq6vhFsIXW4gDyZ0ZPCJ5Q89by15nqMAnRDBafcIMmtvHxqjYiB4fvYUTciPpAI/O+2 uurhZcLsbL1QyTKC0zStX0uhAvDtxx+4eOpzRhxlmfESx5ocMSVajQnzln78YfvdJ3ikqJNOvLQp ffeCISooDzl9njrpZX2nxULTWASrjn37Je4Lcb23+Owm1LY5I6G75UqdfFMrLnPlS7JIxgHdIckF dNEyIuiUX9hGILIg3spBiUiwVew1keZqn038/E/g28XBRhDQnmiD7Dak7Xc0teAieDtzv9fdX+3Z k7ORY8NYTNACNLmOhjNahnwzGylrdAHhIFfVM+1b1EIcnrfprHWvuyohYhZogccg6rtc9OoPbIqq D/trDZciRlR+FXvm4h7xV2ULlpQtFlgBFEznBj4u8JM+dKR6nccsfOt94fvyazDpWLYqgsbdfi8T jwgTVn2gD57vlRRZ1vogeGKCPugIkw9y54aOV/cbVmXqXpOyDnR5MAn9lkOXrVFutIoXoYpS9S+Q YP8pS644l1U0thrLHn5elgnqBQBbu8iVsWbfuvZyWf0C+CsePfZ5YiB2lV0uBQ8lkOl23NzulFK+ jAIlJiO2+aWMzCmiE9TuonWA370Y0TbRuMGjLeV1wIyplj5rjRsxYAwuCG2uC4IgBZVE3blQ1WBS 0vt3Wgjv8Uua3okTa9NlnSkJNeUNzX795UlEGtAOY7KFU6sb775A4Hsar1BQFRqyrQ83lyBEUHff hRNjadFRnumzksT4JXPgm20vUFPcj90qQwYMRE0hVD2YL1bfc1vP7gAZpd0OixxpRdvD3ZSOxrbJ 7vuIleiMOHb8jw0V/RbKwmb1baEikJhKpSfPbHWp/3zOtwtkPdn3sSvqwZ0IgqKsbkqTw00W+IaB tjXCxuXYhXImZhUI46K8K3yt/EtbNnnb+hsxuJkeo2L+mGgbxoWblzTCYB7LbMRd+qC/ScQaAolI xkjYgo67eu4jPQPmMZg2sRNHqOfTaID8tZTs+No4QTCyD+9rFyFURAd0Jq5lFKsqf7gdyqvSm/xr xpC+t6iGbdZ2dKhAyzLqccVDO14rk5ZwB/Abgqn7PRqeyRhe3+ER9g/DghlyZMdrgp8H2lMGQqtE E5jHnIpQDBju54AA/6P3JkKkCX+5nk99ZsGjndgIbew5mm6uVLZ7G27/1Znhj234EA0TLBZmcnMR fRPdS83R+JOYAU2hLN/tXRJ23y6QNJ1gZnSKh1du9V2QnrUh3ETlH32RMpG5EyDFFJzOXmqL2L2z 3b6peWvglC9aOTL6/7pI9j1fEulKxFQixdPYJpcoPcucotkOlL5eHsaH8+6NNKuVl69uP4qsUIm3 SsMHl4Q2fSddbk178DrbtiQFRvS/KuiYzvT6gZITPwppLVuyNK4Y+7Wu07cyUPGy35l3u4u46/BI Z0qBR1BPY27B4OjoCypUhyudDxHmm9bBvKg84ZizUcFJbe6l/92NtFZpURQb8HyNr7uhKJK1JtUq 4BEUGYBDDFYD0JTRIq6FLXWAIym2ktrE5xInSnkeCBgkWzINOMUxSY0f5bmADOmDdEUEt2pDJsLa RzA0rg0CmD2RobZHbLaMNgoeJisWZQbOa2heCL79iBIez3nTZ8EiySIHhgSpSWuBQYYrs7C70oJm PeCNwFWvqKVu6l+K2lTuQ1W5NwAYAOdm+NtzzyntxSMQNwEI0X6h8NOv/69M5w2k31mQ9VSoU3MC FGp6fKzui1WekIuwcGDcOWkUMUoV/ROa8+wVcGEc+QQRgaH1vjUfYsKrPipIgaGhfg/hlAEWg5zq CMvtvd8F26YpYVMlI8bJalt6hs2eE7md0jwD3vIJk/buTG5O1IhR6y8rp1y3hwUcsQyQOzPz+DoG PVAqvvTk75v9oyvBOpboq29RWJgIZcvcgRlDJwEmGeyXRK43nNYybcCJRc6qLyCO8glUJLpLQu/f /oz0XMZOWLQEgEZG56yYTAf18Qk6d/2B6r1SmT6FsuzG3Xme6jRf+kdRZRLLEifqacDJa+KxZLD6 u9bzm9GRbMM2yitfeD6A7tCqrb7vHa/OQTOQT5xCMddNTkmXtUyF0Uf1GMgp4RJ/ynC0oLNAHRug x+diOh78ijsptx6RTy/BZHOKAXdEVsOOR7bKXr3XA3t1rZziiDtQmYfQ1fyIcro7TTTJJgELjglL DwTcMaewrGu4uutyUUkwgUL7WnZfDof0HhY2WNpi0yoETHHiZ6rhsf44cSwVrlIjbbnJUwuOqTvB PO5/lyLuc2gGLegeeA8BqyqrDV0h6CSugrShe4S7v8E0tp1UF9sqn8zJOGxd1OVdfO8ZCWBy5Pcg UZDrvbDxZFHNCeldo5k8AqnwrGg5OnECW2QE4TID0MM5sAzGVHF03l6noq+q+6kBVregYCvL7wAc MoujSyME68FEctzOyixkadyymJKLYTiRw1iJT228mY9v/0wqnmyPT/hvZfXVXolrngrEsmDh9ik3 ZyscTmY7fC0V6RmmakwSO0RYMhD3rp665weWEYrVVXdfgIdMiggrpvZ8nwnLG3PakATtFyI/HAYk FWCyAvjn7T2JwepxgfK4thRH7+Xfl22iNzf5fsZW4mJIGuNHEKZdNrXbkAH5xbn7h7vixbpUIqim RrFcncwEincqByeFhRl4mXU/bq8bI9TZZea+16OC5blvcmtYTsKF+RkrJXmxVKuzgXmXVi704Cab ZsbVw0AU310aeMKTXYqJwHiX6nMjOb7nu/k+3L56r6l/JCVbYc7ZnuxgNgPzKeS8DjYi6cJ3wwYx M/kWAyixFVQZ3ou9U+3kFAzr3JJ5yVZQKVMod3sDDdZdsbq6ykMxBdl4DxW5+we5qzlzDSYeHKtK VSYNaTiHtZlJaHQkLgM/ySfGcviM2qJ+osBC02JWzfzRjSXqrdacwNl17M7ZFL1zOgKjeTmbKMvI Sjmom95xPvuXBuGFG7pK3OtwbnfRt3LFvnaqjGCj1WYscwnsG7K7Jpy+uNeA6C4myIQyCsBqCEse K98o6E7LMd9fxyagemQwjSHuGYr0bJXIbdBHY+V4t6kHeutYp/eM2gcSQm2NIqKjTXBHvCoRaWx4 dp0+IZORcB8E9CihWi4Xf+BYjUUcgYktzHh/voMEzDSenEGkLRMhUqj1gpDxIe+d345NXvweNcy1 Qz1Uo6WsKHmJAkc7NlxB7z70n1Y5xqnMLHRSfaU8Y6fAN/13yWneU9+vcw/u1wUPDxIsZdPQ55N9 XZwovab2i8ymXTQ8KpUxTuWHkwsmBOXeQF8GajxtGt7WTYAWGYpyR4iLgkZ2gIAIpS7ek7i0znm7 RxYj5xEsTBsG3JIYixgE1+SF1DAj8aVqfjgoZ/hInCnU+MNrjEQtYSQyflt4KmVKUDpZn1jWifMv uWWC2VgknQ6E0cDqY24AdPya1hvCKxPucmJFn6IYEiemZXmWrCyZLf/qldIzRCzoIVg64gUDXtkt oZR/k3tQAYEz1zHQUwKfqzKwFU5V9sMcLbnmUzLZ7Fn/UJg98ZJuXqCARUkKzCle2nWjcJQz4ak6 Xzy4WLRn+9T73RevIhSaHFKQCwNG8qpWY1QInEkDQzKctL12MOqhR+AArPpPAfeVZwoDwled2L6v 5ddNLp3OdkmeqkN8i4YMrXXbMJWRgvCb77I+cSjy24EQ+Z3773jTFvScBj1DStToZMUEqCBMV6y3 ycuj8NEgDuz3hXPTbD7G08ut8lznOxDDvpGSqiRLdZRlqYYXMU9iVfQoz7qwi5mDZ1r6ttT+BXjO SxhI1t250Z+h49FY8jeLK53LOBdqG9LZ3V22I1ze+A64aznKliDuB1dkFZ+6xvCSibOMuh8b7ewq ym49mGqlKzhSTQB31S+tsC8lWARKjju67cJbPardSaiNMGMkuzZDArOapzx2cQo9pkl8FCc8NDlS SwbhyTkE7VCoon7FDE/Z1Eo8bTXHnOxqnJZ5Q4ys6UhaxT2sH68idWemIfWhjZb542bgIk5wkhDZ jZbdu/i8tSv7ewMlGXxkgansEmR4Cuil/o9qwRgUOCVQca9L1BR3tLjWtbbgz95i2oQlQHH3Gbxd hWGLbEnXk+jaCv2KiThEV/rBkYZSrkP02SYUm7a3btfLCM1ZF5s/DcRietXn+IBWFhOdpKWKMUSk 8UIxPTQBsDvufkuMMKfeR2nbq5kDFhN2pbAj4WtXNtL0Xwvg9aewFt0if2p1xUn1Doe29XBhOXb0 JFkWVMfoCudsko/bty1qKDyEqJsb50CDnPd53H0kAShqqVguTOuw4F+4jshVcHenyqUFzyMhcvzD sevh3i4Dw438RFjk2/6AksxEEwEGR+B2z3ocr1SlGgd9n5xZMEcx3BbvOHTCG3ITaqaPdM0AyXkZ t6mCaqF30TeKayIJHmMZTOwyAOM0y0uw+4EyZolTNVCwsov9wmoNKCjRiwfOUvYpfH7gkWCRGFp1 VIrRseeSpWj5gG3YQO8mMhdZoljaD3hIzx4r6Ened6lHtCIamsMO0uX7ITakkMgDp4xhPJcvpwPW 6W5vyG4kojyr6lyqTJ+uv6TPJ9I5fxCXx/dnX/1/6GFXrPvPXHhLw3GdKufz/+USTTeYPsvp22m/ pjWMALfjnIKjq4fdk7y5uZURfGMbRrkIqLA+JICen+zj74NnAQmGwC+ebnsLLCBN49/23FGTpdnA 9k8A6MdJzRe76B66V49JBYwfPYUUSO2f5S1adz9AL1aMIf2ISKY+gz6W437s2hHL3QAEZ9x69bAj 0wu4L29Yn2nrqouq5UWUlHwo9vR0Vag7DYqFX6WwG9ZVBFSCfXVBiwsd33CsTNsGXDUr+CsimCl3 EsYCi1X/OK7IyR+E2/xWE1GSwNGIqLIHyoFnuRZ+It362sCNlV9mSxOPhLH2YLEOWUCDyu3A2kUn JFhtGeDNgtguPS+BCU3rYKGfDPyo0v0RHbEEqpICD9ZMOdf8YnsbC2qXR+S7M0vBq4GKQe6/BkTq /CSGnhSQDwCl41qJwdOCmzz5kAFh+WxbnBFqWufemzzuweeKbYiX3CitYQa7vMQrcjE7PRCCrZ28 ne6FXB/mnUF19GPO+3YATYsxgJGW5zf9yrd1koldbuKlPI39xJU69EXqvK0JbULQjUoUvJ8QXPix HESPXdHNFdHNGM7eYo0HlReTwaKf7D5ki9qPumLCx3YyGrKbvBzdTQA+m5Kc6Q/nEirYqlt2ZDzg rwjZgMVCrsOErwaFTf37xe2HlembtbzT8ACnxfyKEwFePV1roxyx5RbCcVg20a8i8EdV3xvquJtG Ewmdh61LLmt6xU87+zA5N9NAEgrbnSdT1LvNT3j63GzSkku4EBglF5uxEtmvh9dNPXWMgHMh3TNG VDPW8ZXocZjPdYuAllcK+hO4MMC1NTonFh8AGHvg1oyN3IjrNPfMw21/hkvSpkugWmG0x+6ePfZg QNLlyYwW9ijc0MEV6S1vfKZvcocIUrnZ+3s+IifoxZBoy7/X97hj1j50LJjoKgozaYEJEwCicrZw 7wBBnRToD7yhe6tp+PKrcIv2PmFOnaLYZ1pCoXdUsA8wf5tz+uJRGH3fRN0TJorrQ3HbaFiTez5y 132d9VpWRTtP9q7jnYSfy/57yXrGKjJCGJEr9mjctTL13Ekc2nFAbSQehp6Tin4YPODI8ECMtNh0 Mw+LZaG0OEwjvmSJpqaqumGMhEXS4CMZt6+o/rd9Nscbg1NrvxbRAMnOjd7wzgRX2dNtQVCHb1SY mjxcSnsrbrpQDl7FrKTTtEZiOrNs66AzdJ8Rroux5IEXOD4oXsfYbrll/1lD3iUuBZD63Nr94xgG AHtsXLNeKIhEO8NYdFP0TVpkBmyvo9NdNiUd+IGcjs8lGNIdwjQCebMl9TJSsuO2JtYNtm69LFXF /c7THlZHADHAiBfkFPyBaCnmQeUB4EBYXaVUXZ9gUzyfCImOudHhR6os8p1vv4nuqRAuwwbDqi6/ 3gvvWgkkuBcOIVhIEpX/BxI+Dlu4OhQajnnxprbaZz1Tt0sFy+myjSffr9mlrbo6iaEggKqXe/Fz mkIVIX+gUR+n3hEKsjTfLWNp0D4xyV9qnznVCBFNmIJnywgDuDYR/dIjzbhPLI8wobiGrYSjh+Rq 25vLUH5EHoCbl1zTO1a5bucpFi/Y2qN/h+xuwkw1+/yCzSZhOiP8N6AWaSwg9PPrgwerDpSvQDdE sGjKdy+N1YmaIvoF6QKAdmXlHebrQv5FPtDAaeA/abGsvWcAtPT3dt/ZI+JEEJ1kaSFWHXA6ePpO DJymy5ehHnBHcDWXVK09IgmUnnqvwKUvp+ZmYtB+t6CjezZUZMlSXE0Te+pqbdjJAgdDhcvaswz7 Rff2CdeVegLJc5orq4f1qV1jReS5/Vtv8wFju4IZoI2SP+aQHv60SJJM9L2OQHBvo0QcG8L2KlS3 tNDZiIszO9/2u8P+Lr/iXqeV0LRYbBr8Y+tZ4ArTlYQL6d7i3NJzfuqoZliodGx/RTf4vnzsRG77 KM/DRP8HKpiuq+iiPSp2pFtViVYdBWWRpK8sxwbJ6W5qyhwKN/p9kJm2LJLulHGg0sDv20UrMy70 zclgkZjP1WDANMz1RUC33n/KaHP4GZK5k0TeBbUgdZVB6N/qs62RJjLA7hP1G3ayAONfIM+Jk669 pDDnO0vfdVjlSH10acWSdm3zem0rvWNx2IsMy8uGD7bLR2EtWiStKAE3yyHiy7phWuOGetZascEW jB4TP5YKoAIljHoHNg27nTL+l9YXnWMjhDVTZzl1lzrABr/fxGaePrHNwQSkPGaTToQwuUT5e8+g LK//UnvAWF51SHABs0RJWysMl+GEtSfhajpafEgpQO60KgO3OVnqZkY/LoAKJ0Aggx5b8DV/uGf+ XUJOmjk/wPHn8HySCgRNLc4m7vAInTKP6gBcz0biFvzqXt1CVz0eRKuj578QQ0QSRZ8SkZi0hcoc Bdlyuyo30A3V8eDcXZbpiv7JC4GzcjGzIkaYUPPzU5cJDfDYcyMybPl9Z9tICHh+FRGkbzPnAr0f 4uJqdtD0wlfKqYYCGEBILoNef3Zpxp3y5rES5ZgYgwRVoJSaxzYLaj2KC4J7LcW/t+cMxSxRrg+r gHAvgDd12wpq7Mi8ZQn6MPPPSv9NBlFkB+dNiG2SR5sVqymg1DsoHeh/mVJEjIXgSxjqSyenNtHE D3gmdrARmNAthSDQPHlRbcwmwcRoIxYEZP5PdQovSwNSokgdHfSPKmetkWvlz3OL0HXE/aDxbQCW 6Z+ZeRQEgKMjDpHQMVv64FT/yDBDMMafoPxrZflNlOTT/MvwYN7MS3MlfTjIkvNXhP4g9XR36Mm3 MSNKY7Puubaiq9HpAhQ80NbBKA9zeS0eto5IJphj3knU6wvUjk1+xq4CJG05f+bhuxEpOdN93Bzz VkBM9M2wUEeJiM+05U2fEsjZSHGkfknDU89K3vtq4mcti44UANOujZ/UC/UaeRvFIOrOTMKBct1j MizsPsGLJZFywSQ3JJF903q6MLGB2IZsyrx4sToSvb29o+wrpPQoUnZvPWDS6ClYkXHE0KYWjlRf T+jQ4FbeIPjNWGvHoEiK/lK9R6fvI6QHztPdNgRnCOxQ4MLScLJQxKT+RbMWGeiAZhBvIIECkLnv McUEpsxlcvJ9cSCU9pQQuEDWQxUCzPqQrBCViPtDngGPSAvKapEwgteZ79i4zgNBpH4AbTRFV2F8 QdPO0DRM+tC37GBDxXvEcCehRHRxZvzj/4vFrxWuUw0aqMyt+o8ePEbTE7Q2EtGL+1DBRf1eSo/c iIRtJzOS5JZTEVReYpP5RsFc7F+Dp+RAzFrGRpXxqwwQSfF0FCLk38Axwdn5p6l03WGuujP7mk6h Z8/vYX3DGTN34Fcgb9M4vhIMQt10RTwYWQpMFEHja0tQAJIod2DkxlbkSG174/+aQ4rbkENjQDYE 9SUM7nf+zNY91xYonGR/vokklTOjJAU9/2ShG5EDdW+3ZuLHKo3o7w2/ffAHjALv8FM7ok2tSGA2 s3joEGrvrWqSPMZhPNN+ZW1oO+ZKfr1tTHlCnM2G496GWTCZZjSsANkf6fXdR23Jp3BJPw8g5owL 942/7yNeBAIfGL/udO/jNN8V/0pH4bi0T4LosGloVUfaiDeFwOnOmw9cMl4OTAENLK51l7M/aFh7 piT5pNqQS18Rk3BL49dWtSd8ybq0kndXWjZrDCd58P73X8+fIZTaXnzr3MMUqCUeJ95CBVzX1Mmg jZvKc5fOJV39F1Wev99XMoPJ5+YNij6muzZq2UD3/wXTJCUOMkeT0coCmK+jU79d2NFpCDx4TgDt fMPpzqTWJiu7iSt2r3q7K93WPksCfvUzzwcLcdyMM1Ox/cFNI0Ry+CikZBKdvunYM1GEbG5XaoGo OMKhPQXCXt8AG6vXCcTNcmj1HRjWezHijpmoGdLkr8iIarqUDGPrXOQv6JDkJWfZ1h68DwPEdu1z lPX6IdqIxHnVs8ww72FAIR9Z+MT1bfU2+3Xq7HNrniv2sJQsyBHme8v0AsyJ4NwIerwx14JJip64 5IIkCtI4TeBCNwD9MmpZ5aGb2wr8acmDDk4tD/kg77rbk2p7SHvoN0oipGJPnXIrQ0wSe3VMhMSl wNjwHhDIBDWlReOghAzmW700lsFEABO/FBcdNkTeHRwYKqCBZiHbERrO2sR+oXwx0l+F0EfRbtGC 5VuRtQMzQF9KxZ3Bjdkwq6bOZtNJ+q94j66NPrgZ9R9BchylucUQjlzeT1AA1VspCCYUELeyTnSL VWceqzVy1EIvLH3NeQdkYW8207c1krPKYYG3Z08FOvqhVhmvuOABYYupbOcavNr7hclpsYdhyH3R InFcfFNWfVFA4WhpNoGaWB51MpnsKpFeTIXM4i0O+wGRR6K9xwXchbM5I8orJFszh6O7IZvHn2a0 MflWhG/tAVwY1XuDbQEfWSbYpkCq1oI8obSabARRsmz+utrRq+S2eH4Wwfo9GlWW+bTikahHXHYI eGrCyMnZl8T2cxOiFOVHaGWytpBY+rUXxeoOQGsu+q5Dz6qu2kDYDdMLu48StYYfgbP87I0Bpdi2 M2ZNENwr1djZRd834F4GH1lEf76GuGAxDpnbqKhtnQ5hVe3n3kiHfEgsUC7s0JQJeDhihFhh453W DfHlaxbnu1G4AsNQtw0uAsdecX80Nc8Imqci0HIA/PdMcb4LqZi/sPYukfleFbRhtmxcd3214bPb dxy4dyrWA71803YLCICUURx+kvn1TpHfMCPHT4cLujJMsKziPgDbIYgrVRzVAnM7s0zu08Fo3Bsi FcgTJyhJxj0a2a+gjn6AMs6O5w+tBpvJcPhGC3TrxTzr/efiiWbKsuxC2UuKgpD0c995E/zjMA/d e8rBEyBuoAeSqtu8BVQfp1+1nTPWZ/4iarFjutGaeLQsG+u4QruzaV2Yq2FnxEctZ0r+cdH0kKmi skLoh2KIfEvIvrqMDISOXM0qogGuQ3jFHYRalID6AXtYIQDTOObu3lygoliD8hOl+BPp9f74aN+b TKeiGIbkl3UaU62OlLFimISQXx9L8j0w/veE1HiChDAb00/R3QqdZo0N4y+uWO8UARzlDmwc08Hw nN1c1ZrqorpkJlCAQnZuQ684JygIKwb2V2UD1qR7V7p09C3V+GX3mbIAoVxoPjVPWmPbidberVas dIVWK1UuVQtRmU+w5AKAxox9v1GiXpCF5xyj7OtuVVtqCG6FiWDqKCtFeUwvUYyKq0JiCS6Rk4kB z3V8uOYx/WPvkXXC1Zc6uJrsVaGi0Pyzago8fRj6+Mcheq3Uvmqi0YvCqxGdx/6jVu5B4qXGpoid Z1wdWO83smhZFTkS8b1AF53e5Ui8Fc1rItPhsGiEU1ED7+u5yiUQ2O6tFj2bNsDcoVz3Gc3geXyT QbJFi9UQKhTg91J8V/frdlKZnNJXt+Kv9w6PZGJc3XmK70JFBuFIZQuEDJLQp6A6oxGEPBAcr/gJ jh4TE9JZAOemyQBhdiDem9+qN90DM9Jp8sQrLHl3+qa4OgvOzzwid9JQRc2WhgebWqvgv6Hr5TEO TyKUmQhLegLi1KwamfzbwnX+hU+WZAWV8HLnW0ylHRZla13ciN6Oyi9WsKkK/hauJbbTquRWvgqn xG7yIojbC6j5WJW42WKHlTlguQnlLsEYM+y+/jnS0hO7rvIEbNLuzDz2mscvf4bH545EHmmsjghx gP1Df+Cj6X2soxqK9vBQQ5f/aGWQ4IlaJk21PPnMItpRqllSMfJk4YAm/WOoKOIt0OC46SRdna0D Bt3Bd0X1jX+MJ1WdXeQY39o+tl7GC126yfLiuNR7xTVfKPybH2O9a3eUrxua9C2qvLhjig739e4q A/oUWx4gd1rj3SfvhUhJcbgl6DG13KMQtCpljRWZky9KJnZheoXeAM1RRp5pSDB/mYK+mfZygllZ e7k8PmYCFxDsUOJt7/8KHNcnkhYZvqWTd3bYKzZoNghnD6t7cgzGd/PB+otxtgV+0tlOG5vyPbii OaKwnGEAz7THs6OBQw7OD6573O+42a7Og0SJSALmRQszy6HmWJyLF+bGeDo7J44G/BPtbrG8oSTT WbbaWPEVQM0lqNJdO9HvfDCsupNL5uvLPjbqEVoffokZvY6DUUt5nZrA0cXlDcUMQ7epES0e2wm6 B4ykdC+2iFRzaN7NoCkY7OFTda1NQ3oeqK19emiE+xvN760qD+DhsFksaJAfK24KENCLISRbktER 40J0Mzef4TXg8FRz2nHm9c/0fe1+M6lyakUr5iW1UXVTnv1fc06UoydtDDL1S1A/84/qZmXgkCGl s99aNeOuNNwFQoIqXTh2k9wTkaoX8Es4KrF+OKSaiK+UfhgqakP+DHr7/0ZMwNXYU6ys0Fzmpn6d Oseqzq8P9mn4dVWoDPLrS7H3fSj57koWLsJszOoddjDKC2OovHWL+3FoEIt+T1gVqXcfUJOms9B3 rdhQkiLyba6r3CmJFXj/PY0L2t/9oIY2eGOiU5jhYZXiOrDm/MaIY9f/qmTxpkxxMp/bbzqhC70e r6VFUGdjPlxTRYkJmbL4DehOHhdSnEyhq/nPYolbUdvn8/BfhEzkg3l+tj+sYtPe4nrxNArxp4Oz 7qNMLfiPD8jCo8Gn8eyyVdj+m/0xJdiaPThpk3jUjUmM9ScDWeR/O9+wSpy6I7aATCXbHiSDAvWX 9DvMP2U8bEOVKKmlIJpXuVR+ZcDHihv5WiLKQJ/lvRQ5ZO+9/Lnmjbrir2w6s39pLuW1LHtrWwEd hBeldWm3Cexsw4/E8AkPVOSi2SeOcnfiWznjtczNUrhafov0dxtIPllBDz7Ac3ITfJcExqSB+NZF hDkGVDPR9C0DUVwykLI6tj8DvwDG5hvnuTi9x6KsxwfuBnHu67GeVowibljjMKwzercQzOwv+I+I iylm0Tfw+w1Dyp2ZCpv5bL8+rCojWoHRIO6FVOnTYrbR97QViopMkLAYpvNkvWHuYZ62pjgDfQAX amoMCd2HDmlLl/v0k83diZ7wn4L/0sgEIHNoYO/mjRZumzjufWCuvgRH4FL8bqNPZaPeBlHKe+o3 5VergmG21fzyq9tP5Zlprak6bbP09+IN+WKhJ+XAWqK2BKrIufGLWKxoCZeIZnp/jnB+hdDbm5YG KzjX/wFQxuKxtbqd0SgUACNcSsae25FgGOOgQrodp08+Ke7cEC9hF34RdR58jRrAITOIc2A+fDJT TCqLwQVYAM7O2MvV8k1hsDoHCc7KTvMYWqSiBuo6/WeOC7LJB8KEsUVMvww6tjErIakcN3UBkq/K 9lDLGkQXX0jORhFGjgohNbSu0bskNS9rENGv4TME2z+yeArgaeEVOWWZWarivsrQGXYQGjaDAYZT Tu+J57ShBKmhfQKp8UDQIj1CVUOqjZTuBLee4mdc6JUAQDJjEU24Fl7hUYePBNuYGwvgecHBaKI7 TP9MHJXFEtbY87PhMhzyzQdJi3YYWusIehnH4oDDmVTQfKryjnVVruHoUWVUKcXFX8vqMafZMJwv zfIn0zmjiapFwlj0yGTMzjxwB5Ek6Gf1Pt7iy+MFL7DyQ7ZCWEGM73LWE9/FrJWfVFnkEL3kReky jxDgDqSzPzGC/B2vcB1E+utrPlmigRzOhM2SYp4T/P6uApV22cGoc/n4psp/+RzzkycdhhTD9gkl UWIN/7u1/f58gxRJmb0WnAfLyt/mdUcqW122X82hdpn+vwUWBfw2FxXov/vBbbDycw77KI2fhq/4 LO5zVgEqI2g1c8YX327pit6w5Q3dmMeLHbU0qgGHK31DOsI8rtXyaj2poTBY+QObbCasvLyAeWlo mr0ERDLwTaqQqsNl0wEHzWEqnzmgJ1N0MYosPOZwpJl5urjS69Bn5scKOWHWVWz9099Y8LckS9ca Ha2HEBfMJSLxdxrzqb/jjr1nZc+mYRr6d3ErTzdkW8R0eSL+OqOxBX3htI+4K14HVnb59iVs2rFv upQw/KnixnoRJ5D/jLvUXUjSRB0mJuVAg9ehB2CTApZ7YsU4NR9YS8IG7BNW6TEnmVCEx1Jlvehc CzMAFLNXfngVSHNvN7FiDIAWDA1v9388HSobd3PmNQ1cDRw6bSm/8CPBuqz2wUeR7oIcYcDuleBL US0U1LFxxIqgm4Av9fKdOjSEoGIInwOBBZy/qIQqfTJ5Ub3poInQ3/qyUXQYLrKN0R5LxA0gxGhp sZuSZN048dR4yoJqxxbY6E2CS2+PVxS+yx6TriNlgXWgu3jnrUAeVGF1k7+X4RXYTDWLsE60yCGn m94O53ZA4RCKqQs3Gz4AmMP5aD4DUWPsDgbXzrb8DvzoHegQgibQKTemvpbpRRjzhGTYWxsOtUpO UMAocbveJ8TNMHeV/WUhUCA3yoY3bGNv6I+0usaNKvwHKlfhpmJxPUMcNxsP4VXvW8O0/jeBaD1g NKKBIVyTAlUszjZLVQQHsdy/MTPcaRi0qSUvlJQEH7yIOuoO46OtuRJnkYfPeAEUhyxW5QmKxa+j R36kq66rSYshRzDMAFiGqyVm79XEu4ISpeegad5Qejvc5xZkgNQZQoOUPA6hMmCYk7cTF9dXTIya s5LFOrmUpqixxiiLFtkBogEHA1IgFanfbkT2xbbroRw5wot06KaTg6l/xJQvy4soVaa3MMxXq45H 2qU70kMPuxTRAAW1xicyYiAnHMP3ldid1cfUO5q6zNDFqb8u8vKet1iIKTy31qDCvslPAvNJpb+1 5elwcbKhwM8Bios+AsLv0rFb1CmilqaGyvmhijMLvYSVX6oRSG+SbsuVQePGsKY+v+POW59Zc71e 2AX1UK8hZ1hG6UG0SORxExXQH8zm6FhGRwAshKTZe9Tf/o73jHWSjubdLWkTSR6tyLzyH0MdwaAm gH+7q4U9Zc6CUbiWixHTUNFdOlj8xvL6Wq9t+Ey8UApPBHJH88rUh2aCo5f8hDGry2HeL7/Z9aXm IHCQVO9NozCHthnd/J3PhBElUtStKPXRBZ3y7mLCfcL/qJxc00KUl9/Y/UxAxFW73a1N2859agQ8 8xxWfNu40xD4JSj2KZnSMJuYkkO5mFBDQ0bhfkKvm3TKU0fDCbtZ7MCtKkmfK1yzxd2PcmMcJGKy l7eYsJHM2GB32U4b0hzNYeZhjjct5dgf/ZFU+qyr6EfxKJatBB6jwYv6kXhmtjGDARwQpBUPYFT1 jD42w2ktQHe8i9X2lwVlMHTAMNp5SjlcIMJ8wNirMpTpW5uXAPmIC4UTnUWpkTBMY+gx5suPOJY3 oLMn2VtI85yYTjRTdgBuj/JHMHMYqwUox+Z4L3KBeVXhFB1rp9lTyIWRNDlBzHbJPRUckY1fsMt2 vxu3RtSZh39PvDhwtuUJquF0x4lJ0Dl53mpTpqVy4Sr6Jhv1McZ8oeCC1O7D9lYNkfNGt1Dvfe5D O3ZT61vLdIZuQ70iIxF4Ksz5rIusEDbFYAJ5FA4KEx9QCl+/WJDXiVEll2qzrOIGFfKF1CSZ2g/R 9cAiuHwUrQOus3JWkz7ImGJR/ZkZRmVFp++P+k8ZB09YQLrFsLNbsaTqZUT0cjybxhvoegr96jks KjdUZYFdXt7bdIFAv0tmB9pzo6CQ8tVhlsttaHDDheAEXqnIqEC9PhPmrD4vWzsz7ouRAB/mW9bK XPcgYtWAqGpRmlnNmzTKXKRYRtzQI+qOON4R5tHsKwmjinmqIsT8RwOo0Wsv4LUu0x977VeB14Sv oz6V8isumFNaGGUIy0vuZjXbcTwyRXU0S6AGMK2WnU7g72Gs+iTYX+CacLfLd0fZfAbpBw9fWtIG 2wo4EXNY2Mbh18zakxoFW0qaHYeUqGLTmZubHYVRDly3WYUuIZO07ueJ7AbU6Nlh55DhXDordMeh r2XxTuHP5wPPs47HQgukFtL0ILfBfl31gC+tyD0LLIFfqZNWis1DnlajR0Cov583At+00d04ot1v 2Rn4aFsJf/EbmZ1+7O5519/MV61Yky37EcM+uBHVcSGXdaP+rPKNhJPSMVYgTIDx+BdFeZ1eB5jj pvjQ+eGFsIlq7+fRhJrgK4RpIrDpj61zEWzFEDALduRjyKAlVGb2qvOWHuIOCwKTtSjUmRzs5SyJ faRXsEba7cK2dB7mYkkiO076aIppUyxAv/BNr1885WkU01NQH45D8EiUTHxCL0464KoAyPoqlKPp WAaDKu15FvdpNCRZCo4X/r93tZIxR8VUY/XiqiNiuw58toROWLnjW1lQwkJZLR9uakPShPMz0xLn 1y25P3awWtzZ1fTKElV+kIfEJlpMrkM3/aK5LLNm03Vsdk6hNpmTX2h2Zah1EeAEhC26xMSPKK/U cqysuzbyq/mYZqXYLw/hOkeWz69ZgMNiAvuoxZs5D89MoXSDQnF1NhgsiJdZUfnKaV2CbBm/UzpW vJQk3xr+fDXemMl7ALgvHJ48TmesoSYYvVvv1tEuxfsYLerrQuIkL5dx//i233CAJCCguE1GYNnf HjFcPJen7N0QwrqI7ZhGXM5m251Fp+ZX75jnfVAKmLX/oUxk/8ddJdYZSobnWx8zMKEazrKmuYoM Nj8bGLgHjZQ7dY+NBsAcyXX/aVTjZWpwXzsFWGMW3q9NdJVHcFR0xShVC/Wy9nG7makpnFEN+l2P +xmjOZy/8R9epqDeLNuSS5d5coZbUNpmua2uD8WJ6hW6bGrQrbWwvEWZI844Hktfhd649sDSwlRW qosu0p/KoUh2rQsWArgcTBhVV5VRVrld+emj0f9vFjyAJ0KW74TUofhYbSUbNV9/5MX12kpknlx3 nKWjg5lAMgyekZPPXmLo1Oyor8k/5NaL0K36MJ+gvCITCEJ3iatKaz0TeW0woddXrAg4Vbj5FJn8 eYn+WJ2r+FdqExa1+O2+dAFoUOEf94/baTtMlI0UPPwihpJ8ZJuOADx1UkAHbXHRlzSr1s/dQJ8Y 7svl3v8u/retqAYKFhySakkRfLR66PjpAz+p2OEKbBoZbzJc4iT05V6XHn71PnJq6H2Ooiau754N lY8uO6f2g1qUaE/gI+RJ9iG/ubWxA5cnRwvGT5UiB4x88mPOYiObeDHDeuc4otKDBQDG1ro2VVzG QSy33b0KKVp4KWvtVDIu+w+vpHOnj0u7bvz3jgzfjmJOmxKPqERsvmhfnXHiRqvIyvYj54YFV344 dz3T6w0Avl8jz6xLfZ2qe5FJC4jtEBwqYtWfA+GjOaQkfOZ2WL/2WhdSa1Y31XxKl6VUpfZpaKNc dGcdZXXTtp8Iip9XYkHrEa8a/KJjF6nScuFeESmouDfPjw0mB8GjA34PN4/LEQO++kHesYLCI0jO WT/C3NM3ZEei5FedrtYhhHQzp6L2161UiwxnjYdeHCPNsGGc1HJu84q34hup34dZgHZP0z2tYE1X O2U5+R9XxwmhmxIyjzsUhGPpJFprodtSaEMvnmHmMsTYrJjt67JV2+LkpNmKZ7YcrLoCQ6n56oVo USZtH0pePcMk7n0m35R5rIcCddvt1HUio9/QkPOaVzBhWeWhPb7lDcykNXiREpQbLhrllrSEG0ey OWytvi1LAXx7bOziXPxXnMt0R//cfQ4fAl1HMP/3C5QhfAaaJo3UQuEmOeraEvM0VhkrpXZvGQZ4 u/Hb0tV3U0Q/va9r1pQz4ZLEpLD7AYQ+yFYJWaTqjTZP8Nvvy6oKmnEIJtKpXWJuupisr4Q0LwJ4 kShZGlvnKCEILpIvEFMBDHgfwuo1UB3p19icIEt56zcaomgDCEWUgWrQNFQ2LL5Iy4/ozia4uSne jf6XXUST+7ju4i97WrDwliEzN21ONdMGrrlkx/uaQASLNJxq/EhzPtBL4pmLboP9wJBKzkPoMmFK 5BSQNRKftF2U9xzJlAKoj5vLBfBPpSZrTokktEbc5cCqp/jSsdFdcs9JiqYjnZGuud1fYiHwbkj9 XY2MKCzow5urwobkkDlvEfBEhay9lGDOfW/gPv0TjENL6oWzckcgsY5/TVgfJOsNeqzJP69hiWqe ZAW86JtgzhFoxb9/tG0DBk4z++v1GLEzyJQjlSFyTYal8GY7Nck2vvyyFbwdj377ZoJsuuEhWKQ+ XfHxZwaPh04zET99muBTHHBFz3le3nyhk2p8qALLpFTjw6jc6FCf5gACY7haivR0fJN+/5eRHY0+ gb6hgWCIMeaBXbv1VN4hQ1Pj6hsakxUyA2AF40MF1pE5rMFqc6l3ZKsw1qbX0c3YJEmqf6PuPR0x Ey0dQ9xpmJ+OJvnmZ/DUapLTWDH0NjDpUCuBYznW+1BS4ahbbAnkgDHyR8TIvopT0O25WM6I4uEq f5OyVYYnsWlmm6EgEdR3qbgQKfWiIV2xXERQOCn3eru3FOWc6IL9vUuxnvV3cnDj66ojFLhoOxxH iiaAiFssosSc3EeJ2/zZ+Is60sxfl2XNGCKP0iX2Mr0obaAxXgnrf1Vw6yVcKkfocPlLvNhufW8R fZjLO6uRBl2EjCgUpsv6eXR7qyufoVNaVNCjDJFUXWE4g2TFyX9WMVU5ZRXYLNYhSJQBi8OEpV5F wPouDDFPtHsyqPgRsaaGFuxLAuZPt/6BvFBG87WZ+L0wx6yj9iYmSpwAlh697gg6x/ZA8dogdHb6 cG2lt+/MSZTjGw1SpMw3KKOLCxe65VrbxRZt8Sj9HhisFym9VPCfEmd5ZMJ6idN5/KlT5PN2xQ6f unJggf3W4MxwWHn/rVEuYmmqNDzG3vbq7ZC41RO3lXIQlHomR0IxG3vxhV8g9vj3lE1MQGdrqt4E Gsoojlvs1LZ/Pm61rUn4/zAOVIE99NDpTuMSEG2/0ZwhCXdfA9kLWU+9Ab1HJyVjMSXtMahI9jbL QOdgfgc2oP9Wv/NrfIxN6QFfqJOdF/W8ccsftli+pgvdMraZ6AH5O5XGA2t3CaOoFoO+A3YcC6iB V9dnmOyrlIMPrcoykT0wPeHZ2Wz3DJGLYUl52qnFnOx+InBVPG066IYzc5kPI7ORqVR7vYDkO2SG 4FB9dE8rXMfEmK1q//kny87MhJoD9qzFvLK7wRank6HYg6qNpb8MV4vyNJl8iniMGgcQ/koSopnP 2QAGwLn/T3XL4poxvuafPmDPFVOdRbcM2v0Ja3LOhwrWXGSfHHwCCLynjIPF0yCs9dP1ljDXkUDN mYp1Tz1z/9WJWI74pKQKjy0UhFaiG1k4qFL3J0JXMQrSPOXLdaav7DBnevqsB5VHft55HIzs2YuC LoDfM49zzcVMv7mjJ74ulOPw9g3BVWnZxj68P7hV8kaVJeflOi11Pv5RP2jknVWiMZkG6+15cx+A 9rupSX9/4UMmnyWjxh4MXcPtt4Rr1T7fHA1qrULoWS57S8YUmQ9P60rQNwOaffSCpYAENgirUn/1 /ZQgwI+qYE829o7qj9FLJ5evc+Zo0LAUH1JiQYrePSaEerWzsN6txoYV0/YgQIbNTjTXBK46XRV2 yyakRNiAKSILvpxGO09lbVWAuASBwkJrfWS1fdeZSqfpjGe4AmxJ35N62x5YR2Vr0HWDtAR3ZUWE 5Pf64jlfV+6xRifi6TlucInagZEGuhlNm8siI739GkcJUPBwYbE+H+fk9mGvoATmNgUsxepgsE+3 +8ZsbPN+Eww+RVbO4nj2owmGmqZj4O8usdtEiJVr6XuUW4UQGo5UTsJy9yX1sSRJ3VOMYfqB/LLU ZIv2XcczQjC+ITwVB3lAeiMITG8PN//x+XqLXKW68mO/yS7EiS3YUBCFWew7IvB+g2SrznNEu/s1 RVZqS8Huz+j+sx2sr72kw6qoKq7J+xEf9vlVHeHxrYCK2Vrg2yQU1L+mDKVOCXZUfU5nOETuoANR /iwRxchGecjSBSquRQ/1gKvBHXTKtO7tJzpBGLFkuYAtahZpAk+ZE9l0qwXktYl/eg+AObfW2Ndh oKLB5vUD47rJrWMJqVZHtT5RSoZOryVDD7p5N9MJyq2XGq+QA4qCtvHiXMi3R8iWQ/qEkz8gIn+w TdDtxkYtctUFv/ifqUDPFZHXVDDj12u7BptLzdFRVOvwxVZcRRW41JxA+oWeT6iCWBvvoJyiwvC/ Xtx3ihW21u+5OCTRJBPvd+VtUo1+SJhcYGyr+ZQsab2NgutkDQvFSkPdyX9P1q1/HQ5Op+G3fLot jKQQVULnoTGTN/nszbPTknXtlPgCOAU7zKa3wNFj8qZSubgLPdbRC0bbh+83D0UbeO01ereycrOg uTCgDW8bVQtK7Dndc3/XNq3l5anZK/CzV4chOuGTEfOo5k7zBMMqaUaTSEjPy1UrjsidXBgCR+8U YSRgtfqVRyKuBLlb6Rd0JldkBhR49K5RAemEbllDTH4np0BvMHAS7PMm05CuORI4MqMyKFhhvRYC EpPqKnHvEDY7KMNjpKDoskgV7EXOZ7Sd0VWyzoS2RuxWjbd2TDcmdFbq/huiYcNArtO9cRbvwgo6 SeL/G6JRdSrYoEsOXWUdb3+wLAwoD+zxXPyANySQV3IZMKzpm8TbW7i2kf7py9BSLO+LhsIOUjiR 2tz90W/APNmhsT/OnjOyjpWTagGd8pg5ou0YVqcZHa3diLi2YqV7ILq0Au3JRnQAbfTIzpY6i35D DcuepBRafIoSuFPRd/gvcm6LIidjl95VLrqE+m/hRz7DZpITARq3ce5CI6D8OMAAzxP5tTWfUFgX hLnbJBxIr9eT/lfqdFFzN2LrfWX9kn0pN+wcu+5/6rk4Bt8fI0T6e8ngzhxRDKHicZApGvjz2ghG prBL0slg3c9HUfUB1i1+ldb439Df29ZmrjH0ONxUXcIk6Vxy2nwdDKz9cLI30CrusWG+BuymwSgL 1sGU7xgKQy1G0ef9y1xDYokPh0im2BvQOZ3g5PiapLYIPgFdXe6Qgw1anvUoURINYi/WocmS6Ax7 xY7fwCTICnErx9NgB4qELf0Gid05xLL4/4vbFbY/a/9HCLkPzpkQNM3wvMjojS5XRn/64I+ylU5H wGabz5BSzE7sMyj9WAqkzayf4QUwCJTSPfNNfCuaoDulrEqjHV4pJ7gHCFprHpIpHQ2wIcnj/8sT t1e3OHMjCg0+BDmdwlnC8GMtreCAZrr7yabcU58eWrqu00hHD2OudEk3usDzhG5tnSsB+xIbXmku t7Du2i0qMcqc8pRdhsDux1DA69x2MhVDyqxsjrUSOVtEmjhQa3gP+8KcI3uhDkElQOoC35KWnLm0 NptCRhoG9AJZyb5imO47JmUSUN0obHi7Q1xsE4PtPhUvVErjrlohvTVfonwTaz6bZlT7pbU+l5cd Fz6AoNht7p2b8BXofWITKzxS5IrbgC9ZHqY9Wyky9vz6tZ17DSqotgOWWJ7iW6HP9+kK8bf+1VII DZO3bpM58jHxvyChKfvEtpjo7wgAx7JOp07ObHnKDy/SvWPzARKXmoS99Zz22t7W35M+7M84lHl6 a3oyZdJNrOJNvsy2cWH0DozoB2sDaFWyit3tA8H5XAQkNHUJPRc8USfnRbn/Sm1dZ5EvihZrWdiK 1Wq1qbyvwVeGB1FV245vFYLDgF0tqoXqhNolDNtKI+bx5UJm8ETBAmjNr/wSsUzHdnzIm9lXLq7Z WA8/aGL7JTMtX+axElXWiF+B9kBghBFIb9los7tWC45hkFu49nq4axklKpPb8aZ/7EveCVsdcyza VC1GZD2Q7KyMZxkV443Ll6RKZRMaRf/gfXVwuifCUmVsyLr9Fvknaatg4D2UM0i3ovFKtF8NdgEp A6EUmNLAGVTkgKD4prsyUvwmJS384BLsyv8XPt2ql4yOtlPY+wc3Tirlw8TlRr3LLEsOyM11rNaf /+FgfUgd14FYYlILew8cVQMpohkmQSSSmovjgsDoZVd991pBVjcMd2sqDJYGbUoIPTmBYnRme6M5 b7hdDkEJVvqNRZwhZAV3fTDDrHG1YegjBM9AKwlWyk9/suUHCJlMD50JgFmGlCmOxO8+oZ2K/u+a ttDjD/CIGwcrnKU1xmD4ouvrwwoh/9oQFggwwkgzMXHFSs4RLjUtntnRtBTuhpXppe0+T1epJdfZ x/FjOvoHTIdONlvugpQJLSDH98tcESTY9p21JeC342/ILWGPlKQwuHwV5kf3OvGkpcudMh/1j9zD 9wMr5iqPDi3AuJ+GF0xX86pWrW3pkOqVp+gPmMPFcjl7+f5F1IU4hAg3HIx/8jtsjV7AGcSXLlp6 CrtldDDjbVbR2jRafx+wFR+Yz6dNb+I0vTDRBsXUMQqWxqNA53ic5u9qgJphOOpZzrWpRvy7PSSz O61IUDGvr0AVfmJuHxFcA7hdn8RGq1wHDrKxHMEC8pf6dzxm6atA7PpYJdce7vI+PuzLgaanifO5 Lt7OEtLM5c1j4Y2CcumNEly4MGF13AYdacz8AjZlS0+N3rfpDyBWBMZL2aoPWsrdY5JGaVZDl2a3 EltTpD0+sP+RKKhh2vYWQicRqGTNHQneRhA3FOVCU1D4F8uTKzh8Zk4SDahveSUbSyTj20PcjhoH 0G5sNQT7h6cvcqftcnc2HscVL2dvx6AbD/hJJVtQeK7+Rh0pP8T38n9uziVkAhWdRtYwJ29ErsPf vdTOviIiiY3NqfmtGm+XkGsfG5kVNQI6AXYu9Ag7jUcqagDk5iXIPnvHmk3oFIVuR2uEvuD2L88p Uae9rSvf5ZM5IB+wgj3Q1PjCpMY2H9XQlp50SUalAzh5aaOEk/xmv3hVaXHJy9BGQlGMheMyjWtj jNiSDO+Nz2GYKUzFaSsJI+xsMewXmoMrAls7eQUu3F/Yn/aW8GpNsnGv6GZ2ffstOUJSx2VzWHp3 48eeBWs1c32AFP4stN2Iowy6QLtuuGepPDMEwuI/bmA0uDqNhmCtadHfm3VQC2vgJ5JkLmjy13kR G4HBSckvS1rWW6Ys2Cxx14m5U/UPmSqWYDvsMDmH4+kGujgpo2232l0Psf5IDeiM5XcHIQ101kiC 2YHUO+8i8qoho8JP03NWEncg2cVLjJDlRRNvIcpLN1GmiZVOaoWMkL/sZ77rI4zC/NfiNavzFBvP jhdVm/3YsrbpSLzLksAWxkihgrBEYsiKneDE+RVDjcfqGIiFw3g4VF3kb9BC0XMk9y/euOJ8B2Ve YUz/e6A4KYO3zMSzzwTiAyBBe0Zp8GNxLfR4VrkYXD9B060R4Jnv3aUay2y8S8Y0CHx+PNAn5rI6 cXzH85ZuyOgFYsfyaFgXEkK6uRnk1WMtb2AcumFT3M2GzpsybTYwjt2vKjtunNEFjHTWVUvd1xed vsIEmhUiM5XbRNM8f+RDCrhsWK0cEwCRQIPaI6J9CqgM9JUxvDDZ2jCxarZ2YOliUeIM/lANjH0b EOTpiTUUOYuyVnKTBGp6WJBGFeyUdYR1DUyhNow1XCz6g49OEyCiW3vE3RWrV3jXVl33B14UhpWY 1Bxj4H4ugD14YawgJt8xTdPeC0LxS68Piqjq1Y3wgiP30AXlFyjLbKyBIP7cin3lk17+BPkM8EBS TGEkU5ljLP+N9ELwLjn13Q0E0KCP/Tuocti84NLeVtOe3u39i6eczJPgQfbO4fyQbl49uylaM881 k3iBVV+IJH6eBmMeBpfC2hWVHQ4HQ5fTRxrzz/5GqKeUbUOAHNM0H/sdFsKpZvMqN8+UBC6Kmvpt 1HmMe8qwAebEwH4Pwn7ng4Vcm9U3Wp1nWLAzpFPiedjLhJN5biU8uOii0lXkteLohYNEbuKEnnIt vwO+6lzCRQk8CtDN+i4YMlgFK/PUZ3fWKtUD8F8JvTeNVrcHWikcxgSXDBAgBzGHsdiGVr/dvlhw Ci0gnRorU3OvyXJLIGmiwRAGDkxlH06U3Q3vv0slBYeW0gvgkYIfQPVIzSeA7hb5dYuz289md3GA 6nywyUbKpo9duiX990Q00a7iNSRHQbzGF6XJa0Bikzpfn+8uABdAyBeBysYgCyBGEoARYWHAaKoV P9dU3XEBQKAcYvoLEhNnw0ZuBbAZP7XMeperB0jMkVA2u8Ik4UGyu4Q1oKOMkSkT72ojVuTl7Rta +np0ROA4h9ZE/+3tTEAwYer+c4dfAmpSUUqc3g72359jZfjPA0eNH/lptBx0lDw7PgqnlsL3kWzv FhiqsvudFphr7D4zo/77mT67+T+09K2nVTIM6SgQ9OLWj6bgnLk0yly0Yy/0SFmk1DYule4XTTzQ 3C3wEtnHBD0fuTnVQ7laIXTbKkaSdoLSJIIRXIbW5NrZTonS4d9rRXFR4QLHpohhmIw0zUc8f97j W3HmeoWd+n83H3HutkI4UaP5dt59U42JsTKYIBpIxOas8eY0i+2ffspXLFK1TQd2qC+ZsiR/bJor ycu3mrzQTXFb9HEEC0tqkppjg3oRPwnWPDlULil1RCZ45VIShoweWKtdreC+r7c4LZprCMw8Uefw gNct1t/VB/wf5+HiY0J2TIy0Zwami8kNkRDg0QmDkC1PV2N3cxlpWr5J5UeI9PIZg/ZCCbZBCc1v 92zxGSaZaw2dTOt8jZB8Ypb6bqqf4mJ2INn+sUFjjbeJspP1Ibe/KWyiIYJ68peb7ph4/tYY7n3L TJ1eH3/o0okAqfnO+agjxZf8RScEy9Ugs5nogvTQwQe4CIeyLrdkX1MB9AmxjnsbYsDVciw2fvjK 2aqFxpAjZft5X41xZPK6GwCHQJBv+P2JpfomurLjx8eKKv1bzkapLEY++YG3WuCjzSNhADsStjcT G7glEGX1/lMlgmKBledD7aIfEBqJeDfAoQheZ7W+s7078KN7Yhv/WPxOFkdbkmF3V2606hL60lJM WejzSDyvugAiIqVtzKXvQS751On1MSiV5AArCp3B2NeyGm3LsWDG1NdoWNYXL0KGg4RSb/8Gpsj9 6Ydg/nx2MueEhPzXxOTsf+2s9rsoBMuHYbnM3uwhLDMM8ugelA1P1CqqpXt0xH7OMMRo47jD88sS GergMG0y7cWUH/SR9Eb4x4JWl+YuQDcWZpEUuy1vpIU3AFtYP5pIDkPa6Nq53LpOFVNFVAspUaCE aTPwHlgtWDGAsa0vd2TWWQ30Qmj1Tqyn9YVczEXTVoiMbq2MYqyjvdEkJ2Ckg1OqRtews2TXiEkv BekiIXcmsbMB+vTCX4XlT4JNQ7SDORWX7hDsywBAZKGSWLdqo1wcrFxfsQe0BtRSYdz2K13E+1eJ hj2Jzulabeknq4OWUJEXRVPijgMeuVNLIvO/el84xvcTF+MrnXWdh6Op5Rs86LIm/rgoMZOOdksq U0QPLH/O/gqjqcFLaCVbxbnwNAFckXotmrbbUYT7nvjSRgaRM1UHV+2oxSxBR+L+SYTbUUCyqKn/ AJjmTLvzex/opv55IgasAPz951DLvbOfnh35zI1L/AQTBvgojgWDMuc9XSlkIYprratUDKM877xJ LKIgyHcPnByyaHW02x7pBMSfcnG7yibON6FOfB/SO+1k1xo9XhlEp7ibU/qAtBq7uFJCCR9Cf0wz tchy3XI8bs/aUqja8yWG+iCVfVgRA6Hquq3z5bkwz2pR5KrmzY9YeT9kmNMiq8vtQBAxRW0I3jRB mkXvCd2G24GOasFwzVew+XxxNBTN9T3v1ohTPbJ96R+z/Is0f1K3L0Sd83actixHoleDiDC8j0sT PdskI9dwoGNUgHlSTF9RL0DMbOC+W7pCH6opfmE/ABPw1R12nWAPKVpjfpQBJ7vyT9BWROX1xyLx arGx6PsmMCxzEMfXRhe4XM2RNpm4cf4niew+tM9QG4Lb3MyhLnjRkBAz4rmn/j+QVAKU9nW7DnbA 0rTp6/tBTZhNHqZcMdNJEoVIWS31eZpAHGIy5koVUQIfKK/zcL40q/AJFC3NwfYx7LibjNc1RwLc 8EMcDsVrAF/7gJswdufPsP4+FV68MHXv0WnXdC27IWi0Dm02nqcLWvGHGbi9pm1U1mc+pJwg8UDI w1pf/GA7cEUFZlopxiD8Yj6pGkM68LQt0kyq7pedImFgEN/w16sgRYYMGUZtkQSBy9b73S3d1CH3 eAE/AHv/BwRHS2fGeKLAzNueIp/d3vRA+4v6VSv7WMTmlbdyA99dom5FtxDe/4Og6di2NLb/CJFT pOgImDTWGoaQTXaOEgSuyXYFIw4afCHqKfPGuCMh+QucstOCT1CRwUcB/MN2StEokp0uFS6HQpun y28tPiyiZjzcSXZF71ECM7DknY5P3dxlUO+153uCHDKOh6906WKjZ658T8EMnQvFh9Q1Q+p2SFMp W16gK8SU9ihPc1wKmUf7YRB6KlfnFvaZSlX7V5ET7U5VhwpstUiGk35+Cltqe7JHwERo5ov/LgjH L6LkYdugoPKLBAbpkpsS+KnVsGdn+7y3mmbb0V/GY+uGunQAG/0pf82zks5SK/h0Fg+DKmm/YPDm xwPRLJ1qv3yKJs7E5UQ4uZNjcmPy45IvvZWS9C2Ns3Xhp1ki1q9daVqoTsVp+A8jzMTkW9y+kE5z Hipzn22zaOQVLxYzO407ZoTyrcjoTBl7xZ+sNr0r+i86jVwjtWSGwyuQtQ90VYIlKo8MgLliO6ES 1sz7DYoauRdVqL2azc9eBhimMvfiH+bME0wwUAaEs0BrvC/hlvbj4MlXsV3mKSvbgAC7p5XcbA2C uwpX1F7vaXzPSc17k/15Jx7D0zeNX44nBaAs1cQH8ahcgK62EK11g/bec5sbMIUlNHWMkdRWUKCu ISZdc4Aq+s1tYsvRdrvquGU7W6hndx96ntVSSpqzlBdUUl+DQwm3E7hIMiFaMCKf+23YmMDfoatF WeP3ZNkqHJXYwynEst2hj08uWgfmKvx2b8JE6MFqcjGEv57uXQrPH17vt3OV1sN7W/uHM45jhaPC Zoo2RPMT+IXfDhENI7Vy8r1vp+IjP/b9cZOwaZUCmH1QPGqJODcqMdCXNgFLrCUljHtpRkz48FDm YHKpGUfUYKbrshBll7x7ZQz5SVjLRNQ1y80Gzl1JajRov89gJ3OVLtqNozJIIrxEz5kK364rAMRC ho6lbqTfGarPWyUI7dJBjbFqrYswivVfDezlTXTMESOT6ystBdSnQ24emAKu96I+Vnc09TPYPXDc 3RcBM3P9Mp3e52BvAxi1g02qfdIDWFTbh//dJA7cQ++riWCSHnuSy+hzVcsYcUnbrK0yVVUv9pHd rNBUWqc6kr0gswZUV1+TEkFuJ3f0aJOYhaSY0vV9a0aXG7MfXaAj+fSC0n6vY7HyemFf/McUMOzl Md79LRQiERD8qolOEvAkrP1zNReh9tXVAn7PAYMv5VwPe5SQfNZbCfjeh7Pi/JZqW7gTaBJIpSHu e213i/mocxGGnjTm/0GlS4d4TvyhLr0FdN60BlHyU7+UZCPsIYZ0+on9160LnyICkx+etOBHKz4b IvDLE0Nd/uyf4vFsWPkG3lZPu6DIF+/w8VZrt+nXTwS1c7VtzXsNFGUxs1u3eOg6YQI/Zc9wixxz E2H3BLOCtljepavoRehW42Bt/+8YQLdPF4TmSvYMfso6c9Y2vAiVn9NY32EPxwj8GQut/yJDN+FQ PSNHNsXFHpkRa5Kev4x1G/58IYujFm5gd3KqqZKZ+vFZG4KOWyzdmpweVW9QFOCluHWvs0ILcW7+ YGqayYFumEIPGUYopC8bAEUKO4aeZt3L4p6EPxPkCy+Aq73Q6P7RhifDEcWe2ZEJTyEj/e2OW0rU c4pokMPJjxCBZNQT5ep6W9ny/wW54H81qp9ehDiBbHdbV0t7PRruC7OeSyS8epIDyoWdWGd4vpUV X92E/9BzmGlSWfhkxX43qHK8ZslBFpMEcYViWP+LikinLoLGYawrh7PsF3KhFm9+UWc1vK1bkocl 5rYtpscW9YOT20aualKZFt3lKJY7VaQeNwfksrJ0mOqsNkz/e11FtpGOrg1rt0K8LKq9kLon+7hS 5SNHySe0WOMHjDCj6k2bJ+eaAcjjH5AGt2jUPZUdoOFsjx07fSKeylIp0/aGnXw//wh810Ot5x3F CxMJ6d/mMJ7Ol5h5GUgoIh13EbsMpAMvPTbMbDZW5lExPFyfuoEsxUQfBelSAImgvMtfmj8/ETkI TfCWFoXbWkjQJ4atffqb8+4tq8vDMHRzZy1LC3Ukj8IItEk8OslV5SGZIzDBnm1MHtrSTl6AFCTq m8zSFL8I5vR+bAtHBkR2CIUbi788b7TGU1PUhzD03+2P3Fa64hprmXyJI9LnIHlP4qvFwWLFqUB4 E/8wefxUEYCZgkYCgFAmUb0km1fXuDR9Fx7cyTyHhtOpCeQ1j8hkUVCMGkYYadhIWg39VyXo88Ny y7+tivK4oJu25cP9HGSKWNg8fwxx+I03UuWcqxxbiE+7mNRg6/ApaRXTHQ2Z6bfMXdR3kTbYuhgW JVho9a3SXUQw7ULRqAYmTT9wQwZPFEbYRSNLF2a4cX/u/rTwO/9JIEb/8FvO06KqvC7c1x7FDFRK T3HrwDL7IiDJFmbs/VLizoKJYHlOtOk8qpH3eso09+//mSoZzjFkH6/qpqrck480ID97smWQ5NUj iy+8c0OQnj3HzDayoYjwlMIwCwAfumyIy/3cEVIFGnwbfgrMFE0LLLXNBP4Uufg+w/hpF2kaV3OX l5/NSX6jza9UkJ3dQse6wZHSM9CbaEL4yKP40wO4ZYTcq5LboDAJVskzJEoucR9Fau5+oPjMyYfn P83IliT10U7pYvT0jopEle5Vk/cwNV8EkMMsDZ09mzxs928uVXFGhZWtQ0LKQV8lWMxJPJxAl4G6 Vz2F642/LPPjL5y5lSkoQwWjqFj2jgzpAPceKDGndTdUVowrO/hF4LL7nTeoBuzmlTfvpysTIDiU ZlmOGaRIf1IcBuKzkasP0XwCNrZER6hGSloCd2lnWqbroJj3lvmUu1N8H75b54/yxdu6RU3rTjqf RU1HlYZpWuRMJjrJLYt430ulJbltsMxQi2yZ4BK42b7Xus901gS9Yzt364VOBuMqBdo0Rl+Szyue AE4JAxG6f5KJaRjyHjaobknt1WQxZarmGi3bL07/fVS3xPw= `protect end_protected
---------------------------------------------------------------------------- -- axi_datamover_addr_cntl.vhd ---------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_addr_cntl.vhd -- -- Description: -- This file implements the axi_datamover Master Address Controller. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_datamover_addr_cntl.vhd -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: DET -- -- History: -- DET 04/19/2011 Initial Version for EDK 13.3 -- -- -- DET 9/1/2011 Initial Version for EDK 13.3 -- ~~~~~~ -- - Fixed Lint reported excesive line length for line 196. -- ^^^^^^ -- -- DET 9/1/2011 Initial Version for EDK 13.3 -- ~~~~~~ -- - Fixed a Lint reported issue with the vector widths of the addr2axi_aprot -- assignment to the constant APROT_VALUE. The code was ok but Spyglass -- was not interpreting the vector MS Index correctly, I changed the HDL -- anyway. -- ^^^^^^ -- -- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_datamover_v5_1; Use axi_datamover_v5_1.axi_datamover_fifo; ------------------------------------------------------------------------------- entity axi_datamover_addr_cntl is generic ( C_ADDR_FIFO_DEPTH : Integer range 1 to 32 := 4; -- sets the depth of the Command Queue FIFO C_ADDR_WIDTH : Integer range 32 to 64 := 32; -- Sets the address bus width C_ADDR_ID : Integer range 0 to 255 := 0; -- Sets the value to be on the AxID output C_ADDR_ID_WIDTH : Integer range 1 to 8 := 4; -- Sets the width of the AxID output C_TAG_WIDTH : Integer range 1 to 8 := 4; -- Sets the width of the Command Tag field width C_FAMILY : String := "virtex7" -- Specifies the target FPGA family ); port ( -- Clock input --------------------------------------------- primary_aclk : in std_logic; -- -- Primary synchronization clock for the Master side -- -- interface and internal logic. It is also used -- -- for the User interface synchronization when -- -- C_STSCMD_IS_ASYNC = 0. -- -- -- Reset input -- mmap_reset : in std_logic; -- -- Reset used for the internal master logic -- ------------------------------------------------------------ -- AXI Address Channel I/O -------------------------------------------- addr2axi_aid : out std_logic_vector(C_ADDR_ID_WIDTH-1 downto 0); -- -- AXI Address Channel ID output -- -- addr2axi_aaddr : out std_logic_vector(C_ADDR_WIDTH-1 downto 0); -- -- AXI Address Channel Address output -- -- addr2axi_alen : out std_logic_vector(7 downto 0); -- -- AXI Address Channel LEN output -- -- Sized to support 256 data beat bursts -- -- addr2axi_asize : out std_logic_vector(2 downto 0); -- -- AXI Address Channel SIZE output -- -- addr2axi_aburst : out std_logic_vector(1 downto 0); -- -- AXI Address Channel BURST output -- -- addr2axi_acache : out std_logic_vector(3 downto 0); -- -- AXI Address Channel BURST output -- -- addr2axi_auser : out std_logic_vector(3 downto 0); -- -- AXI Address Channel BURST output -- -- addr2axi_aprot : out std_logic_vector(2 downto 0); -- -- AXI Address Channel PROT output -- -- addr2axi_avalid : out std_logic; -- -- AXI Address Channel VALID output -- -- axi2addr_aready : in std_logic; -- -- AXI Address Channel READY input -- ------------------------------------------------------------------------ -- Currently unsupported AXI Address Channel output signals ------- -- addr2axi_alock : out std_logic_vector(2 downto 0); -- -- addr2axi_acache : out std_logic_vector(4 downto 0); -- -- addr2axi_aqos : out std_logic_vector(3 downto 0); -- -- addr2axi_aregion : out std_logic_vector(3 downto 0); -- ------------------------------------------------------------------- -- Command Calculation Interface ----------------------------------------- mstr2addr_tag : In std_logic_vector(C_TAG_WIDTH-1 downto 0); -- -- The next command tag -- -- mstr2addr_addr : In std_logic_vector(C_ADDR_WIDTH-1 downto 0); -- -- The next command address to put on the AXI MMap ADDR -- -- mstr2addr_len : In std_logic_vector(7 downto 0); -- -- The next command length to put on the AXI MMap LEN -- -- Sized to support 256 data beat bursts -- -- mstr2addr_size : In std_logic_vector(2 downto 0); -- -- The next command size to put on the AXI MMap SIZE -- -- mstr2addr_burst : In std_logic_vector(1 downto 0); -- -- The next command burst type to put on the AXI MMap BURST -- -- mstr2addr_cache : In std_logic_vector(3 downto 0); -- -- The next command burst type to put on the AXI MMap BURST -- -- mstr2addr_user : In std_logic_vector(3 downto 0); -- -- The next command burst type to put on the AXI MMap BURST -- -- mstr2addr_cmd_cmplt : In std_logic; -- -- The indication to the Address Channel that the current -- -- sub-command output is the last one compiled from the -- -- parent command pulled from the Command FIFO -- -- mstr2addr_calc_error : In std_logic; -- -- Indication if the next command in the calculation pipe -- -- has a calculation error -- -- mstr2addr_cmd_valid : in std_logic; -- -- The next command valid indication to the Address Channel -- -- Controller for the AXI MMap -- -- addr2mstr_cmd_ready : out std_logic; -- -- Indication to the Command Calculator that the -- -- command is being accepted -- -------------------------------------------------------------------------- -- Halted Indication to Reset Module ------------------------------ addr2rst_stop_cmplt : out std_logic; -- -- Output flag indicating the address controller has stopped -- -- posting commands to the Address Channel due to a stop -- -- request vai the data2addr_stop_req input port -- ------------------------------------------------------------------ -- Address Generation Control --------------------------------------- allow_addr_req : in std_logic; -- -- Input used to enable/stall the posting of address requests. -- -- 0 = stall address request generation. -- -- 1 = Enable Address request geneartion -- -- addr_req_posted : out std_logic; -- -- Indication from the Address Channel Controller to external -- -- User logic that an address has been posted to the -- -- AXI Address Channel. -- --------------------------------------------------------------------- -- Data Channel Interface --------------------------------------------- addr2data_addr_posted : Out std_logic; -- -- Indication from the Address Channel Controller to the -- -- Data Controller that an address has been posted to the -- -- AXI Address Channel. -- -- data2addr_data_rdy : In std_logic; -- -- Indication that the Data Channel is ready to send the first -- -- databeat of the next command on the write data channel. -- -- This is used for the "wait for data" feature which keeps the -- -- address controller from issuing a transfer requset until the -- -- corresponding data is ready. This is expected to be held in -- -- the asserted state until the addr2data_addr_posted signal is -- -- asserted. -- -- data2addr_stop_req : In std_logic; -- -- Indication that the Data Channel has encountered an error -- -- or a soft shutdown request and needs the Address Controller -- -- to stop posting commands to the AXI Address channel -- ----------------------------------------------------------------------- -- Status Module Interface --------------------------------------- addr2stat_calc_error : out std_logic; -- -- Indication to the Status Module that the Addr Cntl FIFO -- -- is loaded with a Calc error -- -- addr2stat_cmd_fifo_empty : out std_logic -- -- Indication to the Status Module that the Addr Cntl FIFO -- -- is empty -- ------------------------------------------------------------------ ); end entity axi_datamover_addr_cntl; architecture implementation of axi_datamover_addr_cntl is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Constant Declarations -------------------------------------------- Constant APROT_VALUE : std_logic_vector(2 downto 0) := (others => '0'); --'0' & -- bit 2, Normal Access --'0' & -- bit 1, Nonsecure Access --'0'; -- bit 0, Data Access Constant LEN_WIDTH : integer := 8; Constant SIZE_WIDTH : integer := 3; Constant BURST_WIDTH : integer := 2; Constant CMD_CMPLT_WIDTH : integer := 1; Constant CALC_ERROR_WIDTH : integer := 1; Constant ADDR_QUAL_WIDTH : integer := C_TAG_WIDTH + -- Cmd Tag field width C_ADDR_WIDTH + -- Cmd Address field width LEN_WIDTH + -- Cmd Len field width SIZE_WIDTH + -- Cmd Size field width BURST_WIDTH + -- Cmd Burst field width CMD_CMPLT_WIDTH + -- Cmd Cmplt filed width CALC_ERROR_WIDTH + -- Cmd Calc Error flag 8; -- Cmd Cache, user fields Constant USE_SYNC_FIFO : integer := 0; Constant REG_FIFO_PRIM : integer := 0; Constant BRAM_FIFO_PRIM : integer := 1; Constant SRL_FIFO_PRIM : integer := 2; Constant FIFO_PRIM_TYPE : integer := SRL_FIFO_PRIM; -- Signal Declarations -------------------------------------------- signal sig_axi_addr : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_axi_alen : std_logic_vector(7 downto 0) := (others => '0'); signal sig_axi_asize : std_logic_vector(2 downto 0) := (others => '0'); signal sig_axi_aburst : std_logic_vector(1 downto 0) := (others => '0'); signal sig_axi_acache : std_logic_vector(3 downto 0) := (others => '0'); signal sig_axi_auser : std_logic_vector(3 downto 0) := (others => '0'); signal sig_axi_avalid : std_logic := '0'; signal sig_axi_aready : std_logic := '0'; signal sig_addr_posted : std_logic := '0'; signal sig_calc_error : std_logic := '0'; signal sig_cmd_fifo_empty : std_logic := '0'; Signal sig_aq_fifo_data_in : std_logic_vector(ADDR_QUAL_WIDTH-1 downto 0) := (others => '0'); Signal sig_aq_fifo_data_out : std_logic_vector(ADDR_QUAL_WIDTH-1 downto 0) := (others => '0'); signal sig_fifo_next_tag : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_fifo_next_addr : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_fifo_next_len : std_logic_vector(7 downto 0) := (others => '0'); signal sig_fifo_next_size : std_logic_vector(2 downto 0) := (others => '0'); signal sig_fifo_next_burst : std_logic_vector(1 downto 0) := (others => '0'); signal sig_fifo_next_user : std_logic_vector(3 downto 0) := (others => '0'); signal sig_fifo_next_cache : std_logic_vector(3 downto 0) := (others => '0'); signal sig_fifo_next_cmd_cmplt : std_logic := '0'; signal sig_fifo_calc_error : std_logic := '0'; signal sig_fifo_wr_cmd_valid : std_logic := '0'; signal sig_fifo_wr_cmd_ready : std_logic := '0'; signal sig_fifo_rd_cmd_valid : std_logic := '0'; signal sig_fifo_rd_cmd_ready : std_logic := '0'; signal sig_next_tag_reg : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_next_addr_reg : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_next_len_reg : std_logic_vector(7 downto 0) := (others => '0'); signal sig_next_size_reg : std_logic_vector(2 downto 0) := (others => '0'); signal sig_next_burst_reg : std_logic_vector(1 downto 0) := (others => '0'); signal sig_next_cache_reg : std_logic_vector(3 downto 0) := (others => '0'); signal sig_next_user_reg : std_logic_vector(3 downto 0) := (others => '0'); signal sig_next_cmd_cmplt_reg : std_logic := '0'; signal sig_addr_valid_reg : std_logic := '0'; signal sig_calc_error_reg : std_logic := '0'; signal sig_pop_addr_reg : std_logic := '0'; signal sig_push_addr_reg : std_logic := '0'; signal sig_addr_reg_empty : std_logic := '0'; signal sig_addr_reg_full : std_logic := '0'; signal sig_posted_to_axi : std_logic := '0'; -- obsoleted signal sig_set_wfd_flop : std_logic := '0'; -- obsoleted signal sig_clr_wfd_flop : std_logic := '0'; -- obsoleted signal sig_wait_for_data : std_logic := '0'; -- obsoleted signal sig_data2addr_data_rdy_reg : std_logic := '0'; signal sig_allow_addr_req : std_logic := '0'; signal sig_posted_to_axi_2 : std_logic := '0'; signal new_cmd_in : std_logic; signal first_addr_valid : std_logic; signal first_addr_valid_del : std_logic; signal first_addr_int : std_logic_vector (C_ADDR_WIDTH-1 downto 0); signal last_addr_int : std_logic_vector (C_ADDR_WIDTH-1 downto 0); signal addr2axi_cache_int : std_logic_vector (7 downto 0); signal addr2axi_cache_int1 : std_logic_vector (7 downto 0); signal last_one : std_logic; signal latch : std_logic; signal first_one : std_logic; signal latch_n : std_logic; signal latch_n_del : std_logic; signal mstr2addr_cache_info_int : std_logic_vector (7 downto 0); -- Register duplication attribute assignments to control fanout -- on handshake output signals Attribute KEEP : string; -- declaration Attribute EQUIVALENT_REGISTER_REMOVAL : string; -- declaration Attribute KEEP of sig_posted_to_axi : signal is "TRUE"; -- definition Attribute KEEP of sig_posted_to_axi_2 : signal is "TRUE"; -- definition Attribute EQUIVALENT_REGISTER_REMOVAL of sig_posted_to_axi : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_posted_to_axi_2 : signal is "no"; begin --(architecture implementation) -- AXI I/O Port assignments addr2axi_aid <= STD_LOGIC_VECTOR(TO_UNSIGNED(C_ADDR_ID, C_ADDR_ID_WIDTH)); addr2axi_aaddr <= sig_axi_addr ; addr2axi_alen <= sig_axi_alen ; addr2axi_asize <= sig_axi_asize ; addr2axi_aburst <= sig_axi_aburst; addr2axi_acache <= sig_axi_acache; addr2axi_auser <= sig_axi_auser; addr2axi_aprot <= APROT_VALUE ; addr2axi_avalid <= sig_axi_avalid; sig_axi_aready <= axi2addr_aready; -- Command Calculator Handshake output sig_fifo_wr_cmd_valid <= mstr2addr_cmd_valid ; addr2mstr_cmd_ready <= sig_fifo_wr_cmd_ready; -- Data Channel Controller synchro pulse output addr2data_addr_posted <= sig_addr_posted; -- Status Module Interface outputs addr2stat_calc_error <= sig_calc_error ; addr2stat_cmd_fifo_empty <= sig_addr_reg_empty and sig_cmd_fifo_empty; -- Flag Indicating the Address Controller has completed a Stop addr2rst_stop_cmplt <= (data2addr_stop_req and -- normal shutdown case sig_addr_reg_empty) or (data2addr_stop_req and -- shutdown after error trap sig_calc_error); -- Assign the address posting control and status sig_allow_addr_req <= allow_addr_req ; addr_req_posted <= sig_posted_to_axi_2 ; -- Internal logic ------------------------------ ------------------------------------------------------------ -- If Generate -- -- Label: GEN_ADDR_FIFO -- -- If Generate Description: -- Implements the case where the cmd qualifier depth is -- greater than 1. -- ------------------------------------------------------------ GEN_ADDR_FIFO : if (C_ADDR_FIFO_DEPTH > 1) generate begin -- Format the input FIFO data word sig_aq_fifo_data_in <= mstr2addr_cache & mstr2addr_user & mstr2addr_calc_error & mstr2addr_cmd_cmplt & mstr2addr_burst & mstr2addr_size & mstr2addr_len & mstr2addr_addr & mstr2addr_tag ; -- Rip fields from FIFO output data word sig_fifo_next_cache <= sig_aq_fifo_data_out((C_ADDR_WIDTH + C_TAG_WIDTH + LEN_WIDTH + SIZE_WIDTH + BURST_WIDTH + CMD_CMPLT_WIDTH + CALC_ERROR_WIDTH + 7) downto (C_ADDR_WIDTH + C_TAG_WIDTH + LEN_WIDTH + SIZE_WIDTH + BURST_WIDTH + CMD_CMPLT_WIDTH + CALC_ERROR_WIDTH + 4) ); sig_fifo_next_user <= sig_aq_fifo_data_out((C_ADDR_WIDTH + C_TAG_WIDTH + LEN_WIDTH + SIZE_WIDTH + BURST_WIDTH + CMD_CMPLT_WIDTH + CALC_ERROR_WIDTH + 3) downto (C_ADDR_WIDTH + C_TAG_WIDTH + LEN_WIDTH + SIZE_WIDTH + BURST_WIDTH + CMD_CMPLT_WIDTH + CALC_ERROR_WIDTH) ); sig_fifo_calc_error <= sig_aq_fifo_data_out((C_ADDR_WIDTH + C_TAG_WIDTH + LEN_WIDTH + SIZE_WIDTH + BURST_WIDTH + CMD_CMPLT_WIDTH + CALC_ERROR_WIDTH)-1); sig_fifo_next_cmd_cmplt <= sig_aq_fifo_data_out((C_ADDR_WIDTH + C_TAG_WIDTH + LEN_WIDTH + SIZE_WIDTH + BURST_WIDTH + CMD_CMPLT_WIDTH)-1); sig_fifo_next_burst <= sig_aq_fifo_data_out((C_ADDR_WIDTH + C_TAG_WIDTH + LEN_WIDTH + SIZE_WIDTH + BURST_WIDTH)-1 downto C_ADDR_WIDTH + C_TAG_WIDTH + LEN_WIDTH + SIZE_WIDTH) ; sig_fifo_next_size <= sig_aq_fifo_data_out((C_ADDR_WIDTH + C_TAG_WIDTH + LEN_WIDTH + SIZE_WIDTH)-1 downto C_ADDR_WIDTH + C_TAG_WIDTH + LEN_WIDTH) ; sig_fifo_next_len <= sig_aq_fifo_data_out((C_ADDR_WIDTH + C_TAG_WIDTH + LEN_WIDTH)-1 downto C_ADDR_WIDTH + C_TAG_WIDTH) ; sig_fifo_next_addr <= sig_aq_fifo_data_out((C_ADDR_WIDTH + C_TAG_WIDTH)-1 downto C_TAG_WIDTH) ; sig_fifo_next_tag <= sig_aq_fifo_data_out(C_TAG_WIDTH-1 downto 0); ------------------------------------------------------------ -- Instance: I_ADDR_QUAL_FIFO -- -- Description: -- Instance for the Address/Qualifier FIFO -- ------------------------------------------------------------ I_ADDR_QUAL_FIFO : entity axi_datamover_v5_1.axi_datamover_fifo generic map ( C_DWIDTH => ADDR_QUAL_WIDTH , C_DEPTH => C_ADDR_FIFO_DEPTH , C_IS_ASYNC => USE_SYNC_FIFO , C_PRIM_TYPE => FIFO_PRIM_TYPE , C_FAMILY => C_FAMILY ) port map ( -- Write Clock and reset fifo_wr_reset => mmap_reset , fifo_wr_clk => primary_aclk , -- Write Side fifo_wr_tvalid => sig_fifo_wr_cmd_valid , fifo_wr_tready => sig_fifo_wr_cmd_ready , fifo_wr_tdata => sig_aq_fifo_data_in , fifo_wr_full => open , -- Read Clock and reset fifo_async_rd_reset => mmap_reset , fifo_async_rd_clk => primary_aclk , -- Read Side fifo_rd_tvalid => sig_fifo_rd_cmd_valid , fifo_rd_tready => sig_fifo_rd_cmd_ready , fifo_rd_tdata => sig_aq_fifo_data_out , fifo_rd_empty => sig_cmd_fifo_empty ); end generate GEN_ADDR_FIFO; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_ADDR_FIFO -- -- If Generate Description: -- Implements the case where no additional FIFOing is needed -- on the input command address/qualifiers. -- ------------------------------------------------------------ GEN_NO_ADDR_FIFO : if (C_ADDR_FIFO_DEPTH = 1) generate begin -- Bypass FIFO sig_fifo_next_tag <= mstr2addr_tag ; sig_fifo_next_addr <= mstr2addr_addr ; sig_fifo_next_len <= mstr2addr_len ; sig_fifo_next_size <= mstr2addr_size ; sig_fifo_next_burst <= mstr2addr_burst ; sig_fifo_next_cache <= mstr2addr_cache ; sig_fifo_next_user <= mstr2addr_user ; sig_fifo_next_cmd_cmplt <= mstr2addr_cmd_cmplt ; sig_fifo_calc_error <= mstr2addr_calc_error ; sig_cmd_fifo_empty <= sig_addr_reg_empty ; sig_fifo_wr_cmd_ready <= sig_fifo_rd_cmd_ready ; sig_fifo_rd_cmd_valid <= sig_fifo_wr_cmd_valid ; end generate GEN_NO_ADDR_FIFO; -- Output Register Logic ------------------------------------------- sig_axi_addr <= sig_next_addr_reg ; sig_axi_alen <= sig_next_len_reg ; sig_axi_asize <= sig_next_size_reg ; sig_axi_aburst <= sig_next_burst_reg ; sig_axi_acache <= sig_next_cache_reg ; sig_axi_auser <= sig_next_user_reg ; sig_axi_avalid <= sig_addr_valid_reg ; sig_calc_error <= sig_calc_error_reg ; sig_fifo_rd_cmd_ready <= sig_addr_reg_empty and sig_allow_addr_req and -- obsoleted not(sig_wait_for_data) and not(data2addr_stop_req); sig_addr_posted <= sig_posted_to_axi ; -- Internal signals sig_push_addr_reg <= sig_addr_reg_empty and sig_fifo_rd_cmd_valid and sig_allow_addr_req and -- obsoleted not(sig_wait_for_data) and not(data2addr_stop_req); sig_pop_addr_reg <= not(sig_calc_error_reg) and sig_axi_aready and sig_addr_reg_full; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_ADDR_FIFO_REG -- -- Process Description: -- This process implements a register for the Address -- Control FIFO that operates like a 1 deep Sync FIFO. -- ------------------------------------------------------------- IMP_ADDR_FIFO_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1' or sig_pop_addr_reg = '1') then sig_next_tag_reg <= (others => '0') ; sig_next_addr_reg <= (others => '0') ; sig_next_len_reg <= (others => '0') ; sig_next_size_reg <= (others => '0') ; sig_next_burst_reg <= (others => '0') ; sig_next_cache_reg <= (others => '0') ; sig_next_user_reg <= (others => '0') ; sig_next_cmd_cmplt_reg <= '0' ; sig_addr_valid_reg <= '0' ; sig_calc_error_reg <= '0' ; sig_addr_reg_empty <= '1' ; sig_addr_reg_full <= '0' ; elsif (sig_push_addr_reg = '1') then sig_next_tag_reg <= sig_fifo_next_tag ; sig_next_addr_reg <= sig_fifo_next_addr ; sig_next_len_reg <= sig_fifo_next_len ; sig_next_size_reg <= sig_fifo_next_size ; sig_next_burst_reg <= sig_fifo_next_burst ; sig_next_cache_reg <= sig_fifo_next_cache ; sig_next_user_reg <= sig_fifo_next_user ; sig_next_cmd_cmplt_reg <= sig_fifo_next_cmd_cmplt ; sig_addr_valid_reg <= not(sig_fifo_calc_error); sig_calc_error_reg <= sig_fifo_calc_error ; sig_addr_reg_empty <= '0' ; sig_addr_reg_full <= '1' ; else null; -- don't change state end if; end if; end process IMP_ADDR_FIFO_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_POSTED_FLAG -- -- Process Description: -- This implements a FLOP that creates a 1 clock wide pulse -- indicating a new address/qualifier set has been posted to -- the AXI Addres Channel outputs. This is used to synchronize -- the Data Channel Controller. -- ------------------------------------------------------------- IMP_POSTED_FLAG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_posted_to_axi <= '0'; sig_posted_to_axi_2 <= '0'; elsif (sig_push_addr_reg = '1') then sig_posted_to_axi <= '1'; sig_posted_to_axi_2 <= '1'; else sig_posted_to_axi <= '0'; sig_posted_to_axi_2 <= '0'; end if; end if; end process IMP_POSTED_FLAG; -- PROC_CMD_DETECT : process (primary_aclk) -- begin -- if (mmap_reset = '1') then -- first_addr_valid_del <= '0'; -- elsif (primary_aclk'event and primary_aclk = '1') then -- first_addr_valid_del <= first_addr_valid; -- end if; -- end process PROC_CMD_DETECT; -- -- PROC_ADDR_DET : process (primary_aclk) -- begin -- if (mmap_reset = '1') then -- first_addr_valid <= '0'; -- first_addr_int <= (others => '0'); -- last_addr_int <= (others => '0'); -- elsif (primary_aclk'event and primary_aclk = '1') then -- if (mstr2addr_cmd_valid = '1' and first_addr_valid = '0') then -- first_addr_valid <= '1'; -- first_addr_int <= mstr2addr_addr; -- last_addr_int <= last_addr_int; -- elsif (mstr2addr_cmd_cmplt = '1') then -- first_addr_valid <= '0'; -- first_addr_int <= first_addr_int; -- last_addr_int <= mstr2addr_addr; -- end if; -- end if; -- end process PROC_ADDR_DET; -- -- latch <= first_addr_valid and (not first_addr_valid_del); -- latch_n <= (not first_addr_valid) and first_addr_valid_del; -- -- PROC_CACHE1 : process (primary_aclk) -- begin -- if (mmap_reset = '1') then -- mstr2addr_cache_info_int <= (others => '0'); -- latch_n_del <= '0'; -- elsif (primary_aclk'event and primary_aclk = '1') then -- if (latch_n = '1') then -- mstr2addr_cache_info_int <= mstr2addr_cache_info; -- end if; -- latch_n_del <= latch_n; -- end if; -- end process PROC_CACHE1; -- -- -- PROC_CACHE : process (primary_aclk) -- begin -- if (mmap_reset = '1') then -- addr2axi_cache_int1 <= (others => '0'); -- first_one <= '0'; -- elsif (primary_aclk'event and primary_aclk = '1') then -- first_one <= '0'; ---- if (latch = '1' and first_one = '0') then -- first one -- if (sig_addr_valid_reg = '0' and first_addr_valid = '0') then -- addr2axi_cache_int1 <= mstr2addr_cache_info; ---- first_one <= '1'; ---- elsif (latch_n_del = '1') then ---- addr2axi_cache_int <= mstr2addr_cache_info_int; -- elsif ((first_addr_int = sig_next_addr_reg) and (sig_addr_valid_reg = '1')) then -- addr2axi_cache_int1 <= addr2axi_cache_int1; --mstr2addr_cache_info (7 downto 4); -- elsif ((last_addr_int >= sig_next_addr_reg) and (sig_addr_valid_reg = '1')) then -- addr2axi_cache_int1 <= addr2axi_cache_int1; --mstr2addr_cache_info (7 downto 4); -- end if; -- end if; -- end process PROC_CACHE; -- -- -- PROC_CACHE2 : process (primary_aclk) -- begin -- if (mmap_reset = '1') then -- addr2axi_cache_int <= (others => '0'); -- elsif (primary_aclk'event and primary_aclk = '1') then -- addr2axi_cache_int <= addr2axi_cache_int1; -- end if; -- end process PROC_CACHE2; -- --addr2axi_cache <= addr2axi_cache_int (3 downto 0); --addr2axi_user <= addr2axi_cache_int (7 downto 4); -- end implementation;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; library std; use std.textio.all; entity alt_dspbuilder_testbench_salt_GN7Z4SHGOK is generic ( XFILE : string := "default"); port( clock : in std_logic; aclr : in std_logic; output : out std_logic_vector(31 downto 0)); end entity; architecture rtl of alt_dspbuilder_testbench_salt_GN7Z4SHGOK is function to_std_logic (B: character) return std_logic is begin case B is when '0' => return '0'; when '1' => return '1'; when OTHERS => return 'X'; end case; end; function to_std_logic_vector (B: string) return std_logic_vector is variable res: std_logic_vector (B'range); begin for i in B'range loop case B(i) is when '0' => res(i) := '0'; when '1' => res(i) := '1'; when OTHERS => res(i) := 'X'; end case; end loop; return res; end; procedure skip_type_header(file f:text) is use STD.textio.all; variable in_line : line; begin readline(f, in_line); end procedure skip_type_header ; file InputFile : text open read_mode is XFILE; Begin -- salt generator skip_type_header(InputFile); -- Reading Simulink Input Input_pInput:process(clock, aclr) variable s : string(1 to 32) ; variable ptr : line ; begin if (aclr = '1') then output <= (others=>'0'); elsif (not endfile(InputFile)) then if clock'event and clock='0' then readline(Inputfile, ptr); read(ptr, s); output <= to_std_logic_vector(s); end if ; end if ; end process ; end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; library std; use std.textio.all; entity alt_dspbuilder_testbench_salt_GN7Z4SHGOK is generic ( XFILE : string := "default"); port( clock : in std_logic; aclr : in std_logic; output : out std_logic_vector(31 downto 0)); end entity; architecture rtl of alt_dspbuilder_testbench_salt_GN7Z4SHGOK is function to_std_logic (B: character) return std_logic is begin case B is when '0' => return '0'; when '1' => return '1'; when OTHERS => return 'X'; end case; end; function to_std_logic_vector (B: string) return std_logic_vector is variable res: std_logic_vector (B'range); begin for i in B'range loop case B(i) is when '0' => res(i) := '0'; when '1' => res(i) := '1'; when OTHERS => res(i) := 'X'; end case; end loop; return res; end; procedure skip_type_header(file f:text) is use STD.textio.all; variable in_line : line; begin readline(f, in_line); end procedure skip_type_header ; file InputFile : text open read_mode is XFILE; Begin -- salt generator skip_type_header(InputFile); -- Reading Simulink Input Input_pInput:process(clock, aclr) variable s : string(1 to 32) ; variable ptr : line ; begin if (aclr = '1') then output <= (others=>'0'); elsif (not endfile(InputFile)) then if clock'event and clock='0' then readline(Inputfile, ptr); read(ptr, s); output <= to_std_logic_vector(s); end if ; end if ; end process ; end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; library std; use std.textio.all; entity alt_dspbuilder_testbench_salt_GN7Z4SHGOK is generic ( XFILE : string := "default"); port( clock : in std_logic; aclr : in std_logic; output : out std_logic_vector(31 downto 0)); end entity; architecture rtl of alt_dspbuilder_testbench_salt_GN7Z4SHGOK is function to_std_logic (B: character) return std_logic is begin case B is when '0' => return '0'; when '1' => return '1'; when OTHERS => return 'X'; end case; end; function to_std_logic_vector (B: string) return std_logic_vector is variable res: std_logic_vector (B'range); begin for i in B'range loop case B(i) is when '0' => res(i) := '0'; when '1' => res(i) := '1'; when OTHERS => res(i) := 'X'; end case; end loop; return res; end; procedure skip_type_header(file f:text) is use STD.textio.all; variable in_line : line; begin readline(f, in_line); end procedure skip_type_header ; file InputFile : text open read_mode is XFILE; Begin -- salt generator skip_type_header(InputFile); -- Reading Simulink Input Input_pInput:process(clock, aclr) variable s : string(1 to 32) ; variable ptr : line ; begin if (aclr = '1') then output <= (others=>'0'); elsif (not endfile(InputFile)) then if clock'event and clock='0' then readline(Inputfile, ptr); read(ptr, s); output <= to_std_logic_vector(s); end if ; end if ; end process ; end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; library std; use std.textio.all; entity alt_dspbuilder_testbench_salt_GN7Z4SHGOK is generic ( XFILE : string := "default"); port( clock : in std_logic; aclr : in std_logic; output : out std_logic_vector(31 downto 0)); end entity; architecture rtl of alt_dspbuilder_testbench_salt_GN7Z4SHGOK is function to_std_logic (B: character) return std_logic is begin case B is when '0' => return '0'; when '1' => return '1'; when OTHERS => return 'X'; end case; end; function to_std_logic_vector (B: string) return std_logic_vector is variable res: std_logic_vector (B'range); begin for i in B'range loop case B(i) is when '0' => res(i) := '0'; when '1' => res(i) := '1'; when OTHERS => res(i) := 'X'; end case; end loop; return res; end; procedure skip_type_header(file f:text) is use STD.textio.all; variable in_line : line; begin readline(f, in_line); end procedure skip_type_header ; file InputFile : text open read_mode is XFILE; Begin -- salt generator skip_type_header(InputFile); -- Reading Simulink Input Input_pInput:process(clock, aclr) variable s : string(1 to 32) ; variable ptr : line ; begin if (aclr = '1') then output <= (others=>'0'); elsif (not endfile(InputFile)) then if clock'event and clock='0' then readline(Inputfile, ptr); read(ptr, s); output <= to_std_logic_vector(s); end if ; end if ; end process ; end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; library std; use std.textio.all; entity alt_dspbuilder_testbench_salt_GN7Z4SHGOK is generic ( XFILE : string := "default"); port( clock : in std_logic; aclr : in std_logic; output : out std_logic_vector(31 downto 0)); end entity; architecture rtl of alt_dspbuilder_testbench_salt_GN7Z4SHGOK is function to_std_logic (B: character) return std_logic is begin case B is when '0' => return '0'; when '1' => return '1'; when OTHERS => return 'X'; end case; end; function to_std_logic_vector (B: string) return std_logic_vector is variable res: std_logic_vector (B'range); begin for i in B'range loop case B(i) is when '0' => res(i) := '0'; when '1' => res(i) := '1'; when OTHERS => res(i) := 'X'; end case; end loop; return res; end; procedure skip_type_header(file f:text) is use STD.textio.all; variable in_line : line; begin readline(f, in_line); end procedure skip_type_header ; file InputFile : text open read_mode is XFILE; Begin -- salt generator skip_type_header(InputFile); -- Reading Simulink Input Input_pInput:process(clock, aclr) variable s : string(1 to 32) ; variable ptr : line ; begin if (aclr = '1') then output <= (others=>'0'); elsif (not endfile(InputFile)) then if clock'event and clock='0' then readline(Inputfile, ptr); read(ptr, s); output <= to_std_logic_vector(s); end if ; end if ; end process ; end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; library std; use std.textio.all; entity alt_dspbuilder_testbench_salt_GN7Z4SHGOK is generic ( XFILE : string := "default"); port( clock : in std_logic; aclr : in std_logic; output : out std_logic_vector(31 downto 0)); end entity; architecture rtl of alt_dspbuilder_testbench_salt_GN7Z4SHGOK is function to_std_logic (B: character) return std_logic is begin case B is when '0' => return '0'; when '1' => return '1'; when OTHERS => return 'X'; end case; end; function to_std_logic_vector (B: string) return std_logic_vector is variable res: std_logic_vector (B'range); begin for i in B'range loop case B(i) is when '0' => res(i) := '0'; when '1' => res(i) := '1'; when OTHERS => res(i) := 'X'; end case; end loop; return res; end; procedure skip_type_header(file f:text) is use STD.textio.all; variable in_line : line; begin readline(f, in_line); end procedure skip_type_header ; file InputFile : text open read_mode is XFILE; Begin -- salt generator skip_type_header(InputFile); -- Reading Simulink Input Input_pInput:process(clock, aclr) variable s : string(1 to 32) ; variable ptr : line ; begin if (aclr = '1') then output <= (others=>'0'); elsif (not endfile(InputFile)) then if clock'event and clock='0' then readline(Inputfile, ptr); read(ptr, s); output <= to_std_logic_vector(s); end if ; end if ; end process ; end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; library std; use std.textio.all; entity alt_dspbuilder_testbench_salt_GN7Z4SHGOK is generic ( XFILE : string := "default"); port( clock : in std_logic; aclr : in std_logic; output : out std_logic_vector(31 downto 0)); end entity; architecture rtl of alt_dspbuilder_testbench_salt_GN7Z4SHGOK is function to_std_logic (B: character) return std_logic is begin case B is when '0' => return '0'; when '1' => return '1'; when OTHERS => return 'X'; end case; end; function to_std_logic_vector (B: string) return std_logic_vector is variable res: std_logic_vector (B'range); begin for i in B'range loop case B(i) is when '0' => res(i) := '0'; when '1' => res(i) := '1'; when OTHERS => res(i) := 'X'; end case; end loop; return res; end; procedure skip_type_header(file f:text) is use STD.textio.all; variable in_line : line; begin readline(f, in_line); end procedure skip_type_header ; file InputFile : text open read_mode is XFILE; Begin -- salt generator skip_type_header(InputFile); -- Reading Simulink Input Input_pInput:process(clock, aclr) variable s : string(1 to 32) ; variable ptr : line ; begin if (aclr = '1') then output <= (others=>'0'); elsif (not endfile(InputFile)) then if clock'event and clock='0' then readline(Inputfile, ptr); read(ptr, s); output <= to_std_logic_vector(s); end if ; end if ; end process ; end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; library std; use std.textio.all; entity alt_dspbuilder_testbench_salt_GN7Z4SHGOK is generic ( XFILE : string := "default"); port( clock : in std_logic; aclr : in std_logic; output : out std_logic_vector(31 downto 0)); end entity; architecture rtl of alt_dspbuilder_testbench_salt_GN7Z4SHGOK is function to_std_logic (B: character) return std_logic is begin case B is when '0' => return '0'; when '1' => return '1'; when OTHERS => return 'X'; end case; end; function to_std_logic_vector (B: string) return std_logic_vector is variable res: std_logic_vector (B'range); begin for i in B'range loop case B(i) is when '0' => res(i) := '0'; when '1' => res(i) := '1'; when OTHERS => res(i) := 'X'; end case; end loop; return res; end; procedure skip_type_header(file f:text) is use STD.textio.all; variable in_line : line; begin readline(f, in_line); end procedure skip_type_header ; file InputFile : text open read_mode is XFILE; Begin -- salt generator skip_type_header(InputFile); -- Reading Simulink Input Input_pInput:process(clock, aclr) variable s : string(1 to 32) ; variable ptr : line ; begin if (aclr = '1') then output <= (others=>'0'); elsif (not endfile(InputFile)) then if clock'event and clock='0' then readline(Inputfile, ptr); read(ptr, s); output <= to_std_logic_vector(s); end if ; end if ; end process ; end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; library std; use std.textio.all; entity alt_dspbuilder_testbench_salt_GN7Z4SHGOK is generic ( XFILE : string := "default"); port( clock : in std_logic; aclr : in std_logic; output : out std_logic_vector(31 downto 0)); end entity; architecture rtl of alt_dspbuilder_testbench_salt_GN7Z4SHGOK is function to_std_logic (B: character) return std_logic is begin case B is when '0' => return '0'; when '1' => return '1'; when OTHERS => return 'X'; end case; end; function to_std_logic_vector (B: string) return std_logic_vector is variable res: std_logic_vector (B'range); begin for i in B'range loop case B(i) is when '0' => res(i) := '0'; when '1' => res(i) := '1'; when OTHERS => res(i) := 'X'; end case; end loop; return res; end; procedure skip_type_header(file f:text) is use STD.textio.all; variable in_line : line; begin readline(f, in_line); end procedure skip_type_header ; file InputFile : text open read_mode is XFILE; Begin -- salt generator skip_type_header(InputFile); -- Reading Simulink Input Input_pInput:process(clock, aclr) variable s : string(1 to 32) ; variable ptr : line ; begin if (aclr = '1') then output <= (others=>'0'); elsif (not endfile(InputFile)) then if clock'event and clock='0' then readline(Inputfile, ptr); read(ptr, s); output <= to_std_logic_vector(s); end if ; end if ; end process ; end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; library std; use std.textio.all; entity alt_dspbuilder_testbench_salt_GN7Z4SHGOK is generic ( XFILE : string := "default"); port( clock : in std_logic; aclr : in std_logic; output : out std_logic_vector(31 downto 0)); end entity; architecture rtl of alt_dspbuilder_testbench_salt_GN7Z4SHGOK is function to_std_logic (B: character) return std_logic is begin case B is when '0' => return '0'; when '1' => return '1'; when OTHERS => return 'X'; end case; end; function to_std_logic_vector (B: string) return std_logic_vector is variable res: std_logic_vector (B'range); begin for i in B'range loop case B(i) is when '0' => res(i) := '0'; when '1' => res(i) := '1'; when OTHERS => res(i) := 'X'; end case; end loop; return res; end; procedure skip_type_header(file f:text) is use STD.textio.all; variable in_line : line; begin readline(f, in_line); end procedure skip_type_header ; file InputFile : text open read_mode is XFILE; Begin -- salt generator skip_type_header(InputFile); -- Reading Simulink Input Input_pInput:process(clock, aclr) variable s : string(1 to 32) ; variable ptr : line ; begin if (aclr = '1') then output <= (others=>'0'); elsif (not endfile(InputFile)) then if clock'event and clock='0' then readline(Inputfile, ptr); read(ptr, s); output <= to_std_logic_vector(s); end if ; end if ; end process ; end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; library std; use std.textio.all; entity alt_dspbuilder_testbench_salt_GN7Z4SHGOK is generic ( XFILE : string := "default"); port( clock : in std_logic; aclr : in std_logic; output : out std_logic_vector(31 downto 0)); end entity; architecture rtl of alt_dspbuilder_testbench_salt_GN7Z4SHGOK is function to_std_logic (B: character) return std_logic is begin case B is when '0' => return '0'; when '1' => return '1'; when OTHERS => return 'X'; end case; end; function to_std_logic_vector (B: string) return std_logic_vector is variable res: std_logic_vector (B'range); begin for i in B'range loop case B(i) is when '0' => res(i) := '0'; when '1' => res(i) := '1'; when OTHERS => res(i) := 'X'; end case; end loop; return res; end; procedure skip_type_header(file f:text) is use STD.textio.all; variable in_line : line; begin readline(f, in_line); end procedure skip_type_header ; file InputFile : text open read_mode is XFILE; Begin -- salt generator skip_type_header(InputFile); -- Reading Simulink Input Input_pInput:process(clock, aclr) variable s : string(1 to 32) ; variable ptr : line ; begin if (aclr = '1') then output <= (others=>'0'); elsif (not endfile(InputFile)) then if clock'event and clock='0' then readline(Inputfile, ptr); read(ptr, s); output <= to_std_logic_vector(s); end if ; end if ; end process ; end architecture;