content
stringlengths
1
1.04M
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; library std; use std.textio.all; entity alt_dspbuilder_testbench_salt_GN7Z4SHGOK is generic ( XFILE : string := "default"); port( clock : in std_logic; aclr : in std_logic; output : out std_logic_vector(31 downto 0)); end entity; architecture rtl of alt_dspbuilder_testbench_salt_GN7Z4SHGOK is function to_std_logic (B: character) return std_logic is begin case B is when '0' => return '0'; when '1' => return '1'; when OTHERS => return 'X'; end case; end; function to_std_logic_vector (B: string) return std_logic_vector is variable res: std_logic_vector (B'range); begin for i in B'range loop case B(i) is when '0' => res(i) := '0'; when '1' => res(i) := '1'; when OTHERS => res(i) := 'X'; end case; end loop; return res; end; procedure skip_type_header(file f:text) is use STD.textio.all; variable in_line : line; begin readline(f, in_line); end procedure skip_type_header ; file InputFile : text open read_mode is XFILE; Begin -- salt generator skip_type_header(InputFile); -- Reading Simulink Input Input_pInput:process(clock, aclr) variable s : string(1 to 32) ; variable ptr : line ; begin if (aclr = '1') then output <= (others=>'0'); elsif (not endfile(InputFile)) then if clock'event and clock='0' then readline(Inputfile, ptr); read(ptr, s); output <= to_std_logic_vector(s); end if ; end if ; end process ; end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; library std; use std.textio.all; entity alt_dspbuilder_testbench_salt_GN7Z4SHGOK is generic ( XFILE : string := "default"); port( clock : in std_logic; aclr : in std_logic; output : out std_logic_vector(31 downto 0)); end entity; architecture rtl of alt_dspbuilder_testbench_salt_GN7Z4SHGOK is function to_std_logic (B: character) return std_logic is begin case B is when '0' => return '0'; when '1' => return '1'; when OTHERS => return 'X'; end case; end; function to_std_logic_vector (B: string) return std_logic_vector is variable res: std_logic_vector (B'range); begin for i in B'range loop case B(i) is when '0' => res(i) := '0'; when '1' => res(i) := '1'; when OTHERS => res(i) := 'X'; end case; end loop; return res; end; procedure skip_type_header(file f:text) is use STD.textio.all; variable in_line : line; begin readline(f, in_line); end procedure skip_type_header ; file InputFile : text open read_mode is XFILE; Begin -- salt generator skip_type_header(InputFile); -- Reading Simulink Input Input_pInput:process(clock, aclr) variable s : string(1 to 32) ; variable ptr : line ; begin if (aclr = '1') then output <= (others=>'0'); elsif (not endfile(InputFile)) then if clock'event and clock='0' then readline(Inputfile, ptr); read(ptr, s); output <= to_std_logic_vector(s); end if ; end if ; end process ; end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; library std; use std.textio.all; entity alt_dspbuilder_testbench_salt_GN7Z4SHGOK is generic ( XFILE : string := "default"); port( clock : in std_logic; aclr : in std_logic; output : out std_logic_vector(31 downto 0)); end entity; architecture rtl of alt_dspbuilder_testbench_salt_GN7Z4SHGOK is function to_std_logic (B: character) return std_logic is begin case B is when '0' => return '0'; when '1' => return '1'; when OTHERS => return 'X'; end case; end; function to_std_logic_vector (B: string) return std_logic_vector is variable res: std_logic_vector (B'range); begin for i in B'range loop case B(i) is when '0' => res(i) := '0'; when '1' => res(i) := '1'; when OTHERS => res(i) := 'X'; end case; end loop; return res; end; procedure skip_type_header(file f:text) is use STD.textio.all; variable in_line : line; begin readline(f, in_line); end procedure skip_type_header ; file InputFile : text open read_mode is XFILE; Begin -- salt generator skip_type_header(InputFile); -- Reading Simulink Input Input_pInput:process(clock, aclr) variable s : string(1 to 32) ; variable ptr : line ; begin if (aclr = '1') then output <= (others=>'0'); elsif (not endfile(InputFile)) then if clock'event and clock='0' then readline(Inputfile, ptr); read(ptr, s); output <= to_std_logic_vector(s); end if ; end if ; end process ; end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; library std; use std.textio.all; entity alt_dspbuilder_testbench_salt_GN7Z4SHGOK is generic ( XFILE : string := "default"); port( clock : in std_logic; aclr : in std_logic; output : out std_logic_vector(31 downto 0)); end entity; architecture rtl of alt_dspbuilder_testbench_salt_GN7Z4SHGOK is function to_std_logic (B: character) return std_logic is begin case B is when '0' => return '0'; when '1' => return '1'; when OTHERS => return 'X'; end case; end; function to_std_logic_vector (B: string) return std_logic_vector is variable res: std_logic_vector (B'range); begin for i in B'range loop case B(i) is when '0' => res(i) := '0'; when '1' => res(i) := '1'; when OTHERS => res(i) := 'X'; end case; end loop; return res; end; procedure skip_type_header(file f:text) is use STD.textio.all; variable in_line : line; begin readline(f, in_line); end procedure skip_type_header ; file InputFile : text open read_mode is XFILE; Begin -- salt generator skip_type_header(InputFile); -- Reading Simulink Input Input_pInput:process(clock, aclr) variable s : string(1 to 32) ; variable ptr : line ; begin if (aclr = '1') then output <= (others=>'0'); elsif (not endfile(InputFile)) then if clock'event and clock='0' then readline(Inputfile, ptr); read(ptr, s); output <= to_std_logic_vector(s); end if ; end if ; end process ; end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; library std; use std.textio.all; entity alt_dspbuilder_testbench_salt_GN7Z4SHGOK is generic ( XFILE : string := "default"); port( clock : in std_logic; aclr : in std_logic; output : out std_logic_vector(31 downto 0)); end entity; architecture rtl of alt_dspbuilder_testbench_salt_GN7Z4SHGOK is function to_std_logic (B: character) return std_logic is begin case B is when '0' => return '0'; when '1' => return '1'; when OTHERS => return 'X'; end case; end; function to_std_logic_vector (B: string) return std_logic_vector is variable res: std_logic_vector (B'range); begin for i in B'range loop case B(i) is when '0' => res(i) := '0'; when '1' => res(i) := '1'; when OTHERS => res(i) := 'X'; end case; end loop; return res; end; procedure skip_type_header(file f:text) is use STD.textio.all; variable in_line : line; begin readline(f, in_line); end procedure skip_type_header ; file InputFile : text open read_mode is XFILE; Begin -- salt generator skip_type_header(InputFile); -- Reading Simulink Input Input_pInput:process(clock, aclr) variable s : string(1 to 32) ; variable ptr : line ; begin if (aclr = '1') then output <= (others=>'0'); elsif (not endfile(InputFile)) then if clock'event and clock='0' then readline(Inputfile, ptr); read(ptr, s); output <= to_std_logic_vector(s); end if ; end if ; end process ; end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; library std; use std.textio.all; entity alt_dspbuilder_testbench_salt_GN7Z4SHGOK is generic ( XFILE : string := "default"); port( clock : in std_logic; aclr : in std_logic; output : out std_logic_vector(31 downto 0)); end entity; architecture rtl of alt_dspbuilder_testbench_salt_GN7Z4SHGOK is function to_std_logic (B: character) return std_logic is begin case B is when '0' => return '0'; when '1' => return '1'; when OTHERS => return 'X'; end case; end; function to_std_logic_vector (B: string) return std_logic_vector is variable res: std_logic_vector (B'range); begin for i in B'range loop case B(i) is when '0' => res(i) := '0'; when '1' => res(i) := '1'; when OTHERS => res(i) := 'X'; end case; end loop; return res; end; procedure skip_type_header(file f:text) is use STD.textio.all; variable in_line : line; begin readline(f, in_line); end procedure skip_type_header ; file InputFile : text open read_mode is XFILE; Begin -- salt generator skip_type_header(InputFile); -- Reading Simulink Input Input_pInput:process(clock, aclr) variable s : string(1 to 32) ; variable ptr : line ; begin if (aclr = '1') then output <= (others=>'0'); elsif (not endfile(InputFile)) then if clock'event and clock='0' then readline(Inputfile, ptr); read(ptr, s); output <= to_std_logic_vector(s); end if ; end if ; end process ; end architecture;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: greths -- File: greths.vhd -- Authors: Andrea Gianarro -- Description: Gigabit Ethernet Media Access Controller with Ethernet Debug -- Communication Link and Serial GMII interface ------------------------------------------------------------------------------ library ieee; library grlib; library gaisler; use ieee.std_logic_1164.all; use grlib.stdlib.all; use grlib.amba.all; use grlib.devices.all; library techmap; use techmap.gencomp.all; use gaisler.net.all; use gaisler.ethernet_mac.all; library eth; use eth.ethcomp.all; entity greths is generic( hindex : integer := 0; pindex : integer := 0; paddr : integer := 0; pmask : integer := 16#FFF#; pirq : integer := 0; fabtech : integer := 0; memtech : integer := 0; transtech : integer := 0; ifg_gap : integer := 24; attempt_limit : integer := 16; backoff_limit : integer := 10; slot_time : integer := 128; mdcscaler : integer range 0 to 255 := 25; enable_mdio : integer range 0 to 1 := 0; fifosize : integer range 4 to 64 := 8; nsync : integer range 1 to 2 := 2; edcl : integer range 0 to 3 := 0; edclbufsz : integer range 1 to 64 := 1; burstlength : integer range 4 to 128 := 32; macaddrh : integer := 16#00005E#; macaddrl : integer := 16#000000#; ipaddrh : integer := 16#c0a8#; ipaddrl : integer := 16#0035#; phyrstadr : integer range 0 to 32 := 0; rmii : integer range 0 to 1 := 0; sim : integer range 0 to 1 := 0; giga : integer range 0 to 1 := 0; oepol : integer range 0 to 1 := 0; scanen : integer range 0 to 1 := 0; ft : integer range 0 to 2 := 0; edclft : integer range 0 to 2 := 0; mdint_pol : integer range 0 to 1 := 0; enable_mdint : integer range 0 to 1 := 0; multicast : integer range 0 to 1 := 0; ramdebug : integer range 0 to 2 := 0; mdiohold : integer := 1; maxsize : integer := 1500; pcs_phyaddr : integer range 0 to 32 := 0 ); port( rst : in std_ulogic; clk : in std_ulogic; ahbmi : in ahb_mst_in_type; ahbmo : out ahb_mst_out_type; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; -- High-speed Serial Interface clk_125 : in std_logic; rst_125 : in std_logic; eth_rx_p : in std_logic; eth_rx_n : in std_logic := '0'; eth_tx_p : out std_logic; eth_tx_n : out std_logic; -- MDIO interface reset : out std_logic; mdio_o : out std_logic; mdio_oe : out std_logic; mdio_i : in std_logic; mdc : out std_logic; mdint : in std_logic; -- Control signals phyrstaddr : in std_logic_vector(4 downto 0); edcladdr : in std_logic_vector(3 downto 0); edclsepahb : in std_logic; edcldisable : in std_logic; debug_pcs_mdio : in std_logic := '0'; -- added for igloo2_serdes apbin : in apb_in_serdes := apb_in_serdes_none; apbout : out apb_out_serdes; m2gl_padin : in pad_in_serdes := pad_in_serdes_none; m2gl_padout : out pad_out_serdes; serdes_clk125 : out std_logic; rx_aligned : out std_logic ); end entity; architecture rtl of greths is -- GMII and MII signals between MAC and PCS signal mac_ethi : eth_in_type; signal pcs_ethi : eth_in_type; signal mac_etho : eth_out_type; signal pcs_etho : eth_out_type; signal int_tx_rstn : std_logic; signal int_rx_rstn : std_logic; -- MDIO signals signal mdio_o_pcs : std_logic; signal mdio_oe_pcs : std_logic; signal mdio_i_pcs : std_logic; begin ------------------------------------------------------------------------------- -- Ethernet MAC ------------------------------------------------------------------------------- u0 : grethm generic map ( hindex => hindex, pindex => pindex, paddr => paddr, pmask => pmask, pirq => pirq, memtech => memtech, ifg_gap => ifg_gap, attempt_limit => attempt_limit, backoff_limit => backoff_limit, slot_time => slot_time, mdcscaler => mdcscaler, enable_mdio => enable_mdio, fifosize => fifosize, nsync => nsync, edcl => edcl, edclbufsz => edclbufsz, burstlength => burstlength, macaddrh => macaddrh, macaddrl => macaddrl, ipaddrh => ipaddrh, ipaddrl => ipaddrl, phyrstadr => phyrstadr, rmii => rmii, sim => sim, giga => giga, oepol => oepol, scanen => scanen, ft => ft, edclft => edclft, mdint_pol => mdint_pol, enable_mdint => enable_mdint, multicast => multicast, ramdebug => ramdebug, mdiohold => mdiohold, maxsize => maxsize, gmiimode => 1 ) port map ( rst => rst, clk => clk, ahbmi => ahbmi, ahbmo => ahbmo, apbi => apbi, apbo => apbo, ethi => mac_ethi, etho => mac_etho ); ------------------------------------------------------------------------------- -- 1000baseX-compliant SGMII bridge ------------------------------------------------------------------------------- sgmii0: sgmii generic map ( fabtech => fabtech, memtech => memtech, transtech => transtech, phy_addr => pcs_phyaddr ) port map( clk_125 => clk_125, rst_125 => rst_125, ser_rx_p => eth_rx_p, ser_rx_n => eth_rx_n, ser_tx_p => eth_tx_p, ser_tx_n => eth_tx_n, txd => pcs_etho.txd, tx_en => pcs_etho.tx_en, tx_er => pcs_etho.tx_er, tx_clk => pcs_ethi.gtx_clk, tx_rstn => int_tx_rstn, rxd => pcs_ethi.rxd, rx_dv => pcs_ethi.rx_dv, rx_er => pcs_ethi.rx_er, rx_col => pcs_ethi.rx_col, rx_crs => pcs_ethi.rx_crs, rx_clk => pcs_ethi.rx_clk, rx_rstn => int_rx_rstn, -- optional MDIO interface to PCS mdc => pcs_etho.mdc, mdio_o => mdio_o_pcs, mdio_oe => mdio_oe_pcs, mdio_i => mdio_i_pcs, -- added for igloo2_serdes apbin => apbin, apbout => apbout, m2gl_padin => m2gl_padin, m2gl_padout => m2gl_padout, serdes_clk125 => serdes_clk125, rx_aligned => rx_aligned ); -- 10/100 Mbit GMII to MII adapter adapt_10_100_0 : gmii_to_mii port map ( tx_rstn => int_tx_rstn, rx_rstn => int_rx_rstn, gmiii => mac_ethi, -- OUT gmiio => mac_etho, -- IN miii => pcs_ethi, -- IN miio => pcs_etho -- OUT ); -- Drive MDIO signals (including PCS bypass to MAC) reset <= pcs_etho.reset; mdc <= pcs_etho.mdc; mdio_oe <= '1' when debug_pcs_mdio = '1' else pcs_etho.mdio_oe; mdio_o <= '0' when debug_pcs_mdio = '1' else pcs_etho.mdio_o; mdio_oe_pcs <= pcs_etho.mdio_oe when debug_pcs_mdio = '1' else '1'; mdio_o_pcs <= pcs_etho.mdio_o when debug_pcs_mdio = '1' else '0'; pcs_ethi.mdint <= mdint; pcs_ethi.mdio_i <= mdio_i_pcs when debug_pcs_mdio = '1' else mdio_i; -- MAC input signals integration pcs_ethi.tx_clk <= pcs_ethi.gtx_clk; pcs_ethi.phyrstaddr <= phyrstaddr; pcs_ethi.edcladdr <= edcladdr; pcs_ethi.edclsepahb <= edclsepahb; pcs_ethi.edcldisable <= edcldisable; end architecture;
library verilog; use verilog.vl_types.all; entity usb_system_cpu_nios2_oci is port( D_valid : in vl_logic; E_st_data : in vl_logic_vector(31 downto 0); E_valid : in vl_logic; F_pc : in vl_logic_vector(26 downto 0); address_nxt : in vl_logic_vector(8 downto 0); av_ld_data_aligned_filtered: in vl_logic_vector(31 downto 0); byteenable_nxt : in vl_logic_vector(3 downto 0); clk : in vl_logic; d_address : in vl_logic_vector(28 downto 0); d_read : in vl_logic; d_waitrequest : in vl_logic; d_write : in vl_logic; debugaccess_nxt : in vl_logic; hbreak_enabled : in vl_logic; read_nxt : in vl_logic; reset : in vl_logic; reset_n : in vl_logic; reset_req : in vl_logic; test_ending : in vl_logic; test_has_ended : in vl_logic; write_nxt : in vl_logic; writedata_nxt : in vl_logic_vector(31 downto 0); jtag_debug_module_debugaccess_to_roms: out vl_logic; oci_hbreak_req : out vl_logic; oci_ienable : out vl_logic_vector(31 downto 0); oci_single_step_mode: out vl_logic; readdata : out vl_logic_vector(31 downto 0); resetrequest : out vl_logic; waitrequest : out vl_logic ); end usb_system_cpu_nios2_oci;
-- -- SpaceWire Exchange Level Controller. -- -- This entity implements exchange level aspects of the SpaceWire protocol. -- It handles connection setup, error detection and flow control. -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.spwpkg.all; entity spwlink is generic ( -- Reset time expressed in system clock cycles. -- Should be 6.4 us (5.82 us .. 7.2 us) according to the standard. reset_time: integer ); port ( -- System clock. clk: in std_logic; -- Synchronous reset (active-high). -- Disconnects, resets error conditions, puts the link state machine -- in state ErrorReset. rst: in std_logic; -- Link level inputs. linki: in spw_link_in_type; -- Link level outputs. linko: out spw_link_out_type; -- Receiver enable signal to spwrecv. rxen: out std_logic; -- Output signals from spwrecv. recvo: in spw_recv_out_type; -- Input signals for spwxmit. xmiti: out spw_xmit_in_type; -- Output signals from spwxmit. xmito: in spw_xmit_out_type ); end entity spwlink; architecture spwlink_arch of spwlink is -- Convert boolean to std_logic. type bool_to_logic_type is array(boolean) of std_ulogic; constant bool_to_logic: bool_to_logic_type := (false => '0', true => '1'); -- State machine. type state_type is ( S_ErrorReset, S_ErrorWait, S_Ready, S_Started, S_Connecting, S_Run ); -- Registers type regs_type is record -- state machine state: state_type; -- credit accounting tx_credit: unsigned(5 downto 0); rx_credit: unsigned(5 downto 0); errcred: std_ulogic; -- reset timer timercnt: unsigned(10 downto 0); timerdone: std_ulogic; -- signal to transmitter xmit_fct_in: std_ulogic; end record; -- Initial state constant regs_reset: regs_type := ( state => S_ErrorReset, tx_credit => "000000", rx_credit => "000000", errcred => '0', timercnt => to_unsigned(reset_time, 11), timerdone => '0', xmit_fct_in => '0' ); signal r: regs_type := regs_reset; signal rin: regs_type; begin -- Combinatorial process process (r, rst, linki, recvo, xmito) is variable v: regs_type; variable v_timerrst: std_logic; begin v := r; v_timerrst := '0'; -- State machine. case r.state is when S_ErrorReset => -- Wait for timer. if r.timercnt = 0 then v.state := S_ErrorWait; v_timerrst := '1'; end if; v.errcred := '0'; v.xmit_fct_in := '0'; when S_ErrorWait => -- Wait for 2 timer periods. if ((recvo.errdisc or recvo.errpar or recvo.erresc) = '1') or ((recvo.gotfct or recvo.tick_out or recvo.rxchar) = '1') then -- Note: spwrecv will never issue errpar, erresc, gotfct, -- tick_out or rxchar before the first NULL has been seen. -- Therefore it's ok here to bail on those conditions -- without explicitly testing got_null. v.state := S_ErrorReset; -- error, go back to reset v_timerrst := '1'; elsif r.timercnt = 0 then if r.timerdone = '1' then v.state := S_Ready; v_timerrst := '1'; end if; end if; when S_Ready => -- Wait for link start. if ((recvo.errdisc or recvo.errpar or recvo.erresc) = '1') or ((recvo.gotfct or recvo.tick_out or recvo.rxchar) = '1') then v.state := S_ErrorReset; -- error, go back to reset v_timerrst := '1'; elsif (linki.linkdis = '0') and (r.xmit_fct_in = '1') and ((linki.linkstart or (linki.autostart and recvo.gotnull)) = '1') then v.state := S_Started; -- link enabled; start sending NULL v_timerrst := '1'; end if; when S_Started => -- Wait for NULL. if ((recvo.errdisc or recvo.errpar or recvo.erresc) = '1') or ((recvo.gotfct or recvo.tick_out or recvo.rxchar) = '1') or ((r.timercnt = 0) and r.timerdone = '1') then v.state := S_ErrorReset; -- error, go back to reset v_timerrst := '1'; elsif recvo.gotnull = '1' then v.state := S_Connecting; -- received null, continue v_timerrst := '1'; end if; when S_Connecting => -- Wait for FCT. if ((recvo.errdisc or recvo.errpar or recvo.erresc) = '1') or ((recvo.tick_out or recvo.rxchar) = '1') or ((r.timercnt = 0) and r.timerdone = '1') then v.state := S_ErrorReset; -- error, go back to reset v_timerrst := '1'; elsif recvo.gotfct = '1' then v.state := S_Run; -- got FCT, init completed end if; when S_Run => -- All is well. if ((recvo.errdisc or recvo.errpar or recvo.erresc) = '1') or (r.errcred = '1') or (linki.linkdis = '1') then v.state := S_ErrorReset; -- error, go back to reset v_timerrst := '1'; end if; when others => v.state := S_ErrorReset; -- recover from invalid state v_timerrst := '1'; end case; -- Update credit counters. if r.state = S_ErrorReset then -- reset credit v.tx_credit := to_unsigned(0, v.tx_credit'length); v.rx_credit := to_unsigned(0, v.rx_credit'length); else -- update TX credit if recvo.gotfct = '1' then -- just received a FCT token v.tx_credit := v.tx_credit + to_unsigned(8, v.tx_credit'length); if r.tx_credit > 48 then -- received too many FCT tokens v.errcred := '1'; end if; end if; if xmito.txack = '1' then -- just sent one byte v.tx_credit := v.tx_credit - to_unsigned(1, v.tx_credit'length); end if; -- update RX credit after sending FCT if xmito.fctack = '1' then -- just sent a FCT token v.rx_credit := v.rx_credit + to_unsigned(8, v.rx_credit'length); end if; -- decide about sending FCT tokens v.xmit_fct_in := bool_to_logic( (v.rx_credit <= 48) and (v.rx_credit + to_unsigned(8, v.rx_credit'length) <= unsigned(linki.rxroom)) ); -- update RX credit after receiving character if recvo.rxchar = '1' then -- just received a character v.rx_credit := v.rx_credit - to_unsigned(1, v.rx_credit'length); if r.rx_credit = 0 then -- remote transmitter violated its credit v.errcred := '1'; end if; end if; end if; -- Update the initializaton reset timer. if v_timerrst = '1' then v.timercnt := to_unsigned(reset_time, v.timercnt'length); v.timerdone := '0'; else if r.timercnt = 0 then v.timercnt := to_unsigned(reset_time, v.timercnt'length); v.timerdone := '1'; else v.timercnt := r.timercnt - 1; end if; end if; -- Reset if rst = '1' then v := regs_reset; end if; -- Drive link level outputs. linko.started <= bool_to_logic(r.state = S_Started); linko.connecting <= bool_to_logic(r.state = S_Connecting); linko.running <= bool_to_logic(r.state = S_Run); linko.errdisc <= recvo.errdisc and bool_to_logic(r.state = S_Run); linko.errpar <= recvo.errpar and bool_to_logic(r.state = S_Run); linko.erresc <= recvo.erresc and bool_to_logic(r.state = S_Run); linko.errcred <= r.errcred; linko.txack <= xmito.txack; linko.tick_out <= recvo.tick_out and bool_to_logic(r.state = S_Run); linko.ctrl_out <= recvo.ctrl_out; linko.time_out <= recvo.time_out; linko.rxchar <= recvo.rxchar and bool_to_logic(r.state = S_Run); linko.rxflag <= recvo.rxflag; linko.rxdata <= recvo.rxdata; -- Drive receiver inputs. rxen <= bool_to_logic(r.state /= S_ErrorReset); -- Drive transmitter input signals. xmiti.txen <= bool_to_logic(r.state = S_Started or r.state = S_Connecting or r.state = S_Run); xmiti.stnull <= bool_to_logic(r.state = S_Started); xmiti.stfct <= bool_to_logic(r.state = S_Connecting); xmiti.fct_in <= r.xmit_fct_in; xmiti.tick_in <= linki.tick_in and bool_to_logic(r.state = S_Run); xmiti.ctrl_in <= linki.ctrl_in; xmiti.time_in <= linki.time_in; xmiti.txwrite <= linki.txwrite and bool_to_logic(r.tx_credit /= 0); xmiti.txflag <= linki.txflag; xmiti.txdata <= linki.txdata; -- Update registers. rin <= v; end process; -- Update registers. process (clk) is begin if rising_edge(clk) then r <= rin; end if; end process; end architecture spwlink_arch;
-- -- SpaceWire Exchange Level Controller. -- -- This entity implements exchange level aspects of the SpaceWire protocol. -- It handles connection setup, error detection and flow control. -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.spwpkg.all; entity spwlink is generic ( -- Reset time expressed in system clock cycles. -- Should be 6.4 us (5.82 us .. 7.2 us) according to the standard. reset_time: integer ); port ( -- System clock. clk: in std_logic; -- Synchronous reset (active-high). -- Disconnects, resets error conditions, puts the link state machine -- in state ErrorReset. rst: in std_logic; -- Link level inputs. linki: in spw_link_in_type; -- Link level outputs. linko: out spw_link_out_type; -- Receiver enable signal to spwrecv. rxen: out std_logic; -- Output signals from spwrecv. recvo: in spw_recv_out_type; -- Input signals for spwxmit. xmiti: out spw_xmit_in_type; -- Output signals from spwxmit. xmito: in spw_xmit_out_type ); end entity spwlink; architecture spwlink_arch of spwlink is -- Convert boolean to std_logic. type bool_to_logic_type is array(boolean) of std_ulogic; constant bool_to_logic: bool_to_logic_type := (false => '0', true => '1'); -- State machine. type state_type is ( S_ErrorReset, S_ErrorWait, S_Ready, S_Started, S_Connecting, S_Run ); -- Registers type regs_type is record -- state machine state: state_type; -- credit accounting tx_credit: unsigned(5 downto 0); rx_credit: unsigned(5 downto 0); errcred: std_ulogic; -- reset timer timercnt: unsigned(10 downto 0); timerdone: std_ulogic; -- signal to transmitter xmit_fct_in: std_ulogic; end record; -- Initial state constant regs_reset: regs_type := ( state => S_ErrorReset, tx_credit => "000000", rx_credit => "000000", errcred => '0', timercnt => to_unsigned(reset_time, 11), timerdone => '0', xmit_fct_in => '0' ); signal r: regs_type := regs_reset; signal rin: regs_type; begin -- Combinatorial process process (r, rst, linki, recvo, xmito) is variable v: regs_type; variable v_timerrst: std_logic; begin v := r; v_timerrst := '0'; -- State machine. case r.state is when S_ErrorReset => -- Wait for timer. if r.timercnt = 0 then v.state := S_ErrorWait; v_timerrst := '1'; end if; v.errcred := '0'; v.xmit_fct_in := '0'; when S_ErrorWait => -- Wait for 2 timer periods. if ((recvo.errdisc or recvo.errpar or recvo.erresc) = '1') or ((recvo.gotfct or recvo.tick_out or recvo.rxchar) = '1') then -- Note: spwrecv will never issue errpar, erresc, gotfct, -- tick_out or rxchar before the first NULL has been seen. -- Therefore it's ok here to bail on those conditions -- without explicitly testing got_null. v.state := S_ErrorReset; -- error, go back to reset v_timerrst := '1'; elsif r.timercnt = 0 then if r.timerdone = '1' then v.state := S_Ready; v_timerrst := '1'; end if; end if; when S_Ready => -- Wait for link start. if ((recvo.errdisc or recvo.errpar or recvo.erresc) = '1') or ((recvo.gotfct or recvo.tick_out or recvo.rxchar) = '1') then v.state := S_ErrorReset; -- error, go back to reset v_timerrst := '1'; elsif (linki.linkdis = '0') and (r.xmit_fct_in = '1') and ((linki.linkstart or (linki.autostart and recvo.gotnull)) = '1') then v.state := S_Started; -- link enabled; start sending NULL v_timerrst := '1'; end if; when S_Started => -- Wait for NULL. if ((recvo.errdisc or recvo.errpar or recvo.erresc) = '1') or ((recvo.gotfct or recvo.tick_out or recvo.rxchar) = '1') or ((r.timercnt = 0) and r.timerdone = '1') then v.state := S_ErrorReset; -- error, go back to reset v_timerrst := '1'; elsif recvo.gotnull = '1' then v.state := S_Connecting; -- received null, continue v_timerrst := '1'; end if; when S_Connecting => -- Wait for FCT. if ((recvo.errdisc or recvo.errpar or recvo.erresc) = '1') or ((recvo.tick_out or recvo.rxchar) = '1') or ((r.timercnt = 0) and r.timerdone = '1') then v.state := S_ErrorReset; -- error, go back to reset v_timerrst := '1'; elsif recvo.gotfct = '1' then v.state := S_Run; -- got FCT, init completed end if; when S_Run => -- All is well. if ((recvo.errdisc or recvo.errpar or recvo.erresc) = '1') or (r.errcred = '1') or (linki.linkdis = '1') then v.state := S_ErrorReset; -- error, go back to reset v_timerrst := '1'; end if; when others => v.state := S_ErrorReset; -- recover from invalid state v_timerrst := '1'; end case; -- Update credit counters. if r.state = S_ErrorReset then -- reset credit v.tx_credit := to_unsigned(0, v.tx_credit'length); v.rx_credit := to_unsigned(0, v.rx_credit'length); else -- update TX credit if recvo.gotfct = '1' then -- just received a FCT token v.tx_credit := v.tx_credit + to_unsigned(8, v.tx_credit'length); if r.tx_credit > 48 then -- received too many FCT tokens v.errcred := '1'; end if; end if; if xmito.txack = '1' then -- just sent one byte v.tx_credit := v.tx_credit - to_unsigned(1, v.tx_credit'length); end if; -- update RX credit after sending FCT if xmito.fctack = '1' then -- just sent a FCT token v.rx_credit := v.rx_credit + to_unsigned(8, v.rx_credit'length); end if; -- decide about sending FCT tokens v.xmit_fct_in := bool_to_logic( (v.rx_credit <= 48) and (v.rx_credit + to_unsigned(8, v.rx_credit'length) <= unsigned(linki.rxroom)) ); -- update RX credit after receiving character if recvo.rxchar = '1' then -- just received a character v.rx_credit := v.rx_credit - to_unsigned(1, v.rx_credit'length); if r.rx_credit = 0 then -- remote transmitter violated its credit v.errcred := '1'; end if; end if; end if; -- Update the initializaton reset timer. if v_timerrst = '1' then v.timercnt := to_unsigned(reset_time, v.timercnt'length); v.timerdone := '0'; else if r.timercnt = 0 then v.timercnt := to_unsigned(reset_time, v.timercnt'length); v.timerdone := '1'; else v.timercnt := r.timercnt - 1; end if; end if; -- Reset if rst = '1' then v := regs_reset; end if; -- Drive link level outputs. linko.started <= bool_to_logic(r.state = S_Started); linko.connecting <= bool_to_logic(r.state = S_Connecting); linko.running <= bool_to_logic(r.state = S_Run); linko.errdisc <= recvo.errdisc and bool_to_logic(r.state = S_Run); linko.errpar <= recvo.errpar and bool_to_logic(r.state = S_Run); linko.erresc <= recvo.erresc and bool_to_logic(r.state = S_Run); linko.errcred <= r.errcred; linko.txack <= xmito.txack; linko.tick_out <= recvo.tick_out and bool_to_logic(r.state = S_Run); linko.ctrl_out <= recvo.ctrl_out; linko.time_out <= recvo.time_out; linko.rxchar <= recvo.rxchar and bool_to_logic(r.state = S_Run); linko.rxflag <= recvo.rxflag; linko.rxdata <= recvo.rxdata; -- Drive receiver inputs. rxen <= bool_to_logic(r.state /= S_ErrorReset); -- Drive transmitter input signals. xmiti.txen <= bool_to_logic(r.state = S_Started or r.state = S_Connecting or r.state = S_Run); xmiti.stnull <= bool_to_logic(r.state = S_Started); xmiti.stfct <= bool_to_logic(r.state = S_Connecting); xmiti.fct_in <= r.xmit_fct_in; xmiti.tick_in <= linki.tick_in and bool_to_logic(r.state = S_Run); xmiti.ctrl_in <= linki.ctrl_in; xmiti.time_in <= linki.time_in; xmiti.txwrite <= linki.txwrite and bool_to_logic(r.tx_credit /= 0); xmiti.txflag <= linki.txflag; xmiti.txdata <= linki.txdata; -- Update registers. rin <= v; end process; -- Update registers. process (clk) is begin if rising_edge(clk) then r <= rin; end if; end process; end architecture spwlink_arch;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; use IEEE.numeric_std.all; use ieee.std_logic_textio.all; use std.textio.all; library work; use work.all; entity AESL_autobus_nfa_finals_buckets is generic ( constant TV_IN : STRING (1 to 79) := "../tv/cdatafile/c.nfa_accept_samples_generic_hw.autotvin_nfa_finals_buckets.dat"; constant TV_OUT : STRING (1 to 84) := "../tv/rtldatafile/rtl.nfa_accept_samples_generic_hw.autotvout_nfa_finals_buckets.dat"; constant DATA_WIDTH : INTEGER := 32; constant ADDR_WIDTH : INTEGER := 32; constant DEPTH : INTEGER := 2; constant FIFO_DEPTH : INTEGER := 32; constant FIFO_DEPTH_ADDR_WIDTH : INTEGER := 32 ); port ( clk : IN STD_LOGIC; rst : IN STD_LOGIC; bus_req_RW : IN STD_LOGIC; bus_req_full_n : OUT STD_LOGIC; bus_req_RW_en : IN STD_LOGIC; bus_rsp_empty_n : OUT STD_LOGIC; bus_rsp_read : IN STD_LOGIC; bus_address : IN STD_LOGIC_VECTOR (ADDR_WIDTH - 1 downto 0); bus_din : IN STD_LOGIC_VECTOR (DATA_WIDTH - 1 downto 0); bus_dout : OUT STD_LOGIC_VECTOR (DATA_WIDTH - 1 downto 0); bus_size : IN STD_LOGIC_VECTOR ( 31 downto 0); ready : IN STD_LOGIC; done : IN STD_LOGIC ); end AESL_autobus_nfa_finals_buckets; architecture behav of AESL_autobus_nfa_finals_buckets is -- Inner signals signal FIFO_req_ptr_r : STD_LOGIC_VECTOR (FIFO_DEPTH_ADDR_WIDTH - 1 downto 0) := (others => '0'); signal FIFO_req_ptr_w : STD_LOGIC_VECTOR (FIFO_DEPTH_ADDR_WIDTH - 1 downto 0) := (others => '0'); signal FIFO_req_flag : STD_LOGIC := '0'; -- 0: empty hint, 1: full hint signal FIFO_req_empty : STD_LOGIC := '0'; signal FIFO_req_full : STD_LOGIC := '0'; signal FIFO_req_read : STD_LOGIC := '0'; signal FIFO_req_burst_flag:STD_LOGIC := '0'; signal FIFO_rsp_ptr_r : STD_LOGIC_VECTOR (ADDR_WIDTH - 1 downto 0) := (others => '0'); signal FIFO_rsp_ptr_w : STD_LOGIC_VECTOR (ADDR_WIDTH - 1 downto 0) := (others => '0'); signal FIFO_rsp_flag : STD_LOGIC := '0'; signal FIFO_rsp_empty : STD_LOGIC; signal FIFO_rsp_full : STD_LOGIC; signal FIFO_rsp_write : STD_LOGIC; signal FIFO_req_temp_state : STD_LOGIC_VECTOR(1 downto 0) := "00"; type arr_fifo_req_RW is array(0 to FIFO_DEPTH - 1) of STD_LOGIC; type arr_fifo_req_addr is array(0 to FIFO_DEPTH - 1) of STD_LOGIC_VECTOR(ADDR_WIDTH - 1 downto 0); type arr_fifo_req_din is array(0 to FIFO_DEPTH - 1) of STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); type arr_fifo_req_size is array(0 to FIFO_DEPTH - 1) of STD_LOGIC_VECTOR(31 downto 0); type arr_mem is array(0 to DEPTH - 1) of STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); shared variable FIFO_req_RW : arr_fifo_req_RW; shared variable FIFO_req_address: arr_fifo_req_addr; shared variable FIFO_req_din : arr_fifo_req_din; shared variable FIFO_req_size : arr_fifo_req_size; shared variable mem : arr_mem := (others => (others => '0')); shared variable FIFO_rsp_mem : arr_mem := (others => (others => '0')); procedure esl_read_token (file textfile: TEXT; textline: inout LINE; token: out STRING; token_len: out INTEGER) is variable whitespace : CHARACTER; variable i : INTEGER; variable ok: BOOLEAN; variable buff: STRING(1 to token'length); begin ok := false; i := 1; loop_main: while not endfile(textfile) loop if textline = null or textline'length = 0 then readline(textfile, textline); end if; loop_remove_whitespace: while textline'length > 0 loop if textline(textline'left) = ' ' or textline(textline'left) = HT or textline(textline'left) = CR or textline(textline'left) = LF then read(textline, whitespace); else exit loop_remove_whitespace; end if; end loop; loop_aesl_read_token: while textline'length > 0 and i <= buff'length loop if textline(textline'left) = ' ' or textline(textline'left) = HT or textline(textline'left) = CR or textline(textline'left) = LF then exit loop_aesl_read_token; else read(textline, buff(i)); i := i + 1; end if; ok := true; end loop; if ok = true then exit loop_main; end if; end loop; buff(i) := ' '; token := buff; token_len:= i-1; end procedure esl_read_token; procedure esl_read_token (file textfile: TEXT; textline: inout LINE; token: out STRING) is variable i : INTEGER; begin esl_read_token (textfile, textline, token, i); end procedure esl_read_token; function esl_add(v1, v2 : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is variable res : unsigned(v1'length-1 downto 0); begin res := unsigned(v1) + unsigned(v2); return std_logic_vector(res); end function; function esl_sub(v1, v2 : STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR is variable res : unsigned(v1'length-1 downto 0); begin res := unsigned(v1) - unsigned(v2); return std_logic_vector(res); end function; function esl_str2lv_hex (RHS : STRING; data_width : INTEGER) return STD_LOGIC_VECTOR is variable ret : STD_LOGIC_VECTOR(data_width - 1 downto 0); variable idx : integer := 3; begin ret := (others => '0'); if(RHS(1) /= '0' and (RHS(2) /= 'x' or RHS(2) /= 'X')) then report "Error! The format of hex number is not initialed by 0x"; end if; while true loop if (data_width > 4) then case RHS(idx) is when '0' => ret := ret(data_width - 5 downto 0) & "0000"; when '1' => ret := ret(data_width - 5 downto 0) & "0001"; when '2' => ret := ret(data_width - 5 downto 0) & "0010"; when '3' => ret := ret(data_width - 5 downto 0) & "0011"; when '4' => ret := ret(data_width - 5 downto 0) & "0100"; when '5' => ret := ret(data_width - 5 downto 0) & "0101"; when '6' => ret := ret(data_width - 5 downto 0) & "0110"; when '7' => ret := ret(data_width - 5 downto 0) & "0111"; when '8' => ret := ret(data_width - 5 downto 0) & "1000"; when '9' => ret := ret(data_width - 5 downto 0) & "1001"; when 'a' | 'A' => ret := ret(data_width - 5 downto 0) & "1010"; when 'b' | 'B' => ret := ret(data_width - 5 downto 0) & "1011"; when 'c' | 'C' => ret := ret(data_width - 5 downto 0) & "1100"; when 'd' | 'D' => ret := ret(data_width - 5 downto 0) & "1101"; when 'e' | 'E' => ret := ret(data_width - 5 downto 0) & "1110"; when 'f' | 'F' => ret := ret(data_width - 5 downto 0) & "1111"; when ' ' => return ret; when others => report "Wrong hex char " & RHS(idx); return ret; end case; elsif (data_width = 4) then case RHS(idx) is when '0' => ret := "0000"; when '1' => ret := "0001"; when '2' => ret := "0010"; when '3' => ret := "0011"; when '4' => ret := "0100"; when '5' => ret := "0101"; when '6' => ret := "0110"; when '7' => ret := "0111"; when '8' => ret := "1000"; when '9' => ret := "1001"; when 'a' | 'A' => ret := "1010"; when 'b' | 'B' => ret := "1011"; when 'c' | 'C' => ret := "1100"; when 'd' | 'D' => ret := "1101"; when 'e' | 'E' => ret := "1110"; when 'f' | 'F' => ret := "1111"; when ' ' => return ret; when others => report "Wrong hex char " & RHS(idx); return ret; end case; elsif (data_width = 3) then case RHS(idx) is when '0' => ret := "000"; when '1' => ret := "001"; when '2' => ret := "010"; when '3' => ret := "011"; when '4' => ret := "100"; when '5' => ret := "101"; when '6' => ret := "110"; when '7' => ret := "111"; when ' ' => return ret; when others => report "Wrong hex char " & RHS(idx); return ret; end case; elsif (data_width = 2) then case RHS(idx) is when '0' => ret := "00"; when '1' => ret := "01"; when '2' => ret := "10"; when '3' => ret := "11"; when ' ' => return ret; when others => report "Wrong hex char " & RHS(idx); return ret; end case; elsif (data_width = 1) then case RHS(idx) is when '0' => ret := "0"; when '1' => ret := "1"; when ' ' => return ret; when others => report "Wrong hex char " & RHS(idx); return ret; end case; else report string'("Wrong data_width."); return ret; end if; idx := idx + 1; end loop; return ret; end function; function esl_conv_string_hex (lv : STD_LOGIC_VECTOR) return STRING is constant str_len : integer := (lv'length + 3)/4; variable ret : STRING (1 to str_len); variable i, tmp: INTEGER; variable normal_lv : STD_LOGIC_VECTOR(lv'length - 1 downto 0); variable tmp_lv : STD_LOGIC_VECTOR(3 downto 0); begin normal_lv := lv; for i in 1 to str_len loop if(i = 1) then if((lv'length mod 4) = 3) then tmp_lv(2 downto 0) := normal_lv(lv'length - 1 downto lv'length - 3); case tmp_lv(2 downto 0) is when "000" => ret(i) := '0'; when "001" => ret(i) := '1'; when "010" => ret(i) := '2'; when "011" => ret(i) := '3'; when "100" => ret(i) := '4'; when "101" => ret(i) := '5'; when "110" => ret(i) := '6'; when "111" => ret(i) := '7'; when others => ret(i) := '0'; end case; elsif((lv'length mod 4) = 2) then tmp_lv(1 downto 0) := normal_lv(lv'length - 1 downto lv'length - 2); case tmp_lv(1 downto 0) is when "00" => ret(i) := '0'; when "01" => ret(i) := '1'; when "10" => ret(i) := '2'; when "11" => ret(i) := '3'; when others => ret(i) := '0'; end case; elsif((lv'length mod 4) = 1) then tmp_lv(0 downto 0) := normal_lv(lv'length - 1 downto lv'length - 1); case tmp_lv(0 downto 0) is when "0" => ret(i) := '0'; when "1" => ret(i) := '1'; when others=> ret(i) := '0'; end case; elsif((lv'length mod 4) = 0) then tmp_lv(3 downto 0) := normal_lv(lv'length - 1 downto lv'length - 4); case tmp_lv(3 downto 0) is when "0000" => ret(i) := '0'; when "0001" => ret(i) := '1'; when "0010" => ret(i) := '2'; when "0011" => ret(i) := '3'; when "0100" => ret(i) := '4'; when "0101" => ret(i) := '5'; when "0110" => ret(i) := '6'; when "0111" => ret(i) := '7'; when "1000" => ret(i) := '8'; when "1001" => ret(i) := '9'; when "1010" => ret(i) := 'a'; when "1011" => ret(i) := 'b'; when "1100" => ret(i) := 'c'; when "1101" => ret(i) := 'd'; when "1110" => ret(i) := 'e'; when "1111" => ret(i) := 'f'; when others => ret(i) := '0'; end case; end if; else tmp_lv(3 downto 0) := normal_lv((str_len - i) * 4 + 3 downto (str_len - i) * 4); case tmp_lv(3 downto 0) is when "0000" => ret(i) := '0'; when "0001" => ret(i) := '1'; when "0010" => ret(i) := '2'; when "0011" => ret(i) := '3'; when "0100" => ret(i) := '4'; when "0101" => ret(i) := '5'; when "0110" => ret(i) := '6'; when "0111" => ret(i) := '7'; when "1000" => ret(i) := '8'; when "1001" => ret(i) := '9'; when "1010" => ret(i) := 'a'; when "1011" => ret(i) := 'b'; when "1100" => ret(i) := 'c'; when "1101" => ret(i) := 'd'; when "1110" => ret(i) := 'e'; when "1111" => ret(i) := 'f'; when others => ret(i) := '0'; end case; end if; end loop; return ret; end function; begin -------------- Assignment for output port ------------------- assign_proc : process begin wait until (clk'event and clk = '1'); wait for 0.4 ns; bus_dout <= FIFO_rsp_mem(CONV_INTEGER(FIFO_rsp_ptr_r)); end process; bus_rsp_proc : process(FIFO_rsp_empty) begin bus_rsp_empty_n <= not FIFO_rsp_empty; end process; bus_req_full_n_proc : process(FIFO_req_full) begin bus_req_full_n <= not FIFO_req_full; end process; FIFO_req_empty_full_proc : process(FIFO_req_ptr_r, FIFO_req_ptr_w, FIFO_req_flag) begin if(FIFO_req_ptr_r = FIFO_req_ptr_w) then if(FIFO_req_flag = '1') then FIFO_req_full <= '1'; FIFO_req_empty <= '0'; else FIFO_req_full <= '0'; FIFO_req_empty <= '1'; end if; else FIFO_req_full <= '0'; FIFO_req_empty <= '0'; end if; end process; FIFO_rsp_empty_full_proc : process(FIFO_rsp_ptr_r, FIFO_rsp_ptr_w, FIFO_rsp_flag) begin if(FIFO_rsp_ptr_r = FIFO_rsp_ptr_w) then if(FIFO_rsp_flag = '1') then FIFO_rsp_full <= '1'; FIFO_rsp_empty <= '0'; else FIFO_rsp_full <= '0'; FIFO_rsp_empty <= '1'; end if; else FIFO_rsp_full <= '0'; FIFO_rsp_empty <= '0'; end if; end process; -- Push RTL's req into FIFO_req FIFO_req_write_proc : process(clk, rst) begin if(rst = '1') then FIFO_req_ptr_w <= (others => '0'); elsif (clk'event and clk = '1') then if(bus_req_RW_en = '1' and FIFO_req_full = '0') then FIFO_req_RW(CONV_INTEGER(FIFO_req_ptr_w)) := bus_req_RW; FIFO_req_address(CONV_INTEGER(FIFO_req_ptr_w)) := bus_address; FIFO_req_din(CONV_INTEGER(FIFO_req_ptr_w)) := bus_din; FIFO_req_size(CONV_INTEGER(FIFO_req_ptr_w)) := bus_size; if(CONV_INTEGER(FIFO_req_ptr_w) /= FIFO_DEPTH - 1) then FIFO_req_ptr_w <= esl_add(FIFO_req_ptr_w,"1"); else FIFO_req_ptr_w <= (others => '0'); end if; end if; end if; end process; FIFO_req_read_proc : process(clk, rst) variable FIFO_req_RW_temp : STD_LOGIC; variable FIFO_req_address_temp : STD_LOGIC_VECTOR(ADDR_WIDTH - 1 downto 0); variable FIFO_req_din_temp : STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); variable FIFO_req_size_temp : STD_LOGIC_VECTOR(31 downto 0); constant IDLE_STATE : STD_LOGIC_VECTOR(1 downto 0) := "00"; constant READ_BURST_STATE : STD_LOGIC_VECTOR(1 downto 0) := "01"; constant WRITE_BURST_STATE : STD_LOGIC_VECTOR(1 downto 0) := "10"; begin if(rst = '1') then FIFO_req_temp_state <= IDLE_STATE; FIFO_req_read <= '0'; FIFO_rsp_write <= '0'; elsif (clk'event and clk = '1') then case FIFO_req_temp_state is when IDLE_STATE => if(FIFO_req_empty = '0' and FIFO_rsp_full = '0') then FIFO_req_read <= '1'; if(CONV_INTEGER(FIFO_req_ptr_r) /= FIFO_DEPTH - 1) then FIFO_req_ptr_r <= esl_add(FIFO_req_ptr_r, "1"); else FIFO_req_ptr_r <= (others => '0'); end if; FIFO_req_RW_temp:= FIFO_req_RW(CONV_INTEGER(FIFO_req_ptr_r)); FIFO_req_address_temp := FIFO_req_address(CONV_INTEGER(FIFO_req_ptr_r)); FIFO_req_din_temp := FIFO_req_din(CONV_INTEGER(FIFO_req_ptr_r)); FIFO_req_size_temp := FIFO_req_size(CONV_INTEGER(FIFO_req_ptr_r)); -- Read request if(FIFO_req_RW_temp = '0') then FIFO_rsp_write <= '1'; -- Indicate the output is valid FIFO_rsp_mem(CONV_INTEGER(FIFO_rsp_ptr_w)) := mem(CONV_INTEGER(FIFO_req_address_temp)); if(FIFO_rsp_ptr_w /= DEPTH - 1) then FIFO_rsp_ptr_w <= esl_add(FIFO_rsp_ptr_w,"1"); else FIFO_rsp_ptr_w <= (others => '0'); end if; if(CONV_INTEGER(FIFO_req_size_temp) /= 0 and CONV_INTEGER(FIFO_req_size_temp) /= 1) then -- Read burst request FIFO_req_temp_state <= READ_BURST_STATE; -- To deal with the rest data end if; else FIFO_rsp_write <= '0'; -- Indicate the output is not valid if(CONV_INTEGER(FIFO_req_size_temp) = 0 or CONV_INTEGER(FIFO_req_size_temp) = 1) then -- Write single request mem(CONV_INTEGER(FIFO_req_address_temp)) := FIFO_req_din_temp; else -- Write burst request mem(CONV_INTEGER(FIFO_req_address_temp)) := FIFO_req_din_temp; -- Input the first data FIFO_req_temp_state <= WRITE_BURST_STATE; -- To deal with the rest data end if; end if; else -- There is no request in the FIFO_req FIFO_req_read <= '0'; FIFO_rsp_write <= '0'; end if; when READ_BURST_STATE => FIFO_req_read <= '0'; -- Stop reading the next request FIFO_req_size_temp := esl_sub(FIFO_req_size_temp, "1"); if(CONV_INTEGER(FIFO_req_address_temp) /= DEPTH - 1) then FIFO_req_address_temp := esl_add(FIFO_req_address_temp, "1"); else report "Burst read out of size!"; end if; FIFO_rsp_mem(CONV_INTEGER(FIFO_rsp_ptr_w)) := mem(CONV_INTEGER(FIFO_req_address_temp)); if(CONV_INTEGER(FIFO_rsp_ptr_w) /= DEPTH - 1) then FIFO_rsp_ptr_w <= esl_add(FIFO_rsp_ptr_w, "1"); else FIFO_rsp_ptr_w <= (others => '0'); end if; if(CONV_INTEGER(FIFO_req_size_temp) = 1) then -- The last one is done FIFO_req_temp_state <= IDLE_STATE; end if; when WRITE_BURST_STATE => if(FIFO_req_empty = '0') then FIFO_req_read <= '1'; -- Keep reading the next data(The data is storaged in FIFO_req but it is not a request) if(CONV_INTEGER(FIFO_req_ptr_r) /= FIFO_DEPTH - 1) then FIFO_req_ptr_r <= esl_add(FIFO_req_ptr_r, "1"); else FIFO_req_ptr_r <= (others => '0'); end if; FIFO_req_size_temp := esl_sub(FIFO_req_size_temp, "1"); if(CONV_INTEGER(FIFO_req_address_temp) /= DEPTH - 1) then FIFO_req_address_temp := esl_add(FIFO_req_address_temp, "1"); else report "Burst write out of size!"; end if; mem(CONV_INTEGER(FIFO_req_address_temp)) := FIFO_req_din(CONV_INTEGER(FIFO_req_ptr_r)); if(CONV_INTEGER(FIFO_req_size_temp) = 1) then -- The last one is done FIFO_req_temp_state <= IDLE_STATE; end if; end if; when OTHERS => FIFO_req_temp_state <= IDLE_STATE; end case; end if; end process; -- Generate "FIFO_req_flag" FIFO_req_flag_proc : process begin wait until clk'event and clk = '1'; if(rst = '1') then FIFO_req_flag <= '0'; else if((bus_req_RW_en = '1' and FIFO_req_full /= '1') and CONV_INTEGER(FIFO_req_ptr_w) = FIFO_DEPTH - 1) then FIFO_req_flag <= '1'; end if; wait for 0.4 ns; if((FIFO_req_read = '1' and FIFO_req_empty /= '1') and CONV_INTEGER(FIFO_req_ptr_r) = 0) then FIFO_req_flag <= '0'; end if; end if; end process; -- Generate "FIFO_rsp_flag" FIFO_rsp_flag_proc : process begin wait until clk'event and clk = '1'; if(rst = '1') then FIFO_rsp_flag <= '0'; else if((bus_rsp_read = '1' and FIFO_rsp_empty /= '1') and CONV_INTEGER(FIFO_rsp_ptr_r) = DEPTH - 1) then FIFO_rsp_flag <= '0'; end if; wait for 0.4 ns; if((FIFO_rsp_write = '1' and FIFO_rsp_full /= '1') and CONV_INTEGER(FIFO_rsp_ptr_w) = 0) then FIFO_rsp_flag <= '1'; end if; end if; end process; -- Pop data from FIFO_rsp FIFO_rsp_ptr_r_proc : process(clk, rst) begin if(rst = '1') then FIFO_rsp_ptr_r <= (others => '0'); elsif (clk'event and clk = '1') then if(bus_rsp_read = '1' and FIFO_rsp_empty /= '1') then if(CONV_INTEGER(FIFO_rsp_ptr_r) /= DEPTH - 1) then FIFO_rsp_ptr_r <= esl_add(FIFO_rsp_ptr_r, "1"); else FIFO_rsp_ptr_r <= (others => '0'); end if; end if; end if; end process; ----------------------------Read file------------------- -- Read data from file read_file_proc : process file fp : TEXT; variable fstatus : FILE_OPEN_STATUS; variable token_line : LINE; variable token : STRING(1 to 128 ); variable token_len : INTEGER; variable token_int : INTEGER; variable idx : INTEGER; --variable mem_var : arr2D; begin file_open(fstatus, fp, TV_IN, READ_MODE); if(fstatus /= OPEN_OK) then assert false report "Open file " & TV_IN & " failed!!!" severity failure; end if; esl_read_token(fp, token_line, token); if(token(1 to 13) /= "[[[runtime]]]") then report "The token is " & token; assert false report "Illegal format of [[[runtime]]] part in " & TV_IN severity failure; end if; esl_read_token(fp, token_line, token); while(token(1 to 14) /= "[[[/runtime]]]") loop if(token(1 to 15) /= "[[transaction]]") then report "The token is " & token; assert false report "Illegal format of [[transaction]] part in " & TV_IN severity failure; end if; esl_read_token(fp, token_line, token); -- Skip transaction number -- Start to read data for every transaction round wait until clk'event and clk = '1'; wait for 0.2 ns; while(ready /= '1') loop wait until clk'event and clk = '1'; wait for 0.2 ns; end loop; for i in 0 to DEPTH - 1 loop esl_read_token(fp, token_line, token); mem(i) := esl_str2lv_hex(token, DATA_WIDTH); end loop; esl_read_token(fp, token_line, token); if(token(1 to 16) /= "[[/transaction]]") then report "The token is " & token; assert false report "Illegal format of [[/transaction]] part in " & TV_IN severity failure; end if; esl_read_token(fp, token_line, token); end loop; file_close(fp); wait; end process; ----------------------------Write file------------------- -- Write data to file write_file_proc : process file fp : TEXT; variable fstatus : FILE_OPEN_STATUS; variable token_line : LINE; variable token : STRING(1 to 128 ); variable transaction_idx : INTEGER; begin wait until (rst = '0'); transaction_idx := 0; while(true) loop wait until clk'event and clk = '1'; while(done /= '1') loop wait until clk'event and clk = '1'; end loop; wait for 0.1 ns; file_open(fstatus, fp, TV_OUT, APPEND_MODE); if(fstatus /= OPEN_OK) then assert false report "Open file " & TV_OUT & " failed!!!" severity failure; end if; write(token_line, "[[transaction]] " & integer'image(transaction_idx)); writeline(fp, token_line); for i in 0 to DEPTH - 1 loop write(token_line, "0x" & esl_conv_string_hex(mem(i))); writeline(fp, token_line); end loop; write(token_line, string'("[[/transaction]]")); writeline(fp, token_line); transaction_idx := transaction_idx + 1; file_close(fp); end loop; wait; end process; end behav;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:user:vga_sync_reset:1.0 -- IP Revision: 27 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY system_vga_sync_reset_0_0 IS PORT ( clk : IN STD_LOGIC; rst : IN STD_LOGIC; active : OUT STD_LOGIC; hsync : OUT STD_LOGIC; vsync : OUT STD_LOGIC; xaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); yaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0) ); END system_vga_sync_reset_0_0; ARCHITECTURE system_vga_sync_reset_0_0_arch OF system_vga_sync_reset_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_vga_sync_reset_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT vga_sync_reset IS GENERIC ( H_SIZE : INTEGER; H_FRONT_DELAY : INTEGER; H_BACK_DELAY : INTEGER; H_RETRACE_DELAY : INTEGER; V_SIZE : INTEGER; V_FRONT_DELAY : INTEGER; V_BACK_DELAY : INTEGER; V_RETRACE_DELAY : INTEGER ); PORT ( clk : IN STD_LOGIC; rst : IN STD_LOGIC; active : OUT STD_LOGIC; hsync : OUT STD_LOGIC; vsync : OUT STD_LOGIC; xaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); yaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0) ); END COMPONENT vga_sync_reset; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF system_vga_sync_reset_0_0_arch: ARCHITECTURE IS "vga_sync_reset,Vivado 2016.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF system_vga_sync_reset_0_0_arch : ARCHITECTURE IS "system_vga_sync_reset_0_0,vga_sync_reset,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF system_vga_sync_reset_0_0_arch: ARCHITECTURE IS "system_vga_sync_reset_0_0,vga_sync_reset,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=user,x_ipName=vga_sync_reset,x_ipVersion=1.0,x_ipCoreRevision=27,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,H_SIZE=640,H_FRONT_DELAY=16,H_BACK_DELAY=48,H_RETRACE_DELAY=96,V_SIZE=480,V_FRONT_DELAY=10,V_BACK_DELAY=33,V_RETRACE_DELAY=2}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clk CLK"; ATTRIBUTE X_INTERFACE_INFO OF rst: SIGNAL IS "xilinx.com:signal:reset:1.0 rst RST"; BEGIN U0 : vga_sync_reset GENERIC MAP ( H_SIZE => 640, H_FRONT_DELAY => 16, H_BACK_DELAY => 48, H_RETRACE_DELAY => 96, V_SIZE => 480, V_FRONT_DELAY => 10, V_BACK_DELAY => 33, V_RETRACE_DELAY => 2 ) PORT MAP ( clk => clk, rst => rst, active => active, hsync => hsync, vsync => vsync, xaddr => xaddr, yaddr => yaddr ); END system_vga_sync_reset_0_0_arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:user:vga_sync_reset:1.0 -- IP Revision: 27 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY system_vga_sync_reset_0_0 IS PORT ( clk : IN STD_LOGIC; rst : IN STD_LOGIC; active : OUT STD_LOGIC; hsync : OUT STD_LOGIC; vsync : OUT STD_LOGIC; xaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); yaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0) ); END system_vga_sync_reset_0_0; ARCHITECTURE system_vga_sync_reset_0_0_arch OF system_vga_sync_reset_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_vga_sync_reset_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT vga_sync_reset IS GENERIC ( H_SIZE : INTEGER; H_FRONT_DELAY : INTEGER; H_BACK_DELAY : INTEGER; H_RETRACE_DELAY : INTEGER; V_SIZE : INTEGER; V_FRONT_DELAY : INTEGER; V_BACK_DELAY : INTEGER; V_RETRACE_DELAY : INTEGER ); PORT ( clk : IN STD_LOGIC; rst : IN STD_LOGIC; active : OUT STD_LOGIC; hsync : OUT STD_LOGIC; vsync : OUT STD_LOGIC; xaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); yaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0) ); END COMPONENT vga_sync_reset; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF system_vga_sync_reset_0_0_arch: ARCHITECTURE IS "vga_sync_reset,Vivado 2016.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF system_vga_sync_reset_0_0_arch : ARCHITECTURE IS "system_vga_sync_reset_0_0,vga_sync_reset,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF system_vga_sync_reset_0_0_arch: ARCHITECTURE IS "system_vga_sync_reset_0_0,vga_sync_reset,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=user,x_ipName=vga_sync_reset,x_ipVersion=1.0,x_ipCoreRevision=27,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,H_SIZE=640,H_FRONT_DELAY=16,H_BACK_DELAY=48,H_RETRACE_DELAY=96,V_SIZE=480,V_FRONT_DELAY=10,V_BACK_DELAY=33,V_RETRACE_DELAY=2}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clk CLK"; ATTRIBUTE X_INTERFACE_INFO OF rst: SIGNAL IS "xilinx.com:signal:reset:1.0 rst RST"; BEGIN U0 : vga_sync_reset GENERIC MAP ( H_SIZE => 640, H_FRONT_DELAY => 16, H_BACK_DELAY => 48, H_RETRACE_DELAY => 96, V_SIZE => 480, V_FRONT_DELAY => 10, V_BACK_DELAY => 33, V_RETRACE_DELAY => 2 ) PORT MAP ( clk => clk, rst => rst, active => active, hsync => hsync, vsync => vsync, xaddr => xaddr, yaddr => yaddr ); END system_vga_sync_reset_0_0_arch;
------------------------------------------------------------------------------- -- Title : PCI interface for LEON processor -- Project : pci4leon ------------------------------------------------------------------------------- -- File : pci.vhd -- Author : Roland Weigand <[email protected]> -- Created : 2000/02/29 -- Last modified : 2000/02/29 ------------------------------------------------------------------------------- -- Description : -- This Unit is the top level of the PCI interface. It is connected -- to the peripheral bus of LEON and the DMA port. -- PCI ports must be connected to the top level pads. -- It includes the Phoenix/In-Silicon PCI core ------------------------------------------------------------------------------- -- THIS IS JUST A DUMMY VERSION TO TEST THE LEON/AHB INTERFACE ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use work.amba.all; use work.iface.all; entity pci_is is port ( rst_n : in std_logic; app_clk : in clk_type; pci_clk : in clk_type; -- switched clock for PCI config regs -- peripheral bus pbi : in APB_Slv_In_Type; -- peripheral bus in pbo : out APB_Slv_Out_Type; -- peripheral bus out irq : out std_logic; -- interrupt request -- PCI-Target DMA-Port = AHB master TargetMasterOut : out ahb_mst_out_type; -- dma port out TargetMasterIn : in ahb_mst_in_type; -- dma port in -- TargetAsi : out std_logic_vector(3 downto 0); -- 1 ASI -- PCI PORTS for top level pci_in : in pci_in_type; -- PCI bus inputs pci_out : out pci_out_type; -- PCI bus outputs -- PCI-Initiator Word-Interface = AHB slave InitSlaveOut : out ahb_slv_out_type; -- Direct initiator I/F InitSlaveIn : in ahb_slv_in_type; -- Direct initiator I/F -- PCI-Intitiator DMA-Port = AHB master InitMasterOut : out ahb_mst_out_type; -- dma port out InitMasterIn : in ahb_mst_in_type -- dma port in -- InitAsi : out std_logic_vector(3 downto 0); -- 1 ASI ); end; architecture struct of pci_is is begin InitMasterOut.haddr <= (others => '0') ; InitMasterOut.htrans <= HTRANS_IDLE; InitMasterOut.hbusreq <= '0'; InitMasterOut.hwdata <= (others => '0'); InitMasterOut.hlock <= '0'; InitMasterOut.hwrite <= '0'; InitMasterOut.hsize <= HSIZE_WORD; InitMasterOut.hburst <= HBURST_SINGLE; InitMasterOut.hprot <= (others => '0'); TargetMasterOut.haddr <= (others => '0') ; TargetMasterOut.htrans <= HTRANS_IDLE; TargetMasterOut.hbusreq <= '0'; TargetMasterOut.hwdata <= (others => '0'); TargetMasterOut.hlock <= '0'; TargetMasterOut.hwrite <= '0'; TargetMasterOut.hsize <= HSIZE_WORD; TargetMasterOut.hburst <= HBURST_SINGLE; TargetMasterOut.hprot <= (others => '0'); InitSlaveOut.hrdata <= (others => '0'); InitSlaveOut.hready <= '1'; InitSlaveOut.hresp <= HRESP_OKAY; irq <= '0'; end;
------------------------------------------------------------------------------- -- Title : PCI interface for LEON processor -- Project : pci4leon ------------------------------------------------------------------------------- -- File : pci.vhd -- Author : Roland Weigand <[email protected]> -- Created : 2000/02/29 -- Last modified : 2000/02/29 ------------------------------------------------------------------------------- -- Description : -- This Unit is the top level of the PCI interface. It is connected -- to the peripheral bus of LEON and the DMA port. -- PCI ports must be connected to the top level pads. -- It includes the Phoenix/In-Silicon PCI core ------------------------------------------------------------------------------- -- THIS IS JUST A DUMMY VERSION TO TEST THE LEON/AHB INTERFACE ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use work.amba.all; use work.iface.all; entity pci_is is port ( rst_n : in std_logic; app_clk : in clk_type; pci_clk : in clk_type; -- switched clock for PCI config regs -- peripheral bus pbi : in APB_Slv_In_Type; -- peripheral bus in pbo : out APB_Slv_Out_Type; -- peripheral bus out irq : out std_logic; -- interrupt request -- PCI-Target DMA-Port = AHB master TargetMasterOut : out ahb_mst_out_type; -- dma port out TargetMasterIn : in ahb_mst_in_type; -- dma port in -- TargetAsi : out std_logic_vector(3 downto 0); -- 1 ASI -- PCI PORTS for top level pci_in : in pci_in_type; -- PCI bus inputs pci_out : out pci_out_type; -- PCI bus outputs -- PCI-Initiator Word-Interface = AHB slave InitSlaveOut : out ahb_slv_out_type; -- Direct initiator I/F InitSlaveIn : in ahb_slv_in_type; -- Direct initiator I/F -- PCI-Intitiator DMA-Port = AHB master InitMasterOut : out ahb_mst_out_type; -- dma port out InitMasterIn : in ahb_mst_in_type -- dma port in -- InitAsi : out std_logic_vector(3 downto 0); -- 1 ASI ); end; architecture struct of pci_is is begin InitMasterOut.haddr <= (others => '0') ; InitMasterOut.htrans <= HTRANS_IDLE; InitMasterOut.hbusreq <= '0'; InitMasterOut.hwdata <= (others => '0'); InitMasterOut.hlock <= '0'; InitMasterOut.hwrite <= '0'; InitMasterOut.hsize <= HSIZE_WORD; InitMasterOut.hburst <= HBURST_SINGLE; InitMasterOut.hprot <= (others => '0'); TargetMasterOut.haddr <= (others => '0') ; TargetMasterOut.htrans <= HTRANS_IDLE; TargetMasterOut.hbusreq <= '0'; TargetMasterOut.hwdata <= (others => '0'); TargetMasterOut.hlock <= '0'; TargetMasterOut.hwrite <= '0'; TargetMasterOut.hsize <= HSIZE_WORD; TargetMasterOut.hburst <= HBURST_SINGLE; TargetMasterOut.hprot <= (others => '0'); InitSlaveOut.hrdata <= (others => '0'); InitSlaveOut.hready <= '1'; InitSlaveOut.hresp <= HRESP_OKAY; irq <= '0'; end;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block H+/W8lU1c3gF5YSUsGejG07/Zey8qovlTGgeQVnfjJVTpada6ywn425MC+Re3UpCUNxsUmiNbLou 8/X8M9GQ8w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Mg9LoQnG9QqvkHfwioahLm8RAjQykinVqYqXixaVwcLE7XJjpV0iqTApecAxlmmIYSeJfFMVhkyV j7d2rm5l0UQ6dsbhP9rDnEsgY6XlVZlGtZMkd3/Cvv/UslNjJoNmU0RqAvr5neFHC0C8tPDgw+T/ 4RkuK7mUzoqQpXzDL4k= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jl5v+2WGqsNEu4wjmOwIDZui4wl5yJZmI0hiE7hWVU9e+yakpqa2fNSn345h0G8Pb6syohAYCIOo x7+74i9t/v3eAkjPR6GO1sCsQbcrQbVpcusiN7L7eqNuwB2sXoCOz9eDWNiGbNUv2an0ciVwGvGu xlLkn6c/UrIQVaFtX+wU+cWNvnjHjLosQ2WEBf38bw48zmTdp9YhbrM3t5nZlN4c+yUK5cijl8zJ 4ptWYVDzPEfvUbDLGFg4Xq2A6LKiK8TNIs0bFG/r+i0n0xQNfFvJFq1ePsnCm9d6TpAhYFPs1lZG vsVM6RowS6m0cu07SOVkh2aekwta/X31EFTwiA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block oITI3vRvRXu6OJDbgOMeffqRV7wVJd88fj5kcwY4sjj5xRMX3G1txYMlv9PlbAVSz5OuEWgmM0hP BKdyZR55rBQjKtrx2A2QdSYaAIJv1eyWgen8RgUhCDZ2p9Ut0r4vWq/I9sjAZo3eB+HBSNHriiDi Bj5dD4/P5WTrDq4xTSE= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ZUMQHRqVyznaffBVXnjoYjN8QtkR2JFBnUSYvSE1AuNK6X2WF0CYP/e/nOkH7b0o5w2EqvuoxtY4 vkpf4QKnKqb2lSN8dCpGYR3Kq6KQJ2QCBqrcKyYwZOF3iWDv2pTUweuUXZnkfkjs56RCSBxA8kpP A6MsHzKGPYkBIkFX2Xvvhj3MXVaGQn1n3ufoHwMb3G7muNYZnR50W7ztLwAqCRMtrzRvB0HTaudF UEZdRZgGTSwpUd7PSpgPaLBeLiiwY1mBJuNpbBtMGywMUuEhj61rtunn3e+8g37dPw9hLeX5lKTP bJVycgN4acRTFOCgIaOOygfErfWFAh2RaRQLew== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 52864) `protect data_block 4TeHLUc9awWZJe/iTM2TFo8BWdbAVu09E7SOZjNsDO34doZJ4ZYwYuQtmjN/hGZcFBTKzGpqAh2C 2wpW6+FMGPyDD/FqOVWYC5YJMW8A7n0TmFLZDZVUw0V0qUbtp2mP9x1uNKQq+zK4TTypoROfubiA qTON06wAtsueOUh//GKaln9YS6hj5gKUIkm/u/Bw/KCU+Lo1l34kY/GPS5rhZy4cYVHcFSAaeykY laB/XMU9cbvQDS7msNMvysUs5PDO77E6jiuGxK2GFSQzctX+sTCDslhUoR9IGOGnRy/V1imjtD+k r1EiVCQ89OhXM8iipUajHgoaMRhZjpAPsub9rFoYhWfSfsPQZPysLLjeqxY2ZcvOJVfskR4PGVxR erdQYSCVCRbgvIGTOi0GaKBYFQG4GrZflKd1sy8APH2Vzl88I6D2aYgnf9bPmB9LgJvkgBxaVN03 2sKqVbRuC8rlTikiRP1HxGDDdQB19MRvc4PdoT7TuL8OHPXCAX08xL3cuvOv7wyDduTG+V9JMZ/F 43x5y4dMvayStgPuiQmlzqFSUVR16+Q/nUjnPmnjTsmhum10YfzMPBVhNTyNuJzsl+Z92dlC27WD SXBWUX2TytDZwB02IJyt3x/I9q05qMFNtw4EdFCszmJ7nxjtv0znUjMEWQ+M2mUcccuEJMrY2Asd Ezgga+nNkbKP0X+RL/Td4xGlXP/IMMY3FDfRWl05KYkYEGCY4gy1B1b3d1Lao7dNgKCdU7ekotTB MM/p2zebNL1MzBIKAo3+h39oF6Vo+3iT/4BeUOLkTYBpXan2kkypSf1CbbVa2nMfN9Xrdv5PELwN YJDVuRHWNSaKylBdKUX5a7s+O421wFDC6erIiywDO8sTMsBYiZXZPQYq7g2noFBbsGWLpSwX+FYV 0A+HUBSAwfp8mHy2MylBm+CYYRn+1QYAjZ4giTL+47ozJRTPuQGesq/b+6qwPM493YmrxrwoTII3 xD01ePiUEg1zFGao28zEwD/4ky0ALSdIMjqYMnmV1g1vSgrQbrYsnIVRdVXAhlbmyk7Pv/sHe0EG OOQY6ua+s5AjvNbkgE84ubR8KA3KUeQlbRF36bhy4oP4hBynUS4bIBGbqKzhdOGdyE4D+Tt6jbVi ZSCUMnXyVodsQh52ydeZ7j9MTbsErhqihjThu8bUpTf4UfYv53G+zQw8O5HVEA7W4EqkrrusH2o4 gyw2lolq+y9jVSGD2xvZ/4mmmUkacuweFOCHvIWUyz4I9boRXFyIwSi2hao5GWaRm5D8n6rNFOLa G1qlMtpOd/ORO7wsXmHxVrW+X9H837jmFuAMsTX6P2s7u8AkgbyLeMbVvmoGlM0WlQUxwzh66ymP peoAo1l6cU1wD/TJKoK2ynmIU/Y+d2S6PwA1MqJDi/wSwC6+fO1kXU222pKY58iIKLl+UMUuLjnr VGTIAjPit4ygiKt+A3xf/9H8DCq700RmbxK5nclRQV3F/+Q8f2b6b/mA+9n4YBBC5+9YCAwIMNZ5 vaVpApRRA8ig+pJchWx3AkaoMA4PiS0CSPxt1XBHSPfYCwOAskFAA3gXBaxoaCo/WRYKNdIltwzJ qgmQiYoOh5dIZW6S0tB6GG2MfdG8hOlOPYR0LzAoT3VKIO47twk5DMWTO/qrd2oqclygG0tMOKIQ LGIVcUn0K1prjk5BRMx8W+QjYCF62GbqEAZtiiw2PgvFYXpJnEv9Q4KlYDwBuvnt1J7nMnizuR7t sxWrsayjaN1XHeTUrqzFjWGWaqTRnic9lseMRPJNEEvw3lDOeIF4IdlInaEY2L50O0gdR+CLUDVJ XVacxptlAVFYUZQOULeLzwUe7RVx7gINqon/Op99rB/KuC0MtAVUcKqkqqtSa8uv9CIj1WYH0Etg epvwu0g2lrqT/NjiyOmODweE9oErdtsofnEshrqKddahwvxyEyNG07oMGHGKY6+bBCYgkZnb1tEN 80+zL2CZLygeOvYF02mggnUO+s2NkR9x5eXxb9EKOWUkValZd47AB/XTbcoJaZ3nUWdKGUUKHR/X JTgYvLY60rtT8tM8USRuZiPc5qcyflLjTFMneIuB81JOjxI9OHDZKuVqecB97WougnGdXyiaUlaZ uU4PHegFC6MkIyWOiZmS917bUfcLQjeZ1jL8xf6TgWrpAtLZl5S3fVaimV0yDux1HehpbzhJWa/5 Ze8MUcgfs82tFXRUclAws8ELfI3ExiQiI1reD06uWQWE8f58/8qII42/+5ufHtQ1FBpghFWDLg/2 zlXH0YcowwnWT2IbBOcvVl5s4iMxt0Y/Vali/50zae4WtKhSgVH1y8hXzSnjwo3O6JiG87SpbWBv yStYgAlk4NeuU7OJ/CQquEQJi7BcgbC/WabuX0R/QegTrVcKEamwQk0cN8dk0397E46MyfUU4ydJ eBWybLGUlhJIz/hWyWkZEC6nR9MyCEjIno0uTldpGc8QqVA67zXcp1tw45QZXRtosLI6/KYHBNlm AZPN26uEGQjU1EhihXVGYBid5H5ChmjoEgHQmkT1Dupc18k/v6IgK2x6y33YnZASWOeJ8Lv28wQJ fYvN9NE1H6csnhwZj3OmWdCuvvqDEOGl+eLjHDutvzdne+XBgh0wLXwTUpFi9PdzRWbnFNSAfrdx ZftrfmLqMoqLQ6Q3hcjAGy7DGJXiiVcNfvEvD7p9WE7jwizbHzSBW78IxAwGBO7CEbUXkdUxuwvY ul07Jf42KHzw7avvtC+dQJ05rcpI1nzjQvpWXR1G45d1wXsW4ywY4thf49r7hHPkUGSrMt6fjuHh w8B7X/0sDFWFnXpf9qIQB9j0tjho+cdxLfu1CGNQNLKIQmp49gJkx4UL1m7UlFDZJgrIaXceN1kY hL31XMdy1U+mf77vBdJByk7leg+VvCWddGX0l0epkfmp3vwOfV9AxFYvOoDJBXbGmWdU3umzgOtD GlzDGIrhXadnaVQV/9erlfusecP9ND6ScrsWb6J+QV5fgnOGT3xaIhl0OR9MHinQptvS4flg4lmD TAz0d1NoHhNlRv19AArx08pawDbrUDFUkb69OpfVNvISsXqfBriPlXs1bELvmUsa6tFgnumSW8Fn u1CabVM7z9Zd+fFicIfYTTZLNHA/i9oeb2y87QAs8ANem92ykDP/46l1wLQiq2l+JGN6PeTCwBG+ MoHnwh+7d3P4w4rYgy8GJRXm5KDMlhIa3ShuYHp/dNJWZKlzKGiYe1nQwQMQpVZGAv85/o8JCmso 2BlWHC8wy5UnbUGsKh+IeIoDPyMLMG+Ik8a/dtWRETSA2AcFYkTjPzU9vPC5+Yn/WAVmSjCXBvcn Xn1tFCq62hHge8hsMikf3b7iGvM/9XitZZTGqOl9dxtX6goRac8ptm38VXLLRMOIg1bULNiT1JoZ 2ieKtMU4Aio4Dkv5Zrc+Wf0xz0LR56gcdhr3RjUkMXnYfAJx4VXh2AgixdObiHoA9k9TTHNcQdWU MUYoxOkjV702LBc9+7x2K3bJeqVQ706U7c4KEqV06bS/oAWBHmv+xN8/+fAKIqt9WJoHchuS6bki JrI4kRuUlDoL8aBIVP+peG/traIOeo1+/fZQnXFixkWd9KNhzYHDm18zmIkAo8cdxCnZIVdNJWWP fxHBBi4Q8CKYinHbSL7E2//vWlseZZ1UKQPqSNaRzXKtXqy3j/pAhPPqHrUgHQuXzxBZrNLDOsYv bjMp4aIxTuoPy1i2IR9KLNj03yKwYFllLQP23/kGHP4LYbQuux1Uetzn8ZR0Kc3cnznSEJCNPO8D ZUb7LlDkoyLnoqY4dL06Dbh1+lKOejsuayfSeMlAs9suMWhQX6wDV+gZJXe0uKApSicbXCR0JZmG QzPHDe+J9uGQMYwplFSFOTm22OIQrs9h1dGKM5HT9ajyuNyyiiqVAwAPv4ADIDRtDEaRKhYswOK4 NWxnAChWpdwXfMfLYCQrGQSeg42Qa62I7N4tC5iqRnk7jfFpXKVMdLZiwg2trmP3YFahwFR2WDht wnR9JzE8+R78EmKv7LXdeiYmNQl62UTQDyWNq9kOw2HtIf7/FdBH0fhL4yvsp7nqP/nZnyowXdfo ImzfpUlKQIIw3rY+d2Cl58/DM6zvM7pfQRE/RnGF9rwMvo1eenRfH3MAhauYRIwJ3Z4D5O/IJ/Ho RdNw7OQ8WIzw1X6vZM9EPZUSjMZL4aVF5jAXYnnqfyYN9/MmPzQ0Aa5JS6pDKyd3rEJX2d/jd140 jlvM6rO2TRXLeHqW7Pc1ztfFusQDaayBJmL4rZU8Mb8rgd2GR0N+A+wgYIVVjWBPpzjLqKCXdH6A EvsDaJ2+T5iNEsAWy5cLo9D8CHdFzPl+zeYyRsGrSC46fHOKX+wNeKJb1ELnDjZezHcljEpqEHZq BKmmQVaagfEla0lwCSYuexzaIn6OESIv3qh0L55II1kpk5m1DRu/h7bx2MqBQxYP4Ilkykxohg7+ 8df7jpObSahZ06h2z2x7m7jXy49q7KPrbFS/ZYzRzbhHcZZfH9Mqw3rTxtObT5Eo0kgua3SVlC6h eaN75WNG6icQYOW6n/3/PaIEqoGqb9urVkooHp7JdwDp/Y53cZ8vptoOWF5Frj6AMeFuU4GdwDCm qp/Mm63tgFmNYMRQLV7s9tbqNEs+fvo4nLzIUW2ZVPso3yrMVKTf/vVILZoZpiHKy8lkuf4QGmsy 7dHNTGY0D5/92xfgN4aFEvGgQfR4QyShGjz4G5+6KjkE0fx3tTlhSvyHU/98sCnUW71WPcAmPJbZ 7QKKLa+zLxgQbXqMZ8NksvSUtNbJLHP7vfElrGbv/bS6ZcZBVpznO7e6zl7j4ox+QioF6njdBniF QSwTUJFyswgpARl0vr1ATCo/fLXqiwu4W5kIlSqENoBwH6F8eO75qYRC4uayPofAEY8Yv06ewZBa she8FM7SkgiCzsnkqpPo3AO0SV1ggODq+Jnv5ipGGt3XbGvErPCrDBpNm/N9uj1azYve1u3DMzna 3+i8KQmmpqL6yrOWZMJNWR5shOFFscfVXyR3HTBSPz1gjL5CJJulJFjMAC9ghf35xr/boLgMtE1y i+FnBO7DwJIl9DPrOeKmImjexfc06UQ+nFC29IRqJsI+5zzV4EslNheS4VpszG6bpRka8TUe01zr Rufof04aAJT3pGpqWF5Fec9qppD4syY79pIzGY87axQbqwlVfi9OsstuUiCvO+RkJoJBv2+yrvXm TzAo3pddGV+ED9MgktU0S3ulM2/MlCxMqvzR2SATwZJqpiFd4WX0kdMMNv/ed/4nNrm3BcnkawvU s5kd8oqLLXK5gVutSpHps6mS/EthJoL3sF9cuSgBzJqjBEDBKIkI2+1sxMKqmgzo6f64CAgOWk9W bMUWCDgMrgZqvqEDgdxX/jKJ75AbPyKGqekhJFj1OGECuYVjI5IhD9HXsQ4stkv4DHHBDLZgdsbr Wo+nAyQkVlLobOWMifF9A5pL9XgUKnZ/yAaTagCSrkUQBL2OwyUUrMmyDoI79rMUxFt+NRA3/VsN BUaCCMIJfTTBROa83cikraOry+GyqGIWkEI4U1xY6BBRIye/tgzBYgyvW2kgeJic2hbs6/V56Asm GkSld7zlEN91xA90xmifFOZPaerxgH+lo4DQ1R2FmQ8foliQiTMtH1sZIItSHRx5IBHdDBiO1LcK TCWS851nZ8KHPBU+wMUgzCJ3gWn4fZRUwHjwFjy+yeGyGrXn9Bx2UXKDo8zeXv6IOMaNZXRuu/TM aq3eo7bLUN2mLdYKIHh+HR83U5xUoK0X7qsA2bGfB5AnOqONW8qLpgTj/a1nzy9uSRLbVZsm8hoQ ZqemyKV8ZEE+eKdVBdo7TXSWobuu+fwQMqd6igCW2pwdKPskIy5CDE2FjLShSmbyErrFPr4WYrfP hux0gXtam8jZEYMRnIxqrsdmYLMGaztPcQfKjnOA7Ot067SmR1B0sCOWm85EfN8AZqYHuAHGNdmQ /LWNjAhgiaZ6Nnh45VYFUH8qmm66IGBNtveOpHLYH26rvvDK82AbUby7oNknNedqiIR7YzXq8KdK Xtz2T7G0UGDs8GZLl2lr8PveMFaT3Wc0C+6PsbnRb/FlpJ6k8HbVNRmDy9Zd2Wn04DY6Bd3JUPaR VXbI888iGhUWU6YVoaSTTDHnToNc0uenz0N+t15E4YGU1D8t/mABThYkA28pFs0EMogiqw69ZyNT +TBlCxMf/x/0l7qAZWs0taHBV1w/8VAQwaWxu8piNi4YTgRnnC0wBirj+dYfCs+KkUaKBgCXMgRJ VGsEwfDiN8lKbYQLlVQCLPsFlQc2lPBUIWbHq7MDjlUERCC2PjAiPATVQhhtWJVdDRrhnqHPLP4W 6/c9mW1TWDZVpu/41MWrV0wWOD6emU6rRRgIW4I4l13Z8unpqHVaHN4B4x1vFmyMkmsBKSwSLS0h ZPfpfTQy5rTfdte7ZKD/ndDt+bhsxhG92gjH4fDr6X6gNIOQlyDGMMGXUkKFuUBoQCAXMy4q+oef 1XVKcelNPKv3hx8MNW6bGnrb25WeVs7t8DNr9hrm1T+BDWoKhg+5C58K/uleOT1teTnYWGHGtyk9 oKqUdYhNBp5ReZMzfLKd+FRxi2VCzkbNsXnoECGMnKz0sClH7nUQZtOqr022t/dxSC1+k2iyw3jd FIpHKx2nKML9KFoNTtigXLGr1FTfhxdL5wnMg32AlhWGuMZy93c/FbW41Ll3LrLh79x3cbM84Kdi tyScUJUhjcq9GkSYOXfHBJ4OqR9TUM6GON9TkK7zaF50kfqdJhuf2s7nuXXm2oCybhURDu7uE02e f118S00bU6M6XEBfa/BOQWTDKqvTQzs81pnpxhq/MY/XIMteSV4SR4wkwPjF+lgrwTKUbMp0ZFsq DCoi5zUciTQTfYMVm6Cvwp0ghx/eZ48zVS35MVt6p9Y0jMM8FidQ9TSMAPU4Pkpcc3xx56gnqeRD hG1DbEhT3JTgDLyEnlvAOrFpZsHshoiowOyRimJzdeyB3I+aX/6K6wYAXMwuXKdGcZgGcj6KHO+x kAUL8yuXDQisCVefZFe+NGrsxD7wyqDPJ1WYZHfYIG2rUSiVNU4uhAl1t6BdouSlF2KRe3c8/25u XZxMJ8T54YXegksxqf+wuAifB056rBtSKUQ0nDIT8yY14DE9RXVTAQzXgUkqtqwgSztLv/Vf9KrL q87sEcQ8Z+E1ZUXuIKfFG2uV+kGvYc72ehGKooYXltOHk40U6/d9ldc4KbKtLtC1ExyYJs2mrPGZ RpKcPaKqlondnDkHfcdyCjfQGXcncYnAOiTU/P1y1pWqPeuXDU6egeMOwhCSnJBAlecFUncnMwiD 9fh3w+bOqG5FfXAfcyv3nATN8RC6NNPggqo5Z50rLPjRh8qHgF7C7XH4eevuzkQOFfi7fY2yfQZE xGsSF3rArqC/B0+t29UHPvq1rXSds7IlqCpQ2WAExWGzKSPFqBCtr7+nHpq/pdNphXx2l3bUUVWv gRbxB8E0y9ELyToRRgSWz9Pp9JAKJbDh941lhc+cb6txaTFF/OiDFkGf/blu75y2n/vcc0Rq/bIq UaX5pQN+497202hDneLO17GmGtYJ1rZUrz7+Nc2TYHofA2gorke4jJ/JaewJc7alUp+cxySqJOGw RL5AL6EhK3aMgl2Vnd6l+rL3PkN2or52LvvvFLjNACQAFEUNL1/2g5kdlRPvp8WJ+JB1PwkmwFjw 45SH2g7CyPPQQcFjSM1utPGV3ZMuiE4Y58T3zVK1egmTnH4VFwdSYI1hqMIC9MgvlvHicphZ4j1M EqIfbBsjL1Of7+5E34DOA0KIgSUjMANTeU769EWNGhXi5HS+yF1itFV+iiPaPavuVADXhT3hOOIk /Gvub/aiGAMc4PdozTJYUSYqM3dNZaoTVyc0e/QEi02uR5IsRl4LTaeyTT8kWr/UgzhCJLEdnAY8 uMTO7YRjmFkyAIZBzJi6Zpj90Py5aXX9gbvo+OnNkMyjZQlWMHBbqEicpDkr8kBDXeeMDrHrAxa5 8NpqskNz1jPYw0Umlnxz/XZD4PXXqqjV9v+PbFA0vlSS7VJFGHXyLY0wlBm6L0SqXhHvbxWJHlGL CIjJZWBRA/tk0eh9bYPxoD6xhYeqU6c52rDAUwAc4M5cNJA6sI5nJlGSQfk5h9/pV3NWATFgHOvi W6STHb4ouN6R2qvaQwCwIpweSy57UNBQeYa5JuqGVkeLfx/deYLG/5yY5RRHT3ixwjQ091KA/wJD 7B+KDfbmRk4c0iujkuf4rfNhsOauFBxLr7mg0q/ZlrO6Yh6/q+6H1NahnW708gMXlE2WK7kb3zCC 2jGIa0QS0QSZQEjJ5RQC5zLNYCAZgV+oXTXe9S+QwNwtyDuXqQfFOfW2fthzZAM6kz3j0MvcrMc2 5VFThhqgQ5GBaorla8CxkqIrUGFsLXKXgacUmmXqA/on8SfTKoSwFMSy4DzXToT1NNe1FGFDU8Sa MTumRpd7+3+xFt0dGq+XVkrdI2WsrjswpaQ/9gUG8uUpIyt+kpfukhlo3SP20W9OEAgQiI4ByOO6 Kpqa8F7vD0igpjsCtxb6wrqINtJtSISU4GgEtS/rOdhEZTqJn4cO4isFcodJE1GLuWBnY0hv768X hKk9anjBi8Uga+eiqaxFQ/aUWPnaSG0C3OvDb/24spGXFRkXzmt5llGHlI97TRmYbLk9+8SVqeWc eWkEEZ7tW430lqqEmVeb+owPJkocl9qLOWykVsCsfPYo5xa/WBYeIJrB77hhNLGAYFugg3OT2xw6 pC5rq9pfEakd7LOXv6NLXe/7iQlQPnN7SVZUPLJ8lHq3Tt8ymrtRHEmqHEmsBmsU6ej8hFSRd5Gc qwXtSH9qjq0zQh+Qukm5VWXu3YLohksIGEL2vvWbtkQUn97uAsUsbT2eJ4Wv52OpX2q9NBKeMlrB /EOOv4TvPDIQ2FfuSuI+JPPpSxxRD19sigvl/uJ//MwgZNUl4Nzj0Hj8w2eOv9zcTMuUpBkG7h3D qupQ76Tes904SNLYcuES+mbyM7lkVunQBMKWyK/n8Jq3P+BdYjgETAqEpdr3Fut+Rw5hijGgYIQM oAiXntxmQ1wqV9p6Dfhb/6+Z31PZ2PPf3AJUyEhF0aFuJ/dEmuOw8Y9binETKAxRNP7MRazFIyq7 rgEQMAEXx0gOUGf+SiTSWvINDu7ioxNe49DBYYqTxu8R/cj60GzJGHxJIzN1tYB7HBXp2uYuipvW reqOcsKzAVFjxr2v50d3CdKYOaDv6p7folf2mABsZuZMYuy3ABnupoEV1ynY/sJXGMXe6pp5BDN8 rBLkRbi9DVg0is96ZneJQIj31NPCaRE2tDNTRYcdR/hi7Hwne6WtU2l6exVORCCobF2nWrIG7tAj e5cqFWu0Jf04kkwMmgzyzHXyk97CdZNG/8NLAtJFcCnJeeIwXfXtcYa7QCy7r95L/CuymZSqhOaE PvGH+49dLQTxNsD9FdHd+vmJ9MEOgZBtssUPr240xbQTd2U0jvqczcb8y69vnNpnRN95uEAJbpkC y540xS9A4Ez5TlPkXrTFCMGCm0MgSnBBMx1SXjEjj2weDe+E6bGL0ZG7POlISDcKJl8G1UNJqVFW w4jcTD2C+WwwZN1fBUSHQoUJMJ94TyRoZabEPLFEh+fwvL1doBfGlP/LOXtyJMGPACW/fBRTqpgU PRfqoydQn0J/ln4cICbyxUcmD107tsyaaDGSqdumRCTY94Ei5n6yHrJOY/Gj2RtI/MC3ewyVwChz sja1FuL2inW64i6J72E2wq7XQgEphE3/ScKlRZKM24a2t5spL3VkGhUQEXMVTwx4kqqT55dxc0/I dhaFt8Ev+tyM/dG+8DYmcnu+JG7Kh7f1L8r9dwJC8YNJ9azcDVSt7GAIsPkNm94qHMH+EOWsVaSH 2jXsjiV+ougH3C258iAHEbKH+3jQRL1WAuvtFOp+k7h0H6K0bdgkn/SyNzeP25Z3TzkpdATdkhjw C/cl3EDsrj9rwRyitD2lsKupVPwrxHZcAjmRqepMT2wF8sT7nYpQ4qbrgqRt8fKJmJR+YGCXv8qg HdcFr+WvT8hUH3VyXPSi4nVyy4L/XKPseXIvS0WHRLj0oJ5z3LeX34WvB4xvrRqklHVlOYEIS5WM qO8yRDiuUb8i4LZZ4DKfCcLlXjUQ4qxIZ5Rvmtvjz9HULjxiDYWoOh1Wcf0O1nIFmLttdaeQ3S7l AvbQfcqEI3lfPths3XcqhGwZth0dvMsvhRyzK9z8sTj7iurWXrSg9UXOC/Ejr0NMlT2P42mN5ma/ 8bywC90Lb480h8GcuQxo+sz4N5IpQWodIXlb5m0U1lFOLfOpWq9vYNZRGYNma/sVZYgDI7IaJ2d9 4kwCGVaIqikFZTTst7urbd5OdKHAwscyIQ5jiQUS9e4lHKQZRaPRrzBvNe2JKHTgM8QLi8mJDsqk nMw0pVnr7BDdxlyR/ONIald7nD6dd0UDbdOvOGiuNXnYQ0u3H9tGfOdsRC4T74ZgzaEw7ot6Io+J ENYFPyWvg0abXyv/o0/8qU2FgFQJ0hZ2AoY905H8155DamgHyXg28w3STbNESMXou/LPA/fct4n0 mCiFWs9VbIirqzsJeu7FpAekihYH2H8CnVcF9UktVxtYuv98LQYIv5sYI8dnEfzBBP9G6uhShvtl zkkg9GHIydA0cOR7ic+Y52ixsDZ6Thi/T10djd5aSsTAD/lOdsEfyAQ5OrN2r9aCkvMx9whO0vno 105n1CwKS0hJm2tGr08Gjiiavn7EbpTuhxfm6JW+dTHlM15s7r5AI6ZE/5PMBNbRL3U5kVIitq3k sLzrqnnl9JfstE7xE1FxRg2SMP699FXvY1lx30TTtToQGfxrAF8MV54qSBH4USj8I9VnsD25hmiC Yzhj99o5CIqM5P5nsu7rl/hIH2i9cPXMFQU0YNTz+wFu9QOSgwhv67bDRv4pgsMaK6xpATE6fATB TGRGjKg2Kjt4Vhp1WhvEmnaOFz7SwjvkwR0L17GscswsKSwsQSSaP6Gc+MOU9SKvRwvYoRfnfslj nejdWfwQq9F5s6f169ErieNENuN+SB1UGJMxFivIqzbldQW46ahxVw4MUW4RK4j3eSRA7MpQ0POp LrJwdol+B7ECJGyRHJ1ftxC/u3elopIC629gsuvEYAF4P1vd7krNtOu/p4gl9ruhuLuSlIMoXhcb OZWfbZLQxy6UrW/jQflkz8Cn9LnecBQUz0VacZgPOwJ/yr71lTrzlXVLBkv9LRvYTwKZSwkTE1vh UlnwZNT1UWUMF2BYHLq/8rdhUyZbF1hlWzVP75OZM2VMAEKEjwegqjGtWIQI00HhuwJ6YnAdhbq4 nkgLvJ7asSk5EbLDf3AjJdk5jONxZos/rVhHNgV+3nXbTLpsn2RHAsQguM/V8VmmZSyu6nV5qsz7 0WSJs5WgwX0pVPl7wBKlbO08fyRLmGI/tW7yapCRQp+W8yHs78EA9h5PyHGWaX0uDLl+EBDry/tu e+l4ra5gryUrcYxYnTe7Wr0BkCAq0krARYSGxQGOIl6VLP2f9aregBOTdL1v4aSVGhE8/nHZ6ZPu +ZzMNbhCk3ze6J20XQoyofY4TuXrEaoDiDlSRbhbnIAEMwpvw6goBgM6v03ekBp91BfCAn8cUNry rk65yBioMA1qsBfvHhNs29wkFLiSqyERGOVGAyu+sD0Tj3q8WO3kK20nYv5y6T1fXs9CbvPy686p S0AXPbb0fYe6QfVHpEBnBFMLFhbg2yzVw7dYKALAIUCnnbirlOyQq76o8xiBqryDpiBS2qTSvBK/ dWlZhSyNGsjmb89xbXictjau1b7dWnxgXZyzBLy+ruXO0MCCpu1LLbY9XCyqtkG1L2ITB0fLoGpo +BdjfpCVGQ6BACCAbtetpHWJ4B+o3NH/Bkg5wZnTsCsKQF8p1JSbxEXQkQ371R1NqDUQT5NdTPRF D/Ir/hXobfXfipKh4iS6z4I+HDfp2nQHZszmQ3+NxFyzfEbmCOw2oQAVonmg/m+8O56f1uoe/kzY iC9TDxKW+Fq4wVbjriKCJMmTZKtRM9lOrAgjl4T0QhwMwitZg4jZCMx5fEHPmZSieEgdLUZIGGIT oOX8X1sseT2zsL0VVKIGdQuqA6nV/I5QdlHNGpkX06mAA0lAHrc5JumAm5ACaVr3fbnte4JRTCRo zWRNgkdJwMcKZH/3QD7SAdkydoGB/tHTNfCvLt4u8AORu9sN5uHZ3vLTgufOr4MmWIWndXkwk4t6 hEsokLPvQFn9YHXDwlAWMULs7m8vchLjd9tIwy7+Qiog3SfYdmaDv3g2Li+SgVpPToVC1OeK2gH1 mg8KNyJvP32g3ExX1i2bcOn6g7/oEMT7ffv3KEzItk0l7hftW8R7UXvm17HTto47mjZp8dkwESmg oK+7+dQzybZ5gTP5frywNxEgMRlRYJ6aWE7kliKmj6UsaBYvYyfSFy3Q7GREpG85DAL8gKDckxc9 g1DiVaws45TPjqMCJRsES4Sk0a7M+wWsUVtLcsbNWV1VWuTLH/0HlWwMYP90uc0t+/iO9lUPisPt cAwOiYdbdc4BouTrMbaXerjyd8u3y74IY5cLLPfi38Ijep+KiuLw/iya9xJpqWU5kSlFsPujF1Yr FnkkisfVf+UM1Z4NGjRiEdLPGdRyFGAHUsKQcBP6aobmDASHc3hB9gkrsv4m5bAM//nr3exJEVIC qnSab+cNy9WaVAbwBhGJHU/GkpO5SSSy5d/St3I3ngALO00H+Z2sCM2az07UfibG1CYGMCccq4aL le16TqOtFvSpJE913g0poCbsHtlK+Mozr9NIuobL/t9XTKDVRJ2SymxKYodufYzSyOGSwZe5cz8h rfM0dPQ0AVg7ju5oKbCM5hF8bsZo+z5xgH+wmrJbkN750R2HsXBjOVhGcn50AAWG9YfUbHgJHIAO d+Ozw3gt2dE2X1jlH1i5mKBGpcJik1yroZg+hkmZEEqZE98Mo+LENix4sk19ZqFly1Q7400kXQdR cUSuTwSwLU3IaNcwAsH+eK18L2Gm2VoOhcBbiZpgDfxjOHT/b4fLJItYS1KIZ86a+Mul0LDeETn6 x35afgvRBDG0tZJgq3dgEnUs6CM5vuevTUsHjT1SYG0X6+Z97DpGWbqzwIL5SNLu2i7IzTa6fUHq oqAOg6ZKbLJ/xIX/MPkqndC8LtHDC0K2/abhF28BkHdm2L0JF6t3t2Z7BnOoP1I+1GddGlO/6fJ3 V4tKZumRhOCyQPJvgU/A1Y9EYRrMLlTMz/6pmCmUOufc2I2NB68orlkBNZtqGDkorh7cOBLKpe01 f+PdLWGyQT+oY381fhgOMTCuLpdBy3p1kc6fxBG5KT/VVzSrMQ4uxd41wBKUuLvj+E+yQfBJXMHD M+mPGQ7fgth9GtH3Yqj/FHO6AtLE265QwJ/5A8iQPt3uRCdOm5RHR+WM/eVPFdR6MRQyeKD26sBl T9WXtv+zUYvLGEnw+3pMMCkdroV7FQGbArfPNbpChd8Oi+OECRt5hMycAa+BkUpoWqH/Zx/2lZjP UdNEHTpU+Vz4CAyNQvrPFf0iIJ00Se/SoUpR6ixk1RhMRRYDPyNZt/PFyJCG3RJzHj4aDaqUD9FN QR6QQ7XX/+enGrs9tjA1ZpTnpc9INOASGgaF6EKw4oIG/SAmNXrP6kKy8tBXROeI051bB/FTfsgF tCZHCrfae0r1UOk5SxCeQkt1CfnrKuj52qiCqPcjQ7qYxOI3TRT71YzKaHm+aLvnpgepAZLPcI68 sPmOYnlREhMlm/hUW5bh6npk75ZB9Uit2qHm+2pRJDsrpLGiJSkFewqNknNqIv7+H08SlgNuiPxy nFc+r2ke3zKM3bboe2aPhOLi4aEh9x1upJMXXY6toTrDsNBtJVRw8J+SLYzoMkkT6Pdhy4nVf45g OF9NweZl8ZvcEqWbHyeb4xQJebAxB+xrGqKOab5fpZrcXxR/ArR1ZIQHsGOro6dmK2C+zIIv0vfa UjbpdBEuwie5HQarxAcIsT6R3ELJgs84AzNYAWdojoJJL2TBUaCJ1Z02bt8PTqtBIXdQXmUS9IpO b5PGFrxd5EQ7INpu0H4H8886aQmQl+P1YayAzLGoSlAwZY5unbixM9UWwcb6q785EhKDb/nSaHpq +mk9Ce4D8CLEOoVXx7SAoqMJX+WkkMeQhfAu97UPWGoBBvxiJxvoqjZqiCDUUR4Ty6muvdEUkukI oVwUeY8wOCaWDMSyPxLD90bb4e8petil5G8uDNGXva6N7fem0B+8q4khVF3RvbRUXYkWvF4qSinm YTwbrvBCoroEmqVhd8YluDNUJHw1VjujJKQLYwZcd5sMOTKRES0ATSmUS47Zhh/a+vKdBBpKT+4u fLeZLE0jQqZVD0hmL5oQ8qf4TY6pkiHiYjTo1fiq8AlYPT13Th5zkVHiQiadfM95PCPL32CzWN2/ oN/QcA/oVMR16tvpKvnWo9OFM6jh/qwqEVlDxqmlUkVM+pe9nW6XOpMPS4xSnYpHz49i42hQdbCB neA28qTNDFbGOMdCWAzxp5kFRnwtXvpI3AuIK1DAm4wWwXikxOIvikKw85/zW0H/XBTDSP3f5KLb vk8Gpi265LLlYN67Cd7NnNxFGszkX2Hvlmmby3pRL5REOn8sFs1XniDHMIqGD0BV8aPKWjJT/53P p0OGmmrHB933N/1/bbxqBHhQ5AObk4ki13iSOu8vzcYz/KyDb2TmeL2MjB0cNIk+SwIzEgNQVxKD ioqokgJ9aulonLm5MDPKwyaWhlVXm8HLegAMVzDDCCfMrLL3BFCwgRTTJc9de5Pj7bgOLx3OrPkj weFq8rHEdwVpTsnA9FYc6bbdgF594T/+k9H2OpteysGPF+l4BKwMTmxX910guIQNT/gwlQ80ujA8 I2ruIKfaqvvIYotJx84g0xzE6O1iJyBK19ql4AgC8aVSKaMVj5EQFCok2km4LkJmX6jvV6Kg78A1 NBaW/BzFgMffSoTQMxzHbNhXKYzWrbmPaRS/3+KPUaQOJZrifqnfq1CA1lECg4cIUrbiN9WpI1oe 22PkEyQc3DJ+3/sYUzJ1fTNlLLoFXeFj5bdXDDdQHQnAAqkZWqsrxkXWmDpTB6+Fe00PRuUd7xRP hyHjapmpeV1EseNc7nLxE6SD6w5UuU0sTQieM7Jcp0YK/mPeIAmA3hH6D+I7WK8yaG4+N8dgFR9t mAlfqdCGomhkzuVfjmf0WsbJ5SlhjUoBRwBbdPjfxn391LJHKclq9dkBZM9A+0fk+nT3vq4eNvU3 2r6gZfXpRWDtxDG7w0lbf3uKVubQK8pu/y2NzBuUsSAUE1KPKvRqjNzc1ehpfepzOhW9RcLBu9JC PqMBGdo+sJQucI2tsWiElmgm1XPqbDVT38mXzarWHY3GWQUm0VYWmwzf7yDDFdL6kcWjHo+hbhBv t9s2Dd3XDUxw5lAO5zovJSjz4pZ7xQstsVOV1xvi4Hs3bAA3fmgaeXXauFlhe0uM5z4qWo3muq4E Uy7ykPLfWzMJv9UD8Io5NeLG17hieWmdQFX0JNIp6whKIbS+gIB5i4ZDfFIAnBRObtPaCuoYDCfb nKFGhmfInpSAo14ByUcxXLUTAYkQuQc62RJ9StT4ZRl+EdL9WWLzNTjj5DKv3krKIpEGjs1bXNG0 B8+LIihuSlBhoCzHJDu3QltQfwimhHIIad2/5JkB4mwoEzwiR/gq68MvPqvpxaqFLbOp1mWQFEhO HIcFNihVq8fulIc+GVqtC14mI05CXST+g3nVsSvDRZuz3XMILSQGb8LDIHmSc4CJ5jBnNQlJY6sl AwzCDzIAK5Ls+s46Z1CYtHaakWjRsqZJ4iInG9+50ip0UlEFkmyuXxpqy5PzB+NH1bwzIvSfB/d6 U0yLXNCjKZAhXJeU0kjDo2IAFZAxzpuEWsDIgDbrCJidTrKDVm9P0ZXTu5yH5KC6a9EupKPsmPNf v1HTXXKvwCbehVcDyaJx7PRIczXLMKABL0ZwQ/rHHpnoXZNGQeZ48KP9LcQZxw4q/AyxMdwQZXQ6 HwovUYKZX5r6wg79yLvQS4LfrplnRivTJ7cQ5h7NFV4TLvYn5B24XvNFAdCMdFqIGRpXkkp1Od4F QvNcII7TPOYssTM1RkZECfR6A7fqatkmZGJcmTSoEtdCOG6FFeoWpAwRqYAPWt9dF/wE0uVlS7rN 4jfrxG2/dUn7Ef8wSNl2POA2tvtXyyUtfMp4tGibvS7gwgYpDHRiVRakWGiXsUficNKm+XG7Ed1C fOctRryAPxgwRihCjZrZiFqJ0OXth03rpcTm3341Wktk0S8YcIo5AZhaeNPdeQEsmDC6vvqOLvcT vGcloEawdfLw+dW6EE5MdQDaFksFwcTBYvA1yNitEexYT70WnwUjvEL8GzLLL309BR2CrKNc2pG+ oBeV+pV4noDOcheDQdIRTbebkbg1q72yK1GTNVoRc69/dWcKlrHX9oE5Cc3Ix3KK7nWrmhdVXe6L stONECZ3r0tLCPylBEmXgj2XqJrTBiclsakyjcHg17U2ysN47dQOrYsnpqe+way0hYmkcgucsGRR FXMpFQmdE4YTqXWFmKnnmtrtv04fyOATdfngFgtue6edHeAtPRqPfZRMZK+Tb7NnfdpBoZeTRr0k 7KBbRm8Qd3w+LU2jnyqTuW4Mafgp1F0Go58dtbPM0ay2HkYJ36Z8yqX23tl12YVz/qLm9otNzyTH Uy1VoccK43OygaXs1kx6jLgSFmejCxIBPmCPnuGZ+mlNhPD2BUzUICeL/iXTZl9XLNFVc8B2FzZh ik4+R0zHMluX+Z82cdkA79emkev39XlCU/wz6wXGK9xZVDzi8eDNAv+AXpBh8I9i6Omi2rhDo8iz 2OT3cQiqaCV10Ns9N/uJgFBPZg4+4jLvRdf8o8WOC5Kb45msQPYs+7DlBwtvHiOtM+dMiiR8Lm+W hwJhAmaBcrkQTNl2BW1JHSyAg5dH/amMVqN0H0D7qgkB/QWZpohlHWAU+F0KqbM2okZm5ydc6Mif uaQduoaP7rhhaIwFSFsktKnzZRlwMt82luTs6SwNepMPjKsqbyV9ZKnvPdqa6m7aWOYTWlWcblOf UAPZwLsGe4Fiw8qcSbzWVy26SLXCXEuQG4Qb0SSpmm4jfbZfivAtG4XApSYzWDhxTVUtb0D3Ytdy ULrBmoIh88km3IsN7pDrsoxKTZbAW3xcvkjJDe2wmKzKcYFr8rLX4R4rdtvkcu6G7lIgf/1Mmik9 7uTxtKzSG/LLx6Ldx0Xrctv9gNNbxmjXz4vCBOGX8ys3/6n7UtcYZZfNVkZ8XMpSfQ2f70Pff907 uIZ7JGxD+KkQhDIrDXcl4JsS8NUR9yeKDTIMHrsaXt3P0OzWYSB4yeZfJeNs/OvkfzoEhiog5eI2 tQYFSQA0wcXdsCNyhwjHtO0bQ0LIl+Sul3W93kMP2zqQ9tSDGVlsyHXw2CEsWIxu3UkvChu45eCf vmdxpqtixP6kGuogrylJ/4o4FIkKKBff7J+mhKRQ9oAa7Y6RMP/o6tn2LhBqfAQ1n/lIV1cAJBUG xpQMmyz/Cj4sYqm2J/32HdmJrCEPwjE4+uYDrnsEa/yKjxsSr9j4L5sF4fUcb6s5mJ414P++GqbP yXgWa5L7fAoQQmFFfZPrrTNZpNAT6v/G1UlZ1JatCZ4QOK9yxex45TApwwvm1jaoySy2c7BzU4D3 WKAL0iYbIerom3/3Z7KlLhz32zo6y6FRhFKCbvvqBM7q6UD/fSB39kjTNEifkL3wX0x2hI+qMDty 7eR+OUlEwxlpWZNPWgxi3XEgp5jYxYacNx16KMIn1S0aQcihKFbc3rSpbr25uOkcM9FcSuUweV0T j0CY71ejmtKrd59+XX8WftRPrZsV5ogDVA/+gTM5ShzCnfwmZy4ZQDDmfQONvtJeXzsyuy5S0w1l 0KBUywWg0dIvS5/Lrrri8LVwZ00BNZFE9liyAHLz55gTnOGIpBrnP9u6+c5jVRlbdtVoLBTKNBhm MSbo37HBZaMOWaLx3LV/6APrALFLaAjIR14FCPVLbKri2OASP2Tdj6AHeBY9C+q4GukfSbmIG/bX L0LMOnSDXL6HacbysiuDRgfY4G06LtUMhPfkbrcy3pHO/MW+rTcI8RtQNqEDYbUi19oSkJMhZKjk 4xDzJ9pe2ZAxoKr8N5J2DXDoTDgPkFygOp1IWr6+OnEPM+f+00hWaM0zjdbJccFAERRt4BojiBZK 7ASy8ar1WIoNQPWJKCuR1ZTw/BOLnSRK1fbukfRqW63NRclxNBvd7N4v7uZ70rG3H6Z2nn+08TtG Vb1YahWWLUAZXDL/v1u6FTazsKqzjFTrFuMbwH4TE57qhGxMqMJ/dlk6qhYiahiMnQvAhgcO13Lh F0yJfGGvtrNbZNsK7G3STqNvLxzCkomWd3RP2mHTWYwAS+bFFaomr386JJrWE09ul3XuH9PSkR/e 0YJzEh3tgIfCbZNFhpx0a1E77U+snlhUaGCSPyILzx2GN9J8UgwcjdYLgwEsUIj5HeDD/XkhB5kk JNQ2cQRHPcrurAT85iKACMGFEUSJAKNb5SMp7j7YGydTXGxI8Z67WjiYUaKgwKhS2ssQLyp8WoqQ CGqLNfb8cmXh+QjwI9YxHAVtV8//RfjjoiWm+HU/0LLbDWowRknUiDG2zuBh/8omJT2Aghd6YXn7 w82/gXYKDGDMaicL4NI/3+zcpAuCfsqsl1NdsxmoUAZJMhXkiCZCVWoZYDhCmBaTMTn/QNQ3IC0m qtIbnPN/05aPPsmJCU2DRngEjjC55zKTCBh6fc6E9x296AjwgYTihfmwwgu9zC2c6RbzC1a4HqwF YvCN8GHT8VtGQb6jfw3Ito7nq3KFKtjR9KRo0jvlam3eMS+0n/sVlxXL9NOIiJ2+eStMIgKvPA4o EJ1+iwThn1+gb5GxZVQw5tmzHL3fgmm5f/SL6+/cN+neeUq1zvHTHZ7pElcOHEIJF1chq3YeNEd2 WZeJRfJXwb/+4fF/cb+Swg7LcDhCBonCaXDp9JSsorXzhiaemZ6d9m6dK4oR4H4QpYrQ8id/oriA UnJXZugcO7C0pJh2cuGTPfBWfLN/LnZ7zuzKJ2WgOm5Zv7UuZoAJjRATbeURmliUnk1g2hZaOq3h yGulDnXVe9XoOre1TP41Jq+j10ZWOxz8c4kxz3eouPXfVjwPi83cWSrXQ0a+L5g808Jn2hLv2w7r YPOc5R6Ar1/t+Qn+OkY9cJGIA4TsAQdQvWPD+Tr7Dk7FaEV1UWEad2kLtp+l3QVUfYKdo9OJlH7U OxBc2C0BphWKoAGszUFgqQgPiE4wy9MvUEcTuLBI8jb2PQb11i+lvCAbf8tse06r1pq2FEBD5hp4 TYWm0ZNu8d/CumK1csP2RQ3nhRoC7lXpqO//z8om4WNEnoQcCjVXTg3ZxNFAdj7YsSh9jXlzUhhR mJMpHB1+FvxgXYP8FS7xV4TPnv0exl5WAND5pAZNVNTNgnMkDiZsoQARVm7PfbK1VxcE798bInMk u/7l0mIUDFw8G8UOx6s8WPiPS8/QUfKzlAajTRa3IX8Zcx8aeWbA0Fau+onJDCjXUQduuFUEj4lu Lq5QokGptuGzBOepwlHT5ABafJgl4t15nyabvXQ4L96kE+8dAtVvvmMc3bOlYkAg3mzKi5QAIGYl V+j3/6FOp9y/DTGv9SJubVjn+0cszUy0sruv0uBqAqjLnyUSmjdTFKR6dSt8g9B7jbG6cqEByHBm NqfGZJDNdTUq7kTJeg2BYAlQ3ZcxtHJxdJyqr1YEIVP7IfXJ8KNQYd8nb6tYpUSskmENf5/5jhbv GihR59Mv0+t31j9/liypxIWP/gaD1C7KZhnlY7+8WX405IwcPztXPoYQSzTmBSF+ni3cnxNflaYd OXaNRYVUCEBkyIhnNLK4OLLePcHmQrBaL2VGKbR8sjkZycvFDWZl8Pnjem85cGNu/NTcPzw0Ui4e I6Vi1oFEiqBCJZn4y7ybyKbYmQR3htj+jhqOxyYfGCQBzzmSGshm2VvP7Y72dpN+dQ6wdRT95j51 12cU9ayE5TFp3YtL7T2hmm1fHTqPSv4Fg7HMzgRBix7VL1KdMciEOYMpDgHTfBYFbI7AYg+J8YSG 764m/Dm5JcMLsM3Ra8h94LhSk3+kCfA7gYsqW1FJM2NLXkxZfZQfy8HOAh7z91MPl6IOXRjU+td8 T3CYNwOJ80uK+Tnm2P1gJB7V0L1NrEDelhfv5cjptlrP4q6TA4D0rzbKJFprV1XEgw+yUNJc4QR3 WNNyZcIL7YBJTvnx4gD7v5obZw4swbS5+5OBgrXQOnmO2He6NNgZLYCWIBgT9HRyIkchj3ojBoBR Do+AaI3skwGb4U50KJQwBvHP/qI0RWp1IkWoWSgD1BJH30qyJ2dmhraJdSRFrONFlrGZWwlmdgfm Tv8u13KgKoQUJB0Q3XfdaPiBTwsUGHiU5BG9MJn5HJkfFv78QJs6XYrxRJ0maFTH9/8muFCqD+Rj 9+pgIXvSWg8phUHOE0yVSTFWJWPxwBkcs4MYRs/8kjRzJCWZ18sKNXScasjvqxcoLi+5+GlorQId vo1MBoHE1MQfcebAu06E8ijITXPXK+qP0bnapAeFjwznPvQUxm/UF5aWqQucHD3sm2f67v7LsS5y zCmEEG9qPzvqWl+ZPXaV5B3xuV6WnVNpO0qzgaDHxOyPCp4qge6zs5qj/70eqRzYOFEs/4/GHt5e XY+/AGxV+DaV0MtQcNEobDFjW7scKIDl9PTrb9x2hLIAr7zT91fhdMhG7zHEuhxvAnWNP6NKz5rl q+Td+3bLYYsAz/f+l8Pbp2M8F/USslwFPH2sPgZeK0ikd+iOd80v1rS1XUPA7fmIczXr9ZVpG87L sAikIv6QQHaQjCbAMAhlWWrlxyoKHBEfoWWSljzyiufrzTGiOejHQHO2dDy4bV1RWg9n+qsbEpIE fn1oGLflXcBL7CCs5LoFS+Wi+Ed/KjWDV+sIOkpnOD/5LvAHvWGVyqW9imBVPMdL77uR9CXI1B/2 RRcOGRDyPRigWNBUj3e+8QUSXascCpVLTFv8owfLoRWLA8yA/Fl+9LEcpAIybMxJB1w4YwomxtVO gxXmHfUr44U+uPpQAwyXYmhRM7hV/s6j3nZG8lQ1jL7st+EfdHVMvkrJ80U6hxdlb9l6l8XUOW7K WBF21GK5/47RI/uF+U7Ru6jQgIXy11n6T7/Dw1nGCETRvmdPQRrH1jms3Asv7kmf6NWdIJnf//LD nRb9RiPLUQF9r0gTx7blS7PnCO/v07L//sDvMfy6sH96gjjG2AjFOvEWhBOFbY1SMSqYXG9Ok+vv o79aQef9sahqR4EXj7GZTRn9vu33XS0Z4C9Yookfo2FbhyY924SNpBG9bnzPHJCewhrEm1Twnek9 PFsdA3PrLTckiB14KbV3C1w2MmYxfCVjBq3oDPzAVJ0JNdxUXwW6W5VxxO0ryeIBCPp2F7ASVFkd ZzJ6qg6Sc8OBfh87iUrlGJK3WBYjvwIGnJlWQzxRovub110K6CGYiAhbf2E7dwy0NDrhxpOt2F70 X9QCaXmbPeKvRzXCx+MtNoX/75Ocp06OTqnqDH+ai8Ss8gRQLIVYN1CW4+swsE6WFvN3AvWU7jrw ROZ+eZm9QRlDVsJFRI66ybjAWpS+U17rrPTXcQIPn7Se85ONq4dc5v7D9rDgWv5opIozz90L/UiO xpUOa5tyZGMGCDC78rTEtepAFXMC0oKZNu09LU7RMMzevp1YUDBIoTguDMhuXyFNtgjnsov/+eS4 tk8v1z8QRncUnWFusNxpdlR2GIdxBirwTC3KD030xF1/oBX4ZWhX8AThHDBbFd1vCUgh8qkkTX4v YL7UJSNOwSP7AUcgjXoBBH6f2VuCwqUN0G4A+zUQf4tyv6iECI8WhVRuSKv+hJHiypf/a0VtVjGV FxnqT5p8laDkU9vvqH7mn+b5C4t2IKJp5amTaP3bpBuo9m6M91jy2LbmGZrMLaFNdR4ldMVYdqUZ XrN+0jzqwufa6tW2M5XAv8XkhbqY08dfIPgVpq0aUJZUUr1nEN0pDtFM8aFQtb0MbjluaOgH3yKd ZBG4UvtWMeWk403qIrw8MEcP8KOXmyozsAIuwk5IncgUDtUMZmWeKmT8Rd/Pq2iV7XMvndDuNxqD eCVdbdVRjA9VQQFDA9MTPqX4EWSJsXBU8oKpd5cfBhlZLGcNxA+JdAHJOSuGrDcJbyQSGxA2WSkq atPChOZHbwSYLtrrFqNaaqYX0I+//TOWKPutVgFmUA9RneJEmeHnlYbjEbTF94rTVSGNFCQLktnd fNFhFTtnMV8uhZdCfAL2FwbvSpzIIZ4ndx+B64cJm0oMt+XtAhLmQ9TKzZdGkRhqbP6AItm004kC QEm3YgNvceAy2Yzx32XkoPR6RGsjSN43BO9mipJfq4/dHsnVsTVs6XOtGM77OWWqzi8EHd5IOfc+ npSOJ0RGpT/Dx+HC7ogG1SzsqRUWXDN+ue9a4B9KiLD3C6ggvRfMLA62HDM8iZdkKu8/z5zhspfL sj2LXz86IpgpMk43RIkblwSomOdCMmA6rcZUuyiPN68faPRRWWl2JU6P35S7awgyTyW3oj+Pgzpv G2UwYlCpavwH32YIuRwnbnxn5Ul9NWUm5nFfhT7Lr9dSmahlAE2GAgYpZ8S3g7HZTPQpTWoLzAMH bOrTj43lsRBMfNewI5e4kymr7HPqiyJlsmSBYz7Ccu7PLA0dQqzth3XPKbDar8DLrFIgwLYotHfR tzHsdBW2B7pEIxBp0xwfV5Gf6soKTI9Lmf8xdWJzhGO1jvWEjVGJdUEX0fliataX/L0E67plYi95 EM95/IUQHcC3kGzyI76jT36tSOkGK+la0K8OENDj0sgR7h3hLrTmqhf5V5i9wcH0nH0naISOA8Qa Pw52Q+cp5oYxf0bb4394/6ylTit+JjIzwrwZ5Qwo1L8Q3TUbaGX3AiwD5PNlnLKjmkJNTqFMGT/m UYb4IGLEx2reOZKBdiuf/Q+F/XIbKM2ZpqwpR9+g46D0EJ3s4FDK1CoPmkhoH5K0drm9HpBnWBPJ 1yOynIUPX8SFRyptA7Mau1U1W3a/iZxKSEuVGRmY0m/QNCd7WJ2dzaIf7LWOa/QQmpL9Re4IUg4F LrM/hWn8bGbrk8rZpoRgfByoQw6qWCv8vDFuRVs7uhXXpfZpiYkPKNEfcN0HlmeEEIPAFSS+Svu7 d85tpG/hol6yKRBfk9sxHbSOjgTaXLZr06ufuG6Gllm8G1VLm60twr/uoDaEsPBIYkhLbRkX6Z6N mwNppKN+rsMNKw/DmhUBKUqqfQumat5HWjadvZ+JTGDi1w25JfgFJrXAl8hFU2dQMlkO/lXoiWCZ utiMOd0Alm+zBB6ZWB0IXeigYIB2atxWwLxHgGmNnW+FgcDP5rMIIL30hBFwbFjnTpLPZndE8l0q J9XVCaOxn2EJzEBd9edfNfQuVfaMgJQ9HrInVYXmu4p1zCuOnwPZyvqbmSsyI6Rm5oVYmFBTwfGU 4YwsKJ2Ptp4Uhy8pJJ4UHwkQiLD51+ovaQUgZnuXLFtXMOQ93LCtckKjnlvVrEeIIJo5vFRAH2BJ f+z8oYrd63flibxRd4IWuXjSGs96jRQ2o97nyHa9mOloxbqNj0gBY9PsjT1fNB2rGUcU3nRRoDCf O0YAQGaZUPxpWbkLeCU2mV93A98TXoMXWS/U9KOK7ZwfSkntZgasuTlY53hPcHiIRPRImNQ3xbU8 GSF/YdMxOOKGq9LI03yAH/VP8Xkibj2klWlznNTomeU0xma84cL4mycQylotcEUEV3hcobgpcm61 lE22+7qw00TNeWL0EhOI7FfYGr8/7uR66iCzh8dZzLAQ1qqMSESvZlBbZj0tcNOPCHP0d2qnt6hx E/vRHaCHzbIEFHBjveVD6eGyfpl2gF83OhwzD5l41ohl0vW6+GVWNWDMnCGpBIeihuUKWzpF1SIt yoQuiv/NK3/3box6c2U4ZCkw6M/AhXe/B6+Blcqgpv1ES6gXdYfJTH+HA+4PcLxS8IgmB6ro+vvN sMJsvh+vfHYrI4i+9xZSJGbbtLwnpGDIusf+0Alk3DcnSZWciaTlDA4TcjPE6GkuuE369paBfRCe oG/7JuBrpaQ3BppoMvSyBlS+NfSUu5CZi4GMgEhW31gLKsnxIpOppjgWxb/TLlEsKT7UI8v8eSvB 5WC32N79OSAcCXWTZfrM1mH39NGgzzVBsSa0xXODZIWk4BWQvaXCxhtluE63uuWuUZwUxC576/Tb jinSgRhshn5k5RS4EBgFTjM09/4aP6nT8/SzB79DKpSDZezgw+zpWANLsrFRAKT40maH88kJF7XG qODOCv0yZD/RsTZb2R0d+yxHzYN+aVSeS0ZsrAjAYHKqSJf8LwL4FidWOrqjP9ONFVY2h4lhziae PRsBOSQBDdS4Hk72OfgcyRs+7HkFfYv9WwD6o4j8ulaliLlALehoEIcsq8GheFg5KV7gZNO1TIrB Rp4TnOtcKCcifZac5HAhE5UUOD6md6W3E0roBydE7/Fb393oBzNl4X0dm78KXHOwjcJ2z+RP1fIs V7nZXtale0dzxfGAwLsFIOrXdHzeiD044ZtVHpK0NtGmVKPQSlVcYAhp3RQwnaLvGhON0IPO1ASx 03kVYvq4AM5QtfepOTuXHOasZCOx9IB3BDKFvadWHcov2KsBwn9tGIinYFyIjzoPo9W8rMO+1c6k 0JvQvdI7DDK1yeLf3SA79x7kVSlW6OOQkwjMrH86PsyTsvKzpgG/gN5oIstApedwD2VGVMFZogr9 rt6uyfSHMSxjfbOcgH9zUw6ar1N9N7mgSguZvYZjc1XQa5bXKDAXPfh3V17SqvK1BoIsNi7YzjBP kQnmPczDg5ESpagr9jRjsiGQ5+CzZTqVn2Hb/iQRhtdliJPjML42qjhmkGmZzb34qTpTrhS9lqtN SvoqVAvYqlijrtLnVLk/RR6IqgGzqRgQ2c4Sr131aIc20sbVHQMrXAGxhD9ZsVu10ajgoDsJiUc4 YonMn7x0CZcGPVScmZA9ZUxNx1faXBtQxR4kS8kLdJab2b8OuXVF6uRyXs6q5DKDjocb8Bo8f3JX ujpPTiIdyqflBLL8+UsGmwBZ8m4ArafL82z5MX6zg1rlgoc4xFAkcQkKIIS/dTm4y+OVclqetDa0 9nnZAyZ4zC1MM9FlYn8UFHOsHvo4QgToSz/mb8UwWXV83FsarwYOrhBjehHwO32a6DOPGy9ncCxM WQCJeaJdq4P5EfoVMzbbkw0QuCmRXe3Zs3fAnaQoc7znqTRLtpvAK9DxaxfFjk/aZVHmbea/Zgzy 3EoN306SsQuyY1et3sJQbl2gdHKalyObK3CpzYTPatJsicjNh3UC0u+el9thmT5skmQDyiiQ2NwK 1iUFIrTeJj3aSlLxGXMSsM7U41tJlUEPRy8VCcu+zJTwDTiXhLvsc4u6Utu5kZ5rJF2CUbbvtuqK 5jUx4Y62qL9VMB0Ym3czO2W0cgfsQz4T+WdvlB8tnUeVhV+cS27OkfGv2J2PRc73ySGSeMOGR0O3 7snZXyAUFP6ivCmpPoSf6kSM6MyP73D5J7Kzl97CkrN2G8uY/fJ03z5YI9bCSGD15D2f/THXKcgo l7MYInXuKyNRJ38DFIUlkW6WdA7ZQy/iVVU49UU5JF4fDmObkqU00CSCjj1O26s4+5TlIXrC60Jx m2JUmIUDho2q5nDu5riTKKgmfDijyWdUdgDFoyoxCXbNdanUL/rPynNYZM2wE5kX1i6B6eZddO+9 SX3OagtbIjslnNUTQklJIA+ze+DtxnRoTpTADP0RhNqnybYPG3PmfIlQLG2tqxt4wwSnBL/ZD9em JnSWGReR5cR92ajYo9GN8oCEUG+Utqny8CTmK3pq3WKi/3j9Dk14ow/w6nX67Q5Tzk8DwZvdGJDk UX+LJaSqWGzANqDhXZTNEcOnpGzorj+3lPxUNc/wfYoWf9TbGZKJtbtttLF7UYHOQFXY3jxqXtr4 je/TM15DnDRnYcbFSvQvAAxLIEK8tISKKwoM8Mg84P0LL3pJYYZbIIu/QE0yKzu2HG9vA38Ilql1 +GVHAVkomlU7khREM8yJXRHktVilmBssHRubj++wze44Q39k5yjNZbUucbjsDv5ww9REIMVeXbA3 1T77yfTOpmXFytrMU9LZGWBPM89awQ1rz7CfWGy4x/ja06CR55jQnAtCD1hy2O9cZaqjtphm+mYv NevRBsFzJHrN10YWX9aZ05F7PnK7b3kpkwihbuGCO+wpy7XEwQmsMBzmy7MnYw/tVqie8qWNXvCd 87G9L11/HlGF1fvWuCTvviAjm3WjfSapWCLNgQtg9O1zPf2WKTwPDbzxYDPWLd9dihRom+zVlylC 0firB6ooh1I9eNykoXX6tfbFufkSJaXQdh8W6BQBH0njUxqMDLGEhmfnN6G3zL3s3U5V7wJhRLa6 jLpZDckq9OpDViGVj8CE8AyHJUAk0E21QYFXq9x3LH2F9ZHX6J0CnzijwrmlipKLm2etljB7xHH/ /PcK5YqkGfIVf04BWNYgXdjC3MKbNJpenmZkE1Y73wby4zP3R88Os2GTo9JrU2Iky+CSqxBQW3Gx VWVMqIhDfiwb7WergWaevvFwWYUs4U+6E84Nl1BxIvT09i5ehCyvrdXu6nRXTuMne84AZ38ZLHDp s4uvMGQ8qSo1KTWmk9+Tqb3qokFIN7v0Xwc+IBEMfGa74mvMqg9UZRo2lwdIvGNKzroEV5Myl96+ 9qHRg+jZERSSxcGJvQrpsxxm0gBCx2wp16VuJlZLa9sINzrgBIPWFP0x3+GJHdDGKQk2ORQXARp4 1eE2JBw5dj5T7z2tOfpw15g/zqZCPU6GV4XHOC0Gz0ZFYayBHA9SoC1NgzOUsNSdAZMmRx0IB5I+ K9EfHTVwqauFrwmgXiexyI/eKbUYeO8UwONHAubmCJmGnUpaRMnud27+A3upUd/7HcOr7TrzcxWW 2UBMEPaqjSlUe22nGdLt9Q6T3IyuGZveRgw8KuVt5cLdj/Kx2HgQ+J0pHvo3fvclgjw1WhVHcTCr +Q6zk3taTE6cSmx+dhIVQWikCx4ZcmMqcD+hTu9qD+eAiU6f9S0EsDnwzhgBIUs4cd0SBiPijo0w VSdBqGt8nFBi+t4hrXOfIcMonbIuIiw/51e17EwsNIpr1qca66s1nMuUYkwpuOqcVahcsDnOJgYK +hkUrOJvq/Nac7z+HsV6OVKQuT2Cmk4rYYcy3WhocOUGmXXiRNh1UnI/ldJp3KZ6YV5Xk8d+WnYx k67ihPJyqVKZV9aTAPgk4JCqfCUS0HLa4nTBE9RcgFJRN6vJNnF1tNR7GYc9na5cfL2XPms5I19l gyIc9gKflP9H0g7fzEQYN7XRCIcRkOy8wtMOMhshxrtfS7Je0th04TYm0ArQIixLjXbKs4GCZ+mX WFXsVEd+L/05B9zB9NUoKcpy57PIhYktAqWgxydV+n97rrLWERMIIfIKBv7fjjgL7EN7sMkxOkZJ eVP/B/50qIj3+i69DezqGZFurBqoXXc7+b52Wjo+vuUBh/vxpzCRT3D5up7Aaaf9XXSLCCk8i4xW 3QgJDLoTsM98jXvxw3ODGwzUiqaiPt4b5tzj2NuvIxIRwdoOoKt5oXH3gvcSy6zRCSuasl2/mtER yZz/765T/yIkDermCuqrJV8CrO/GCYYjhfC+G73PD+nBf/rDR4HtRzDaW5MHmgWqdU50MHcvjU5n HFkSAwbKb/+4FKvKvppCNfkcptnKOaImiUcn2+NQdteljqxXgBTFWrHuhMMHtKzy/Z7UAkHiIjLD VNGlIvm+ZAgXkw4u6ydoxgWxwxOnBxiOBDiHjWRzrRaUVySppBIM555motjyRA/eZBBHnB3UgZ1h uUBwDIqHMG+MiOdwWm+zPczT7u3aYemuQM3MjP7uFMwWdYB0ELOG3CF8FHYwagTne9b9FEvGgbBn rM7hvb5DJjue8i6162xgNi6nckvCC0TPYW4VGTzj8gel7bv8T+ZkNVG94FWW3hJ3oHCaUav2RLu6 wxSuPPy8NhhdXjBCGkk6c8bRXJPu9A/aO84bAYZ3JiQEK7QcRnkcycnPPx1YwpGMGt135uMZ3/C8 Zm+ZL3RoVATwMhcOHYBkf7gW4b5vEQsSSBNbgBd18TLMe3cBNCCLzb3XEOpyALQm5YUEsUciXMwz sGAyPRQRMc5ZLY0DL3F+NrB9XAttO9zjnXtqfDQGhK0f9ZoUFgPVTqlMVl6uN6vJWuvQ9Z/JaWjC iY7cg38Ec9Ro+cLnstMIMUvyUyBvUMEwtCkH3YTvdgPwnMS5dG3IjKBnU11czrJnVrdE5ga+Yy1K guhhObnJMeGBOVmmTlplPg2GgFJMHuC/2aY3f5mVgkWT6ZAvTeo+78gJwUAeHDWLN7FnoXsLEV58 prVTZ9s48V25mzGcNs3NoeZoorr9Xyw02pLzIGXG6NH0ol22nQy+9vJmI3PX+JL743ZlND/uoJIQ QQqYHRxSjImevYMeEcbn67aVwnvMlVIv4rfe2Cy4xy9hQM+d312t94JewzVY8h5pf66hAdugl8hG qu9fb14+/nKvPm/l3vk1QZjcBu2IC5Lp6xxd4JaPioO6U79YJ7fAZ7xe0kjEHTR1LaVX1cZJ8xBk ixLHu4AOutW6E3vhZEEnSfYoK2L38kaR9IQBMnXFrg5h5AdfUIYU5lL5mk7EpEFrBoupbRz3+6Pd R3H0z5inCO0dftsd+u2VRtzwi7Xr0DEkbPXUyYw66yLCI23fyqNkswYgF3wCQ6k2a47TS9TihWDG JASWc9c6/exwF1HPcYg/ugBawNuFDapVMLp46W0Lr5x7/D2ImHCaxXxoJJav0oNyrc+OTdeuTtlF PivG/H+FvH/BmkACFAVhJ56qdqe4RzWo1XmW6atWPsaes9rgyQIlJjwHOa/V3n9s4Xe7mOznl/e+ Wq9OUJwCerxnieYRTAgshiUIiilGwY+9fXuDeCIT1GeDeEzkRrZukppW69CxA575wIAcnYCUAHpQ fsFu5keR24vofcjY5UZ7yZqhh+ED9K+6RH+08xlOvt62791Gf5RAG+XCx48QS0A9bpN2JoXi8YlJ ROshh2Qdwmq/UV4yQE9RQZPE+04tB2ViO4uamarropR4Dg8aScHMKdtk2LyxGUl7GOKXC1esD/st Z+WJHdHpAn1GVRhfSwIWHVvpXRHUjEZRSduVkttj+MGPa4YcniougsZ3zNFO9Obrf+lTM6Ad7Nn0 udMvGDNSlPha85GYp9drrrgibSMqm5SBlue6YSk7IxZnPKjbz86vscn6fl/ClW6gpwqJi0zrzWgw ctnBip0kaCrrX3z+KrlcAnBwKURo77R+kzixP2KYZPzY9FLEw2erCd+ZRkVsjWskfJWFFftsu9Q7 CdZ3dPCTGwPppcRlTm32yz1UuADofp3ctdz1z52vb9EKZIS9jYdM6Rfh9MV4/uBCDEKOE3BglMes KC8JuBtrNKd3Cpzqk9j2/f7dV2g8y9HONBjF7cETgcF5MyuqPGns1e3QXyMT4yXaCNodJugxuXYD DqTvatcEbcxzHJDYtO4uo/gT1FkwFprfpuHkVzIfB3+K8+frzvtqUzTjfdAUxZ0uKfldiMl7n3Mh GBiHH0iXUySeuNtbnO5/jvvQ27FhW/RUhKe4wU/rKtVeFdYqfZ0lbT9CeEvF/WMYj/FIzwNExy4b QVctVduSqDcjSwRbC2MsTXX1cuRvIZWlGWbHZD/j4cTuexk46TK+Z2A6PFZJcDJ3ks/IP0Si5JhB DOSqX6X6ttpf7ydnLK08FU4nLfpYK05WYDO3Vam1EzdUV26yTWdaFKk8I0uTjKKPz0sgjtfyEIzk +X91vtxRQYFzbu/+CKWUFmi1BWNQfFMF7Jgin1cSHI74pSn9NRBavyug696ufJ4NwyDCg1CJ90R/ imocIZ6EjcddLOXFKktvCwcPt5QaH+IxG65Z7vkJLV1qQ6qRhbgZmluaaqn1nET1t07Mjdf69XX/ ndLds6IsaZrdlcQ7lS7LT6VnVPCucOhlgqHRcrXj4GHi7NiCUw69zCI1oaIn8UEqc0f15FNqzs8o 5+UL1+dzg2vfrXDh6YoOw0cm1IH87+wi4TX8iPoQweHwBipGCEZ/PsSLQExR5njgfBg7/n+kEpJY UOiUFSc3wXhgBFaNGD39KYD5TxmCyyU3KGO+y6+jbHT33cg76nLUDz53mLyFTPOB7a/q7T3tmy+v GYsDH7g/T1+NVrDqnJfexZOT7xoz3l36Va+TD7rxNGjDwgSiSuDegIgGz2l+USfL9W8eKu0B6duU p8vGCDNNv/AqggsEjkAS7uwXIoro+d2WsPzV8sbc/qWpK5s9TNo3oryTH3j7r32u5dw8Q0joCkP3 /xvv9O45O4+RfaOv4aMcuN3mzMIQ17yNDktyh/qLfzOBgFrmtvJFrGxqmQRTnlGv8RM6TYj47kp8 iRozT1guwIC6iHTsyFMAheivmwNPcC7CdECOW3zJ9p4SLSHVq8x/MnhUu5r9RFM62vjKZasob2i8 B0KlZl6gJMKhCoaPhG55XkYQwHtsm9JEEqWYy3S80uD0lNiQSixLCBKNEeECVDS/DZUhi6AbqTZL guMsCpJv/+6kKd64/noV32aylJRGXHflEl9MY75Mya/wxIb5kKAr7ftkuK3NuoVoIYWy18eWWjfZ k66bJzrmv4zibiBe0H+g2dPszZZ5dGenFOpH8GsVZtQ46wfdsRytVd7BUmEERpOy/sQVXdzyaSu+ 7ufbhDr/ZW0ENSWU/zvpgIGov4Rf0d+b+7D31qE6IzkgR5DG4nlUILI3qMm9iQCmf40jF9Wtyxln jcAXkcvasT1xjqvKyE57x/A8ff1GpPchAQTx4fQ7l6h3VC29rBIACn+qXTgYrLcy/3Y2JTc4bJ98 BP/pV/kynIcqc0i08x+8lOh2QQJo1HLL7jIEk36kbKw39oHGe7GPF1aqHIVJMJnsNjYvmUnem3pj eBw6hjxO92rr+tQuXlHb4f0rzJRBlLFnwDk4o7tBwuLMbF2g/XUrtspNEMoFqSviasDPRuwDtFxI pzjmRI2Jj2C+Mi9G1SKlJp7PsmBp3PN+ZeLHmeaaFjKoSEp8GNpNNEvs7nSpLfZKrODQ+Kpzv9fa 4G18WGHy1dgsgM5BeImlf++2AVB0xQazRHl3ZkaF6JmOd31k55iD+4DgUk3LKLyURgmZQjQCbaC1 6SzBe+00/bAsjskLEMp4NgcEPfoJ1zRJh+OKMST0Ijd1/wFBaJSqNw1DBgPpAAH5KgctzSsW47iG iMJtn0OYGZtt1HdnKuGjkWwABillTbrwfg+tueQDDS0/TXcM6Gy4L3UpLpV4h+Q1860350xHxbI8 9vgdNq8oEDs/5gF17sz4Aa/h1pRPeeMMCJTtXNHpG9p2wI/F18M+i/dzAn4no1DBwjsOjH+H7SiO K/M5muh40IdvL6sOtmdAr4jKRQN0ijzJX2vRtFE6vlW7LGgo/ivmO1rxNvsmA67wzRSdydF8uFnp 7z66yOO702DQjU29Gzcj/MzNAtFuE27M0u0Z5kIJt6UbWVUI3/ARKmI41tt7qhClFqGL4Euz9AYY hZl7zKp0x68j5IOkk7d29h1UF+/e+DmJ/GLHTJWo+JW2IA3tvDjlstKB0topqtuB9nPCbCLsFO5L Qj7X4auMwv+UoGnau0ELnEsKYiEHNP8OUlXw53Jv4Z2N5cy1vhJQ7Ot58ACPkCZy+i92ixIk2YFE TqwFG3M2HgHr9j2hbAglbFrvWzwTkJ6DF5+BkUSo5H+avrb5wN3WLeHQE46Nlj/zjRglKVBCyalh dFu/W+1mjbRHUQMt+J3eD6gNBdN5roknScGuJgMsOLpilYO6AzvXp/HXm54/axrGT2ejExOTRroD u3j9UPnt3ou44XXcwuU7n1q8hjLcK/US+kCoxjt46hIKbuHFdPcKKrOMmaNvfaufEwldoXpg/8du sikSxz5y1E6x+nEnnvtOZWxr33UQVuqPXjJ6ZH5SzAzj6bvVXe1pRvVhBezkpWlRzomrE0KVDwkc TsRQSF0nVKxy3GPihV3/jtQMVPuM0kHiebyUTcFErtPn5CbAFqdbrAEbqgDF1egDy3RjZEN1S4jA GCcpF4mqNoIpxUqiD9RuiOrF5QdlNOp40qCjK7r0NAX0bopAmRamAcmDP6AMXl5+YDJQe3JRjTzw +NmfnOXa7z3haBrptgXFirUBpKLJ6baRQDaBE9uoyHHNq1o0Ocg+i211LZ+ghOooWcTKOIcbcnRY pwfwc+qBkWj+P+xtmBAX8AJaCUSzip7tPDt7BsEyaiUn2hkS2mzZElycdEhY18DE4qwuzrRYwpU7 saljUMxfMRfy1NpCRJm6Tv1sbBK6PrvsbL+W3znijziJECm8OMEXlnktYa7Iv40acbgSVxbPNXc8 3EfGYfjn6ObTPEYMiqZdTMnJ0vDyPojg05Up43fWh9DU1hgL84K7TpxCqZsL9PpHUh6NXcqe1aD3 k72hw+zpkWtaGIPIg3VY8AX8wSIug11nCDqQ+1gFfI2PX6e8m+uzNPdWo05d2pyXwm9ffIU5tQFT JWOgLXQ6QB2k9FJNibkrLWkgkhBQMjC26ascfhpAwGd3af+2DRYQhg7XOy2F2eaSZsPQArzGPmKe LO9f5dduiwcL4fdWP9NsnpriaINNbT1txuYM49hysC0CNpd8aXK5obGHk2x2xfhWr6vrUJBCKH6r VU+yQks3BbNFnAi0zehpOxJw9BDqmxZsLaepRYq3rWpCYYazlQTL6u9PR+OI8RQpYEm8Rv495K6p qWl/i2W5dW8szkq+nuFCPjAyqBuQLWcE4cNS4h/l4J+6pp5Y9bUpM85cSjU4LVAdxhxntQ0NxqUN LxdfozQq/+HJqG4zsPVgVltvDmmSw+8wguxkjnnBLSmkKdP0VcZaX13zHmOo106t7xb3aSiOAwzN aFJotmBlqxTGaQymeLO+evgE5GWLJ5ScMIXWBqLVS3/E+VmyxFAEuN5Aum4HmJJd3rumf2mZVLcN 7FeYRiQduGNDQ1uJSUL0AMzXFnrmUSZ6kXgIWmFat8xIDx1ofLPTsbvCEMYlfc/sRFIHn+mgThS3 9rTfzP1W8KrIUFf83375/0mIGJRLU62BQRnGVMmFPRHpXPXgTEhbzrps2XLF/tALrxKp6EisFeUt lpD2zH6n/hhOKYHKN0X+TrRgRMz+FF92FHpU9pPLNTpOTkeDmvpffZD8ryByDY/XjklWonKZXRA5 q6+8UlkU19WBqq2NT0KuEKdwZZDlb8+RYnlE3gZYMBjGErZ/3wDjtuQn8jCBer29bvs9GyLRgBse cePkfemrzJmdL5CLcpLfP1EU7H08ymIJoZ3fbItbMrwSW95e9ukdB+ta1Xx813H1b+znNVk+4Rzj o5sHCJkblH6yvrFgqV2luZTcmdYftXMyQwIRoBmm/vDtZ2kDg8VK2imysk8SMEZSKMGAF2nVult2 5kEFNtRcu8IGb1NEiUQBVHO6ouNnzs/Y6meGrCnq6pwAsLFVDXqL9z+NTbbZzhwFa5b+haFEQDKk C+MLZnzEwnEs3U5fQJ5QHRQ6VaEX6+3DzRrjVduorpYCQG6wRcoSOzb4zcQsFaxCOtNUELzC8uGm e7fIwdr1rNEV38j7WVS6RAGGOptaZIdH25miIbFBLMpgwOO7CNl+1TdtAFApcrdBjIpbF8Ht61v8 2b3SBtjLY6HMXG6xEURZmHLznbVm6DA/inWkt8zIaxZhf8X9CU8cJArt9ilpWghfw/QQzLyTvhfN PZint1BuMQOMlHf/7OHxKIUbh3O0rEgFcnEeqn2a0nEIdPNSf91nbxZ6sTv1yj1F4iqFQom+IAPU cwfYOpl9dLj9BOiEwCBL1U0cstn0CxyRN0uhrCA49gTyYby1t7akYIL2uD3uy/zV1vWEkCppWr9C j3S6oo9M+TKEvc2GjfCjceeesrPEh73WQyXsZgExcEUppBwDQJcoZ1iumzmWRrAuPaf5PtUOajXb StmBZ1w1ch1zjKSJT/SgmzmbiqPCUtlmdoKZnijGH3Q5g86OObV6W4V/NRBHgObWbVcdjCofRmBc S+kHlwGT3HzCuYfdgwfX52CMh6pD0/0G+q8zzfCw345+GmIOgif1Ip77zD3uuts4PW6rhu+z9PEc bdZgnG5a2gx7aUR5oqfRnCEfEVwcczcaVh0Vju+/+SCXZAd/uW4aBl1LaF8EFGwTGno+ahGvMFHk 121icRmgqno9BA5LrYKVI8Bp4kyZfXuRPIcOOx0kGoQwxrynIGMBe1DpAyYcZ7t1AeR9UYufIyca JXgS5+0FdFqElPuDK/e5+hlXt45vj9S2EAb7KBJZQbQX3HNTizJXoWjphmSs2ttjo/V/ru00Kyg/ UfmIv1l3QYuB54mfWQc9VtZnXpMpgqYewBBOgC6acZWHeF4fgSWB/NCwT+Sy5mpd3iBP6i9fUJDH C6ekK1C3GWn0RqN/wpnoRpOuds1inB+eL98ynG9u04NDhZtWnMfdKWJ2wfYyW2m1bXUK4/y0wXlY fExHeg6Q+e6/Z9cSlEXcUWFesPG/yiwU7ykWN6TKuAIiisYl2CUl/QkXqozHnkv4hY+EXhEAHMlP Kfn+bySLVDg4ZCYRc7YHOVU4GVsdgw/yeKznb1Ony6t1M9s/LA8qo1DN0z2m8oZHbBEbMm9fgwCc /aYT/oiZi9Y86S6CCvL89TTE8jvsMXNoK1qMSzZ/j0elpX4qJ45EoCYOjFSIwYSUMGy6TrXZUBPL g7neDCa/3YoDh/8yFem+mo+Xr49AdoSdqyhMqvhDykevZaTcvR4hCZifT05d4/JzfRL2qLb9jfVI ol/mNdI8v/vZB6Brw/ryiN4ZK1RRKsyGqX16QNu1azkDrPHxeIj4xXXDX7xNKWuHKto4ltmqzP5X awxbcveNvkwyZdGPCDlgpjnm8UcUsUGgKoTtDVS+h1PRWCNvFOeSKYhI2AJe9jncQSCXWVW5dKb1 btD79SWU2ZzlHzsye1XjZvSrEm152PhEVmcVefz2DFBbjZN1jVanTADiqmPiLZQRnS7mm22Iqw/I Ov7MnGSb2B1pOD+OFtnO6cZ+j1WyarRuj1uboSNF0mYBTLk/W+tNQC6dwSVDhjnAGoachHf+gVWQ OWgrlJbrVEZ0HzHNbXlFcs9OKoFZcsoZA3Zm1QrFN4gD6CTeKsVgymF+USefbZOKFsBQyyW+xv+o XI1ZrVD90yh7Ej6YiDfoU+LpGezo3FHBh6IiOgvLKfuwyv+QZzZG1o3rFl7QP9M02tziMUK5pLuv 2DI+pWTlw2FhlD4jXhJ7JqjxkO0AlqBMxc6MZG5ot6K1u1kQYzmFAEbM30BNbh+CDDdUewrWicwG WCQy5qIPg/3Y1WX1jAOA4/lqznye3G9GAu7KdspxViPxzVL0dGxJIwngC/WPX81SmH1kKRCJ5o+l /vBOHLOuwkXs/4Bg1AsCp78GXLv+06WyOLlHQmWJEVXrNz28Ro4xUPiz3sA4PU0SV49f/eztPicR TV/k7hN6A/GSxb9I5vjiQ/xMDeWj5LB/V0Mj7S/ms/7NZU6k90fh13zToe2g8z8ez6meQzG5CXLZ G1WVIZ9I9pMV72qhzw9pcaD4bvQL21s33sVvUaRtTPUrkO/6wCQaGOR0B3Hmdc6M1GbiAEb8HP7V Py/ftazU+mX6Rhgf1iMjW7T9lLGPu93PVxjd+L/u1947dv3JEXhkOms6/M9ZauL3Vq4TY8Q2/VdP rL23UGdsCrV/4/b382g4qeCwFTaa3X6YKA9esV/XZ2kQiMDveTXZ7AGeDUFe/ur7a5/Y1wqqFbC0 OWU4y/ecDw43VTbrPnB3IUcEw02gLqeVVzgX2G5kRWzrzI6mRivS4a8tiTtaQcJgjOm5xZl2GDY+ 4cgqgh0D99rffYTnobemRFWoFzDIRG1CoesBBw4DS4b+SSTRuTWCto/Cna87p3BHU8DE91pAU0Rl mTAGza7z9+U7KQQoenKtpy1SXuTnXQjAt8q2urqwRhLDNVXO6j7JOExKAWe7jxgxIurmmh6HP36T iSNTrhVgVMY4fInCLqsJj5Uuli31xMSn7yjweQEvBCLQtxenMAL2nKVvuwB4jrJ4qffz/3ui2RE9 KX6jS2t8GeMbo9flovniFXHh5Mqq06tb//OjCMonqQkZ1mCUKjQ4JE47wHPzsClSbRH36VgpqMQm FXhDuWUtm6OUV5am6ynQxLEx69ds2IHnGku1fuycaJI4KPPsCZ5n0BepDmtBXwCdIDi/G8KkoLwc B4apk/mZPvjRe1IjRRkIEWt9R9LWhP5K0J8Su/pSO+0BsG5ctH7K4KlT8gMEnsvzdfAIwk3ZH4Q7 g7am/ZOV4J330AxJZgF8WFAFGACVI3LDt86aPAMzO6sc3bsZxoy223/ipegwB7GM0y7D1IyYf1Sr HulEVaPM8ZgfVkDKscUmfQyMit27sX+NEWX5uLoXPy1eMAQUWzsMHIjMdXZHkxrFXKOtIpTWHGRY 3qnFEg6opwNdeDU0WA24EwUsCKuaePJztgSbk9LAZk6JNA8opZVu7XVKT6cL0DCoJa/BwDCt1yBW 3volXKapewUr3g8QM2OqGf+CpUZQUkS00IidtwQI8NOkAvVKq1N6lBwFG5XXTE+hQwKO9t8VzPNO ZBMYS4j2Uk0A3WVd5Ns/1sb3Lcb5a+lMRQyTzJOy9vRCHrJbXiDgZ5DQknh/W7uDnEOjawSW/nrk kR+ErISqe6bqKbLuxFXswjfqSUiNJwxmsGAvHBeYNRtsgnV6aafEi+h9Wl0HFXftzgw2hG/kr1qT Bdozc+kho89DBst69uczlOJG90k+ZeOzu2mpmubY95O2m3kCqrsAjiFpKDjzjtc2IsuzKYEG1Zhc 4RpY8Xan84NUQ9AsyTVqhwfm5vHguJ8ZF3oRYoQmbdOSO4dT5yUm997npfq5oeZkun22YPBtrk1D c4oRAh7fV9sNQ11v5GhX8Kif9widFGQDSvOSGtTgkU5kDh0+HidNjLEo4LbVm2S/NuTwCo1mO+PO jEJSdcw2YXKUaDCs75Ub2sURGHihtjypVQ0allHSoQVdKn0tRPNSS4+iI0NR19p/iAJZFx2MjM5U 6vFeUtj2RyR0usabAOpAyWyI8u2Pkf1IXGdR16JrWzYf+EmagwPcvHSRShEQ9KAhekjTH/cttDdu /UETh1BLFVlxB6xf/BYAZGR8UWjneCZTh48TBLTQlMpr9cMVLY80glGzFQvD4NOyYSF7j0rPaO4x AxcHZtHOkB+VQfS2FTvBddaLpGOI0jq/UQBTOBvBgUSIbSHE6vqAivaxaOvORP3nwWQEUuvaQ1iC +nZB4EtBs8QSzGPv9KWwhPlEUvpcbpHw48XIMwoj3ztXd2OtTQ0KItvqyLXoVEobaYtyt10DU/fX f3ypoQq6qyGLusFUfqznOd5AoS4/1P6lkRhwizDo7iCT6DeNgmxpy91/sy1fI3HSkjU3WasMiSIz eJdVTo3CfukfBHy1Eh7Bc1gabXCZJTV1og3jiiEi1RUjtonp5SP3tiKT8FGM8Urgr38TvbySTq31 J8ykUN3wo2RGbx8cJDggKwKVgnjqsmXlFDFyGAyLU2+wFGbHYuSb4Tdo9eScBtF4YRJrnqKY6Y4G NRQ4BZfSqFIY2ODB8mOr65PTBGeyfqw9EZp6zBNVBKihxFz4Di2OC15KuNUQiLRqHRvQUZRVYCR9 N85m4Qb9zYGu37uZwpqYbIFKkgIAIQFzS0wUnhM86gtsSPIk0IZYH4Mwseq18bU6m+i8/p+ImGXy Og30p36fwtoDqEmhbQBKVKSzsrvxJmnfBhpWvQFmaxzYo8q3JzMtPSWDVe8MkRz7puJ84SJy/l3i bFOKxUS/WKHN+2fucAD/I1kA6OMF5O7ClvPnitTzVjSajID+v13/2WaFVQEHn+hULVJwCFCOMb0S Yg+ftZxT3ViZuHyBjAA0XutSs4B/ZwvMMqvXUkOYVg+sFg24iiQYfgTNjLiQepgnsbWdWLiVBopi Ii40UI0jPaLLY9K16WLnniItKV7cFe2yQejqOsa6I3JHO3idUIbKFA6MxGd5zRWqnAbyT3rTk8ct rC1LC7JUGQpIi3PnNqrClX+CR0KVkblWg1D9Y0yCw8/0IVNcirSJdOSWxJnFa9PKGQKnkJdCI0bt nNq75MjSam9Pl/iQSgjtMaPKOWrRQ7t4H/xzq0EaOpud0O12tvPc/gP+ltSZR/LxlAPMUj/cBeZv 16L/tlZTPSqI0bOXRa8yKKISb0DQNSGlYZUmrZVe+PG/644a+AgMxVseuWsxhsuuwb8OlE5MGDcu eYPdsNFI8wdyUTJeJvfFPRrX7x4ZcGPb/Qplim4+ZEl18kzknaXIv/xsLsX2DMmkzTaVZoItAMgr kTGEpkHjzEnQe9JYUmy4kJuaUVZ6u1jrTtUhhJTTI1fHPlj/OwvIOoM+UvvC3xgRBKF49XcxpW6n BR5qgR88dmy+MFwHesVU4Cbrh8bSp1T5XZuSLjd/t19dIWRg3KpMKLFNzpe1kbsoyI9Rgf+X3Hrx r7nitAWWzVNKAw/TVAgfjGfZZrdEj6l0QQhp5o9bDEymvxMazzECAxxLZkkN2BmFwl2s4A8etYKn 55Se6/cLSWZJCvVQGFMtdax185fdZHDrQEp1Dy2Ov2PMelp4tDQf8f6SkuEHFWKfE8VceWdzFe1t LeKgmRd4PDhwgTyZpMm06PmtEdm1X6k4GkFHTVMHh4sUNHjoon0/ggiFcE48HcEkZMOIb2Kl9NMI HEaadNVjRgBvMaq1eawbSkdZbmGfSQzXVyRShWCPhLEe0BBP+03X/2JD9Df9U/r5Zt0tyrvCPi7Z CClXzU5oESil7Z64WBlb9RlD7oRz4I916owg4+s6MJi2Zrv0zJXiZsgD2PD6X84dz3VvGH7o06YV +92lRdrt28urFBViVxE7c6gc07SWSQfUnBJBBrlKqZipyaTqUeN06F+00nQdRTAANyl+g0WCXy4J E+ryB+jcqstVLFYHr2cBJdINwU23YfhBQayjv3axh7GZLcWuelCGxqkMPauvyFUEWlHXx1Iefq2v P7g39qpGTj+v5h3lit6MG2d45oHU10V1IVkBrHv53JGTz3/7IbPXafBwTnd+uOlMdhlwGlHtLGRp sEFXEnIl2Z6ZkBTKpFdyVvE+nC8V/L22lfMRQzl3+GWADiFAvPLDw8yCQSK3LBUthhK4gZA3/xAd ZAa3bivPGkOhe0GF1gsvVchDXDT5dsiPpjXUgXkPYYaIi4TC8VBD3R9XF+Cj3lxP6/zthfacz/7m 3EVH/WbqbVut//Wqy6pXITLEzG1VJz3Ar2RCU6UrSWAgZ5bKUpgqPF0zyRO9MnkClI0N4XFOPaFd BCL+VYbOYx8p2WnQhqYifuBggIN75T9U/D1RRnS2Y+rhBlzE9dk+hSpKfGXexSqrb7VJcf4m8ulp //u3n/iWzlMlTCq+H4rHm0qGeGCa1M4sb4S7dyK/DrpyFx+necXmMkIhbjXYE6rlDz0bne6Yh+Od Xm+9Sg8kHeUBpBAv6ieYXt+vTGH59ek2IY0u0FOoPALb9wuKdDLDtdaCsB1mymCzzEBHTaqw6f4x /ddskccqrJ+K5NU3FiUuTkMYbtIxX5YAuiYfqB0XrHx0vaYEptEoWuztfeZ0jP8PovhXednYcKw1 ii3ZJrzKCjaxietBqPbPGSwNSeNDojFXYBXoXkYPD7TbhyVk7TE2s+fY4ke6Ghy1FPoAyow1Ig+1 FGZvM5LO5SNDnbXuNzYaOJNRq3qkR4p98ZxROaI5EdNvJZJKjZBYKNddoQSIN0RCuvotFotpxY2k dsxX0Y19Lkneh7kLKVicPB+i/v2MdDy+hHX2G4hPmd1aKP7MkiUApRHJSt/KLNE0OXijM2FW3MnR 0uIIRErk+Kug0T0oz20uQyGq2ELyxcNVvaeqqMLpJTiO6DT0h1JDrIhYzmXzJJsXGJ9nbUfZPmyJ JGwF91PfeM2mzXfpqH3sdkkZZ45L66KFL8zF9WWRkj5S84JRhMKwj9xcBOxu9zk2uwH8PuZyIRxk fCxkf/1fXlKgYQidLB0r+/Az3X9Pj0rJHfYTr9Ydz3JrDWT7MCMawn4Qtj2HRQC6L01EZnUWLQUg uhc32C4n9rg1df7fn9pOaxeN+Z9Oz77EIw6Qw15S+plBfpIGVfY3YO+6RJWbhXqXmobNqR1khwxy knSmMGsg/rHCCoeFJ3zJouXtI5IQ56/cHzn0hwtca5OcongKwufUR22CYu4qSQljt7a6YRfzZ5Qm HUZhcZWN69W7qymseIe0wQx97I2DIAU5wYcgF7hmhUIGoFjFEkpVc29bDU+x8hq8A/p/7iEgvJx8 o7pN1CB4gCm4+CYFYaYEMbUfY82Ei27MhsWtEuxCOxpD145GHAjnZSnhr7eqDDnQtm0fZrJEN0V+ CkxuNQxGTlodzyTGBr17Oqv+Z903vDtNUTtmZqcv55kfchzDDE0jibkGApzPN1PBaDWB2znzgZHJ Uvr/WBaWXDCWfD3wIi3dEDWnLtHBtRZLu8ht26LrijrXhVw4R3iMN6pgyIj60eWQEpJJjIpovQsG o23ACm2EbOAp4dM0DiRY0K2fkeqZ7n4cksC+OOxwcYqppmS9B9JfjUXsjm5NqBnHu4fqJ5veo+SG W+Sg2BtVYV+Vfc9k++JgNRVqU4EylgWhRgolqq/1NHMO2PjvhGKe4QsZ/wx+7q9dlexVV6zv0cet 6jyNREJrriRMEpeHGWMFxA7n2bL/Ds6iNtpEI2Wnyz/ZHe94ttGfn0wAAdUfRZHkHOpD92VV8Dme yGhGwVbpQM83bXBqFTIhLFn7KzpW+gQTfqJfEWaWLZh5DGqh06UrKbQqlEU5iHqvZEIoOmguIvVu cpnjoNOk51AKfVFnviu7uyxKRcsLVus/jL8mtY7ArrFykT0lEbbEGgeM52g7uqiUeGauCC8Jkskz xKRV5sFuSR/M0nl38fgTQwMLmbc/S3/ZIUUB2o3lhiWqIHCAT/nCw6XdeP8D83G4a7Xa50DxS1uU XY/uVFlw1WNTHSOYD+iiB4mdfabFGWV2bJg78tLk54tdKR1wpfvlGjbhMu5Sj8CI7YGOI0KrAaGv 53I1Ng+n3w7TraEBIH02+nTHl+OQUrCU/N3QrELEj9wmiWJbfcQUBIR0c3fhsft8BNiqOgZsZXXv FZKQV18isOCx4pHhrH7k8n74wBRnZlNnQUoH1youlxoKjd6vBn6Uum/fBPERs2Psff400YDgPLiv f3fsXXVwfIsBQgrc29aoE4atFAQf2n/EWm4ejlKCm+1GfS3iMF8fPB8lv/T0WdPDMBd4uM/F508G NqrOBa8SQcnaH5+cbWcIWy0vrjeMV7/0utOrslEZ649/nMAkP7rLBpCX+74jXlypIuupICNhVwyn up+vCwGR6f8Oknsw5geXC2wNw54aN3X9qo99ZtHEy3/sMYEEp1s+/DUzMsFxDQLz1oC4BFcts8Rs nXTYThAwkeqwL8AtGl5dOiqvt3g9LuD55qmtJMomuN7+XYim3gmOZEuBNjKBZs6z/c6SiN5SPHy5 hE2BD5YhkazNq7xaqDphYOqK70NtHGA3RyyMU44bxu2juQrVbiLpm5IDDbx1nhRQwZEcwMC/6n0j xerVpgUEkud0fozIpmhdY4CjBvnxub/MY7nVTqgS1v2cyQWVaM7+a+ccQ3xgfzEp9xbse9YkgDhd iVlhboSf4DrIDpWz1V8qvbKRuaxJWrHiI65Ley12N53k4NGEkBCpMrLxyKhtR8nuJYdXUquHm8bz N2LyfjOdRqnq1jXZ/J5GlzpWbwaVkf/HBgpG8ZMI0UjcX1+4Qaczlpts7uoQAkIAEkFbr4zLC8r1 hw/hp01jOiJFWxIQKF0sYYhoFDKlzfFNPbU/p0Glnn30zJWMMDEoRdieu2XkOpS+3bl/HLIgXeX6 AiNlEI3a4x2XrFAy/Hi5n0gT+9+8rL4IAadrmm+U7u28U/mT1nT9n4YEddJVGKK0ANltY/HXBmJZ Ky6JabteBk94RsqHn9gvWIcOzHk0fZziZyxDkFeFAuJIOJfVJ8cpEqeoL3VrcOQFKtZ56a2/pxE0 4vgL6LWG/CJECyRcwBt4ySVQ6qnAar9AsbFVqtw+gQvqPQAgc0AEMSpJFRA161qs8d2x7fv4zsGO OnIIy/IY8UvHaOT2Te5nBf+bwZWOSgslpPDlGHVjw2xH6rSBUbgrEkdP4avW2gZAtSSF98YdEZCi VDEEmeWj4VQ6qukhMw5RIKai6bJqfHzB0Qy5z7UWFwaZAAFg0+A+vT7pe2DCkF08Dytn/9l5qNtq 6LJG5wml/QMzeGOXgj/KmjoLbyHHZdwdAAEQ+a/kwozIBcBecwMxn0o3QLoSquayxwPrh0eZF4hg dYhb1O51VOeBQ+04P/8ccThz8hsiOKStlYMgFDw4LXnhnC7kOOkwXcWYwKRmJFf5EPOC16Rlu91x C1IRuEkdvdsVZ8j6l5MAAWrC7AVj6FdZzT89DblQtUhrs8F+WVB5ksrtGrgtL1gFYx6RO7KByUvJ tf4hOORm48AX0sVSfMQ7u+N4J2vBf3SM2Kt/WkTdtx3GRnOs5xYf02Nj0PYGeKXyWvQHHI+h//7L ECOQi01yMKiCQgbCLtWNYUxoExPHlw6jCUE9DOyrk4u4+/nA+fbD4+o8MkHs04VC2gp2o3QKIC7+ Qoi7qptftlGhrA+UtmSvX5FzdhGjeNyTodjFpNyxDzH7Co2On98j3PjyEb60RqYUsET9tMZld/xj l1veH6DsyZZgS718jSwGtFQikbRvuqRMJpApdRFiftU7yE0s1goS7jboYiAN3yOk4y8v4SbQWzf+ FbmH2j9FWlTWtVwUH4+jbfRuvtltZueVcPoriI9R2zqxUZCgfSuvro7kdOCAHmvIqTS9tzjqJvHy n4B0fX84/uInN9gyBJ66i3BO8xxkeIfQI6pQrGuJ5fs2LGka1Ca0z2z1caWPPu0tGnrr7aU0AMxG UcCogBgkR7hQISS1lZEkK/fwrOuvFQROAv4suJlvwhQCIKSI1JEIiOL1sH+oZVkek9R/TrnrW7+T 86tf/IXPcnbyt0+/hHRloIJjmB+HFy2HskfhT6nyMHqszSANBpVtlAgs0dNXrL8sdmleyyJTF6Xt sZpcW5T3O3C/+ELrhq8PbNQixD6qXQPjvJiuAaVUUz3kljGYtknfyp+iyNzuR272Ziw58N4ACyk7 RyroYhKD7tAcOPFOP4WzGnqusT8XlxEcZsVydmcJilwNdQfggYObqYOOB7Df23Yhz6zc4o3d1pp9 va498V/NXszbsAIbJcCXSj1voUTFmwFM/fE6Zlau79RQ+otlfZHD3bPnnfbIKNheEDy54ya++BZN t4YQH2ayTGsaKPii1cwW19ZDk1eyAjT1CWOM2R2C4xi37lcGZD5JHhwXjz7SFBVjix+bUm3PYi3F wLcveWPoEysn0YjA6YX2BxwD6/zEbBZZSzTAy91sRAaoSo8WBBiy3Z5M5m2l3X6L/AxgEewgkYiV ZRDyid5yRkf/6SMss2Af6pFsswoGVNl+qE0ip3MKppgZ9KjBXaIl9GRV3H3nAD9/CG2UkLQBLT3r uhlepWHGba7nMMJnl++QRnQhaCbEJ+Z1AbzeFzzDJ/JgrQEt0Hu0zV5ITM/RXJ6wCboz03ijuxuN Rc5bMOOzzA79GdgQ8djRhuQVnPDT4vwXW/JiVLrRcSl/mARDtQ1I2vQRjjxoaOe4CimjE62EgBcJ 3OD+sLEkbK6ZciSkV9OkP4fC8tfebgbH1+e+Iytteamb5wC7DsfNzFEV+GXpOBcB4AYRlL93TGUg HQ4amL1vmB9+bN68BRV9m7vDPXnRt4lcMoWjd99r9HJL93SSoo8HzVUUfkUKUp9HyyobaRND503Z fqg0fE0SpzD+tyul2oMXfyrl1HXFW+bJpBjPd5XD8VMeCf+BvabD3vroe97TP/1KKqaPlDH8O/S5 BOU2+6lXmFosCoBm2yoGRAy0Xst5rIV514cYRovKPdySo2IKS4JwIjUcJhMTKLFpv38HbKTiQpPc luszCOpx/rHEyba0nJUtOyFCyAjrsWis/u4lhmwgfZPH++pIylTElUVLur5fyk3RRF3VybvaxW77 /yRLn7s4ifffkBfbBK/lYU2kAVOS/RFDiFtc8Q3xKR1cHd4R6lpEWzCZ7hPvXUBiHX8AANnRvJXS ZydFqOu2DkmqvTKP3ipmjf1/NKTB7WoWHKWpWVjdaZGNS7VORXyKzA8DzPwre/WK1Rv30s0lH2VJ QYHkI9ontKaz+oo7PS8rz1v7rAIB/1lf6SToiN3fPyixWW76S6VnO0a9aqvu/Ohg+ru0M0UfyfTq R/6ZvJ3aToZYAZj02EJjHuhGx8WXp8aX3wdyydsyUptTuFa4uu1MMdEj/4TrNRcQfTvwBzt1qEwF jGVQrQu+NEXzgINlgW0S3hyNS1PPL3i1MC1zrps+2o2E9Ga/9T+ghzTYAzZT9iJWbvWeV6c2n9JT RncMNxaLbZZwOaVFIreqgypBT9qlGh4h1vUMHRg/BU61Bo3culn12fYvCsFkkWNXHCQseDawi/BU k2p92Uz7cnSJi7m0WPtzoyJsEo/Hz0FIWsRZ41Mw8DZhXMNB3czv6lX3INEGzUrQITbRHAzHT+f1 /moYoS/uTNXEyWwjdT8Bcn1Y/wc9xLA80GbWGxeBGUvl7Sczl56DNWM2kT4PromvoCOqLm6pzOdZ B1UP0xXjFPAypmoIVY7KVSP62JcxUtGIENpQ9kXhCKfYOw2IYzQLDbPcsSvG3EBKpismwzh6yxEs 7U8VwkwH88XmGgLdIdt6wu4GMUWg8crLvPTFVD9Fb0edxrtxVriohwr7XL8cv8tjx0TcSbe3Ir/d mZPJm8X6+GfO5Oj/STyf/8l0y1huKQgxw1YtLkqYOYxCcQXYbX2aQV5p3qk4hLB/SBJArODXL/99 1PyDSSSzld0v2KBSueAfa8YzDP2lKMiQSYlUoIqhSks0Iipn7Azz1JFN273cQLNkm2gGcNDF87qe lgcBoNrRpkTRezbAGZxThsjzOsfvv3N0ojuNqZKQ5g8bAzVAIUWuZPsFqkcDS23zbOeasJNi1Igd dS/Bs5+fkAkWdjgJrkWEdRIQ9pHECu06kX7nwv5BEcCGNgRsNgsflj0GFVZRH+I+vHVfrNlDeXrL 70P/XhGkVNAMNigh5UsL6sLuRsvU9bU+Nog46XGDgxN4OqEH1mXuvYU+0M4YeKk7NTT5fvvlFK0c AZEDB7Y6B+/DQz5ejazDobMC2gDUfQNcShWqiZx2ZPYkh3rrvZt5shXU2vmiUpG/jYFVttk90L0F qTeF6ErYE/Etn3xx6izUW5M2zgVfa/KXLsg3YXnKqIv5QmbmKpSbn6rCLd7l0QWt4V2orBB8Z4Dx 8T5YFREQ5psZJT8JjGz/r17CFqh9pK1vuL23jrtfLaEzvddGm1DrP8I1sx2lGWCM9ku8cDwa7yaB Tfz76e6WPfA88TiYLZvi5YsYzCOJmNln7vQpAAMTKn68n1AfQ1Kd9ORg7+JrlSNKItlUw0OGzN49 jnSkEnon5hV/6jN6TeVzlpD7m0Z3MhcGbqVBQZyrMdBgkFxzMJpYISIOWVBIc5sOculkxPYV2OMq 697CY5AWl4qYDO8tMKgcq2vV0pEw+sAjAFCXKxrHS2oMZM8zv9o+WmdRQjwvZwi8WumaulvcYjzb A/+LUgr7/pMKbCBEIrEqHfEOYiucP+Cyt8SJh/jjeByI8vveth8DhOjvyz4+eWy8f5jU9TqpIHm9 lNuruagfkHjKoQK00WSkd5N4zwWtWKux8vGrKddURp65BHx6RF5QO9PazkkaouvcV4G1p7/l9ogM YyHMLfqFQQNZV71E/XmAUZq+EcnJ8Jtyv6dv7lk6ZOlhuWp4FZlnldZsdQ7qm/oDhEmikEN3n/D6 z7fd5geiEY2LueKSegx5QKD4e6HcTaZI2qyMaw7S1BkDGE8DaiDHoUx8iZtY1g+QaztD2TKmh5cB LzAAa1QTdeMsKbvR9Nx7vizNys4fDGjUuttejKegM20hfOLkSveR2iP/58sjkCSrJPGH+3wEP31r 5HAD5msMHaQh8pyA/eFbpm9JrxykMnTnnpN1hy3Ud368+VsVDBmRQwD+JQvSJYJflbev2CJ1O/Fd lPA9bIP9mn2XLBj2YCX70aq3+YoAzgjnzYRo6D3TguK263Kx2K3BaOyzkbY4u0WBzfyPdX/CdDoK +MDyl5b93youRh+5IDhTrVyhFTriJbeiSFloVuwRQWlMFQbibXoSB7e89k3/dxOflRg63T6Uv4Z3 gP40WRYL9wPvTKKpQKVv7V4fMWzi8faytV66kVY8X1TwI6Vb6D2HypU/ux7C7bGkX+mwOJD0iSXw w//aJJHYOV8gDjQ3hUJ5cNB/a3mURWf/QpHVOOTyGQdVlnYbH/DoOC27AC/zNsQFJ9n0Eh2ONe/+ YTaru/nnGDaeSEmlO3/8CSue354aSXBH4tjSS0grgpcI4iS1raRuhdYUnDTEne4gP5I6Qa/qp4P3 E2zWSBSmIsAerxNXWxh6RmDxkd35W7SyyKc/sbPOObHHYrMP5Ms392UeBbTGHUKIfFLMW+Nv/Io0 m5de8kWxj3rPqWN6fp4vXTJET0zrJh34MA2ThhdPkXK9efPB1JZgEGMP+zkHZ7TJHvrUutaQGA1N UufvdZiigFHPybD3aqQlNGSYbNODDw1DLJDn60MGs8Oq73d/WSDU2uafjcnL1A7OoVU3X6HA0iRZ UULNaez5Iu9VfmfcT9VnHpeeS4Tfnz7p2+fMuw56OEaPmFF39fa89VTRVaf7JsUosAiWgBDSp1lL exSPKwuaHhD8nKnZOXRgRF5AhdDN+XmL3ckqwgMqURfl0VoHd7SWW0zKaYiu0z20dVKbTHQLUgzE Z0tAnydtCDhld2xLBfaQZ6FvszVycqqr84C4VoLJyQE2P6NAGISUh3B5nppX1S0Ppmyr0Hdedoax ibAU/gJFsH5wBOm/2uNUskB5x24RjkTVzqVQGOgRXPjzh81d0mFelL+smkjJplvU61aMl806gjOf XUZb+xC3q1vs4/6AbsTcouk2DXXx7t4ddenPU89DnZDrTxm4rMpCytsFlWpkqxVuSkuvEAAOcDrS yQ1HkfJYj3bJYspz1imxjL+CeTL5xzzxKCgCTfAxybqZ7Aquz34irImLCm7RZwsTjwchj9qfMeOV DFwwkjZRMQEQkyl23mymig0XXx9r7w8CHO/lAtWz9BtsBs+w0t/eqiKbvEDH6f4Qi4HrPwRkoWCl 10pAPSJYnvP2un8wLY11nrFkNMPiWzo2gGOcf0KRzwLTmnLrBWBOoHr6pbOg8BY8VrKxVIZ/ygeA IAKNN75prcbjsifm+wOLzqN7Iy6W/1ArS0X45yXEyl7mPYz38Aj/mh5ESAbZ/zK5rWygKghCxe/3 zwhKOyimkC3SqWAJZfU48NUgj5Fh49ZGp03c7OIsT/3OrMl7jNhwUL+qEe8ZpI5oBgR5eUodJmMh AommaWhtNwvyVtB3oLm4BEvQdGRUHt1N4NSeWw4/mVWavuR6F7EdOHqTfVd31xv3iN/EwQe6u7E0 Bp1ZQwSRhNZuQTxz7BRVUOAgrEX2TYv3eUh306OBhdi91HhohXsYyGhP1/mQmOhsUSI+pWepDMt4 j8NbbUJ3HSKVaFaG8Gv+VV1sauMPWyBA8+FG9zNZrDJau5l47cdrffLcEB5pMF4PUj7lM4eWP6sd umV7zEgdvUTdsUKAOmXx2dN3lqrN8laE+KOfQp2NHFVRj/JWFpq6wW5zAE8smI+9BgR7a+mClEwp P15jqHIPP32d+zUw2u5Mq2y+Qh+RITSvZxfJE4l6h5RXgx8RC2jdIt+2l9VjIDemYtze7Os285Ys MxqC24aNpjho3ZSvznDhsLDYbORo64Xet8oS7NJnugPgXtM6xPYcti0Ugw6WGfPJnA8q+g0ewum3 XlFbCgdLPrPg+1CDP51AD56/RGNcvoXESzdeJF9D7Z6M04e7mcZhI76536WZUHRLZ/YYZkq6Z01G JvkmtrGDskc5LeHSTJsSxt5fWubP0omSp1LWIJodcb6z+vBG4RDXfJzxeO6K+DkzOsXAf0HGkLoj rVN+BimIS6WNv5CHAc4l5v4OytsfDoGCt9+BhjBBv3b2KveWRm5abl8W5JWzVYQKrGm1FIHU3TKi 4j+hnbzCFE5pwsyaJyFpL5KCZuvwnuHKn89Y+fcGEGhk89yGXVu2j1qKHxz0cdN3CJa+iicYf+j2 p9xPct+3AnehSmWD/LitzIGwOcNoe4LQEuhisnpShIi/mfIkoVtCj0scAJp0ud1BJARTAEngUTC9 BAyhJkInbqiT03Wfv8JbFJQn3TB3H2X889yp7rjh6LuTgQBhaYfFn22DCF5mKITtMF+be8UNsEo4 2jJK6+8Q5RQy+HL09kR0R6tOdH7lwSm8r1rPKyu/ZD9BMBjucYZhdpv7pMblW6cnyv7vBAwzWVMI nc5WeDUr/LLnz/WGdvOcmgtw4Nkz0g6PSUtFIdOEoddg0ZxVL1WgiQWOAnLulKS45sDY2qJONGM2 Qx7k83oDyV4aXzmpEtgbNCsBAUFPxjQ8JZ4rkqQFtq6hPRwTUZEdUycZdqqBPsFWfKdGZo76psFo bbL6Iou4/7gfPK3qL2yUvmGMpJ9Q/mgdCN89wpVRXl57OqsixtyMZGD8XFyzWY2AH97KGn0vIH4K JMYBPMFe3M9e9C+uiCmEg/tWatcmsXTPb23+mftkQvh+Z3MXL6r4w2D1UUq8xI223jkl6WC2mZH3 5Y4FncW7lC/9/WcOM1hEfrslNTdvPV7N5QcxoGWymmYH0el0l4FoEfPsX5bxfCpWYUEYKOxeSaNU W3oaVnM5c2SvM4bMsefAIb3nG+B37KX2HVbuE3Zw2Q/xhnBywuPLvpfjm/oM33lfH2tblpQDzZUF 1cOW4aNoctDAgSGHpReGYnYrTFzWiKgt6jqsa5duzjT8eCcb3mcC9pBmEyKfwlFh8GewmkdWwI4R uiSwY9kvozU/Rwig1thvGFUqPXrP+svpPwY4M29dTxPDpQeSuIo536RID3tbQVix21InPVM2H4f3 qZYuUraliEl7WS27uTi+1Kk2mXlPlfr3yxibpmZCwli5MWs8ykyekTE6UzfJ5ehU/zuTgDCB8njV ltgQovq1Db8PsN7KzY61LnDLe0q1ohmDl9cXrCi3E9ajupb8SFc708DsvoNCZ/5sXWFlcBEJqPIM EaICT/v9jAKcX9/kQYVqohSuLNdRYtsJUhPRLGl5f+DVYOgpbzKaP4XWI/nezSCXB/kVWzX+WQml ZP+i22Lfik5OYHqA7DGAiNN6GKiQ8TJ+ig5ThUcHC2LXtGE+sFKZH4SMvToyyhALrULHRqu8aa7Z /IkwuCP8oVQuZaVSlUuC9eE0y5iTv6JgZr/jMwAG+2BJIeh6VZmmNLHx/wPOjIs7+rY05ERat6gB KvKP2rshPr9S3+CN+tWaIf5JmxNgpXQGT3YnxlvdBZOMzQqdbfvJOb7aTONMqCicpbuoWMDK5wAE TWbM4ShQTT5EFu5KOWrDyC5Klgvv7YJ9Qt7zezvQ9SnPIsrO+vtLm8ir5UGPQ3xlA0U27BaV/zcK 9Z8+F9zL3jyiNu0H7EQ6zCm1ZM2PZPQODFn1AUhLqSb1DRhMig1uNJj8G5V9YmSF1A0nbloGs2am 744TRB2WZWY5XTkdbr2KkxYGveZz7IOFAwaXADUFbtfLX++7dcbTXqdVUnPQBQLpGwQZhBPrG8eY JhJDta/scgKwjFvQRHAEgJRg9W8rg1brU2J62EbJXN3J2vRSFsoupmC+f2cUr02KdLi5E/Wkgowt 29cxniG3psPjGokdv6LZLlUj4cjey3MYvIPJjZJa89Ar7qwclhee7OPq7XD945VeW41PU7l4G47X ntqigibsx2PJqvQZd7YdOHQc2JQoXmamNWoWDG84Cj9Hnb7FVzxSXqkcOByVWr+0Moq3ptretFIT YZbKMpEpHKAk9FJcMy5r9nlwBmCieLwI2Z3YIxANIJbVj4WhRe8cYZfUkvZy7crQXZjxM04T0BDX GjoIC2vO3cDZUzZ5litwfL5+A62l9TeEgUZkqDW8kyxWrFdR1r6EG3I/uRK2wls2EH4AV3dBtA3x yQcXPgvTht9bJHt2jzGgZAp/YvDl1czujT6sKf+sWEnGNGOzslloCYXO1Rpoy8a0kAGG18hZGWZL QFiTsvzLk1w6yoyTZuUNkccfYwQAdVsi8mra1PjGbeRAF2v9cyoBqVT4QpYy101ak4RdYVDdd/vD 1N7R4AQb88YYWFg+JTAD27y8oTCkOBy69HyzHkw5LCkPVemPPTOW7vXCGokfrOq4nYQHK/l11MbL zhWDKehZCAs6MjTniTN9zHT+37S4h8G3ZlDzatDZv1y9uCWxE1ZeouLv07bc9T98xDc03AwsB7aL r8HGXKchGkZ4h1r2Xk3ZorgkjZPUaW+usUBXXB5pw3IlbV6KAKyvpOGW9uZz62c88peDXTaVjl09 fNHiRykQ0WNPaYu7uBTMp9uwBBFdB61BCcxtK58w/nih7pM5M6rPuJFwlrpdg2dNIYGEm8XtzdSt QoPqiQdqKxUgpDXomvbMXR6zRlCL3tm6Jv9oL1YIE9iZ35KpmmzpktgEpeIUDm4RyNliwJmRWaON 4GmyXrgLIKL/mWdp56QtG/QxSeT387spW+y4s9PaRzjU14qoJXeG9ftPGcMVmayeB3Pk+PNHLaKy D/7RkM7LscQnM66nyqvg9XL2/qBBidUqV0PpH6NiF7TGV/aoMnTaOlsGQYPTCbbdUOoc7kPyILJa hGhtOjSomXDsXqRvTCc12cZM9FBQVrfI2yqDK/gMuu7AhmPutgXkR0oxFk6Sspl7LeHkgDoHKHb9 OfVsAkgCWLih7aNN0dEKfnY5XX4MWaXw2OElJ0LBfbJj6f2jc3imOxcwepGttAAxMz6iiqfRtekS DhKVDi71batfTLciyflwwh7sWb1On4UCQbQ34JQWU7dUfH7rcN3mjbktk6c5HT/A14En340t7+n+ 4XtnGs1QaRKEl9LalAs+nTGGpoBtPvKb0vUTRcvByJwfJCU9WhnHrWrhF2PLGVeYA1+ys1MCYKC7 9MrLTqP7bF+xZKABHuWPx8CjKyqm5+uYZnD3Gj2H+uIIl+E1FB8752eO/dMMZHOrrv/kChbzhRhz PTDJkvrEEMNVgzsaoFYfkEE6apobT9aU6yntCVmvU/Ka8a6Febmg2/OEQRwjtgdIdMHyxP95gfNa 0mKQoJ7R5fL+4AD+LjgpHDk/RnB9pnTCqcd5nXZzyiB07jOqjtPxrf92NIu7XZU6UDndYUhSgu5C 1wObcy4Jwfwlmk/FFpI1xd+ZEDDg5tj3gnEOu1lQSMzW4crgGEn6i81NaRUG9oJfYJuhpzRJQeTL Ys1ybIGmoCeazEVJgGdbjbDdn+DP5/QWzyDNvAXZ5mjf9Hi6u3mWNHuxY1a2t0iZ0eOjB223cYAo /Jny31XbZJCXfcpggYmzeDYYjUa7MPG+hLe+QYab7Jdd0wkDupzAB9w5tOnK+RSaRon6dblpUBMn W6juEq+lq7ub+yFCtB7gPNyJrQrlNCE6kXaWkcO2+rQZsm8SwoQSZJrT5xCUvuTmcq4AH8SmzQo9 rNwrIZAmrb3dpGFCK0yh/YrdTs18iaBxwsmy/8fxypCllmEBHGOjebbRvaIHgDxVrltnvhxZ5bOa Zcw8bxxzmX9gB3j+U6byJaGO93Q5Nl6wlD/q6kI3k+tZ2PiLhToevF5dvlj013ZAHzdU5NgJ9eGc WxpEbhqMDIknQdv4hiQvnbj8XqgTkOm/m1FpgYouHmvOartYSLBbvktSkhWscLkfPzdbWAw2wSoN RPVrPfpEFQrEzAONJCh/ivYU+Aaq2mbUC1ZpTtLixhf7QdSf3WZ4KWRHon/dk1Ycw45shJwH3NWv EhCUgWKVMb5NLl4MX9KK+xNS8yztRwiItvrQDRmJMEU98gzpmTv6giYLc+Xak2IZy1UqNK55FESL rUMOQ5OkXZE8dDtmdi2NOYx4gK/9EncuiEd64TVDihcqTVHjLtjkdllcDMlTEKHZ/Kdvx91JeU8o zx9GE5AS265pG8LFq+0ixtaN3Zci5ssmd4TXkbgRp7qYE7ZBGA2UbGPn46aZKht2/+na1F1GgO/G VvxG/uhqKl4FYwxXsQHYtv8o6WRMDHIaqnUeys+fEsr5ZASghsG5r8IPQu0Q+8Psf5QfuIXpNGgW K8zpUpiYqg1w9qGFNRGTL7YCd8ElvMBVgpnGMk4s/y4avGaK2TEi00/Wcp5HRGvUtcDCLmRHOxWW o07R7PkWXq6t1ccZ4QwYSuMKV0wM/rFh/tEtJNuJBdN1Qw6k88YtL25bN2FY+oBafI1KVC8igAZa SVpz74xbfDdchXMBRjWHEeEP5vvRdTgGEB/QoxV+ZGEV4y/eZCwOM4VWyymwuHGRvf5lhLv7hIMb ZOd5OC2jL9xvAKmYCYMSEeHv8evLPznkylG6cMJ5dli38MY9NnBCn9gt5HU/kFBJwjoP5wFF1V8Y 91b/WFuaAYG0SMpZsm4XvIwjCm24ErJ8bBGwh07MPDzzwG4bVlP9OdGt06IEAwwebcxIy9G6KhVD +Ih1sZKDap5pxbSGSfGOm6Bfka1d3x8GMa1fh6yBw4tQLKKYgIp14f0qy756Ay9o7VQESteOUFtw z+FIcjMbdaMjW/3vIK5Ji4x7lDnWK/6n7XhBlOeQmq5YWNWpguSQNmZZLEpnIzSix9ZBoubgMrpN xjRZGRRGgVawIBZ5BXVk36UD85jEnVmSAGfqbh4U5cXL7RQOoMR0vGo4rHvdyuANKnCaoWysGRWg 96QeelZh7Y2V8lfHaax8AmoRPG0QTWTGkaWLKGDAPlkCY48xfjaLq1K5m3M7mTAkacc4nZ1SjWfk W0NhV4vmeu8XBVlxVEEMtIq0KMVa6PaVjE7/hrPJqVPUYD8I6xKFT6Izv9BqfF+Wojzzs9kC2+Lp 11H2x/YiM0Y+UT5GHWvRYWi7OYLTZ9tywM2O6USa4YHJ3y6Z8+TnOzKer8A3rH6CF1RHsyaC2hvR v5PrhTlXTyNuT7ZMo6ISzicCEvTlEdcPnDFv34QI6aCD5tCfEpU77WukAx+MDnSrBZQpTbt4+w4A 42onROeybhqzBLohT7IGJf3rJyT5Md/3EHKmYCesjMtS+V5RveZbFArQbyumH7PWAMuz6aScvtO8 EhE7I5wCXaz8dJfP1bjjZkidFoLRxkNgum7YCZaleVsCD4Anc4hw8ATs4OVj711nIyksbxXB6dfb 9FGnqBpJ5UxRG4PVSTpmdPO+0dTng4O1QnX0LlptIs9fUmMsOhYvKwx1qSwph6P50NaD6hvJvuFa pryWNcEJ43e93r0zOMjrxK6rDf0xNlis0aC3fOpXeJzQHoOkz/EAjJvjE6AwNeRMJUc+rFklGPej +PUZ4hGcydHdgFaTAs1vjDgHPyJGN/3PpAUtwZWEwGjD8g1ubSsoyeL1ahPOa+rN5QBYdTTjF8et TeWbMQ7/6SMMWH2QASZMRZPHHGBu4Z2KOW5ddznjJbI6OmXGgWxjaMO0cwWonM7J+Kao4blltBD1 R/3gN+DTmgRr+Qtn9QkcIx2t7HP7CINeHl+tewGwbiJdpuJVrB5i6QXEEN5Fkz568NB8eZcZZcy5 XN+d9MNVcTVcVOPLVkrdJl4ybzbMTym9urmiqbscSJhIFgPZFLoSUdCnnUZbdAJGG/5MqBZFDqEd A4gZbCTjcXfk92tilQvJfLi3/OYNmOc1O9BocDdNJ5b873ZA2Ggc4Sf5wzEUEu2lmjWIlkt8zRph REJr2Pyccx9jtvdNJTSBTCS1HxNJcu4SeVshOLEZEFJZKm9jvL4FljiVmWAZUqZywEUzTvv5Sonf jkG9SPwppdEyeH4vd1hrculXk87PEZaPiEQrXGbLQPdDX2S0kbAfPlGWUu+dTM4WZdAx4wQ52M9S M4WEQEbV3H8QvfKZqKjmwiyJeB4VqZIQ1cQeU8cslAZdbe48XmkJVYavEu9OlNgb0VCYPu240Q1G VFNTfS9wcaPpohs0R16Cg1WDVr2dEffsyxA0zoR3NR+OcRdkjgseM5q9oKjN+kr1SECHARk/NFHg /MgX60XpBMFsmNRPKlDomnmT6IEYB1WvbdZ/sgH+L+IEDVmfythrY7/z1Z0MfKe9l1znYwg0Jxoo 9jKCGNZOu04ZjOvVXz1KChy2H/vHRK+Dkybhl3yp1DNGphHW/a1/nOAGxrSyDOi6upQnSQuwM3JT zx/M3wiGZTj8NqdhP9q+opSgud//nDOlDtVBriWgLBsT2e5qRChL3WfNyRRZpWpJEbpDXURjlr5n sxBlnWdXGaiRTW4FhQo556MjQd8Cxdn/l2K0ZSHnR3uGmA7nH29JXPFO4XuLnPTi3PX8fvty0mJQ lTBH2rMjX2vJQJvG2kLrveb2FvLn7ANVY2s/a8UyGFaKME/huVcOJNJ6DkSN0s2IKeJQcxxwVUrx k1ZghogLKyMD700uAe8JJ7J48SZSTWHQyPwhm0WwkNs13+Ag3z4VlrfNzVy5EoSm3eLpJzVVDNRV XdogcY1PeEMZv6F49qlWe1bfnBT88gFbTrNHX9qD/hN4/r1KgSqhwMUoEm4gtWYxSppvZUCWj3kr ZqpjGa8VqrIrX2sYD+QaiOMXHrhu5IEhAWx3CP1r3RNgRuZFB+mbs7otiyGB92OjT31+bX2kEJyp AF95esZ3+hsxwmLwVSJWk4XfwU+/7bDsrN4AA0qM5Pi8SVDiTFJzHxQoyhYIu3m57pb4WFLfUraf 6MxWaB39+jgmLuk3UUAQb1OjAqyTC8It5rhLDNyX+9t7Ex+XKUD0qc/fOTr7By+pzlXpdiimwHXX 2qJ3Z8ZZ0aVgDKblEgDOeW/tagjt4enzuYAIres+eYuzGmEuGjqq+W1CAelqqXiQKpzQy3i9nUte T62sMlIfFufiqGgKlTg7hDoh0GvmFUzrmDrwHsYdtRq0iZJ3IV/hhHnig3JKDGaUtJ2ln4Oex6p4 txFM6Gi1eE0elardmECHdUymjdNvhHaOpFg0n64gEk1WjFqHLratJbxuFa0+H8iO9br6QZLjxvdO su5WrYKfWzzyFmU0OFnMeT++/J49AwTQnwo2P9pJu+aWsnLN7Kuuw3bBFyvWIWWHyiupoMKAyHmz HuGBRyreRT0qi7wh/qV4wBhgYNC8rtU5kfjrroCanCMkLEB1SKCJzBzzgcO23lS/NiDcoxagkHz2 Q9kcgU0WDJCn0/mv+dWpjxJYufxlmy1bnU9a/c+t98xlmXkbQD7KCbXOpf1y0WJeuPfTAG1MIHPm 9bTMZuXjxVNmTTGm+gIUDfAL3FDDh6VmcPt7uTdgoajgJ1x16OXS/KqdwMoWO/Do0IRNH+xFC/8O sXKJmzNBtzPYHJRIQtzrAY3mc826fo7mEGqOykuLWS9aIJaTSl2mXmwh/dqG2xE20dnaz0mUZbbr TXKawrbwV7nbH3f96Q+1Djfk6ntaqekmSMwLoP/aPLYFfbXmo2k8IfYoWn5ZWC4wQqdBRiG1TIyD jV6ce+w5vWLJCd4rKKveAvD6k7M/h7uom2U8J5Qh8ynTtJyqjBAHYuyufOKeZCTpu2KLP5bQeLBz dW8Q36Lbdi6+063jFoFaztZ/EyXFUt2JnObc1tGdxH8fQiTgvr/v3feiV6586AfEE29UiIbRaLp1 YYA9NhdhNPfgawH2qHV4VV7BgyiWzFOSZhnATunWJZtlgMVQn6bxT6/UZ4TL0gs5McuZLeRdsd8o xa+fL5VaczVJSROh2dO3RifP989Vbv6nczy14ERh32T/WX90WtX8UzHaurB3dWHxeFQTOzYJlBkA 60dsWdwfXHDz6eWMDc0LPgUfRJqnq3GzNqzRu978tl95tCzZhwPBbJpYp33/ZULIekZZsCI4Ieh0 jlf7h5a5k38r5i9XBXHNZ3x7dFW0fh3DqW7s91Z1oYrOOcpYsLSl8Vy+LqTF+u5FiSZo8ONcnVY1 OC+LWABem4OVW0J2PwWo9N0q0ioLHoT+oyQPr1OSEYFkVUYXE2WDyBipqLaqZUdARsy+yx8AuVj3 rO49vxx672fUYUAwmvTwl3gXfn+7ob5mNMMPfxXQ5YD/Y2vlyBbrjdXLwyVKlnTaI6j8Coxue4K1 nwLRWA3Y7oHosyeupM256iFRPKjYus6jOwMLLMGkiOoWlJprdxwQGPDrBjwzj1dbEahFreQGTBiz feC6JD2475QnveCEh9pmVyPt8KFQDn9TrzR/UcrMmBEa3X/NG1cpcOowWP8SnvX8X9z9Yo6HcrEF kzUhTqRzLzqUHcmooCGl3ch0+G143ciSvsU+dtSgagsACk5a2HwsnqEFCQIJNOfEpKYJVF46C1iY Y4V3sAzaiYgUT8w8YQ99whBjeykIGjF2mtQrVvlGCSEhnBnng0eNIbxUAS+1xphX9Q++AQ1+j1Gi 0pBKZCJtwCJsh7j1S6UzdamVz/vPHVJ3NJGS8zhB0evJ0G5CUyCtoA3MW2kONTqH0sUp7kvTSBIK /B0biw6I5Z9shEQETAAgrUgXi8D7RB5cUakP81wSG1rz6tvBKr+2JGgoZPS8vF/CqK4htQAOVZam MLkPdWHt+QXmG1K+m+HlDPIA+wDPa+qB3fyYgTdtl2y+iBnxtyI4R7C9+kvoyQXG0CHcZrTmZ1DY uGtHhGwvwf6zbIKZf+UVDgqiX4Xf2U6E7ryF3y1RNPNI6NquFFJKsDKF3kHd7eGPqo06+veMxA4O vR/4zoPQSdZ4melCgzqNHjWTTHjmkdP24pqGqQx3xHVdeReb72ibnOqp9PALsqKoFy9tdsVCfYLs jMKdtp4mE3XYrshrpwR5jq0eMzdTj4Tklsw512s3Ux70R4QFit0tGKtzJ8UqXIQhTWeS0SROG8nv 0zbEVHR6ka94NhFAdXDPf6HZ4ULAUM6P3QysjHk+N2Wew0+uzePkfdkHKkX5etBIMU0zCWehac0B 1HJJy9iAilWoC53dUvwGyfZV6ALf84A4eOk/yUZU52aeRzcWSIK/KG0wOfW6cS9AtAMVoSXTaAB5 nTMZ4eC1xR/5wp8xPqj1mvK3h0pCj0CHGcQ1EVCwskwwUDOsKD7Q/r1t9YU2UtvcwsUAo5gTVsi7 ydJHmRDBHEiW+VfxAwF5Yb/UQHmF/QnmIMeCwc9gSIUvxungmPfb1bdnqEJ5PwzPgCrK+ad2KbEu G1aS9cyanuBblW/OX9XKbBH9fKD44cmOM26qhG9rfb+4GzpCdV+k4XjjdKF4CXpq7lep0aNkXpwa 5TwV+/FAYW/aiH0yxu8qyMiii6puRzuj0rHI6YnCkH+0ewyp403VUThL0AXdw3B7Ey+wT1VvWdEC 6PBuLkOzvgwSLELVtOegSzHyh2qGCR0E7WX3R5ZVjQmyq6RF2AfExzhWulX619RJ6B5NswAjHVsX 1Ws9xtSdr9Ptq5QNLUmRGtUgdgOULeCX9a8QAl+uHde8NPEpuGfG1KBLC9HKefTrV6x3HKfUfxRd y+zboJced+0iJHuv1YY859PF6lf/z+Y3WLbF2Hf12TdyAtBYOE1MT1icSU9xdQD1aqmTnBAyF1tp 8gVbDOAs1GoaW6+5/aZyiQTauGTy8yaY4tUp8R5dmNxRx3VAoKgYYYPTi8nRcGfNXnHDt4AKe81h pulbfhVKforS8sLrq2qDfRqOPh+KfcOaWcvcijBtyjbG4+rwjwh2tGQ4lZp6L3TOBruJ81REbJ0S 0zBYBKI5iOzYTRg8yUUtE1iOVRY7vxEGuEsvKILXgMFgheW1OSsCiVkDXUdpxGRVLIe+h9DlRcmV XtuxnGZfkkvHpAPL3PIxQ0Vng7Hu/OqTNsSHCC8TD8I/mJbvLZySewj8f2+7d3Jfc4WhlHQRqmqw 4e9+EEqf+ZMKMsm8QLRiya2JA1XspxeLjQjAw/ThgD+SmOEtVI0vCBlXHb9GdRFY1BTLjU9yxgaU sRdKgb7jkX4tuSV6qGlUSG3wo9h7i/72cn+Km+w8UkKHQa9kO/Psb2CWlSbTvhuvddd5Pqdcx5FV iBtOvGjM36Wrz4dAKl2u6mdEt+DAUNZZiJvZQb56sIM2lmDSQT4NhTRi209PU+bJmOw63rEw4Qzm 85boypXKQFNx/hq+V3iwk7zbsv8y8N+QA0JdQHaA9LryrUyB1eBUFvpdZHvkP4K21S8EcJ9Rd6u2 IKmsQrK4PKZsgENetQXqreMM4aLx6eLNsKKXtw0PMNrQjiexeTt5MyHidXmlslZk7R/JVg4SMlkS Z1UWDAl9Ifpg3mj93WI3Cs5jwFE5tQEzEt8O9tKA5kzFbC+e3lr7YQwBkFakf0RkJ3nCykYrDTsA ceHfoktrdK1H9NnPzGlNzQMa1dWhIigHNoi2bMhN/g4zFskU49DopGXWNx6OPUcztGBk4sqeS9IR gzw0IMh/u+8UHthxioTZZ56kkOaW17ogkkcJ+JgjVzmUvyGhxXSfpbOzmPt8byFIfvQd3gira9+K Mu5nASN1lVKhUa6urk0xIUQJYUddGxGA3mdsmG7hM6MsH1jekdaG2n6HWDIQdGWPMBKebz59OtAs Ux1wgPV4YYsa5I/5k6T799X983R8Sf5AJCoaela6qqVG+k1djm6qYfbV0zPBDDmgKBMAtcbE8CAa GTLswB5sOWUcKYzo+Zmx3vZZ6edWPsBbW/krJb7B6yPlt5osrWubMF/XAidvPdMauhS3W5Qp519T I+G4uKcJqCT8eWe0uDTEOhd08oOR4a/TYslunSJssR5K8+l0pIzGcT+LGqnRPFaQ9prELI31RZiy NWV9vXcqF8j5kD5riaQvXYHl7cgCmv1HYP57oxbosDjqiTpftKiLwXn2CrrFf7zhOJElBa01PLDS we6ef3SLbndiphDdeKWnyzKntL1CLZyWhTFDjTe4y89qXVYGnZhRzti07Nw1xkc4Jp7tsOjMqip6 kX2qKovb4fKgR3Gd985ejQ2Zg7++CVKtTXgQ8nlgt0/1ScdXg86Bagq2wxUC06UREjz9Setc87p6 /4358RcKj/ZYz+s4IQJfi712xGQrC2PC51ltcunMpSf4/Y+YAM9EAwcv11crp0WfC5X99ql70VcJ b/dA0qzxE4wm9MwFVpqDRlnesTC2MkNZ8lm/reKuiLClG2/YzCYRH8t+HvMB/CpcR2xC40EcbyoR XDpD4IA0BfzeQ3PRhFhPmAoUe9q/ocMwhDsW4L8UpEHCqjWAJk10ouWsqIbD0RGRoownc8nwh5nF 5HecSCld1VwTD4lynRC5vHUKAbHJwgk+Q8GyN7uYtE3XnvhAicOPJkvTDJzQ24VwajnVDCkbGrLR oEsfMJKwMDFiaik+B1Vrc6qFVi7U0jGqmOuaTZMvKaMvRu9AA8w/jfo/2DbWCyYmTwH9DwD4oYnM 8P73djn8uFwM3VKCA+oOaDFYCctivYYpbMEi5ZDcLMDDPig2zmkUF0rOImK0ahIwZ5lwZYAv3X3F /7YdAMhw2egG+yUMSNMVfhGCG7HtRsSv3uW5M6lUmrtm9Q3qgs/looPhAhEuWfJC6/s49F+FTo1f KMrBNOg3TGo1rXdzgvAAg0gIUFbYUDXaCxs4QtZbsuW8D29NHFeVnhHQq9q5273e2geSI3JM2aR1 8hPCp+WhVAn0eIeFz/5RtMdt5MaFM7+C4SQFHv3uW6RTtrjJKB1tILXTjHy0uJ0OPcnCwWA5NBTY RNQPzdtsytfx7Sm4HRRlxz+8gJsofLUBXl04Qkzty4jrLlXXpNxR4o2giJW+NN9huw9A2witnCG+ A9pp3qo/DVhrnsHboWcHIRSjjrOn8At4sSG7GznWctpP0vZUFI9Mr1nI4HWdupvqv3qAV/ql+dMw OM1UAtCmvNkgI92p9NxaQCd7o5lLJPXz5dBzAzA6PTok2GOXYNXETylj22z4V7JAIE1QwLXRsiHX CRJSUg9ygtEGyWQV6NnGORm5uzJyaHa3DY5fehhDUsZV6d5cC0t4g/42252D3ezt9LmSi9Gx/V3H 6hjp4LdapY594Te1Z5Hd60ClIiQKyUPwpwD7/UyjsGZzH3j57W+kgRKXAZC/TbFDKhqJ4XDjcPx8 Z+9Na/A4I3l9MSlK/JFaMQI7J7rJoSN6BLeiubaicxsWBsvZib42YKi+qDpbl3U9trEKB6LkAZ1A yehr7lBPM75vz9u1GEk2unDqN+vFf1vQb4FlSWBGWEPmKvA2g+fUqMb6TOFu8yDPs3mZ0sYH/Lvy rHgZRTRLIJz9tyNgLzfX6v9M8sy/5BVCuHhALdgqw9ZXoIcIT+iZgAG+9depcssPQ3J0Ij/d0hCW nrARIZyuSa2meMI4n9lbk81/qc1vYWcj/qBakBu+SbKlgp/Yft7rsDsJgVakZuPDcpUn8Y0IM23k y/n1yPeK5+W5UEunfKw8OauhK6vsx+ihZl4Ii1KPwBSuVWhLpOC9OIbo0DZPCPYxxDkLdi6HTI9s OCpmEw4X4g1K3jPOcwIJHHcp6arpXSwZb/Pvvj2dgUZ1Ujnx/4tKqQrrXZxTgwWx6slSbJfF4OzI bwrQspitb/WOVkKBQvZsMrDy1pPY7Hefa0frizk7pGpDLc1M75jZxgGqq8WKLdArv9YBP4knTMhn OHIk1EMzLtQyvop636FgbDl8Y9RPE5qv6LaRb+7+lXxT2/Y0BEeAHLNtBqLz34tD6QHFvGP/Zfum d7N0ZnRRKcFzPA3TQ9Js/IpoLesqrn4kVt/UuSTBj3iYzBjn/ZXdg8o+MaxMCXUj4OBC6KxH7xCI zWe/whZTvXpCjEDNxi+/nfBnk5+vrcx2oozdkA/Aia+AXAbGooHHYd3emurlDUv2BPml4eh/Pnpn YkTuiJRP6zQMG2hcXH2MFVAc8Vo7c2K1A5ZmpOq10zhO2wxHvY/Sa+GHm7w+YRVyO0VqFKf11OhP pJ5f3zhdVrB95KBuXx3EoUNkvrygfznIkbFhQNKIG1hn1KHtwetMEOttWDWYargu9ODE1gsrBFb4 NddL+h1OL1Wo4nWf63jZWF1SdGar6TwvW8rTbJAr6nQo4MY83ZVj/x/WL3ciUusM56BPidH1G1DD nX6wBJ+p99AXoEOCS+IcSX9o3raoZ7nJ1Ex/plzf4KYlbwMBPb3yPl2uG+xn+rEFWH1Y4knWTof+ 7yCHLV3fTGC+9UUm68WCOpICd2R7mZMIZVAH99ZlZgM2AFCJMwBE+cZAYoea7wMWAyBRzGBsXmwN xplYJzNKkzbLR6mZ+6S4VEMyBanGt23MHi+7GfbH06hhsa85Pq0VLjJv9CM+xaHuUj2h4YW88iZo lhn38tM0MPO7cZw8+qqgY1GmQHSnVlR6CaRXUKRFVLtNjpvIVstyEZfpAWtkH1YmhaiuS55kNxAI IGHX2kUiUW8rpBWFIqM4FPSj+E47Zw1yrz9KwNXmdSweKYlciy+pRJIDVYxjUMUlr2+HvETlM2XF VPWihqsnGd2iFMSIngUr4rQ10QEmLlF46zZ/fxzdwT3uaqs8g8S7ADaI2SKB1TF1L4/PTB/cgqxL 8tjUAR/cVF0myNMD8/0GSwfQ7sQd6iVKwIncb2OUNXy87JzNhShAXg/ecpbukbsJH0FNe5fqUKAp Uz2tJfNWpE4Ojhd85we4nQsuZ/2jbM9XevUScYq4hTCH7QVID4WHvAePfqmijR/xKVJYGD1WfyrH TN/doAYt5jiow/ebwdHBmkOarz0kWYpFeB8gqdKu6YaDeSXyEiVTS/Rb8NMcyBbLJ43hxMQds1jN cYJUGTZMNhhgYrAI1pLhTVPDjFoMiAW7vRPcxUynCQClnXH31bfuuM6uhvKAu+El9kTyNImDCWnH l7r8e6D+Vpvg3QQhdDAHsGEiV19+3d0U8Q5SAuHr53T0OnrATwPKzYNTalP9nzrQ0PQTWKdmirO6 V5/9ofVThA7bDJK64+m6DQv3WOfTu8YyW/T82wxLtiU3p1lHPNvBMlgMg2nmkJ0ct+Efrlsf3oCc 9Aes7Cx3ngQIKMrt/4voCu9Y2x3CcCAae3wTbc05o5+Y/cq+4RTN4GguCisoQ7e5GIoxZtPn1qo8 uNWeT53eF0/hLgMJZ5uWjcUgCuC9iafg7QmBEff8drwzI7d8bs8sLhW9a1mVaE5EnofU+vJ9ccLS eWmVXWwW7n0nuRPNqrglAZX3RJ9HLkhcgQAa6L0/ewEQ8b12J5NvrWyV1jMMKbRdCw0NWAEVEaU5 funcWwGnwlifrzfp9qRnN28aRsgs31VzR/xcE7Vrgw6rxnHZen+Lp/NkgSmTorBUoBei+tsdyGSe eJ2RYJm02vlFGgjg1mX1GVjxW6sGVQ9OkXJue+76fbTcDjm+UmwYpnOUspPlvRvqKuET8aKTlwm/ KNvye04C4l+KGlwgCEIfKRHh7OGGEqj9pBrcdZ4tJJ5xIo4bHeo/1CJ9sTfjjPDBZFph3pL4aRtD O9huiy9EWS3l9eZRZxGs13OVllLq/RegyJ32sb4oi+FGSqu5bGtSC5oOrchmyY9w8SHYyn23zoGE h3VXHYlqVPgq0klYvMzJeu3UyvZUq+Bf/kWKVo1OSdpZ2+6PJrDP2W6gLilvRS4ieT4TYRyyMs2v +iAj3EgiI9b6EWnsA3ayk4KgmmurynBieDDpXqKgC3LnNbat3tC0Z0qqBSwmQYvf11pelITKAUHl FpAdRMdJMUvb+CgISRpYWP7qsCNEwradwMN87Lvox6Ju3HHjRofqxp7+paAdR1sywPBNBWN5jvq3 8PADKYTddOqjQMw2AMz0NHnWpIWA9mKLEZIXCzaI7Hq9WCYvOTqGFPR/1nzgpz2jOaJDlsDM3kjz bvpMCwJonc2jhs0Wlc/UTWY76e9symU8+waTrfyqXUBuLXIa4B2HWZR+akasd7HU/WwLFaJnqhaa 2hU4gYxHNxmJF1FQep/df9adufbn5PE4tjDzael+qeA9EZYxv9Pk47DLUNcbx5GK5JzTn3zUUWM0 wmQPwKP7pyKOm0tzw4kBMy7tOtvd0Il84HsB7gMP3mNHwfsfN1fIa08BGL6vUR6gBjgP4YtOBV3o LWFt/xXV1oRzKQv4yi6WdIwmjXlDlx9zZSeH/tmdoyS/FV/7k4kgbrizle9Kks0RPKdL+1leCAet oWsJB2zgY4vvos2kEoOqKwYGO8NV8IAstUdTir/hPHsrz7xNiZCjKfuV651QFqmMCJ/wDNh2rVBL BKCqk/MTOeVc/01PsCXslpR8e9RyQRYMWm0e5FbjSbXtaS6p4hYQkmV3CQqg+D1xdnkOMNxzruOq 2K9WkKi3P8p0fjT3lGIbrbdK5TqKtjOO00xmvnFy5hnsn9JoHx8xAHt7ti5sM4FbmRx7oy38R1Gv iHxcK5ygdwzziPgGTt/89s8GVCjvpNbuYWI4jOIlhOenhFd89QBB8Q2sqUoHNJ9ybw7AGSp9cMVY Ih6fhkTxtkZM6Q2qXGWqgoJVognsWKYPgEE2pZVtKgEWjkJL4z0ri5dBg5R3kp6oLI89JqWWOwPW 8YA9PEibaOFycv62n/iRQ3yBgNtAKHbY99diMVyRf8j69qAK6Sdh7nE9cCjthz3/CmvtLKzAU9TS Qp4p7WoqcrUE50X+aLCeCgESsggwYTWK6OoUGkXm2QPpLjbC+SKZxx3ezicBVTimzYn7L9Skwuwy 3zLlUteWgwwVV+jybu//yaWJs0cPyw7xPfOUS2xaqbukACV1xTRRshcHL3LdRHGh2T3CtqDuNYdW qv2DXlbI5CyWcypfpxpcSL36AqvlA/o54rMtXTurFEbxu2uy33XZAfNRmYOZq0nW5OGr8Z1aGSV8 Htx6JrOEWQ/vPZjNS8tN3XJHF+BmHvV9aU2YF53jXh9IkHjpFnBESHE2ZTJ5/wQQbGttrbptOnZo 9pSLR/1B8ratcCSJusx5DorbhoKtA0w6eQB9vagq63fLvzyRpcCl79/rDhg/MQBKfG8+w8KueYBa IWPKffjL6eCJmvrLUY1Y/9T6JTDCg+6JlG8ZnzkDo2jyewgKu961drxTQwXpD/mqPyaVTmfCyrym nsJl4v18OGepymNbwY3yrI5AlRrQUabZT0dgCvGXLrC5iFPzOeZP+bhTayOZfxi26K2MlRRMI+ki /bTlmM4pFLP4b+23/CIKv2LWbZ5FZz6jhLPk1ASGUphxyTudyYSXLoan+W5tz/NWM/mEmgeSjSOQ lxGT/dTAmjj9g+MykPrC4b4CyGubsxZYWnYFFQKnxqluQv9IbzWtILW/F24OMTytmY0oKrlEscqo uGowqKUfIDaY4Z0kyFrnx13933QIBpz2J9yO5ZzmoaiWnaS31JvaQG2ilXiO78EVJwzwx8qo0Ax5 yas6Ta/oNunjqHNpQSSWHQcilYzdpDl3WouBZIFYk8RlIeZTaLhgr4UK8LLIb5Imwdo9TdMJh55i dfvK4ZXmV5ICjqx0ocd7IqW5tntuaVQYEeze2/yV2klg/bhUXckIufcdBp9TigugkUkU9SIK2yQS JNparezHllOZ9cUGdSJZ0WJnAKw8VLs/odKIaYLiGX45z7AFJ3M2GxQ79tCh0SD5J9iZxfbQ0nuy Yy0rep901tH45hwUiOBJxcl6fysq32aycion85oEi8hlbnKstI/XO6miGDqOJyA0bBiqcXVV3ldH bT4v99Nt/KUleUmLuUndHVJ70+F6JslvY091d1gGeNUzshvmzKcq5qJPFmYWwbxOWrc+4mFW1FwT KDqDVL+IIXxG65FF61KIXibgn79qKMQfaGm/3jafsXTXRxFuxpQu64wWvQorB7/nfLj8sw9KUYbS vkDZFCVs0wNud2QdROPID52itd9KGajt+7DeJYY1INQFVn5wI2Ff6TnlhIuZmKtTOY65Cx3UFeFa 8Ccx9ueGuopPsG1Uoe9sbTtKgmJ22BnkDS1H10Ykk0UG6JatB4BhMhcjxrw11kuTv/zL7CsL1aUG eoH6u/QQJO+nFrZe53RwHrtyAp/9cTG5J2XALWRrAqg5Rst2WhspXzG/M2ioXVRxM/Kxo5rB1nLD k8xRxY2a7kdyZo1BVR84EqzSnSXpz77sxK2AP2y9zludOiLM+2GNjWlcAyAfbPr17tDoGDSdxcSF 6orjnih26neYs+vBBylHg1W6Dds7VhacvDSNca41deiLNRY3gN3jNyy6XvDsF3RVMs0Lw131ByIs gu7PKypFRRvUhsS2Eq5/VWEG5WkafANrpPXohpWQrvvybFNrmVxZzSDDAgCnPPEY/62U4lnnm5BX N1XEk3cpxaR4Y6DjeCNomXRQY0WjQ/jlYkBj+xLvEMlUE4hrNmruXxQUeJzRokWzhA+fTSkhhhYL +OfQ6I9IWGwsZoNrodyctE2n1jTbxuoi14H/WlCJJE+jwUcTJhq9M7IO+2Nd4NrYH3JCuDfW9ESG dTUBlKcstMdMxiZYeiKuTk+fmQAlFNETXUPj2oLRmxx37jvsCVI+/iDfclSL84LXL0YpZThejsy7 dAJqW8ge1LTzWRJXDxIqbwzPDDV7BN36jikNxgMjUFSQqkVhX1qzsvYtuVQU+mF41g2sszGP8EwV RfbzWlcSg70UQJCXijRym3UEu8ZXsI0yXu5c2xnD6YxF9TVheVX0rrP2PHJOLx+t9z5EeB7Eu9LD Y71XP0oTnPBwgRQIWmFfseEmhNSbXIEEPxJuTcJTk0AX8mAHTCo7PtIfpfLWrsi6hF5WVMNziSJo srqC0BLHJDYq9I1ZqMfmMYDqo/e9fUtrdPHlEGtQ+oD7Jxy0ZNWp6awC1s/anOYDD/pMBLgg6iY3 Zo3oJIh+vzzev9p+FOVF9CTKKdoXVNdrr9uVXQYCM8vZu2lV0R6hOtY7DhS28k5b2UDfbvG4mXOr LhL0N2auVwxv2yQEGdpLckv7E8hnIkPmFuLGF8ufbmibjs4lJ2GMadkwqsReprEW8zhTBnIh3QsH O9pNgscO0fUcEht7DH4J5ErsWAYDHD+4tF1tkaG45fIAmxuqlAarFkgbGVFrDXKxNvhx8MqqBu5J wTrRxouDOk1o81e6eVh8+WrC/psPth2RJ67yvTze/X5R8mg2PpgBNKj7C5BmjmYpL4Sb8XlYBZbR iq1I+huptxz+NHnbreofTRyLz7my8W2rNWUxsTS4PQZYryFG5DVwXynHoSS92VHSIhHPw5POtgH0 GLdEK2mskaVBhz/acO0zLFhdslV6lVsRwd9TktgzC0FvqZuUAZoUM816asrukt78o9iuxNkAjCPg ioXQI4CB1sAeZSJAEa5cLVY9+M40aUoD9jMywQE+lKC/BP29l/jOj6ZIuOQyMwuFFtB/FhSLH0hJ sT0BeZDetjhR+8DRFFw6KP+2KggN41TotKwaavPPkbD3JrF+DJFTVtzIyW6/XWO/xYKaDhaa6h2K C4isd2wOiaG8VRHwJ0TAgWL1QtXaQ4AmSULSO3ErWGe8/zxO3OEkGN9o6Jia7Q7L3gv7/RciYJ9O wsf6uHkNfx2yiQ8Siaam/YVSJqYOMtHuVjWpL/Qsj5DjNGUJF4rYvD5+H3HNkLR02HwalBHIQ4IB c+uqxDwq9AfD/yloVe93osM0czgvLJA1oE2Q/3G4ri5JMkbdvk99LqIGUtjB2gI+mu8z/joZhi+H EBVySZrd1Oec8/wj+UAuqcwAPgddy9VEO9ypGY88jTRXCiirNECduLN6SnSEO4LUmCceSma7nYDJ /LYnCatTjJEXAxrPZBL9gwnM0W9gohZMWT/+Ub7cBDSi1k6ZO6gMZ9hgTKP8qU90Xl3o1XmlrzIQ U0Cd/anQttE1ppe74WRy5/nj2g37jtzFvkve4AZJ7VTWlv5VDj0GXfDGzRU61cpZL3CBJP0BjPe0 RkY25KPXoawkzprqB4nxuz0Gf631B3BcNRBpOji+GdI10aTfVXsHHKbh8juXKvNMkQVBYL79eQQU zVw6GPXPYq3NF0PlQ03ucRnwFbRJBxf9ACJKcbkTl7Cx4BIVq5rcj8kkY5nEesNZ+XIRbCCmiD3t l++BVC/exds/fH6PU0ckqkfIG47nO0kHKoqjWNxx+W2s6fqZzMtBG3G9AtK9HMBdRe7l2WRd7/rm gkmjMmzOWdAgSB+Ubu0sWFUZj79kCIOvd9u2GtdcqTdthCxfjPi6vXzd5qnnNnhq4K6NXaeAzbhe l7c2XL7hKuRr/OsoVSNbakXHXjb1GE2gyVovRLt5gJSkL8LWRecR9xX0qehddIHBJj/IntBB7woE GVcbazAdI1ML78drcvfYV3cYBiVsrLxtBhaVEtMZLGiARq487YTq8+Yb3g3Y+lWEsqFfgIeY8qHF 2Du61dgCuqC4yfUjY3JloD+Um6Z7AEuM97kKz5l//6AF2IJWh28mCf4X7u8LWPL+lxO0U+FkO46R sv4iLBqhueJFv+VVS2E+AWYMlpesHuyKC4r4b1gh6aTY+sf2CnbUQCyloGu+WsXl5AZ6qJOnVzFD hQYiXqURSAxzM114G2OpFmBgEy1rehAt37xZlk01MDIU9H9h6sS5s3UHoO+BjpyS6SN19U+IcLca HNeVB1SyEpX6QzGgRTM1DYddAniESRHe6tQlq4Uo0y47sptTHFBUHFoyaMPGFfZs2LslvfD4vpid HLhAkdcZRCh2D7YxFZlUXicdujNHH2R5GPqzKlj+1nCDVkcsnhW8J5WLpzJgmkgx7ahcGH+sPInd 5LEw+eDIUs+dtImxU5E0RI8fzbe71F1HkHgpOjbBHvPD2ma6z3Er4wxXvl1c8biogysr/8hjArxJ Qa305ITt/2V/DAX8NDsKMlNuxzlfG/HuYrAeuXjVntpctCtNgtgWN7zJi4VBTpCWE1ep7VIShPeQ +CNb730q+yf44j1VjqxEROlD8ln6pxMHNitTduSkGkG8P7mmXFVET3L7IMbT0er16NOUqr2bzpBR nO53d6Pu0FmHsx7Pjf2miNAXHT/ZbnsugySK618cAChozV7JW6esQKY+kNU3YKYlEryFp//YvL+z UaEG+WaD/6CCSrYQ2nt8TvU2ICcmea24OLhcQpXWnQsnbKkfQjQtC28oRzALy0+weUtjyDETitMJ xMVATmjZ8zxRhpUeSMq03bAMqx/wmWAVxGoic/H3H/WL+WwNKxbiOQ9dCZj4t8hln2qCNxXc4D17 okYC0ZyacX2m351yemXYVdrp2v7MlxG8zOWFVGKU0VAIDVks60PlpM+sYFs5lYXjjYFjTiEsLj23 my8Z4xEqnJBipb//NNww99KnnYyBDvJe5g9FteJKLrmYixNXKj0cj5zsGfBeSxyffahb13pKX+VG sN+Fp+GVtA1pT14qCAmlVixEPpGRQrDgbenrmjpgno6kz84p6qgk2On1rYZ1UIp86vNZaiRte5Hq z4BChRyQFkpm1eRgiNwygN0W/17UanxmIVJXvnJokN/7CkA+LISFdKZjPG7Ujp47Jznvaa6klrm2 GUA1CWq3YRNLN37BPuQiZ0dgitOihSuBopjVXqKyzxzCfuL76pkO0AuI1AwZQom172gOwz+t/veL oZxeNnFGYwwDyMhY+pLMJZyq2nIEhHRk/OF09KswW/j8++5ykYLf240wD9RwH7Q3Afcip2OjgDve eg5nGdV4+uA9eNl3pIgmRta+cIASGcVuYGxlcjRz8XKbwZzMbq7Ha/BlreoVzH7r0/J/HzYXd62K sY1r2DFzuaQ5Nd1+EKg+TE1vHddbZ8LTC6RZ3Ypu8S+vnqd38kAxRmEDbxObHU+ehFTKCT2dVUcW 4b1Z5UdXHv5AMnZNSIEcsF9z2fAhJ4tHeKd3vBI97I6KpFJLNcbHNtxprPjYu8WMEBjyoHAQ/GWu 9COi76x7jIqg6xHtVP5zALY6Uk2DdXU1bPTMRlq3/VauyjrhhYEzfErTEokQc72FdQkvGAgQ7cR1 uG85KBTVFFYXy1YeM6fU/6OTbztFaydydfVMu2t1ykwYq87fTZ/xNo3/vnQl2u6v38nr/Jc8GTHA V2XGIm/alqjBo+ndPIFgJdTbnCaZqrm5CTwYqvRG3LtBKL4a0hWfToagAhW03/tdoY/bpOKeqqhH 37dG+6/7tzJeINcUGmiAz0l6ilTS7ZlcJV+aBZEGkIAjZamc4ZOCM4QXlLjaSPnD5IeMhOGNQcen FVh0OUE0Kj3C2puq/vNfBZPRJFYfQYL/FcDxUsH5+GcfR9063Bd4hY1/JAUMZDNXgwPSTei90528 9tpDnNHj190bmy7KJ8iy6CGbYXYGfIhTD+R/4VKAw+sOruXM25q5+/R0oyNjh022nY5NIM/6dyWK /sq95BWHuqJC3a0+In8wSEAlmuS1Twwqh2U6HAlTmOWYSa3talCYEiEbVISTuj1IvjryVXhqz2Ew y2N1g1I/WnqTnbsKhTjPUoggRjECmd4JFRlDUSDZtfgSBtcmR15u4jj8pg5gl7paaVR/lHdiMBEt whejJe3MUbGcShuxOqYe9iFBNP2noOgfAVB3J9j4HKPZdnAXhRreL08PJ+oDJIyv/spsAwFxUjSz b081NOaybPuCayepkGISZHYZ4vtm5Lw0OA0PA1ZgCZbhKXOfSkQtKexNohaCSNUnjE4hytOcA9eH UP6JZRStCJfSsLIdG19mgg1vZF0nL9V0LMd2v8W8ly6BlrSNK86rdlEMz9T5Hz2HfbsKO56jEqoo TWRDRjNrf0c14hz8CtEjcDgFGRqaW7hvpq5B9RvSY2dG0tNqqmpnBO+mchgNIFcvjw/E3zfKYUio MYjBp47eOG9Jp0SlSmGYRbVXEL7c43iuTz8jhIrZxUIkaUIWPtQQ5ezvSNogeQyeqptfo8Ufs9do c2D84aK6xMzK0N0jQbkn1cUjNtEEhBtwwTEDK7iPYXWDBHVKoebtWqXDaRw8xjidaBwHxn22IGvR OrnnUn/qomAfkXkj5MAbNYduG1sRpLt/C64YZSgZ9MinDWG/k1eewoygpiisUVp3N5P+r6IpJF1/ 8xIeI+a4UNMKUET81tfeoeAM1+0X1XstZ9pqFcZE58edzzj5B/FxZtweZJ+HkrGnkpBj12FVLWjT rr+mKkZFAFkwIMCcqg0fJ34f3qZDRvKN3A== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block H+/W8lU1c3gF5YSUsGejG07/Zey8qovlTGgeQVnfjJVTpada6ywn425MC+Re3UpCUNxsUmiNbLou 8/X8M9GQ8w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Mg9LoQnG9QqvkHfwioahLm8RAjQykinVqYqXixaVwcLE7XJjpV0iqTApecAxlmmIYSeJfFMVhkyV j7d2rm5l0UQ6dsbhP9rDnEsgY6XlVZlGtZMkd3/Cvv/UslNjJoNmU0RqAvr5neFHC0C8tPDgw+T/ 4RkuK7mUzoqQpXzDL4k= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jl5v+2WGqsNEu4wjmOwIDZui4wl5yJZmI0hiE7hWVU9e+yakpqa2fNSn345h0G8Pb6syohAYCIOo x7+74i9t/v3eAkjPR6GO1sCsQbcrQbVpcusiN7L7eqNuwB2sXoCOz9eDWNiGbNUv2an0ciVwGvGu xlLkn6c/UrIQVaFtX+wU+cWNvnjHjLosQ2WEBf38bw48zmTdp9YhbrM3t5nZlN4c+yUK5cijl8zJ 4ptWYVDzPEfvUbDLGFg4Xq2A6LKiK8TNIs0bFG/r+i0n0xQNfFvJFq1ePsnCm9d6TpAhYFPs1lZG vsVM6RowS6m0cu07SOVkh2aekwta/X31EFTwiA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block oITI3vRvRXu6OJDbgOMeffqRV7wVJd88fj5kcwY4sjj5xRMX3G1txYMlv9PlbAVSz5OuEWgmM0hP BKdyZR55rBQjKtrx2A2QdSYaAIJv1eyWgen8RgUhCDZ2p9Ut0r4vWq/I9sjAZo3eB+HBSNHriiDi Bj5dD4/P5WTrDq4xTSE= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ZUMQHRqVyznaffBVXnjoYjN8QtkR2JFBnUSYvSE1AuNK6X2WF0CYP/e/nOkH7b0o5w2EqvuoxtY4 vkpf4QKnKqb2lSN8dCpGYR3Kq6KQJ2QCBqrcKyYwZOF3iWDv2pTUweuUXZnkfkjs56RCSBxA8kpP A6MsHzKGPYkBIkFX2Xvvhj3MXVaGQn1n3ufoHwMb3G7muNYZnR50W7ztLwAqCRMtrzRvB0HTaudF UEZdRZgGTSwpUd7PSpgPaLBeLiiwY1mBJuNpbBtMGywMUuEhj61rtunn3e+8g37dPw9hLeX5lKTP bJVycgN4acRTFOCgIaOOygfErfWFAh2RaRQLew== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 52864) `protect data_block 4TeHLUc9awWZJe/iTM2TFo8BWdbAVu09E7SOZjNsDO34doZJ4ZYwYuQtmjN/hGZcFBTKzGpqAh2C 2wpW6+FMGPyDD/FqOVWYC5YJMW8A7n0TmFLZDZVUw0V0qUbtp2mP9x1uNKQq+zK4TTypoROfubiA qTON06wAtsueOUh//GKaln9YS6hj5gKUIkm/u/Bw/KCU+Lo1l34kY/GPS5rhZy4cYVHcFSAaeykY laB/XMU9cbvQDS7msNMvysUs5PDO77E6jiuGxK2GFSQzctX+sTCDslhUoR9IGOGnRy/V1imjtD+k r1EiVCQ89OhXM8iipUajHgoaMRhZjpAPsub9rFoYhWfSfsPQZPysLLjeqxY2ZcvOJVfskR4PGVxR erdQYSCVCRbgvIGTOi0GaKBYFQG4GrZflKd1sy8APH2Vzl88I6D2aYgnf9bPmB9LgJvkgBxaVN03 2sKqVbRuC8rlTikiRP1HxGDDdQB19MRvc4PdoT7TuL8OHPXCAX08xL3cuvOv7wyDduTG+V9JMZ/F 43x5y4dMvayStgPuiQmlzqFSUVR16+Q/nUjnPmnjTsmhum10YfzMPBVhNTyNuJzsl+Z92dlC27WD SXBWUX2TytDZwB02IJyt3x/I9q05qMFNtw4EdFCszmJ7nxjtv0znUjMEWQ+M2mUcccuEJMrY2Asd Ezgga+nNkbKP0X+RL/Td4xGlXP/IMMY3FDfRWl05KYkYEGCY4gy1B1b3d1Lao7dNgKCdU7ekotTB MM/p2zebNL1MzBIKAo3+h39oF6Vo+3iT/4BeUOLkTYBpXan2kkypSf1CbbVa2nMfN9Xrdv5PELwN YJDVuRHWNSaKylBdKUX5a7s+O421wFDC6erIiywDO8sTMsBYiZXZPQYq7g2noFBbsGWLpSwX+FYV 0A+HUBSAwfp8mHy2MylBm+CYYRn+1QYAjZ4giTL+47ozJRTPuQGesq/b+6qwPM493YmrxrwoTII3 xD01ePiUEg1zFGao28zEwD/4ky0ALSdIMjqYMnmV1g1vSgrQbrYsnIVRdVXAhlbmyk7Pv/sHe0EG OOQY6ua+s5AjvNbkgE84ubR8KA3KUeQlbRF36bhy4oP4hBynUS4bIBGbqKzhdOGdyE4D+Tt6jbVi ZSCUMnXyVodsQh52ydeZ7j9MTbsErhqihjThu8bUpTf4UfYv53G+zQw8O5HVEA7W4EqkrrusH2o4 gyw2lolq+y9jVSGD2xvZ/4mmmUkacuweFOCHvIWUyz4I9boRXFyIwSi2hao5GWaRm5D8n6rNFOLa G1qlMtpOd/ORO7wsXmHxVrW+X9H837jmFuAMsTX6P2s7u8AkgbyLeMbVvmoGlM0WlQUxwzh66ymP peoAo1l6cU1wD/TJKoK2ynmIU/Y+d2S6PwA1MqJDi/wSwC6+fO1kXU222pKY58iIKLl+UMUuLjnr VGTIAjPit4ygiKt+A3xf/9H8DCq700RmbxK5nclRQV3F/+Q8f2b6b/mA+9n4YBBC5+9YCAwIMNZ5 vaVpApRRA8ig+pJchWx3AkaoMA4PiS0CSPxt1XBHSPfYCwOAskFAA3gXBaxoaCo/WRYKNdIltwzJ qgmQiYoOh5dIZW6S0tB6GG2MfdG8hOlOPYR0LzAoT3VKIO47twk5DMWTO/qrd2oqclygG0tMOKIQ LGIVcUn0K1prjk5BRMx8W+QjYCF62GbqEAZtiiw2PgvFYXpJnEv9Q4KlYDwBuvnt1J7nMnizuR7t sxWrsayjaN1XHeTUrqzFjWGWaqTRnic9lseMRPJNEEvw3lDOeIF4IdlInaEY2L50O0gdR+CLUDVJ XVacxptlAVFYUZQOULeLzwUe7RVx7gINqon/Op99rB/KuC0MtAVUcKqkqqtSa8uv9CIj1WYH0Etg epvwu0g2lrqT/NjiyOmODweE9oErdtsofnEshrqKddahwvxyEyNG07oMGHGKY6+bBCYgkZnb1tEN 80+zL2CZLygeOvYF02mggnUO+s2NkR9x5eXxb9EKOWUkValZd47AB/XTbcoJaZ3nUWdKGUUKHR/X JTgYvLY60rtT8tM8USRuZiPc5qcyflLjTFMneIuB81JOjxI9OHDZKuVqecB97WougnGdXyiaUlaZ uU4PHegFC6MkIyWOiZmS917bUfcLQjeZ1jL8xf6TgWrpAtLZl5S3fVaimV0yDux1HehpbzhJWa/5 Ze8MUcgfs82tFXRUclAws8ELfI3ExiQiI1reD06uWQWE8f58/8qII42/+5ufHtQ1FBpghFWDLg/2 zlXH0YcowwnWT2IbBOcvVl5s4iMxt0Y/Vali/50zae4WtKhSgVH1y8hXzSnjwo3O6JiG87SpbWBv yStYgAlk4NeuU7OJ/CQquEQJi7BcgbC/WabuX0R/QegTrVcKEamwQk0cN8dk0397E46MyfUU4ydJ eBWybLGUlhJIz/hWyWkZEC6nR9MyCEjIno0uTldpGc8QqVA67zXcp1tw45QZXRtosLI6/KYHBNlm AZPN26uEGQjU1EhihXVGYBid5H5ChmjoEgHQmkT1Dupc18k/v6IgK2x6y33YnZASWOeJ8Lv28wQJ fYvN9NE1H6csnhwZj3OmWdCuvvqDEOGl+eLjHDutvzdne+XBgh0wLXwTUpFi9PdzRWbnFNSAfrdx ZftrfmLqMoqLQ6Q3hcjAGy7DGJXiiVcNfvEvD7p9WE7jwizbHzSBW78IxAwGBO7CEbUXkdUxuwvY ul07Jf42KHzw7avvtC+dQJ05rcpI1nzjQvpWXR1G45d1wXsW4ywY4thf49r7hHPkUGSrMt6fjuHh w8B7X/0sDFWFnXpf9qIQB9j0tjho+cdxLfu1CGNQNLKIQmp49gJkx4UL1m7UlFDZJgrIaXceN1kY hL31XMdy1U+mf77vBdJByk7leg+VvCWddGX0l0epkfmp3vwOfV9AxFYvOoDJBXbGmWdU3umzgOtD GlzDGIrhXadnaVQV/9erlfusecP9ND6ScrsWb6J+QV5fgnOGT3xaIhl0OR9MHinQptvS4flg4lmD TAz0d1NoHhNlRv19AArx08pawDbrUDFUkb69OpfVNvISsXqfBriPlXs1bELvmUsa6tFgnumSW8Fn u1CabVM7z9Zd+fFicIfYTTZLNHA/i9oeb2y87QAs8ANem92ykDP/46l1wLQiq2l+JGN6PeTCwBG+ MoHnwh+7d3P4w4rYgy8GJRXm5KDMlhIa3ShuYHp/dNJWZKlzKGiYe1nQwQMQpVZGAv85/o8JCmso 2BlWHC8wy5UnbUGsKh+IeIoDPyMLMG+Ik8a/dtWRETSA2AcFYkTjPzU9vPC5+Yn/WAVmSjCXBvcn Xn1tFCq62hHge8hsMikf3b7iGvM/9XitZZTGqOl9dxtX6goRac8ptm38VXLLRMOIg1bULNiT1JoZ 2ieKtMU4Aio4Dkv5Zrc+Wf0xz0LR56gcdhr3RjUkMXnYfAJx4VXh2AgixdObiHoA9k9TTHNcQdWU MUYoxOkjV702LBc9+7x2K3bJeqVQ706U7c4KEqV06bS/oAWBHmv+xN8/+fAKIqt9WJoHchuS6bki JrI4kRuUlDoL8aBIVP+peG/traIOeo1+/fZQnXFixkWd9KNhzYHDm18zmIkAo8cdxCnZIVdNJWWP fxHBBi4Q8CKYinHbSL7E2//vWlseZZ1UKQPqSNaRzXKtXqy3j/pAhPPqHrUgHQuXzxBZrNLDOsYv bjMp4aIxTuoPy1i2IR9KLNj03yKwYFllLQP23/kGHP4LYbQuux1Uetzn8ZR0Kc3cnznSEJCNPO8D ZUb7LlDkoyLnoqY4dL06Dbh1+lKOejsuayfSeMlAs9suMWhQX6wDV+gZJXe0uKApSicbXCR0JZmG QzPHDe+J9uGQMYwplFSFOTm22OIQrs9h1dGKM5HT9ajyuNyyiiqVAwAPv4ADIDRtDEaRKhYswOK4 NWxnAChWpdwXfMfLYCQrGQSeg42Qa62I7N4tC5iqRnk7jfFpXKVMdLZiwg2trmP3YFahwFR2WDht wnR9JzE8+R78EmKv7LXdeiYmNQl62UTQDyWNq9kOw2HtIf7/FdBH0fhL4yvsp7nqP/nZnyowXdfo ImzfpUlKQIIw3rY+d2Cl58/DM6zvM7pfQRE/RnGF9rwMvo1eenRfH3MAhauYRIwJ3Z4D5O/IJ/Ho RdNw7OQ8WIzw1X6vZM9EPZUSjMZL4aVF5jAXYnnqfyYN9/MmPzQ0Aa5JS6pDKyd3rEJX2d/jd140 jlvM6rO2TRXLeHqW7Pc1ztfFusQDaayBJmL4rZU8Mb8rgd2GR0N+A+wgYIVVjWBPpzjLqKCXdH6A EvsDaJ2+T5iNEsAWy5cLo9D8CHdFzPl+zeYyRsGrSC46fHOKX+wNeKJb1ELnDjZezHcljEpqEHZq BKmmQVaagfEla0lwCSYuexzaIn6OESIv3qh0L55II1kpk5m1DRu/h7bx2MqBQxYP4Ilkykxohg7+ 8df7jpObSahZ06h2z2x7m7jXy49q7KPrbFS/ZYzRzbhHcZZfH9Mqw3rTxtObT5Eo0kgua3SVlC6h eaN75WNG6icQYOW6n/3/PaIEqoGqb9urVkooHp7JdwDp/Y53cZ8vptoOWF5Frj6AMeFuU4GdwDCm qp/Mm63tgFmNYMRQLV7s9tbqNEs+fvo4nLzIUW2ZVPso3yrMVKTf/vVILZoZpiHKy8lkuf4QGmsy 7dHNTGY0D5/92xfgN4aFEvGgQfR4QyShGjz4G5+6KjkE0fx3tTlhSvyHU/98sCnUW71WPcAmPJbZ 7QKKLa+zLxgQbXqMZ8NksvSUtNbJLHP7vfElrGbv/bS6ZcZBVpznO7e6zl7j4ox+QioF6njdBniF QSwTUJFyswgpARl0vr1ATCo/fLXqiwu4W5kIlSqENoBwH6F8eO75qYRC4uayPofAEY8Yv06ewZBa she8FM7SkgiCzsnkqpPo3AO0SV1ggODq+Jnv5ipGGt3XbGvErPCrDBpNm/N9uj1azYve1u3DMzna 3+i8KQmmpqL6yrOWZMJNWR5shOFFscfVXyR3HTBSPz1gjL5CJJulJFjMAC9ghf35xr/boLgMtE1y i+FnBO7DwJIl9DPrOeKmImjexfc06UQ+nFC29IRqJsI+5zzV4EslNheS4VpszG6bpRka8TUe01zr Rufof04aAJT3pGpqWF5Fec9qppD4syY79pIzGY87axQbqwlVfi9OsstuUiCvO+RkJoJBv2+yrvXm TzAo3pddGV+ED9MgktU0S3ulM2/MlCxMqvzR2SATwZJqpiFd4WX0kdMMNv/ed/4nNrm3BcnkawvU s5kd8oqLLXK5gVutSpHps6mS/EthJoL3sF9cuSgBzJqjBEDBKIkI2+1sxMKqmgzo6f64CAgOWk9W bMUWCDgMrgZqvqEDgdxX/jKJ75AbPyKGqekhJFj1OGECuYVjI5IhD9HXsQ4stkv4DHHBDLZgdsbr Wo+nAyQkVlLobOWMifF9A5pL9XgUKnZ/yAaTagCSrkUQBL2OwyUUrMmyDoI79rMUxFt+NRA3/VsN BUaCCMIJfTTBROa83cikraOry+GyqGIWkEI4U1xY6BBRIye/tgzBYgyvW2kgeJic2hbs6/V56Asm GkSld7zlEN91xA90xmifFOZPaerxgH+lo4DQ1R2FmQ8foliQiTMtH1sZIItSHRx5IBHdDBiO1LcK TCWS851nZ8KHPBU+wMUgzCJ3gWn4fZRUwHjwFjy+yeGyGrXn9Bx2UXKDo8zeXv6IOMaNZXRuu/TM aq3eo7bLUN2mLdYKIHh+HR83U5xUoK0X7qsA2bGfB5AnOqONW8qLpgTj/a1nzy9uSRLbVZsm8hoQ ZqemyKV8ZEE+eKdVBdo7TXSWobuu+fwQMqd6igCW2pwdKPskIy5CDE2FjLShSmbyErrFPr4WYrfP hux0gXtam8jZEYMRnIxqrsdmYLMGaztPcQfKjnOA7Ot067SmR1B0sCOWm85EfN8AZqYHuAHGNdmQ /LWNjAhgiaZ6Nnh45VYFUH8qmm66IGBNtveOpHLYH26rvvDK82AbUby7oNknNedqiIR7YzXq8KdK Xtz2T7G0UGDs8GZLl2lr8PveMFaT3Wc0C+6PsbnRb/FlpJ6k8HbVNRmDy9Zd2Wn04DY6Bd3JUPaR VXbI888iGhUWU6YVoaSTTDHnToNc0uenz0N+t15E4YGU1D8t/mABThYkA28pFs0EMogiqw69ZyNT +TBlCxMf/x/0l7qAZWs0taHBV1w/8VAQwaWxu8piNi4YTgRnnC0wBirj+dYfCs+KkUaKBgCXMgRJ VGsEwfDiN8lKbYQLlVQCLPsFlQc2lPBUIWbHq7MDjlUERCC2PjAiPATVQhhtWJVdDRrhnqHPLP4W 6/c9mW1TWDZVpu/41MWrV0wWOD6emU6rRRgIW4I4l13Z8unpqHVaHN4B4x1vFmyMkmsBKSwSLS0h ZPfpfTQy5rTfdte7ZKD/ndDt+bhsxhG92gjH4fDr6X6gNIOQlyDGMMGXUkKFuUBoQCAXMy4q+oef 1XVKcelNPKv3hx8MNW6bGnrb25WeVs7t8DNr9hrm1T+BDWoKhg+5C58K/uleOT1teTnYWGHGtyk9 oKqUdYhNBp5ReZMzfLKd+FRxi2VCzkbNsXnoECGMnKz0sClH7nUQZtOqr022t/dxSC1+k2iyw3jd FIpHKx2nKML9KFoNTtigXLGr1FTfhxdL5wnMg32AlhWGuMZy93c/FbW41Ll3LrLh79x3cbM84Kdi tyScUJUhjcq9GkSYOXfHBJ4OqR9TUM6GON9TkK7zaF50kfqdJhuf2s7nuXXm2oCybhURDu7uE02e f118S00bU6M6XEBfa/BOQWTDKqvTQzs81pnpxhq/MY/XIMteSV4SR4wkwPjF+lgrwTKUbMp0ZFsq DCoi5zUciTQTfYMVm6Cvwp0ghx/eZ48zVS35MVt6p9Y0jMM8FidQ9TSMAPU4Pkpcc3xx56gnqeRD hG1DbEhT3JTgDLyEnlvAOrFpZsHshoiowOyRimJzdeyB3I+aX/6K6wYAXMwuXKdGcZgGcj6KHO+x kAUL8yuXDQisCVefZFe+NGrsxD7wyqDPJ1WYZHfYIG2rUSiVNU4uhAl1t6BdouSlF2KRe3c8/25u XZxMJ8T54YXegksxqf+wuAifB056rBtSKUQ0nDIT8yY14DE9RXVTAQzXgUkqtqwgSztLv/Vf9KrL q87sEcQ8Z+E1ZUXuIKfFG2uV+kGvYc72ehGKooYXltOHk40U6/d9ldc4KbKtLtC1ExyYJs2mrPGZ RpKcPaKqlondnDkHfcdyCjfQGXcncYnAOiTU/P1y1pWqPeuXDU6egeMOwhCSnJBAlecFUncnMwiD 9fh3w+bOqG5FfXAfcyv3nATN8RC6NNPggqo5Z50rLPjRh8qHgF7C7XH4eevuzkQOFfi7fY2yfQZE xGsSF3rArqC/B0+t29UHPvq1rXSds7IlqCpQ2WAExWGzKSPFqBCtr7+nHpq/pdNphXx2l3bUUVWv gRbxB8E0y9ELyToRRgSWz9Pp9JAKJbDh941lhc+cb6txaTFF/OiDFkGf/blu75y2n/vcc0Rq/bIq UaX5pQN+497202hDneLO17GmGtYJ1rZUrz7+Nc2TYHofA2gorke4jJ/JaewJc7alUp+cxySqJOGw RL5AL6EhK3aMgl2Vnd6l+rL3PkN2or52LvvvFLjNACQAFEUNL1/2g5kdlRPvp8WJ+JB1PwkmwFjw 45SH2g7CyPPQQcFjSM1utPGV3ZMuiE4Y58T3zVK1egmTnH4VFwdSYI1hqMIC9MgvlvHicphZ4j1M EqIfbBsjL1Of7+5E34DOA0KIgSUjMANTeU769EWNGhXi5HS+yF1itFV+iiPaPavuVADXhT3hOOIk /Gvub/aiGAMc4PdozTJYUSYqM3dNZaoTVyc0e/QEi02uR5IsRl4LTaeyTT8kWr/UgzhCJLEdnAY8 uMTO7YRjmFkyAIZBzJi6Zpj90Py5aXX9gbvo+OnNkMyjZQlWMHBbqEicpDkr8kBDXeeMDrHrAxa5 8NpqskNz1jPYw0Umlnxz/XZD4PXXqqjV9v+PbFA0vlSS7VJFGHXyLY0wlBm6L0SqXhHvbxWJHlGL CIjJZWBRA/tk0eh9bYPxoD6xhYeqU6c52rDAUwAc4M5cNJA6sI5nJlGSQfk5h9/pV3NWATFgHOvi W6STHb4ouN6R2qvaQwCwIpweSy57UNBQeYa5JuqGVkeLfx/deYLG/5yY5RRHT3ixwjQ091KA/wJD 7B+KDfbmRk4c0iujkuf4rfNhsOauFBxLr7mg0q/ZlrO6Yh6/q+6H1NahnW708gMXlE2WK7kb3zCC 2jGIa0QS0QSZQEjJ5RQC5zLNYCAZgV+oXTXe9S+QwNwtyDuXqQfFOfW2fthzZAM6kz3j0MvcrMc2 5VFThhqgQ5GBaorla8CxkqIrUGFsLXKXgacUmmXqA/on8SfTKoSwFMSy4DzXToT1NNe1FGFDU8Sa MTumRpd7+3+xFt0dGq+XVkrdI2WsrjswpaQ/9gUG8uUpIyt+kpfukhlo3SP20W9OEAgQiI4ByOO6 Kpqa8F7vD0igpjsCtxb6wrqINtJtSISU4GgEtS/rOdhEZTqJn4cO4isFcodJE1GLuWBnY0hv768X hKk9anjBi8Uga+eiqaxFQ/aUWPnaSG0C3OvDb/24spGXFRkXzmt5llGHlI97TRmYbLk9+8SVqeWc eWkEEZ7tW430lqqEmVeb+owPJkocl9qLOWykVsCsfPYo5xa/WBYeIJrB77hhNLGAYFugg3OT2xw6 pC5rq9pfEakd7LOXv6NLXe/7iQlQPnN7SVZUPLJ8lHq3Tt8ymrtRHEmqHEmsBmsU6ej8hFSRd5Gc qwXtSH9qjq0zQh+Qukm5VWXu3YLohksIGEL2vvWbtkQUn97uAsUsbT2eJ4Wv52OpX2q9NBKeMlrB /EOOv4TvPDIQ2FfuSuI+JPPpSxxRD19sigvl/uJ//MwgZNUl4Nzj0Hj8w2eOv9zcTMuUpBkG7h3D qupQ76Tes904SNLYcuES+mbyM7lkVunQBMKWyK/n8Jq3P+BdYjgETAqEpdr3Fut+Rw5hijGgYIQM oAiXntxmQ1wqV9p6Dfhb/6+Z31PZ2PPf3AJUyEhF0aFuJ/dEmuOw8Y9binETKAxRNP7MRazFIyq7 rgEQMAEXx0gOUGf+SiTSWvINDu7ioxNe49DBYYqTxu8R/cj60GzJGHxJIzN1tYB7HBXp2uYuipvW reqOcsKzAVFjxr2v50d3CdKYOaDv6p7folf2mABsZuZMYuy3ABnupoEV1ynY/sJXGMXe6pp5BDN8 rBLkRbi9DVg0is96ZneJQIj31NPCaRE2tDNTRYcdR/hi7Hwne6WtU2l6exVORCCobF2nWrIG7tAj e5cqFWu0Jf04kkwMmgzyzHXyk97CdZNG/8NLAtJFcCnJeeIwXfXtcYa7QCy7r95L/CuymZSqhOaE PvGH+49dLQTxNsD9FdHd+vmJ9MEOgZBtssUPr240xbQTd2U0jvqczcb8y69vnNpnRN95uEAJbpkC y540xS9A4Ez5TlPkXrTFCMGCm0MgSnBBMx1SXjEjj2weDe+E6bGL0ZG7POlISDcKJl8G1UNJqVFW w4jcTD2C+WwwZN1fBUSHQoUJMJ94TyRoZabEPLFEh+fwvL1doBfGlP/LOXtyJMGPACW/fBRTqpgU PRfqoydQn0J/ln4cICbyxUcmD107tsyaaDGSqdumRCTY94Ei5n6yHrJOY/Gj2RtI/MC3ewyVwChz sja1FuL2inW64i6J72E2wq7XQgEphE3/ScKlRZKM24a2t5spL3VkGhUQEXMVTwx4kqqT55dxc0/I dhaFt8Ev+tyM/dG+8DYmcnu+JG7Kh7f1L8r9dwJC8YNJ9azcDVSt7GAIsPkNm94qHMH+EOWsVaSH 2jXsjiV+ougH3C258iAHEbKH+3jQRL1WAuvtFOp+k7h0H6K0bdgkn/SyNzeP25Z3TzkpdATdkhjw C/cl3EDsrj9rwRyitD2lsKupVPwrxHZcAjmRqepMT2wF8sT7nYpQ4qbrgqRt8fKJmJR+YGCXv8qg HdcFr+WvT8hUH3VyXPSi4nVyy4L/XKPseXIvS0WHRLj0oJ5z3LeX34WvB4xvrRqklHVlOYEIS5WM qO8yRDiuUb8i4LZZ4DKfCcLlXjUQ4qxIZ5Rvmtvjz9HULjxiDYWoOh1Wcf0O1nIFmLttdaeQ3S7l AvbQfcqEI3lfPths3XcqhGwZth0dvMsvhRyzK9z8sTj7iurWXrSg9UXOC/Ejr0NMlT2P42mN5ma/ 8bywC90Lb480h8GcuQxo+sz4N5IpQWodIXlb5m0U1lFOLfOpWq9vYNZRGYNma/sVZYgDI7IaJ2d9 4kwCGVaIqikFZTTst7urbd5OdKHAwscyIQ5jiQUS9e4lHKQZRaPRrzBvNe2JKHTgM8QLi8mJDsqk nMw0pVnr7BDdxlyR/ONIald7nD6dd0UDbdOvOGiuNXnYQ0u3H9tGfOdsRC4T74ZgzaEw7ot6Io+J ENYFPyWvg0abXyv/o0/8qU2FgFQJ0hZ2AoY905H8155DamgHyXg28w3STbNESMXou/LPA/fct4n0 mCiFWs9VbIirqzsJeu7FpAekihYH2H8CnVcF9UktVxtYuv98LQYIv5sYI8dnEfzBBP9G6uhShvtl zkkg9GHIydA0cOR7ic+Y52ixsDZ6Thi/T10djd5aSsTAD/lOdsEfyAQ5OrN2r9aCkvMx9whO0vno 105n1CwKS0hJm2tGr08Gjiiavn7EbpTuhxfm6JW+dTHlM15s7r5AI6ZE/5PMBNbRL3U5kVIitq3k sLzrqnnl9JfstE7xE1FxRg2SMP699FXvY1lx30TTtToQGfxrAF8MV54qSBH4USj8I9VnsD25hmiC Yzhj99o5CIqM5P5nsu7rl/hIH2i9cPXMFQU0YNTz+wFu9QOSgwhv67bDRv4pgsMaK6xpATE6fATB TGRGjKg2Kjt4Vhp1WhvEmnaOFz7SwjvkwR0L17GscswsKSwsQSSaP6Gc+MOU9SKvRwvYoRfnfslj nejdWfwQq9F5s6f169ErieNENuN+SB1UGJMxFivIqzbldQW46ahxVw4MUW4RK4j3eSRA7MpQ0POp LrJwdol+B7ECJGyRHJ1ftxC/u3elopIC629gsuvEYAF4P1vd7krNtOu/p4gl9ruhuLuSlIMoXhcb OZWfbZLQxy6UrW/jQflkz8Cn9LnecBQUz0VacZgPOwJ/yr71lTrzlXVLBkv9LRvYTwKZSwkTE1vh UlnwZNT1UWUMF2BYHLq/8rdhUyZbF1hlWzVP75OZM2VMAEKEjwegqjGtWIQI00HhuwJ6YnAdhbq4 nkgLvJ7asSk5EbLDf3AjJdk5jONxZos/rVhHNgV+3nXbTLpsn2RHAsQguM/V8VmmZSyu6nV5qsz7 0WSJs5WgwX0pVPl7wBKlbO08fyRLmGI/tW7yapCRQp+W8yHs78EA9h5PyHGWaX0uDLl+EBDry/tu e+l4ra5gryUrcYxYnTe7Wr0BkCAq0krARYSGxQGOIl6VLP2f9aregBOTdL1v4aSVGhE8/nHZ6ZPu +ZzMNbhCk3ze6J20XQoyofY4TuXrEaoDiDlSRbhbnIAEMwpvw6goBgM6v03ekBp91BfCAn8cUNry rk65yBioMA1qsBfvHhNs29wkFLiSqyERGOVGAyu+sD0Tj3q8WO3kK20nYv5y6T1fXs9CbvPy686p S0AXPbb0fYe6QfVHpEBnBFMLFhbg2yzVw7dYKALAIUCnnbirlOyQq76o8xiBqryDpiBS2qTSvBK/ dWlZhSyNGsjmb89xbXictjau1b7dWnxgXZyzBLy+ruXO0MCCpu1LLbY9XCyqtkG1L2ITB0fLoGpo +BdjfpCVGQ6BACCAbtetpHWJ4B+o3NH/Bkg5wZnTsCsKQF8p1JSbxEXQkQ371R1NqDUQT5NdTPRF D/Ir/hXobfXfipKh4iS6z4I+HDfp2nQHZszmQ3+NxFyzfEbmCOw2oQAVonmg/m+8O56f1uoe/kzY iC9TDxKW+Fq4wVbjriKCJMmTZKtRM9lOrAgjl4T0QhwMwitZg4jZCMx5fEHPmZSieEgdLUZIGGIT oOX8X1sseT2zsL0VVKIGdQuqA6nV/I5QdlHNGpkX06mAA0lAHrc5JumAm5ACaVr3fbnte4JRTCRo zWRNgkdJwMcKZH/3QD7SAdkydoGB/tHTNfCvLt4u8AORu9sN5uHZ3vLTgufOr4MmWIWndXkwk4t6 hEsokLPvQFn9YHXDwlAWMULs7m8vchLjd9tIwy7+Qiog3SfYdmaDv3g2Li+SgVpPToVC1OeK2gH1 mg8KNyJvP32g3ExX1i2bcOn6g7/oEMT7ffv3KEzItk0l7hftW8R7UXvm17HTto47mjZp8dkwESmg oK+7+dQzybZ5gTP5frywNxEgMRlRYJ6aWE7kliKmj6UsaBYvYyfSFy3Q7GREpG85DAL8gKDckxc9 g1DiVaws45TPjqMCJRsES4Sk0a7M+wWsUVtLcsbNWV1VWuTLH/0HlWwMYP90uc0t+/iO9lUPisPt cAwOiYdbdc4BouTrMbaXerjyd8u3y74IY5cLLPfi38Ijep+KiuLw/iya9xJpqWU5kSlFsPujF1Yr FnkkisfVf+UM1Z4NGjRiEdLPGdRyFGAHUsKQcBP6aobmDASHc3hB9gkrsv4m5bAM//nr3exJEVIC qnSab+cNy9WaVAbwBhGJHU/GkpO5SSSy5d/St3I3ngALO00H+Z2sCM2az07UfibG1CYGMCccq4aL le16TqOtFvSpJE913g0poCbsHtlK+Mozr9NIuobL/t9XTKDVRJ2SymxKYodufYzSyOGSwZe5cz8h rfM0dPQ0AVg7ju5oKbCM5hF8bsZo+z5xgH+wmrJbkN750R2HsXBjOVhGcn50AAWG9YfUbHgJHIAO d+Ozw3gt2dE2X1jlH1i5mKBGpcJik1yroZg+hkmZEEqZE98Mo+LENix4sk19ZqFly1Q7400kXQdR cUSuTwSwLU3IaNcwAsH+eK18L2Gm2VoOhcBbiZpgDfxjOHT/b4fLJItYS1KIZ86a+Mul0LDeETn6 x35afgvRBDG0tZJgq3dgEnUs6CM5vuevTUsHjT1SYG0X6+Z97DpGWbqzwIL5SNLu2i7IzTa6fUHq oqAOg6ZKbLJ/xIX/MPkqndC8LtHDC0K2/abhF28BkHdm2L0JF6t3t2Z7BnOoP1I+1GddGlO/6fJ3 V4tKZumRhOCyQPJvgU/A1Y9EYRrMLlTMz/6pmCmUOufc2I2NB68orlkBNZtqGDkorh7cOBLKpe01 f+PdLWGyQT+oY381fhgOMTCuLpdBy3p1kc6fxBG5KT/VVzSrMQ4uxd41wBKUuLvj+E+yQfBJXMHD M+mPGQ7fgth9GtH3Yqj/FHO6AtLE265QwJ/5A8iQPt3uRCdOm5RHR+WM/eVPFdR6MRQyeKD26sBl T9WXtv+zUYvLGEnw+3pMMCkdroV7FQGbArfPNbpChd8Oi+OECRt5hMycAa+BkUpoWqH/Zx/2lZjP UdNEHTpU+Vz4CAyNQvrPFf0iIJ00Se/SoUpR6ixk1RhMRRYDPyNZt/PFyJCG3RJzHj4aDaqUD9FN QR6QQ7XX/+enGrs9tjA1ZpTnpc9INOASGgaF6EKw4oIG/SAmNXrP6kKy8tBXROeI051bB/FTfsgF tCZHCrfae0r1UOk5SxCeQkt1CfnrKuj52qiCqPcjQ7qYxOI3TRT71YzKaHm+aLvnpgepAZLPcI68 sPmOYnlREhMlm/hUW5bh6npk75ZB9Uit2qHm+2pRJDsrpLGiJSkFewqNknNqIv7+H08SlgNuiPxy nFc+r2ke3zKM3bboe2aPhOLi4aEh9x1upJMXXY6toTrDsNBtJVRw8J+SLYzoMkkT6Pdhy4nVf45g OF9NweZl8ZvcEqWbHyeb4xQJebAxB+xrGqKOab5fpZrcXxR/ArR1ZIQHsGOro6dmK2C+zIIv0vfa UjbpdBEuwie5HQarxAcIsT6R3ELJgs84AzNYAWdojoJJL2TBUaCJ1Z02bt8PTqtBIXdQXmUS9IpO b5PGFrxd5EQ7INpu0H4H8886aQmQl+P1YayAzLGoSlAwZY5unbixM9UWwcb6q785EhKDb/nSaHpq +mk9Ce4D8CLEOoVXx7SAoqMJX+WkkMeQhfAu97UPWGoBBvxiJxvoqjZqiCDUUR4Ty6muvdEUkukI oVwUeY8wOCaWDMSyPxLD90bb4e8petil5G8uDNGXva6N7fem0B+8q4khVF3RvbRUXYkWvF4qSinm YTwbrvBCoroEmqVhd8YluDNUJHw1VjujJKQLYwZcd5sMOTKRES0ATSmUS47Zhh/a+vKdBBpKT+4u fLeZLE0jQqZVD0hmL5oQ8qf4TY6pkiHiYjTo1fiq8AlYPT13Th5zkVHiQiadfM95PCPL32CzWN2/ oN/QcA/oVMR16tvpKvnWo9OFM6jh/qwqEVlDxqmlUkVM+pe9nW6XOpMPS4xSnYpHz49i42hQdbCB neA28qTNDFbGOMdCWAzxp5kFRnwtXvpI3AuIK1DAm4wWwXikxOIvikKw85/zW0H/XBTDSP3f5KLb vk8Gpi265LLlYN67Cd7NnNxFGszkX2Hvlmmby3pRL5REOn8sFs1XniDHMIqGD0BV8aPKWjJT/53P p0OGmmrHB933N/1/bbxqBHhQ5AObk4ki13iSOu8vzcYz/KyDb2TmeL2MjB0cNIk+SwIzEgNQVxKD ioqokgJ9aulonLm5MDPKwyaWhlVXm8HLegAMVzDDCCfMrLL3BFCwgRTTJc9de5Pj7bgOLx3OrPkj weFq8rHEdwVpTsnA9FYc6bbdgF594T/+k9H2OpteysGPF+l4BKwMTmxX910guIQNT/gwlQ80ujA8 I2ruIKfaqvvIYotJx84g0xzE6O1iJyBK19ql4AgC8aVSKaMVj5EQFCok2km4LkJmX6jvV6Kg78A1 NBaW/BzFgMffSoTQMxzHbNhXKYzWrbmPaRS/3+KPUaQOJZrifqnfq1CA1lECg4cIUrbiN9WpI1oe 22PkEyQc3DJ+3/sYUzJ1fTNlLLoFXeFj5bdXDDdQHQnAAqkZWqsrxkXWmDpTB6+Fe00PRuUd7xRP hyHjapmpeV1EseNc7nLxE6SD6w5UuU0sTQieM7Jcp0YK/mPeIAmA3hH6D+I7WK8yaG4+N8dgFR9t mAlfqdCGomhkzuVfjmf0WsbJ5SlhjUoBRwBbdPjfxn391LJHKclq9dkBZM9A+0fk+nT3vq4eNvU3 2r6gZfXpRWDtxDG7w0lbf3uKVubQK8pu/y2NzBuUsSAUE1KPKvRqjNzc1ehpfepzOhW9RcLBu9JC PqMBGdo+sJQucI2tsWiElmgm1XPqbDVT38mXzarWHY3GWQUm0VYWmwzf7yDDFdL6kcWjHo+hbhBv t9s2Dd3XDUxw5lAO5zovJSjz4pZ7xQstsVOV1xvi4Hs3bAA3fmgaeXXauFlhe0uM5z4qWo3muq4E Uy7ykPLfWzMJv9UD8Io5NeLG17hieWmdQFX0JNIp6whKIbS+gIB5i4ZDfFIAnBRObtPaCuoYDCfb nKFGhmfInpSAo14ByUcxXLUTAYkQuQc62RJ9StT4ZRl+EdL9WWLzNTjj5DKv3krKIpEGjs1bXNG0 B8+LIihuSlBhoCzHJDu3QltQfwimhHIIad2/5JkB4mwoEzwiR/gq68MvPqvpxaqFLbOp1mWQFEhO HIcFNihVq8fulIc+GVqtC14mI05CXST+g3nVsSvDRZuz3XMILSQGb8LDIHmSc4CJ5jBnNQlJY6sl AwzCDzIAK5Ls+s46Z1CYtHaakWjRsqZJ4iInG9+50ip0UlEFkmyuXxpqy5PzB+NH1bwzIvSfB/d6 U0yLXNCjKZAhXJeU0kjDo2IAFZAxzpuEWsDIgDbrCJidTrKDVm9P0ZXTu5yH5KC6a9EupKPsmPNf v1HTXXKvwCbehVcDyaJx7PRIczXLMKABL0ZwQ/rHHpnoXZNGQeZ48KP9LcQZxw4q/AyxMdwQZXQ6 HwovUYKZX5r6wg79yLvQS4LfrplnRivTJ7cQ5h7NFV4TLvYn5B24XvNFAdCMdFqIGRpXkkp1Od4F QvNcII7TPOYssTM1RkZECfR6A7fqatkmZGJcmTSoEtdCOG6FFeoWpAwRqYAPWt9dF/wE0uVlS7rN 4jfrxG2/dUn7Ef8wSNl2POA2tvtXyyUtfMp4tGibvS7gwgYpDHRiVRakWGiXsUficNKm+XG7Ed1C fOctRryAPxgwRihCjZrZiFqJ0OXth03rpcTm3341Wktk0S8YcIo5AZhaeNPdeQEsmDC6vvqOLvcT vGcloEawdfLw+dW6EE5MdQDaFksFwcTBYvA1yNitEexYT70WnwUjvEL8GzLLL309BR2CrKNc2pG+ oBeV+pV4noDOcheDQdIRTbebkbg1q72yK1GTNVoRc69/dWcKlrHX9oE5Cc3Ix3KK7nWrmhdVXe6L stONECZ3r0tLCPylBEmXgj2XqJrTBiclsakyjcHg17U2ysN47dQOrYsnpqe+way0hYmkcgucsGRR FXMpFQmdE4YTqXWFmKnnmtrtv04fyOATdfngFgtue6edHeAtPRqPfZRMZK+Tb7NnfdpBoZeTRr0k 7KBbRm8Qd3w+LU2jnyqTuW4Mafgp1F0Go58dtbPM0ay2HkYJ36Z8yqX23tl12YVz/qLm9otNzyTH Uy1VoccK43OygaXs1kx6jLgSFmejCxIBPmCPnuGZ+mlNhPD2BUzUICeL/iXTZl9XLNFVc8B2FzZh ik4+R0zHMluX+Z82cdkA79emkev39XlCU/wz6wXGK9xZVDzi8eDNAv+AXpBh8I9i6Omi2rhDo8iz 2OT3cQiqaCV10Ns9N/uJgFBPZg4+4jLvRdf8o8WOC5Kb45msQPYs+7DlBwtvHiOtM+dMiiR8Lm+W hwJhAmaBcrkQTNl2BW1JHSyAg5dH/amMVqN0H0D7qgkB/QWZpohlHWAU+F0KqbM2okZm5ydc6Mif uaQduoaP7rhhaIwFSFsktKnzZRlwMt82luTs6SwNepMPjKsqbyV9ZKnvPdqa6m7aWOYTWlWcblOf UAPZwLsGe4Fiw8qcSbzWVy26SLXCXEuQG4Qb0SSpmm4jfbZfivAtG4XApSYzWDhxTVUtb0D3Ytdy ULrBmoIh88km3IsN7pDrsoxKTZbAW3xcvkjJDe2wmKzKcYFr8rLX4R4rdtvkcu6G7lIgf/1Mmik9 7uTxtKzSG/LLx6Ldx0Xrctv9gNNbxmjXz4vCBOGX8ys3/6n7UtcYZZfNVkZ8XMpSfQ2f70Pff907 uIZ7JGxD+KkQhDIrDXcl4JsS8NUR9yeKDTIMHrsaXt3P0OzWYSB4yeZfJeNs/OvkfzoEhiog5eI2 tQYFSQA0wcXdsCNyhwjHtO0bQ0LIl+Sul3W93kMP2zqQ9tSDGVlsyHXw2CEsWIxu3UkvChu45eCf vmdxpqtixP6kGuogrylJ/4o4FIkKKBff7J+mhKRQ9oAa7Y6RMP/o6tn2LhBqfAQ1n/lIV1cAJBUG xpQMmyz/Cj4sYqm2J/32HdmJrCEPwjE4+uYDrnsEa/yKjxsSr9j4L5sF4fUcb6s5mJ414P++GqbP yXgWa5L7fAoQQmFFfZPrrTNZpNAT6v/G1UlZ1JatCZ4QOK9yxex45TApwwvm1jaoySy2c7BzU4D3 WKAL0iYbIerom3/3Z7KlLhz32zo6y6FRhFKCbvvqBM7q6UD/fSB39kjTNEifkL3wX0x2hI+qMDty 7eR+OUlEwxlpWZNPWgxi3XEgp5jYxYacNx16KMIn1S0aQcihKFbc3rSpbr25uOkcM9FcSuUweV0T j0CY71ejmtKrd59+XX8WftRPrZsV5ogDVA/+gTM5ShzCnfwmZy4ZQDDmfQONvtJeXzsyuy5S0w1l 0KBUywWg0dIvS5/Lrrri8LVwZ00BNZFE9liyAHLz55gTnOGIpBrnP9u6+c5jVRlbdtVoLBTKNBhm MSbo37HBZaMOWaLx3LV/6APrALFLaAjIR14FCPVLbKri2OASP2Tdj6AHeBY9C+q4GukfSbmIG/bX L0LMOnSDXL6HacbysiuDRgfY4G06LtUMhPfkbrcy3pHO/MW+rTcI8RtQNqEDYbUi19oSkJMhZKjk 4xDzJ9pe2ZAxoKr8N5J2DXDoTDgPkFygOp1IWr6+OnEPM+f+00hWaM0zjdbJccFAERRt4BojiBZK 7ASy8ar1WIoNQPWJKCuR1ZTw/BOLnSRK1fbukfRqW63NRclxNBvd7N4v7uZ70rG3H6Z2nn+08TtG Vb1YahWWLUAZXDL/v1u6FTazsKqzjFTrFuMbwH4TE57qhGxMqMJ/dlk6qhYiahiMnQvAhgcO13Lh F0yJfGGvtrNbZNsK7G3STqNvLxzCkomWd3RP2mHTWYwAS+bFFaomr386JJrWE09ul3XuH9PSkR/e 0YJzEh3tgIfCbZNFhpx0a1E77U+snlhUaGCSPyILzx2GN9J8UgwcjdYLgwEsUIj5HeDD/XkhB5kk JNQ2cQRHPcrurAT85iKACMGFEUSJAKNb5SMp7j7YGydTXGxI8Z67WjiYUaKgwKhS2ssQLyp8WoqQ CGqLNfb8cmXh+QjwI9YxHAVtV8//RfjjoiWm+HU/0LLbDWowRknUiDG2zuBh/8omJT2Aghd6YXn7 w82/gXYKDGDMaicL4NI/3+zcpAuCfsqsl1NdsxmoUAZJMhXkiCZCVWoZYDhCmBaTMTn/QNQ3IC0m qtIbnPN/05aPPsmJCU2DRngEjjC55zKTCBh6fc6E9x296AjwgYTihfmwwgu9zC2c6RbzC1a4HqwF YvCN8GHT8VtGQb6jfw3Ito7nq3KFKtjR9KRo0jvlam3eMS+0n/sVlxXL9NOIiJ2+eStMIgKvPA4o EJ1+iwThn1+gb5GxZVQw5tmzHL3fgmm5f/SL6+/cN+neeUq1zvHTHZ7pElcOHEIJF1chq3YeNEd2 WZeJRfJXwb/+4fF/cb+Swg7LcDhCBonCaXDp9JSsorXzhiaemZ6d9m6dK4oR4H4QpYrQ8id/oriA UnJXZugcO7C0pJh2cuGTPfBWfLN/LnZ7zuzKJ2WgOm5Zv7UuZoAJjRATbeURmliUnk1g2hZaOq3h yGulDnXVe9XoOre1TP41Jq+j10ZWOxz8c4kxz3eouPXfVjwPi83cWSrXQ0a+L5g808Jn2hLv2w7r YPOc5R6Ar1/t+Qn+OkY9cJGIA4TsAQdQvWPD+Tr7Dk7FaEV1UWEad2kLtp+l3QVUfYKdo9OJlH7U OxBc2C0BphWKoAGszUFgqQgPiE4wy9MvUEcTuLBI8jb2PQb11i+lvCAbf8tse06r1pq2FEBD5hp4 TYWm0ZNu8d/CumK1csP2RQ3nhRoC7lXpqO//z8om4WNEnoQcCjVXTg3ZxNFAdj7YsSh9jXlzUhhR mJMpHB1+FvxgXYP8FS7xV4TPnv0exl5WAND5pAZNVNTNgnMkDiZsoQARVm7PfbK1VxcE798bInMk u/7l0mIUDFw8G8UOx6s8WPiPS8/QUfKzlAajTRa3IX8Zcx8aeWbA0Fau+onJDCjXUQduuFUEj4lu Lq5QokGptuGzBOepwlHT5ABafJgl4t15nyabvXQ4L96kE+8dAtVvvmMc3bOlYkAg3mzKi5QAIGYl V+j3/6FOp9y/DTGv9SJubVjn+0cszUy0sruv0uBqAqjLnyUSmjdTFKR6dSt8g9B7jbG6cqEByHBm NqfGZJDNdTUq7kTJeg2BYAlQ3ZcxtHJxdJyqr1YEIVP7IfXJ8KNQYd8nb6tYpUSskmENf5/5jhbv GihR59Mv0+t31j9/liypxIWP/gaD1C7KZhnlY7+8WX405IwcPztXPoYQSzTmBSF+ni3cnxNflaYd OXaNRYVUCEBkyIhnNLK4OLLePcHmQrBaL2VGKbR8sjkZycvFDWZl8Pnjem85cGNu/NTcPzw0Ui4e I6Vi1oFEiqBCJZn4y7ybyKbYmQR3htj+jhqOxyYfGCQBzzmSGshm2VvP7Y72dpN+dQ6wdRT95j51 12cU9ayE5TFp3YtL7T2hmm1fHTqPSv4Fg7HMzgRBix7VL1KdMciEOYMpDgHTfBYFbI7AYg+J8YSG 764m/Dm5JcMLsM3Ra8h94LhSk3+kCfA7gYsqW1FJM2NLXkxZfZQfy8HOAh7z91MPl6IOXRjU+td8 T3CYNwOJ80uK+Tnm2P1gJB7V0L1NrEDelhfv5cjptlrP4q6TA4D0rzbKJFprV1XEgw+yUNJc4QR3 WNNyZcIL7YBJTvnx4gD7v5obZw4swbS5+5OBgrXQOnmO2He6NNgZLYCWIBgT9HRyIkchj3ojBoBR Do+AaI3skwGb4U50KJQwBvHP/qI0RWp1IkWoWSgD1BJH30qyJ2dmhraJdSRFrONFlrGZWwlmdgfm Tv8u13KgKoQUJB0Q3XfdaPiBTwsUGHiU5BG9MJn5HJkfFv78QJs6XYrxRJ0maFTH9/8muFCqD+Rj 9+pgIXvSWg8phUHOE0yVSTFWJWPxwBkcs4MYRs/8kjRzJCWZ18sKNXScasjvqxcoLi+5+GlorQId vo1MBoHE1MQfcebAu06E8ijITXPXK+qP0bnapAeFjwznPvQUxm/UF5aWqQucHD3sm2f67v7LsS5y zCmEEG9qPzvqWl+ZPXaV5B3xuV6WnVNpO0qzgaDHxOyPCp4qge6zs5qj/70eqRzYOFEs/4/GHt5e XY+/AGxV+DaV0MtQcNEobDFjW7scKIDl9PTrb9x2hLIAr7zT91fhdMhG7zHEuhxvAnWNP6NKz5rl q+Td+3bLYYsAz/f+l8Pbp2M8F/USslwFPH2sPgZeK0ikd+iOd80v1rS1XUPA7fmIczXr9ZVpG87L sAikIv6QQHaQjCbAMAhlWWrlxyoKHBEfoWWSljzyiufrzTGiOejHQHO2dDy4bV1RWg9n+qsbEpIE fn1oGLflXcBL7CCs5LoFS+Wi+Ed/KjWDV+sIOkpnOD/5LvAHvWGVyqW9imBVPMdL77uR9CXI1B/2 RRcOGRDyPRigWNBUj3e+8QUSXascCpVLTFv8owfLoRWLA8yA/Fl+9LEcpAIybMxJB1w4YwomxtVO gxXmHfUr44U+uPpQAwyXYmhRM7hV/s6j3nZG8lQ1jL7st+EfdHVMvkrJ80U6hxdlb9l6l8XUOW7K WBF21GK5/47RI/uF+U7Ru6jQgIXy11n6T7/Dw1nGCETRvmdPQRrH1jms3Asv7kmf6NWdIJnf//LD nRb9RiPLUQF9r0gTx7blS7PnCO/v07L//sDvMfy6sH96gjjG2AjFOvEWhBOFbY1SMSqYXG9Ok+vv o79aQef9sahqR4EXj7GZTRn9vu33XS0Z4C9Yookfo2FbhyY924SNpBG9bnzPHJCewhrEm1Twnek9 PFsdA3PrLTckiB14KbV3C1w2MmYxfCVjBq3oDPzAVJ0JNdxUXwW6W5VxxO0ryeIBCPp2F7ASVFkd ZzJ6qg6Sc8OBfh87iUrlGJK3WBYjvwIGnJlWQzxRovub110K6CGYiAhbf2E7dwy0NDrhxpOt2F70 X9QCaXmbPeKvRzXCx+MtNoX/75Ocp06OTqnqDH+ai8Ss8gRQLIVYN1CW4+swsE6WFvN3AvWU7jrw ROZ+eZm9QRlDVsJFRI66ybjAWpS+U17rrPTXcQIPn7Se85ONq4dc5v7D9rDgWv5opIozz90L/UiO xpUOa5tyZGMGCDC78rTEtepAFXMC0oKZNu09LU7RMMzevp1YUDBIoTguDMhuXyFNtgjnsov/+eS4 tk8v1z8QRncUnWFusNxpdlR2GIdxBirwTC3KD030xF1/oBX4ZWhX8AThHDBbFd1vCUgh8qkkTX4v YL7UJSNOwSP7AUcgjXoBBH6f2VuCwqUN0G4A+zUQf4tyv6iECI8WhVRuSKv+hJHiypf/a0VtVjGV FxnqT5p8laDkU9vvqH7mn+b5C4t2IKJp5amTaP3bpBuo9m6M91jy2LbmGZrMLaFNdR4ldMVYdqUZ XrN+0jzqwufa6tW2M5XAv8XkhbqY08dfIPgVpq0aUJZUUr1nEN0pDtFM8aFQtb0MbjluaOgH3yKd ZBG4UvtWMeWk403qIrw8MEcP8KOXmyozsAIuwk5IncgUDtUMZmWeKmT8Rd/Pq2iV7XMvndDuNxqD eCVdbdVRjA9VQQFDA9MTPqX4EWSJsXBU8oKpd5cfBhlZLGcNxA+JdAHJOSuGrDcJbyQSGxA2WSkq atPChOZHbwSYLtrrFqNaaqYX0I+//TOWKPutVgFmUA9RneJEmeHnlYbjEbTF94rTVSGNFCQLktnd fNFhFTtnMV8uhZdCfAL2FwbvSpzIIZ4ndx+B64cJm0oMt+XtAhLmQ9TKzZdGkRhqbP6AItm004kC QEm3YgNvceAy2Yzx32XkoPR6RGsjSN43BO9mipJfq4/dHsnVsTVs6XOtGM77OWWqzi8EHd5IOfc+ npSOJ0RGpT/Dx+HC7ogG1SzsqRUWXDN+ue9a4B9KiLD3C6ggvRfMLA62HDM8iZdkKu8/z5zhspfL sj2LXz86IpgpMk43RIkblwSomOdCMmA6rcZUuyiPN68faPRRWWl2JU6P35S7awgyTyW3oj+Pgzpv G2UwYlCpavwH32YIuRwnbnxn5Ul9NWUm5nFfhT7Lr9dSmahlAE2GAgYpZ8S3g7HZTPQpTWoLzAMH bOrTj43lsRBMfNewI5e4kymr7HPqiyJlsmSBYz7Ccu7PLA0dQqzth3XPKbDar8DLrFIgwLYotHfR tzHsdBW2B7pEIxBp0xwfV5Gf6soKTI9Lmf8xdWJzhGO1jvWEjVGJdUEX0fliataX/L0E67plYi95 EM95/IUQHcC3kGzyI76jT36tSOkGK+la0K8OENDj0sgR7h3hLrTmqhf5V5i9wcH0nH0naISOA8Qa Pw52Q+cp5oYxf0bb4394/6ylTit+JjIzwrwZ5Qwo1L8Q3TUbaGX3AiwD5PNlnLKjmkJNTqFMGT/m UYb4IGLEx2reOZKBdiuf/Q+F/XIbKM2ZpqwpR9+g46D0EJ3s4FDK1CoPmkhoH5K0drm9HpBnWBPJ 1yOynIUPX8SFRyptA7Mau1U1W3a/iZxKSEuVGRmY0m/QNCd7WJ2dzaIf7LWOa/QQmpL9Re4IUg4F LrM/hWn8bGbrk8rZpoRgfByoQw6qWCv8vDFuRVs7uhXXpfZpiYkPKNEfcN0HlmeEEIPAFSS+Svu7 d85tpG/hol6yKRBfk9sxHbSOjgTaXLZr06ufuG6Gllm8G1VLm60twr/uoDaEsPBIYkhLbRkX6Z6N mwNppKN+rsMNKw/DmhUBKUqqfQumat5HWjadvZ+JTGDi1w25JfgFJrXAl8hFU2dQMlkO/lXoiWCZ utiMOd0Alm+zBB6ZWB0IXeigYIB2atxWwLxHgGmNnW+FgcDP5rMIIL30hBFwbFjnTpLPZndE8l0q J9XVCaOxn2EJzEBd9edfNfQuVfaMgJQ9HrInVYXmu4p1zCuOnwPZyvqbmSsyI6Rm5oVYmFBTwfGU 4YwsKJ2Ptp4Uhy8pJJ4UHwkQiLD51+ovaQUgZnuXLFtXMOQ93LCtckKjnlvVrEeIIJo5vFRAH2BJ f+z8oYrd63flibxRd4IWuXjSGs96jRQ2o97nyHa9mOloxbqNj0gBY9PsjT1fNB2rGUcU3nRRoDCf O0YAQGaZUPxpWbkLeCU2mV93A98TXoMXWS/U9KOK7ZwfSkntZgasuTlY53hPcHiIRPRImNQ3xbU8 GSF/YdMxOOKGq9LI03yAH/VP8Xkibj2klWlznNTomeU0xma84cL4mycQylotcEUEV3hcobgpcm61 lE22+7qw00TNeWL0EhOI7FfYGr8/7uR66iCzh8dZzLAQ1qqMSESvZlBbZj0tcNOPCHP0d2qnt6hx E/vRHaCHzbIEFHBjveVD6eGyfpl2gF83OhwzD5l41ohl0vW6+GVWNWDMnCGpBIeihuUKWzpF1SIt yoQuiv/NK3/3box6c2U4ZCkw6M/AhXe/B6+Blcqgpv1ES6gXdYfJTH+HA+4PcLxS8IgmB6ro+vvN sMJsvh+vfHYrI4i+9xZSJGbbtLwnpGDIusf+0Alk3DcnSZWciaTlDA4TcjPE6GkuuE369paBfRCe oG/7JuBrpaQ3BppoMvSyBlS+NfSUu5CZi4GMgEhW31gLKsnxIpOppjgWxb/TLlEsKT7UI8v8eSvB 5WC32N79OSAcCXWTZfrM1mH39NGgzzVBsSa0xXODZIWk4BWQvaXCxhtluE63uuWuUZwUxC576/Tb jinSgRhshn5k5RS4EBgFTjM09/4aP6nT8/SzB79DKpSDZezgw+zpWANLsrFRAKT40maH88kJF7XG qODOCv0yZD/RsTZb2R0d+yxHzYN+aVSeS0ZsrAjAYHKqSJf8LwL4FidWOrqjP9ONFVY2h4lhziae PRsBOSQBDdS4Hk72OfgcyRs+7HkFfYv9WwD6o4j8ulaliLlALehoEIcsq8GheFg5KV7gZNO1TIrB Rp4TnOtcKCcifZac5HAhE5UUOD6md6W3E0roBydE7/Fb393oBzNl4X0dm78KXHOwjcJ2z+RP1fIs V7nZXtale0dzxfGAwLsFIOrXdHzeiD044ZtVHpK0NtGmVKPQSlVcYAhp3RQwnaLvGhON0IPO1ASx 03kVYvq4AM5QtfepOTuXHOasZCOx9IB3BDKFvadWHcov2KsBwn9tGIinYFyIjzoPo9W8rMO+1c6k 0JvQvdI7DDK1yeLf3SA79x7kVSlW6OOQkwjMrH86PsyTsvKzpgG/gN5oIstApedwD2VGVMFZogr9 rt6uyfSHMSxjfbOcgH9zUw6ar1N9N7mgSguZvYZjc1XQa5bXKDAXPfh3V17SqvK1BoIsNi7YzjBP kQnmPczDg5ESpagr9jRjsiGQ5+CzZTqVn2Hb/iQRhtdliJPjML42qjhmkGmZzb34qTpTrhS9lqtN SvoqVAvYqlijrtLnVLk/RR6IqgGzqRgQ2c4Sr131aIc20sbVHQMrXAGxhD9ZsVu10ajgoDsJiUc4 YonMn7x0CZcGPVScmZA9ZUxNx1faXBtQxR4kS8kLdJab2b8OuXVF6uRyXs6q5DKDjocb8Bo8f3JX ujpPTiIdyqflBLL8+UsGmwBZ8m4ArafL82z5MX6zg1rlgoc4xFAkcQkKIIS/dTm4y+OVclqetDa0 9nnZAyZ4zC1MM9FlYn8UFHOsHvo4QgToSz/mb8UwWXV83FsarwYOrhBjehHwO32a6DOPGy9ncCxM WQCJeaJdq4P5EfoVMzbbkw0QuCmRXe3Zs3fAnaQoc7znqTRLtpvAK9DxaxfFjk/aZVHmbea/Zgzy 3EoN306SsQuyY1et3sJQbl2gdHKalyObK3CpzYTPatJsicjNh3UC0u+el9thmT5skmQDyiiQ2NwK 1iUFIrTeJj3aSlLxGXMSsM7U41tJlUEPRy8VCcu+zJTwDTiXhLvsc4u6Utu5kZ5rJF2CUbbvtuqK 5jUx4Y62qL9VMB0Ym3czO2W0cgfsQz4T+WdvlB8tnUeVhV+cS27OkfGv2J2PRc73ySGSeMOGR0O3 7snZXyAUFP6ivCmpPoSf6kSM6MyP73D5J7Kzl97CkrN2G8uY/fJ03z5YI9bCSGD15D2f/THXKcgo l7MYInXuKyNRJ38DFIUlkW6WdA7ZQy/iVVU49UU5JF4fDmObkqU00CSCjj1O26s4+5TlIXrC60Jx m2JUmIUDho2q5nDu5riTKKgmfDijyWdUdgDFoyoxCXbNdanUL/rPynNYZM2wE5kX1i6B6eZddO+9 SX3OagtbIjslnNUTQklJIA+ze+DtxnRoTpTADP0RhNqnybYPG3PmfIlQLG2tqxt4wwSnBL/ZD9em JnSWGReR5cR92ajYo9GN8oCEUG+Utqny8CTmK3pq3WKi/3j9Dk14ow/w6nX67Q5Tzk8DwZvdGJDk UX+LJaSqWGzANqDhXZTNEcOnpGzorj+3lPxUNc/wfYoWf9TbGZKJtbtttLF7UYHOQFXY3jxqXtr4 je/TM15DnDRnYcbFSvQvAAxLIEK8tISKKwoM8Mg84P0LL3pJYYZbIIu/QE0yKzu2HG9vA38Ilql1 +GVHAVkomlU7khREM8yJXRHktVilmBssHRubj++wze44Q39k5yjNZbUucbjsDv5ww9REIMVeXbA3 1T77yfTOpmXFytrMU9LZGWBPM89awQ1rz7CfWGy4x/ja06CR55jQnAtCD1hy2O9cZaqjtphm+mYv NevRBsFzJHrN10YWX9aZ05F7PnK7b3kpkwihbuGCO+wpy7XEwQmsMBzmy7MnYw/tVqie8qWNXvCd 87G9L11/HlGF1fvWuCTvviAjm3WjfSapWCLNgQtg9O1zPf2WKTwPDbzxYDPWLd9dihRom+zVlylC 0firB6ooh1I9eNykoXX6tfbFufkSJaXQdh8W6BQBH0njUxqMDLGEhmfnN6G3zL3s3U5V7wJhRLa6 jLpZDckq9OpDViGVj8CE8AyHJUAk0E21QYFXq9x3LH2F9ZHX6J0CnzijwrmlipKLm2etljB7xHH/ /PcK5YqkGfIVf04BWNYgXdjC3MKbNJpenmZkE1Y73wby4zP3R88Os2GTo9JrU2Iky+CSqxBQW3Gx VWVMqIhDfiwb7WergWaevvFwWYUs4U+6E84Nl1BxIvT09i5ehCyvrdXu6nRXTuMne84AZ38ZLHDp s4uvMGQ8qSo1KTWmk9+Tqb3qokFIN7v0Xwc+IBEMfGa74mvMqg9UZRo2lwdIvGNKzroEV5Myl96+ 9qHRg+jZERSSxcGJvQrpsxxm0gBCx2wp16VuJlZLa9sINzrgBIPWFP0x3+GJHdDGKQk2ORQXARp4 1eE2JBw5dj5T7z2tOfpw15g/zqZCPU6GV4XHOC0Gz0ZFYayBHA9SoC1NgzOUsNSdAZMmRx0IB5I+ K9EfHTVwqauFrwmgXiexyI/eKbUYeO8UwONHAubmCJmGnUpaRMnud27+A3upUd/7HcOr7TrzcxWW 2UBMEPaqjSlUe22nGdLt9Q6T3IyuGZveRgw8KuVt5cLdj/Kx2HgQ+J0pHvo3fvclgjw1WhVHcTCr +Q6zk3taTE6cSmx+dhIVQWikCx4ZcmMqcD+hTu9qD+eAiU6f9S0EsDnwzhgBIUs4cd0SBiPijo0w VSdBqGt8nFBi+t4hrXOfIcMonbIuIiw/51e17EwsNIpr1qca66s1nMuUYkwpuOqcVahcsDnOJgYK +hkUrOJvq/Nac7z+HsV6OVKQuT2Cmk4rYYcy3WhocOUGmXXiRNh1UnI/ldJp3KZ6YV5Xk8d+WnYx k67ihPJyqVKZV9aTAPgk4JCqfCUS0HLa4nTBE9RcgFJRN6vJNnF1tNR7GYc9na5cfL2XPms5I19l gyIc9gKflP9H0g7fzEQYN7XRCIcRkOy8wtMOMhshxrtfS7Je0th04TYm0ArQIixLjXbKs4GCZ+mX WFXsVEd+L/05B9zB9NUoKcpy57PIhYktAqWgxydV+n97rrLWERMIIfIKBv7fjjgL7EN7sMkxOkZJ eVP/B/50qIj3+i69DezqGZFurBqoXXc7+b52Wjo+vuUBh/vxpzCRT3D5up7Aaaf9XXSLCCk8i4xW 3QgJDLoTsM98jXvxw3ODGwzUiqaiPt4b5tzj2NuvIxIRwdoOoKt5oXH3gvcSy6zRCSuasl2/mtER yZz/765T/yIkDermCuqrJV8CrO/GCYYjhfC+G73PD+nBf/rDR4HtRzDaW5MHmgWqdU50MHcvjU5n HFkSAwbKb/+4FKvKvppCNfkcptnKOaImiUcn2+NQdteljqxXgBTFWrHuhMMHtKzy/Z7UAkHiIjLD VNGlIvm+ZAgXkw4u6ydoxgWxwxOnBxiOBDiHjWRzrRaUVySppBIM555motjyRA/eZBBHnB3UgZ1h uUBwDIqHMG+MiOdwWm+zPczT7u3aYemuQM3MjP7uFMwWdYB0ELOG3CF8FHYwagTne9b9FEvGgbBn rM7hvb5DJjue8i6162xgNi6nckvCC0TPYW4VGTzj8gel7bv8T+ZkNVG94FWW3hJ3oHCaUav2RLu6 wxSuPPy8NhhdXjBCGkk6c8bRXJPu9A/aO84bAYZ3JiQEK7QcRnkcycnPPx1YwpGMGt135uMZ3/C8 Zm+ZL3RoVATwMhcOHYBkf7gW4b5vEQsSSBNbgBd18TLMe3cBNCCLzb3XEOpyALQm5YUEsUciXMwz sGAyPRQRMc5ZLY0DL3F+NrB9XAttO9zjnXtqfDQGhK0f9ZoUFgPVTqlMVl6uN6vJWuvQ9Z/JaWjC iY7cg38Ec9Ro+cLnstMIMUvyUyBvUMEwtCkH3YTvdgPwnMS5dG3IjKBnU11czrJnVrdE5ga+Yy1K guhhObnJMeGBOVmmTlplPg2GgFJMHuC/2aY3f5mVgkWT6ZAvTeo+78gJwUAeHDWLN7FnoXsLEV58 prVTZ9s48V25mzGcNs3NoeZoorr9Xyw02pLzIGXG6NH0ol22nQy+9vJmI3PX+JL743ZlND/uoJIQ QQqYHRxSjImevYMeEcbn67aVwnvMlVIv4rfe2Cy4xy9hQM+d312t94JewzVY8h5pf66hAdugl8hG qu9fb14+/nKvPm/l3vk1QZjcBu2IC5Lp6xxd4JaPioO6U79YJ7fAZ7xe0kjEHTR1LaVX1cZJ8xBk ixLHu4AOutW6E3vhZEEnSfYoK2L38kaR9IQBMnXFrg5h5AdfUIYU5lL5mk7EpEFrBoupbRz3+6Pd R3H0z5inCO0dftsd+u2VRtzwi7Xr0DEkbPXUyYw66yLCI23fyqNkswYgF3wCQ6k2a47TS9TihWDG JASWc9c6/exwF1HPcYg/ugBawNuFDapVMLp46W0Lr5x7/D2ImHCaxXxoJJav0oNyrc+OTdeuTtlF PivG/H+FvH/BmkACFAVhJ56qdqe4RzWo1XmW6atWPsaes9rgyQIlJjwHOa/V3n9s4Xe7mOznl/e+ Wq9OUJwCerxnieYRTAgshiUIiilGwY+9fXuDeCIT1GeDeEzkRrZukppW69CxA575wIAcnYCUAHpQ fsFu5keR24vofcjY5UZ7yZqhh+ED9K+6RH+08xlOvt62791Gf5RAG+XCx48QS0A9bpN2JoXi8YlJ ROshh2Qdwmq/UV4yQE9RQZPE+04tB2ViO4uamarropR4Dg8aScHMKdtk2LyxGUl7GOKXC1esD/st Z+WJHdHpAn1GVRhfSwIWHVvpXRHUjEZRSduVkttj+MGPa4YcniougsZ3zNFO9Obrf+lTM6Ad7Nn0 udMvGDNSlPha85GYp9drrrgibSMqm5SBlue6YSk7IxZnPKjbz86vscn6fl/ClW6gpwqJi0zrzWgw ctnBip0kaCrrX3z+KrlcAnBwKURo77R+kzixP2KYZPzY9FLEw2erCd+ZRkVsjWskfJWFFftsu9Q7 CdZ3dPCTGwPppcRlTm32yz1UuADofp3ctdz1z52vb9EKZIS9jYdM6Rfh9MV4/uBCDEKOE3BglMes KC8JuBtrNKd3Cpzqk9j2/f7dV2g8y9HONBjF7cETgcF5MyuqPGns1e3QXyMT4yXaCNodJugxuXYD DqTvatcEbcxzHJDYtO4uo/gT1FkwFprfpuHkVzIfB3+K8+frzvtqUzTjfdAUxZ0uKfldiMl7n3Mh GBiHH0iXUySeuNtbnO5/jvvQ27FhW/RUhKe4wU/rKtVeFdYqfZ0lbT9CeEvF/WMYj/FIzwNExy4b QVctVduSqDcjSwRbC2MsTXX1cuRvIZWlGWbHZD/j4cTuexk46TK+Z2A6PFZJcDJ3ks/IP0Si5JhB DOSqX6X6ttpf7ydnLK08FU4nLfpYK05WYDO3Vam1EzdUV26yTWdaFKk8I0uTjKKPz0sgjtfyEIzk +X91vtxRQYFzbu/+CKWUFmi1BWNQfFMF7Jgin1cSHI74pSn9NRBavyug696ufJ4NwyDCg1CJ90R/ imocIZ6EjcddLOXFKktvCwcPt5QaH+IxG65Z7vkJLV1qQ6qRhbgZmluaaqn1nET1t07Mjdf69XX/ ndLds6IsaZrdlcQ7lS7LT6VnVPCucOhlgqHRcrXj4GHi7NiCUw69zCI1oaIn8UEqc0f15FNqzs8o 5+UL1+dzg2vfrXDh6YoOw0cm1IH87+wi4TX8iPoQweHwBipGCEZ/PsSLQExR5njgfBg7/n+kEpJY UOiUFSc3wXhgBFaNGD39KYD5TxmCyyU3KGO+y6+jbHT33cg76nLUDz53mLyFTPOB7a/q7T3tmy+v GYsDH7g/T1+NVrDqnJfexZOT7xoz3l36Va+TD7rxNGjDwgSiSuDegIgGz2l+USfL9W8eKu0B6duU p8vGCDNNv/AqggsEjkAS7uwXIoro+d2WsPzV8sbc/qWpK5s9TNo3oryTH3j7r32u5dw8Q0joCkP3 /xvv9O45O4+RfaOv4aMcuN3mzMIQ17yNDktyh/qLfzOBgFrmtvJFrGxqmQRTnlGv8RM6TYj47kp8 iRozT1guwIC6iHTsyFMAheivmwNPcC7CdECOW3zJ9p4SLSHVq8x/MnhUu5r9RFM62vjKZasob2i8 B0KlZl6gJMKhCoaPhG55XkYQwHtsm9JEEqWYy3S80uD0lNiQSixLCBKNEeECVDS/DZUhi6AbqTZL guMsCpJv/+6kKd64/noV32aylJRGXHflEl9MY75Mya/wxIb5kKAr7ftkuK3NuoVoIYWy18eWWjfZ k66bJzrmv4zibiBe0H+g2dPszZZ5dGenFOpH8GsVZtQ46wfdsRytVd7BUmEERpOy/sQVXdzyaSu+ 7ufbhDr/ZW0ENSWU/zvpgIGov4Rf0d+b+7D31qE6IzkgR5DG4nlUILI3qMm9iQCmf40jF9Wtyxln jcAXkcvasT1xjqvKyE57x/A8ff1GpPchAQTx4fQ7l6h3VC29rBIACn+qXTgYrLcy/3Y2JTc4bJ98 BP/pV/kynIcqc0i08x+8lOh2QQJo1HLL7jIEk36kbKw39oHGe7GPF1aqHIVJMJnsNjYvmUnem3pj eBw6hjxO92rr+tQuXlHb4f0rzJRBlLFnwDk4o7tBwuLMbF2g/XUrtspNEMoFqSviasDPRuwDtFxI pzjmRI2Jj2C+Mi9G1SKlJp7PsmBp3PN+ZeLHmeaaFjKoSEp8GNpNNEvs7nSpLfZKrODQ+Kpzv9fa 4G18WGHy1dgsgM5BeImlf++2AVB0xQazRHl3ZkaF6JmOd31k55iD+4DgUk3LKLyURgmZQjQCbaC1 6SzBe+00/bAsjskLEMp4NgcEPfoJ1zRJh+OKMST0Ijd1/wFBaJSqNw1DBgPpAAH5KgctzSsW47iG iMJtn0OYGZtt1HdnKuGjkWwABillTbrwfg+tueQDDS0/TXcM6Gy4L3UpLpV4h+Q1860350xHxbI8 9vgdNq8oEDs/5gF17sz4Aa/h1pRPeeMMCJTtXNHpG9p2wI/F18M+i/dzAn4no1DBwjsOjH+H7SiO K/M5muh40IdvL6sOtmdAr4jKRQN0ijzJX2vRtFE6vlW7LGgo/ivmO1rxNvsmA67wzRSdydF8uFnp 7z66yOO702DQjU29Gzcj/MzNAtFuE27M0u0Z5kIJt6UbWVUI3/ARKmI41tt7qhClFqGL4Euz9AYY hZl7zKp0x68j5IOkk7d29h1UF+/e+DmJ/GLHTJWo+JW2IA3tvDjlstKB0topqtuB9nPCbCLsFO5L Qj7X4auMwv+UoGnau0ELnEsKYiEHNP8OUlXw53Jv4Z2N5cy1vhJQ7Ot58ACPkCZy+i92ixIk2YFE TqwFG3M2HgHr9j2hbAglbFrvWzwTkJ6DF5+BkUSo5H+avrb5wN3WLeHQE46Nlj/zjRglKVBCyalh dFu/W+1mjbRHUQMt+J3eD6gNBdN5roknScGuJgMsOLpilYO6AzvXp/HXm54/axrGT2ejExOTRroD u3j9UPnt3ou44XXcwuU7n1q8hjLcK/US+kCoxjt46hIKbuHFdPcKKrOMmaNvfaufEwldoXpg/8du sikSxz5y1E6x+nEnnvtOZWxr33UQVuqPXjJ6ZH5SzAzj6bvVXe1pRvVhBezkpWlRzomrE0KVDwkc TsRQSF0nVKxy3GPihV3/jtQMVPuM0kHiebyUTcFErtPn5CbAFqdbrAEbqgDF1egDy3RjZEN1S4jA GCcpF4mqNoIpxUqiD9RuiOrF5QdlNOp40qCjK7r0NAX0bopAmRamAcmDP6AMXl5+YDJQe3JRjTzw +NmfnOXa7z3haBrptgXFirUBpKLJ6baRQDaBE9uoyHHNq1o0Ocg+i211LZ+ghOooWcTKOIcbcnRY pwfwc+qBkWj+P+xtmBAX8AJaCUSzip7tPDt7BsEyaiUn2hkS2mzZElycdEhY18DE4qwuzrRYwpU7 saljUMxfMRfy1NpCRJm6Tv1sbBK6PrvsbL+W3znijziJECm8OMEXlnktYa7Iv40acbgSVxbPNXc8 3EfGYfjn6ObTPEYMiqZdTMnJ0vDyPojg05Up43fWh9DU1hgL84K7TpxCqZsL9PpHUh6NXcqe1aD3 k72hw+zpkWtaGIPIg3VY8AX8wSIug11nCDqQ+1gFfI2PX6e8m+uzNPdWo05d2pyXwm9ffIU5tQFT JWOgLXQ6QB2k9FJNibkrLWkgkhBQMjC26ascfhpAwGd3af+2DRYQhg7XOy2F2eaSZsPQArzGPmKe LO9f5dduiwcL4fdWP9NsnpriaINNbT1txuYM49hysC0CNpd8aXK5obGHk2x2xfhWr6vrUJBCKH6r VU+yQks3BbNFnAi0zehpOxJw9BDqmxZsLaepRYq3rWpCYYazlQTL6u9PR+OI8RQpYEm8Rv495K6p qWl/i2W5dW8szkq+nuFCPjAyqBuQLWcE4cNS4h/l4J+6pp5Y9bUpM85cSjU4LVAdxhxntQ0NxqUN LxdfozQq/+HJqG4zsPVgVltvDmmSw+8wguxkjnnBLSmkKdP0VcZaX13zHmOo106t7xb3aSiOAwzN aFJotmBlqxTGaQymeLO+evgE5GWLJ5ScMIXWBqLVS3/E+VmyxFAEuN5Aum4HmJJd3rumf2mZVLcN 7FeYRiQduGNDQ1uJSUL0AMzXFnrmUSZ6kXgIWmFat8xIDx1ofLPTsbvCEMYlfc/sRFIHn+mgThS3 9rTfzP1W8KrIUFf83375/0mIGJRLU62BQRnGVMmFPRHpXPXgTEhbzrps2XLF/tALrxKp6EisFeUt lpD2zH6n/hhOKYHKN0X+TrRgRMz+FF92FHpU9pPLNTpOTkeDmvpffZD8ryByDY/XjklWonKZXRA5 q6+8UlkU19WBqq2NT0KuEKdwZZDlb8+RYnlE3gZYMBjGErZ/3wDjtuQn8jCBer29bvs9GyLRgBse cePkfemrzJmdL5CLcpLfP1EU7H08ymIJoZ3fbItbMrwSW95e9ukdB+ta1Xx813H1b+znNVk+4Rzj o5sHCJkblH6yvrFgqV2luZTcmdYftXMyQwIRoBmm/vDtZ2kDg8VK2imysk8SMEZSKMGAF2nVult2 5kEFNtRcu8IGb1NEiUQBVHO6ouNnzs/Y6meGrCnq6pwAsLFVDXqL9z+NTbbZzhwFa5b+haFEQDKk C+MLZnzEwnEs3U5fQJ5QHRQ6VaEX6+3DzRrjVduorpYCQG6wRcoSOzb4zcQsFaxCOtNUELzC8uGm e7fIwdr1rNEV38j7WVS6RAGGOptaZIdH25miIbFBLMpgwOO7CNl+1TdtAFApcrdBjIpbF8Ht61v8 2b3SBtjLY6HMXG6xEURZmHLznbVm6DA/inWkt8zIaxZhf8X9CU8cJArt9ilpWghfw/QQzLyTvhfN PZint1BuMQOMlHf/7OHxKIUbh3O0rEgFcnEeqn2a0nEIdPNSf91nbxZ6sTv1yj1F4iqFQom+IAPU cwfYOpl9dLj9BOiEwCBL1U0cstn0CxyRN0uhrCA49gTyYby1t7akYIL2uD3uy/zV1vWEkCppWr9C j3S6oo9M+TKEvc2GjfCjceeesrPEh73WQyXsZgExcEUppBwDQJcoZ1iumzmWRrAuPaf5PtUOajXb StmBZ1w1ch1zjKSJT/SgmzmbiqPCUtlmdoKZnijGH3Q5g86OObV6W4V/NRBHgObWbVcdjCofRmBc S+kHlwGT3HzCuYfdgwfX52CMh6pD0/0G+q8zzfCw345+GmIOgif1Ip77zD3uuts4PW6rhu+z9PEc bdZgnG5a2gx7aUR5oqfRnCEfEVwcczcaVh0Vju+/+SCXZAd/uW4aBl1LaF8EFGwTGno+ahGvMFHk 121icRmgqno9BA5LrYKVI8Bp4kyZfXuRPIcOOx0kGoQwxrynIGMBe1DpAyYcZ7t1AeR9UYufIyca JXgS5+0FdFqElPuDK/e5+hlXt45vj9S2EAb7KBJZQbQX3HNTizJXoWjphmSs2ttjo/V/ru00Kyg/ UfmIv1l3QYuB54mfWQc9VtZnXpMpgqYewBBOgC6acZWHeF4fgSWB/NCwT+Sy5mpd3iBP6i9fUJDH C6ekK1C3GWn0RqN/wpnoRpOuds1inB+eL98ynG9u04NDhZtWnMfdKWJ2wfYyW2m1bXUK4/y0wXlY fExHeg6Q+e6/Z9cSlEXcUWFesPG/yiwU7ykWN6TKuAIiisYl2CUl/QkXqozHnkv4hY+EXhEAHMlP Kfn+bySLVDg4ZCYRc7YHOVU4GVsdgw/yeKznb1Ony6t1M9s/LA8qo1DN0z2m8oZHbBEbMm9fgwCc /aYT/oiZi9Y86S6CCvL89TTE8jvsMXNoK1qMSzZ/j0elpX4qJ45EoCYOjFSIwYSUMGy6TrXZUBPL g7neDCa/3YoDh/8yFem+mo+Xr49AdoSdqyhMqvhDykevZaTcvR4hCZifT05d4/JzfRL2qLb9jfVI ol/mNdI8v/vZB6Brw/ryiN4ZK1RRKsyGqX16QNu1azkDrPHxeIj4xXXDX7xNKWuHKto4ltmqzP5X awxbcveNvkwyZdGPCDlgpjnm8UcUsUGgKoTtDVS+h1PRWCNvFOeSKYhI2AJe9jncQSCXWVW5dKb1 btD79SWU2ZzlHzsye1XjZvSrEm152PhEVmcVefz2DFBbjZN1jVanTADiqmPiLZQRnS7mm22Iqw/I Ov7MnGSb2B1pOD+OFtnO6cZ+j1WyarRuj1uboSNF0mYBTLk/W+tNQC6dwSVDhjnAGoachHf+gVWQ OWgrlJbrVEZ0HzHNbXlFcs9OKoFZcsoZA3Zm1QrFN4gD6CTeKsVgymF+USefbZOKFsBQyyW+xv+o XI1ZrVD90yh7Ej6YiDfoU+LpGezo3FHBh6IiOgvLKfuwyv+QZzZG1o3rFl7QP9M02tziMUK5pLuv 2DI+pWTlw2FhlD4jXhJ7JqjxkO0AlqBMxc6MZG5ot6K1u1kQYzmFAEbM30BNbh+CDDdUewrWicwG WCQy5qIPg/3Y1WX1jAOA4/lqznye3G9GAu7KdspxViPxzVL0dGxJIwngC/WPX81SmH1kKRCJ5o+l /vBOHLOuwkXs/4Bg1AsCp78GXLv+06WyOLlHQmWJEVXrNz28Ro4xUPiz3sA4PU0SV49f/eztPicR TV/k7hN6A/GSxb9I5vjiQ/xMDeWj5LB/V0Mj7S/ms/7NZU6k90fh13zToe2g8z8ez6meQzG5CXLZ G1WVIZ9I9pMV72qhzw9pcaD4bvQL21s33sVvUaRtTPUrkO/6wCQaGOR0B3Hmdc6M1GbiAEb8HP7V Py/ftazU+mX6Rhgf1iMjW7T9lLGPu93PVxjd+L/u1947dv3JEXhkOms6/M9ZauL3Vq4TY8Q2/VdP rL23UGdsCrV/4/b382g4qeCwFTaa3X6YKA9esV/XZ2kQiMDveTXZ7AGeDUFe/ur7a5/Y1wqqFbC0 OWU4y/ecDw43VTbrPnB3IUcEw02gLqeVVzgX2G5kRWzrzI6mRivS4a8tiTtaQcJgjOm5xZl2GDY+ 4cgqgh0D99rffYTnobemRFWoFzDIRG1CoesBBw4DS4b+SSTRuTWCto/Cna87p3BHU8DE91pAU0Rl mTAGza7z9+U7KQQoenKtpy1SXuTnXQjAt8q2urqwRhLDNVXO6j7JOExKAWe7jxgxIurmmh6HP36T iSNTrhVgVMY4fInCLqsJj5Uuli31xMSn7yjweQEvBCLQtxenMAL2nKVvuwB4jrJ4qffz/3ui2RE9 KX6jS2t8GeMbo9flovniFXHh5Mqq06tb//OjCMonqQkZ1mCUKjQ4JE47wHPzsClSbRH36VgpqMQm FXhDuWUtm6OUV5am6ynQxLEx69ds2IHnGku1fuycaJI4KPPsCZ5n0BepDmtBXwCdIDi/G8KkoLwc B4apk/mZPvjRe1IjRRkIEWt9R9LWhP5K0J8Su/pSO+0BsG5ctH7K4KlT8gMEnsvzdfAIwk3ZH4Q7 g7am/ZOV4J330AxJZgF8WFAFGACVI3LDt86aPAMzO6sc3bsZxoy223/ipegwB7GM0y7D1IyYf1Sr HulEVaPM8ZgfVkDKscUmfQyMit27sX+NEWX5uLoXPy1eMAQUWzsMHIjMdXZHkxrFXKOtIpTWHGRY 3qnFEg6opwNdeDU0WA24EwUsCKuaePJztgSbk9LAZk6JNA8opZVu7XVKT6cL0DCoJa/BwDCt1yBW 3volXKapewUr3g8QM2OqGf+CpUZQUkS00IidtwQI8NOkAvVKq1N6lBwFG5XXTE+hQwKO9t8VzPNO ZBMYS4j2Uk0A3WVd5Ns/1sb3Lcb5a+lMRQyTzJOy9vRCHrJbXiDgZ5DQknh/W7uDnEOjawSW/nrk kR+ErISqe6bqKbLuxFXswjfqSUiNJwxmsGAvHBeYNRtsgnV6aafEi+h9Wl0HFXftzgw2hG/kr1qT Bdozc+kho89DBst69uczlOJG90k+ZeOzu2mpmubY95O2m3kCqrsAjiFpKDjzjtc2IsuzKYEG1Zhc 4RpY8Xan84NUQ9AsyTVqhwfm5vHguJ8ZF3oRYoQmbdOSO4dT5yUm997npfq5oeZkun22YPBtrk1D c4oRAh7fV9sNQ11v5GhX8Kif9widFGQDSvOSGtTgkU5kDh0+HidNjLEo4LbVm2S/NuTwCo1mO+PO jEJSdcw2YXKUaDCs75Ub2sURGHihtjypVQ0allHSoQVdKn0tRPNSS4+iI0NR19p/iAJZFx2MjM5U 6vFeUtj2RyR0usabAOpAyWyI8u2Pkf1IXGdR16JrWzYf+EmagwPcvHSRShEQ9KAhekjTH/cttDdu /UETh1BLFVlxB6xf/BYAZGR8UWjneCZTh48TBLTQlMpr9cMVLY80glGzFQvD4NOyYSF7j0rPaO4x AxcHZtHOkB+VQfS2FTvBddaLpGOI0jq/UQBTOBvBgUSIbSHE6vqAivaxaOvORP3nwWQEUuvaQ1iC +nZB4EtBs8QSzGPv9KWwhPlEUvpcbpHw48XIMwoj3ztXd2OtTQ0KItvqyLXoVEobaYtyt10DU/fX f3ypoQq6qyGLusFUfqznOd5AoS4/1P6lkRhwizDo7iCT6DeNgmxpy91/sy1fI3HSkjU3WasMiSIz eJdVTo3CfukfBHy1Eh7Bc1gabXCZJTV1og3jiiEi1RUjtonp5SP3tiKT8FGM8Urgr38TvbySTq31 J8ykUN3wo2RGbx8cJDggKwKVgnjqsmXlFDFyGAyLU2+wFGbHYuSb4Tdo9eScBtF4YRJrnqKY6Y4G NRQ4BZfSqFIY2ODB8mOr65PTBGeyfqw9EZp6zBNVBKihxFz4Di2OC15KuNUQiLRqHRvQUZRVYCR9 N85m4Qb9zYGu37uZwpqYbIFKkgIAIQFzS0wUnhM86gtsSPIk0IZYH4Mwseq18bU6m+i8/p+ImGXy Og30p36fwtoDqEmhbQBKVKSzsrvxJmnfBhpWvQFmaxzYo8q3JzMtPSWDVe8MkRz7puJ84SJy/l3i bFOKxUS/WKHN+2fucAD/I1kA6OMF5O7ClvPnitTzVjSajID+v13/2WaFVQEHn+hULVJwCFCOMb0S Yg+ftZxT3ViZuHyBjAA0XutSs4B/ZwvMMqvXUkOYVg+sFg24iiQYfgTNjLiQepgnsbWdWLiVBopi Ii40UI0jPaLLY9K16WLnniItKV7cFe2yQejqOsa6I3JHO3idUIbKFA6MxGd5zRWqnAbyT3rTk8ct rC1LC7JUGQpIi3PnNqrClX+CR0KVkblWg1D9Y0yCw8/0IVNcirSJdOSWxJnFa9PKGQKnkJdCI0bt nNq75MjSam9Pl/iQSgjtMaPKOWrRQ7t4H/xzq0EaOpud0O12tvPc/gP+ltSZR/LxlAPMUj/cBeZv 16L/tlZTPSqI0bOXRa8yKKISb0DQNSGlYZUmrZVe+PG/644a+AgMxVseuWsxhsuuwb8OlE5MGDcu eYPdsNFI8wdyUTJeJvfFPRrX7x4ZcGPb/Qplim4+ZEl18kzknaXIv/xsLsX2DMmkzTaVZoItAMgr kTGEpkHjzEnQe9JYUmy4kJuaUVZ6u1jrTtUhhJTTI1fHPlj/OwvIOoM+UvvC3xgRBKF49XcxpW6n BR5qgR88dmy+MFwHesVU4Cbrh8bSp1T5XZuSLjd/t19dIWRg3KpMKLFNzpe1kbsoyI9Rgf+X3Hrx r7nitAWWzVNKAw/TVAgfjGfZZrdEj6l0QQhp5o9bDEymvxMazzECAxxLZkkN2BmFwl2s4A8etYKn 55Se6/cLSWZJCvVQGFMtdax185fdZHDrQEp1Dy2Ov2PMelp4tDQf8f6SkuEHFWKfE8VceWdzFe1t LeKgmRd4PDhwgTyZpMm06PmtEdm1X6k4GkFHTVMHh4sUNHjoon0/ggiFcE48HcEkZMOIb2Kl9NMI HEaadNVjRgBvMaq1eawbSkdZbmGfSQzXVyRShWCPhLEe0BBP+03X/2JD9Df9U/r5Zt0tyrvCPi7Z CClXzU5oESil7Z64WBlb9RlD7oRz4I916owg4+s6MJi2Zrv0zJXiZsgD2PD6X84dz3VvGH7o06YV +92lRdrt28urFBViVxE7c6gc07SWSQfUnBJBBrlKqZipyaTqUeN06F+00nQdRTAANyl+g0WCXy4J E+ryB+jcqstVLFYHr2cBJdINwU23YfhBQayjv3axh7GZLcWuelCGxqkMPauvyFUEWlHXx1Iefq2v P7g39qpGTj+v5h3lit6MG2d45oHU10V1IVkBrHv53JGTz3/7IbPXafBwTnd+uOlMdhlwGlHtLGRp sEFXEnIl2Z6ZkBTKpFdyVvE+nC8V/L22lfMRQzl3+GWADiFAvPLDw8yCQSK3LBUthhK4gZA3/xAd ZAa3bivPGkOhe0GF1gsvVchDXDT5dsiPpjXUgXkPYYaIi4TC8VBD3R9XF+Cj3lxP6/zthfacz/7m 3EVH/WbqbVut//Wqy6pXITLEzG1VJz3Ar2RCU6UrSWAgZ5bKUpgqPF0zyRO9MnkClI0N4XFOPaFd BCL+VYbOYx8p2WnQhqYifuBggIN75T9U/D1RRnS2Y+rhBlzE9dk+hSpKfGXexSqrb7VJcf4m8ulp //u3n/iWzlMlTCq+H4rHm0qGeGCa1M4sb4S7dyK/DrpyFx+necXmMkIhbjXYE6rlDz0bne6Yh+Od Xm+9Sg8kHeUBpBAv6ieYXt+vTGH59ek2IY0u0FOoPALb9wuKdDLDtdaCsB1mymCzzEBHTaqw6f4x /ddskccqrJ+K5NU3FiUuTkMYbtIxX5YAuiYfqB0XrHx0vaYEptEoWuztfeZ0jP8PovhXednYcKw1 ii3ZJrzKCjaxietBqPbPGSwNSeNDojFXYBXoXkYPD7TbhyVk7TE2s+fY4ke6Ghy1FPoAyow1Ig+1 FGZvM5LO5SNDnbXuNzYaOJNRq3qkR4p98ZxROaI5EdNvJZJKjZBYKNddoQSIN0RCuvotFotpxY2k dsxX0Y19Lkneh7kLKVicPB+i/v2MdDy+hHX2G4hPmd1aKP7MkiUApRHJSt/KLNE0OXijM2FW3MnR 0uIIRErk+Kug0T0oz20uQyGq2ELyxcNVvaeqqMLpJTiO6DT0h1JDrIhYzmXzJJsXGJ9nbUfZPmyJ JGwF91PfeM2mzXfpqH3sdkkZZ45L66KFL8zF9WWRkj5S84JRhMKwj9xcBOxu9zk2uwH8PuZyIRxk fCxkf/1fXlKgYQidLB0r+/Az3X9Pj0rJHfYTr9Ydz3JrDWT7MCMawn4Qtj2HRQC6L01EZnUWLQUg uhc32C4n9rg1df7fn9pOaxeN+Z9Oz77EIw6Qw15S+plBfpIGVfY3YO+6RJWbhXqXmobNqR1khwxy knSmMGsg/rHCCoeFJ3zJouXtI5IQ56/cHzn0hwtca5OcongKwufUR22CYu4qSQljt7a6YRfzZ5Qm HUZhcZWN69W7qymseIe0wQx97I2DIAU5wYcgF7hmhUIGoFjFEkpVc29bDU+x8hq8A/p/7iEgvJx8 o7pN1CB4gCm4+CYFYaYEMbUfY82Ei27MhsWtEuxCOxpD145GHAjnZSnhr7eqDDnQtm0fZrJEN0V+ CkxuNQxGTlodzyTGBr17Oqv+Z903vDtNUTtmZqcv55kfchzDDE0jibkGApzPN1PBaDWB2znzgZHJ Uvr/WBaWXDCWfD3wIi3dEDWnLtHBtRZLu8ht26LrijrXhVw4R3iMN6pgyIj60eWQEpJJjIpovQsG o23ACm2EbOAp4dM0DiRY0K2fkeqZ7n4cksC+OOxwcYqppmS9B9JfjUXsjm5NqBnHu4fqJ5veo+SG W+Sg2BtVYV+Vfc9k++JgNRVqU4EylgWhRgolqq/1NHMO2PjvhGKe4QsZ/wx+7q9dlexVV6zv0cet 6jyNREJrriRMEpeHGWMFxA7n2bL/Ds6iNtpEI2Wnyz/ZHe94ttGfn0wAAdUfRZHkHOpD92VV8Dme yGhGwVbpQM83bXBqFTIhLFn7KzpW+gQTfqJfEWaWLZh5DGqh06UrKbQqlEU5iHqvZEIoOmguIvVu cpnjoNOk51AKfVFnviu7uyxKRcsLVus/jL8mtY7ArrFykT0lEbbEGgeM52g7uqiUeGauCC8Jkskz xKRV5sFuSR/M0nl38fgTQwMLmbc/S3/ZIUUB2o3lhiWqIHCAT/nCw6XdeP8D83G4a7Xa50DxS1uU XY/uVFlw1WNTHSOYD+iiB4mdfabFGWV2bJg78tLk54tdKR1wpfvlGjbhMu5Sj8CI7YGOI0KrAaGv 53I1Ng+n3w7TraEBIH02+nTHl+OQUrCU/N3QrELEj9wmiWJbfcQUBIR0c3fhsft8BNiqOgZsZXXv FZKQV18isOCx4pHhrH7k8n74wBRnZlNnQUoH1youlxoKjd6vBn6Uum/fBPERs2Psff400YDgPLiv f3fsXXVwfIsBQgrc29aoE4atFAQf2n/EWm4ejlKCm+1GfS3iMF8fPB8lv/T0WdPDMBd4uM/F508G NqrOBa8SQcnaH5+cbWcIWy0vrjeMV7/0utOrslEZ649/nMAkP7rLBpCX+74jXlypIuupICNhVwyn up+vCwGR6f8Oknsw5geXC2wNw54aN3X9qo99ZtHEy3/sMYEEp1s+/DUzMsFxDQLz1oC4BFcts8Rs nXTYThAwkeqwL8AtGl5dOiqvt3g9LuD55qmtJMomuN7+XYim3gmOZEuBNjKBZs6z/c6SiN5SPHy5 hE2BD5YhkazNq7xaqDphYOqK70NtHGA3RyyMU44bxu2juQrVbiLpm5IDDbx1nhRQwZEcwMC/6n0j xerVpgUEkud0fozIpmhdY4CjBvnxub/MY7nVTqgS1v2cyQWVaM7+a+ccQ3xgfzEp9xbse9YkgDhd iVlhboSf4DrIDpWz1V8qvbKRuaxJWrHiI65Ley12N53k4NGEkBCpMrLxyKhtR8nuJYdXUquHm8bz N2LyfjOdRqnq1jXZ/J5GlzpWbwaVkf/HBgpG8ZMI0UjcX1+4Qaczlpts7uoQAkIAEkFbr4zLC8r1 hw/hp01jOiJFWxIQKF0sYYhoFDKlzfFNPbU/p0Glnn30zJWMMDEoRdieu2XkOpS+3bl/HLIgXeX6 AiNlEI3a4x2XrFAy/Hi5n0gT+9+8rL4IAadrmm+U7u28U/mT1nT9n4YEddJVGKK0ANltY/HXBmJZ Ky6JabteBk94RsqHn9gvWIcOzHk0fZziZyxDkFeFAuJIOJfVJ8cpEqeoL3VrcOQFKtZ56a2/pxE0 4vgL6LWG/CJECyRcwBt4ySVQ6qnAar9AsbFVqtw+gQvqPQAgc0AEMSpJFRA161qs8d2x7fv4zsGO OnIIy/IY8UvHaOT2Te5nBf+bwZWOSgslpPDlGHVjw2xH6rSBUbgrEkdP4avW2gZAtSSF98YdEZCi VDEEmeWj4VQ6qukhMw5RIKai6bJqfHzB0Qy5z7UWFwaZAAFg0+A+vT7pe2DCkF08Dytn/9l5qNtq 6LJG5wml/QMzeGOXgj/KmjoLbyHHZdwdAAEQ+a/kwozIBcBecwMxn0o3QLoSquayxwPrh0eZF4hg dYhb1O51VOeBQ+04P/8ccThz8hsiOKStlYMgFDw4LXnhnC7kOOkwXcWYwKRmJFf5EPOC16Rlu91x C1IRuEkdvdsVZ8j6l5MAAWrC7AVj6FdZzT89DblQtUhrs8F+WVB5ksrtGrgtL1gFYx6RO7KByUvJ tf4hOORm48AX0sVSfMQ7u+N4J2vBf3SM2Kt/WkTdtx3GRnOs5xYf02Nj0PYGeKXyWvQHHI+h//7L ECOQi01yMKiCQgbCLtWNYUxoExPHlw6jCUE9DOyrk4u4+/nA+fbD4+o8MkHs04VC2gp2o3QKIC7+ Qoi7qptftlGhrA+UtmSvX5FzdhGjeNyTodjFpNyxDzH7Co2On98j3PjyEb60RqYUsET9tMZld/xj l1veH6DsyZZgS718jSwGtFQikbRvuqRMJpApdRFiftU7yE0s1goS7jboYiAN3yOk4y8v4SbQWzf+ FbmH2j9FWlTWtVwUH4+jbfRuvtltZueVcPoriI9R2zqxUZCgfSuvro7kdOCAHmvIqTS9tzjqJvHy n4B0fX84/uInN9gyBJ66i3BO8xxkeIfQI6pQrGuJ5fs2LGka1Ca0z2z1caWPPu0tGnrr7aU0AMxG UcCogBgkR7hQISS1lZEkK/fwrOuvFQROAv4suJlvwhQCIKSI1JEIiOL1sH+oZVkek9R/TrnrW7+T 86tf/IXPcnbyt0+/hHRloIJjmB+HFy2HskfhT6nyMHqszSANBpVtlAgs0dNXrL8sdmleyyJTF6Xt sZpcW5T3O3C/+ELrhq8PbNQixD6qXQPjvJiuAaVUUz3kljGYtknfyp+iyNzuR272Ziw58N4ACyk7 RyroYhKD7tAcOPFOP4WzGnqusT8XlxEcZsVydmcJilwNdQfggYObqYOOB7Df23Yhz6zc4o3d1pp9 va498V/NXszbsAIbJcCXSj1voUTFmwFM/fE6Zlau79RQ+otlfZHD3bPnnfbIKNheEDy54ya++BZN t4YQH2ayTGsaKPii1cwW19ZDk1eyAjT1CWOM2R2C4xi37lcGZD5JHhwXjz7SFBVjix+bUm3PYi3F wLcveWPoEysn0YjA6YX2BxwD6/zEbBZZSzTAy91sRAaoSo8WBBiy3Z5M5m2l3X6L/AxgEewgkYiV ZRDyid5yRkf/6SMss2Af6pFsswoGVNl+qE0ip3MKppgZ9KjBXaIl9GRV3H3nAD9/CG2UkLQBLT3r uhlepWHGba7nMMJnl++QRnQhaCbEJ+Z1AbzeFzzDJ/JgrQEt0Hu0zV5ITM/RXJ6wCboz03ijuxuN Rc5bMOOzzA79GdgQ8djRhuQVnPDT4vwXW/JiVLrRcSl/mARDtQ1I2vQRjjxoaOe4CimjE62EgBcJ 3OD+sLEkbK6ZciSkV9OkP4fC8tfebgbH1+e+Iytteamb5wC7DsfNzFEV+GXpOBcB4AYRlL93TGUg HQ4amL1vmB9+bN68BRV9m7vDPXnRt4lcMoWjd99r9HJL93SSoo8HzVUUfkUKUp9HyyobaRND503Z fqg0fE0SpzD+tyul2oMXfyrl1HXFW+bJpBjPd5XD8VMeCf+BvabD3vroe97TP/1KKqaPlDH8O/S5 BOU2+6lXmFosCoBm2yoGRAy0Xst5rIV514cYRovKPdySo2IKS4JwIjUcJhMTKLFpv38HbKTiQpPc luszCOpx/rHEyba0nJUtOyFCyAjrsWis/u4lhmwgfZPH++pIylTElUVLur5fyk3RRF3VybvaxW77 /yRLn7s4ifffkBfbBK/lYU2kAVOS/RFDiFtc8Q3xKR1cHd4R6lpEWzCZ7hPvXUBiHX8AANnRvJXS ZydFqOu2DkmqvTKP3ipmjf1/NKTB7WoWHKWpWVjdaZGNS7VORXyKzA8DzPwre/WK1Rv30s0lH2VJ QYHkI9ontKaz+oo7PS8rz1v7rAIB/1lf6SToiN3fPyixWW76S6VnO0a9aqvu/Ohg+ru0M0UfyfTq R/6ZvJ3aToZYAZj02EJjHuhGx8WXp8aX3wdyydsyUptTuFa4uu1MMdEj/4TrNRcQfTvwBzt1qEwF jGVQrQu+NEXzgINlgW0S3hyNS1PPL3i1MC1zrps+2o2E9Ga/9T+ghzTYAzZT9iJWbvWeV6c2n9JT RncMNxaLbZZwOaVFIreqgypBT9qlGh4h1vUMHRg/BU61Bo3culn12fYvCsFkkWNXHCQseDawi/BU k2p92Uz7cnSJi7m0WPtzoyJsEo/Hz0FIWsRZ41Mw8DZhXMNB3czv6lX3INEGzUrQITbRHAzHT+f1 /moYoS/uTNXEyWwjdT8Bcn1Y/wc9xLA80GbWGxeBGUvl7Sczl56DNWM2kT4PromvoCOqLm6pzOdZ B1UP0xXjFPAypmoIVY7KVSP62JcxUtGIENpQ9kXhCKfYOw2IYzQLDbPcsSvG3EBKpismwzh6yxEs 7U8VwkwH88XmGgLdIdt6wu4GMUWg8crLvPTFVD9Fb0edxrtxVriohwr7XL8cv8tjx0TcSbe3Ir/d mZPJm8X6+GfO5Oj/STyf/8l0y1huKQgxw1YtLkqYOYxCcQXYbX2aQV5p3qk4hLB/SBJArODXL/99 1PyDSSSzld0v2KBSueAfa8YzDP2lKMiQSYlUoIqhSks0Iipn7Azz1JFN273cQLNkm2gGcNDF87qe lgcBoNrRpkTRezbAGZxThsjzOsfvv3N0ojuNqZKQ5g8bAzVAIUWuZPsFqkcDS23zbOeasJNi1Igd dS/Bs5+fkAkWdjgJrkWEdRIQ9pHECu06kX7nwv5BEcCGNgRsNgsflj0GFVZRH+I+vHVfrNlDeXrL 70P/XhGkVNAMNigh5UsL6sLuRsvU9bU+Nog46XGDgxN4OqEH1mXuvYU+0M4YeKk7NTT5fvvlFK0c AZEDB7Y6B+/DQz5ejazDobMC2gDUfQNcShWqiZx2ZPYkh3rrvZt5shXU2vmiUpG/jYFVttk90L0F qTeF6ErYE/Etn3xx6izUW5M2zgVfa/KXLsg3YXnKqIv5QmbmKpSbn6rCLd7l0QWt4V2orBB8Z4Dx 8T5YFREQ5psZJT8JjGz/r17CFqh9pK1vuL23jrtfLaEzvddGm1DrP8I1sx2lGWCM9ku8cDwa7yaB Tfz76e6WPfA88TiYLZvi5YsYzCOJmNln7vQpAAMTKn68n1AfQ1Kd9ORg7+JrlSNKItlUw0OGzN49 jnSkEnon5hV/6jN6TeVzlpD7m0Z3MhcGbqVBQZyrMdBgkFxzMJpYISIOWVBIc5sOculkxPYV2OMq 697CY5AWl4qYDO8tMKgcq2vV0pEw+sAjAFCXKxrHS2oMZM8zv9o+WmdRQjwvZwi8WumaulvcYjzb A/+LUgr7/pMKbCBEIrEqHfEOYiucP+Cyt8SJh/jjeByI8vveth8DhOjvyz4+eWy8f5jU9TqpIHm9 lNuruagfkHjKoQK00WSkd5N4zwWtWKux8vGrKddURp65BHx6RF5QO9PazkkaouvcV4G1p7/l9ogM YyHMLfqFQQNZV71E/XmAUZq+EcnJ8Jtyv6dv7lk6ZOlhuWp4FZlnldZsdQ7qm/oDhEmikEN3n/D6 z7fd5geiEY2LueKSegx5QKD4e6HcTaZI2qyMaw7S1BkDGE8DaiDHoUx8iZtY1g+QaztD2TKmh5cB LzAAa1QTdeMsKbvR9Nx7vizNys4fDGjUuttejKegM20hfOLkSveR2iP/58sjkCSrJPGH+3wEP31r 5HAD5msMHaQh8pyA/eFbpm9JrxykMnTnnpN1hy3Ud368+VsVDBmRQwD+JQvSJYJflbev2CJ1O/Fd lPA9bIP9mn2XLBj2YCX70aq3+YoAzgjnzYRo6D3TguK263Kx2K3BaOyzkbY4u0WBzfyPdX/CdDoK +MDyl5b93youRh+5IDhTrVyhFTriJbeiSFloVuwRQWlMFQbibXoSB7e89k3/dxOflRg63T6Uv4Z3 gP40WRYL9wPvTKKpQKVv7V4fMWzi8faytV66kVY8X1TwI6Vb6D2HypU/ux7C7bGkX+mwOJD0iSXw w//aJJHYOV8gDjQ3hUJ5cNB/a3mURWf/QpHVOOTyGQdVlnYbH/DoOC27AC/zNsQFJ9n0Eh2ONe/+ YTaru/nnGDaeSEmlO3/8CSue354aSXBH4tjSS0grgpcI4iS1raRuhdYUnDTEne4gP5I6Qa/qp4P3 E2zWSBSmIsAerxNXWxh6RmDxkd35W7SyyKc/sbPOObHHYrMP5Ms392UeBbTGHUKIfFLMW+Nv/Io0 m5de8kWxj3rPqWN6fp4vXTJET0zrJh34MA2ThhdPkXK9efPB1JZgEGMP+zkHZ7TJHvrUutaQGA1N UufvdZiigFHPybD3aqQlNGSYbNODDw1DLJDn60MGs8Oq73d/WSDU2uafjcnL1A7OoVU3X6HA0iRZ UULNaez5Iu9VfmfcT9VnHpeeS4Tfnz7p2+fMuw56OEaPmFF39fa89VTRVaf7JsUosAiWgBDSp1lL exSPKwuaHhD8nKnZOXRgRF5AhdDN+XmL3ckqwgMqURfl0VoHd7SWW0zKaYiu0z20dVKbTHQLUgzE Z0tAnydtCDhld2xLBfaQZ6FvszVycqqr84C4VoLJyQE2P6NAGISUh3B5nppX1S0Ppmyr0Hdedoax ibAU/gJFsH5wBOm/2uNUskB5x24RjkTVzqVQGOgRXPjzh81d0mFelL+smkjJplvU61aMl806gjOf XUZb+xC3q1vs4/6AbsTcouk2DXXx7t4ddenPU89DnZDrTxm4rMpCytsFlWpkqxVuSkuvEAAOcDrS yQ1HkfJYj3bJYspz1imxjL+CeTL5xzzxKCgCTfAxybqZ7Aquz34irImLCm7RZwsTjwchj9qfMeOV DFwwkjZRMQEQkyl23mymig0XXx9r7w8CHO/lAtWz9BtsBs+w0t/eqiKbvEDH6f4Qi4HrPwRkoWCl 10pAPSJYnvP2un8wLY11nrFkNMPiWzo2gGOcf0KRzwLTmnLrBWBOoHr6pbOg8BY8VrKxVIZ/ygeA IAKNN75prcbjsifm+wOLzqN7Iy6W/1ArS0X45yXEyl7mPYz38Aj/mh5ESAbZ/zK5rWygKghCxe/3 zwhKOyimkC3SqWAJZfU48NUgj5Fh49ZGp03c7OIsT/3OrMl7jNhwUL+qEe8ZpI5oBgR5eUodJmMh AommaWhtNwvyVtB3oLm4BEvQdGRUHt1N4NSeWw4/mVWavuR6F7EdOHqTfVd31xv3iN/EwQe6u7E0 Bp1ZQwSRhNZuQTxz7BRVUOAgrEX2TYv3eUh306OBhdi91HhohXsYyGhP1/mQmOhsUSI+pWepDMt4 j8NbbUJ3HSKVaFaG8Gv+VV1sauMPWyBA8+FG9zNZrDJau5l47cdrffLcEB5pMF4PUj7lM4eWP6sd umV7zEgdvUTdsUKAOmXx2dN3lqrN8laE+KOfQp2NHFVRj/JWFpq6wW5zAE8smI+9BgR7a+mClEwp P15jqHIPP32d+zUw2u5Mq2y+Qh+RITSvZxfJE4l6h5RXgx8RC2jdIt+2l9VjIDemYtze7Os285Ys MxqC24aNpjho3ZSvznDhsLDYbORo64Xet8oS7NJnugPgXtM6xPYcti0Ugw6WGfPJnA8q+g0ewum3 XlFbCgdLPrPg+1CDP51AD56/RGNcvoXESzdeJF9D7Z6M04e7mcZhI76536WZUHRLZ/YYZkq6Z01G JvkmtrGDskc5LeHSTJsSxt5fWubP0omSp1LWIJodcb6z+vBG4RDXfJzxeO6K+DkzOsXAf0HGkLoj rVN+BimIS6WNv5CHAc4l5v4OytsfDoGCt9+BhjBBv3b2KveWRm5abl8W5JWzVYQKrGm1FIHU3TKi 4j+hnbzCFE5pwsyaJyFpL5KCZuvwnuHKn89Y+fcGEGhk89yGXVu2j1qKHxz0cdN3CJa+iicYf+j2 p9xPct+3AnehSmWD/LitzIGwOcNoe4LQEuhisnpShIi/mfIkoVtCj0scAJp0ud1BJARTAEngUTC9 BAyhJkInbqiT03Wfv8JbFJQn3TB3H2X889yp7rjh6LuTgQBhaYfFn22DCF5mKITtMF+be8UNsEo4 2jJK6+8Q5RQy+HL09kR0R6tOdH7lwSm8r1rPKyu/ZD9BMBjucYZhdpv7pMblW6cnyv7vBAwzWVMI nc5WeDUr/LLnz/WGdvOcmgtw4Nkz0g6PSUtFIdOEoddg0ZxVL1WgiQWOAnLulKS45sDY2qJONGM2 Qx7k83oDyV4aXzmpEtgbNCsBAUFPxjQ8JZ4rkqQFtq6hPRwTUZEdUycZdqqBPsFWfKdGZo76psFo bbL6Iou4/7gfPK3qL2yUvmGMpJ9Q/mgdCN89wpVRXl57OqsixtyMZGD8XFyzWY2AH97KGn0vIH4K JMYBPMFe3M9e9C+uiCmEg/tWatcmsXTPb23+mftkQvh+Z3MXL6r4w2D1UUq8xI223jkl6WC2mZH3 5Y4FncW7lC/9/WcOM1hEfrslNTdvPV7N5QcxoGWymmYH0el0l4FoEfPsX5bxfCpWYUEYKOxeSaNU W3oaVnM5c2SvM4bMsefAIb3nG+B37KX2HVbuE3Zw2Q/xhnBywuPLvpfjm/oM33lfH2tblpQDzZUF 1cOW4aNoctDAgSGHpReGYnYrTFzWiKgt6jqsa5duzjT8eCcb3mcC9pBmEyKfwlFh8GewmkdWwI4R uiSwY9kvozU/Rwig1thvGFUqPXrP+svpPwY4M29dTxPDpQeSuIo536RID3tbQVix21InPVM2H4f3 qZYuUraliEl7WS27uTi+1Kk2mXlPlfr3yxibpmZCwli5MWs8ykyekTE6UzfJ5ehU/zuTgDCB8njV ltgQovq1Db8PsN7KzY61LnDLe0q1ohmDl9cXrCi3E9ajupb8SFc708DsvoNCZ/5sXWFlcBEJqPIM EaICT/v9jAKcX9/kQYVqohSuLNdRYtsJUhPRLGl5f+DVYOgpbzKaP4XWI/nezSCXB/kVWzX+WQml ZP+i22Lfik5OYHqA7DGAiNN6GKiQ8TJ+ig5ThUcHC2LXtGE+sFKZH4SMvToyyhALrULHRqu8aa7Z /IkwuCP8oVQuZaVSlUuC9eE0y5iTv6JgZr/jMwAG+2BJIeh6VZmmNLHx/wPOjIs7+rY05ERat6gB KvKP2rshPr9S3+CN+tWaIf5JmxNgpXQGT3YnxlvdBZOMzQqdbfvJOb7aTONMqCicpbuoWMDK5wAE TWbM4ShQTT5EFu5KOWrDyC5Klgvv7YJ9Qt7zezvQ9SnPIsrO+vtLm8ir5UGPQ3xlA0U27BaV/zcK 9Z8+F9zL3jyiNu0H7EQ6zCm1ZM2PZPQODFn1AUhLqSb1DRhMig1uNJj8G5V9YmSF1A0nbloGs2am 744TRB2WZWY5XTkdbr2KkxYGveZz7IOFAwaXADUFbtfLX++7dcbTXqdVUnPQBQLpGwQZhBPrG8eY JhJDta/scgKwjFvQRHAEgJRg9W8rg1brU2J62EbJXN3J2vRSFsoupmC+f2cUr02KdLi5E/Wkgowt 29cxniG3psPjGokdv6LZLlUj4cjey3MYvIPJjZJa89Ar7qwclhee7OPq7XD945VeW41PU7l4G47X ntqigibsx2PJqvQZd7YdOHQc2JQoXmamNWoWDG84Cj9Hnb7FVzxSXqkcOByVWr+0Moq3ptretFIT YZbKMpEpHKAk9FJcMy5r9nlwBmCieLwI2Z3YIxANIJbVj4WhRe8cYZfUkvZy7crQXZjxM04T0BDX GjoIC2vO3cDZUzZ5litwfL5+A62l9TeEgUZkqDW8kyxWrFdR1r6EG3I/uRK2wls2EH4AV3dBtA3x yQcXPgvTht9bJHt2jzGgZAp/YvDl1czujT6sKf+sWEnGNGOzslloCYXO1Rpoy8a0kAGG18hZGWZL QFiTsvzLk1w6yoyTZuUNkccfYwQAdVsi8mra1PjGbeRAF2v9cyoBqVT4QpYy101ak4RdYVDdd/vD 1N7R4AQb88YYWFg+JTAD27y8oTCkOBy69HyzHkw5LCkPVemPPTOW7vXCGokfrOq4nYQHK/l11MbL zhWDKehZCAs6MjTniTN9zHT+37S4h8G3ZlDzatDZv1y9uCWxE1ZeouLv07bc9T98xDc03AwsB7aL r8HGXKchGkZ4h1r2Xk3ZorgkjZPUaW+usUBXXB5pw3IlbV6KAKyvpOGW9uZz62c88peDXTaVjl09 fNHiRykQ0WNPaYu7uBTMp9uwBBFdB61BCcxtK58w/nih7pM5M6rPuJFwlrpdg2dNIYGEm8XtzdSt QoPqiQdqKxUgpDXomvbMXR6zRlCL3tm6Jv9oL1YIE9iZ35KpmmzpktgEpeIUDm4RyNliwJmRWaON 4GmyXrgLIKL/mWdp56QtG/QxSeT387spW+y4s9PaRzjU14qoJXeG9ftPGcMVmayeB3Pk+PNHLaKy D/7RkM7LscQnM66nyqvg9XL2/qBBidUqV0PpH6NiF7TGV/aoMnTaOlsGQYPTCbbdUOoc7kPyILJa hGhtOjSomXDsXqRvTCc12cZM9FBQVrfI2yqDK/gMuu7AhmPutgXkR0oxFk6Sspl7LeHkgDoHKHb9 OfVsAkgCWLih7aNN0dEKfnY5XX4MWaXw2OElJ0LBfbJj6f2jc3imOxcwepGttAAxMz6iiqfRtekS DhKVDi71batfTLciyflwwh7sWb1On4UCQbQ34JQWU7dUfH7rcN3mjbktk6c5HT/A14En340t7+n+ 4XtnGs1QaRKEl9LalAs+nTGGpoBtPvKb0vUTRcvByJwfJCU9WhnHrWrhF2PLGVeYA1+ys1MCYKC7 9MrLTqP7bF+xZKABHuWPx8CjKyqm5+uYZnD3Gj2H+uIIl+E1FB8752eO/dMMZHOrrv/kChbzhRhz PTDJkvrEEMNVgzsaoFYfkEE6apobT9aU6yntCVmvU/Ka8a6Febmg2/OEQRwjtgdIdMHyxP95gfNa 0mKQoJ7R5fL+4AD+LjgpHDk/RnB9pnTCqcd5nXZzyiB07jOqjtPxrf92NIu7XZU6UDndYUhSgu5C 1wObcy4Jwfwlmk/FFpI1xd+ZEDDg5tj3gnEOu1lQSMzW4crgGEn6i81NaRUG9oJfYJuhpzRJQeTL Ys1ybIGmoCeazEVJgGdbjbDdn+DP5/QWzyDNvAXZ5mjf9Hi6u3mWNHuxY1a2t0iZ0eOjB223cYAo /Jny31XbZJCXfcpggYmzeDYYjUa7MPG+hLe+QYab7Jdd0wkDupzAB9w5tOnK+RSaRon6dblpUBMn W6juEq+lq7ub+yFCtB7gPNyJrQrlNCE6kXaWkcO2+rQZsm8SwoQSZJrT5xCUvuTmcq4AH8SmzQo9 rNwrIZAmrb3dpGFCK0yh/YrdTs18iaBxwsmy/8fxypCllmEBHGOjebbRvaIHgDxVrltnvhxZ5bOa Zcw8bxxzmX9gB3j+U6byJaGO93Q5Nl6wlD/q6kI3k+tZ2PiLhToevF5dvlj013ZAHzdU5NgJ9eGc WxpEbhqMDIknQdv4hiQvnbj8XqgTkOm/m1FpgYouHmvOartYSLBbvktSkhWscLkfPzdbWAw2wSoN RPVrPfpEFQrEzAONJCh/ivYU+Aaq2mbUC1ZpTtLixhf7QdSf3WZ4KWRHon/dk1Ycw45shJwH3NWv EhCUgWKVMb5NLl4MX9KK+xNS8yztRwiItvrQDRmJMEU98gzpmTv6giYLc+Xak2IZy1UqNK55FESL rUMOQ5OkXZE8dDtmdi2NOYx4gK/9EncuiEd64TVDihcqTVHjLtjkdllcDMlTEKHZ/Kdvx91JeU8o zx9GE5AS265pG8LFq+0ixtaN3Zci5ssmd4TXkbgRp7qYE7ZBGA2UbGPn46aZKht2/+na1F1GgO/G VvxG/uhqKl4FYwxXsQHYtv8o6WRMDHIaqnUeys+fEsr5ZASghsG5r8IPQu0Q+8Psf5QfuIXpNGgW K8zpUpiYqg1w9qGFNRGTL7YCd8ElvMBVgpnGMk4s/y4avGaK2TEi00/Wcp5HRGvUtcDCLmRHOxWW o07R7PkWXq6t1ccZ4QwYSuMKV0wM/rFh/tEtJNuJBdN1Qw6k88YtL25bN2FY+oBafI1KVC8igAZa SVpz74xbfDdchXMBRjWHEeEP5vvRdTgGEB/QoxV+ZGEV4y/eZCwOM4VWyymwuHGRvf5lhLv7hIMb ZOd5OC2jL9xvAKmYCYMSEeHv8evLPznkylG6cMJ5dli38MY9NnBCn9gt5HU/kFBJwjoP5wFF1V8Y 91b/WFuaAYG0SMpZsm4XvIwjCm24ErJ8bBGwh07MPDzzwG4bVlP9OdGt06IEAwwebcxIy9G6KhVD +Ih1sZKDap5pxbSGSfGOm6Bfka1d3x8GMa1fh6yBw4tQLKKYgIp14f0qy756Ay9o7VQESteOUFtw z+FIcjMbdaMjW/3vIK5Ji4x7lDnWK/6n7XhBlOeQmq5YWNWpguSQNmZZLEpnIzSix9ZBoubgMrpN xjRZGRRGgVawIBZ5BXVk36UD85jEnVmSAGfqbh4U5cXL7RQOoMR0vGo4rHvdyuANKnCaoWysGRWg 96QeelZh7Y2V8lfHaax8AmoRPG0QTWTGkaWLKGDAPlkCY48xfjaLq1K5m3M7mTAkacc4nZ1SjWfk W0NhV4vmeu8XBVlxVEEMtIq0KMVa6PaVjE7/hrPJqVPUYD8I6xKFT6Izv9BqfF+Wojzzs9kC2+Lp 11H2x/YiM0Y+UT5GHWvRYWi7OYLTZ9tywM2O6USa4YHJ3y6Z8+TnOzKer8A3rH6CF1RHsyaC2hvR v5PrhTlXTyNuT7ZMo6ISzicCEvTlEdcPnDFv34QI6aCD5tCfEpU77WukAx+MDnSrBZQpTbt4+w4A 42onROeybhqzBLohT7IGJf3rJyT5Md/3EHKmYCesjMtS+V5RveZbFArQbyumH7PWAMuz6aScvtO8 EhE7I5wCXaz8dJfP1bjjZkidFoLRxkNgum7YCZaleVsCD4Anc4hw8ATs4OVj711nIyksbxXB6dfb 9FGnqBpJ5UxRG4PVSTpmdPO+0dTng4O1QnX0LlptIs9fUmMsOhYvKwx1qSwph6P50NaD6hvJvuFa pryWNcEJ43e93r0zOMjrxK6rDf0xNlis0aC3fOpXeJzQHoOkz/EAjJvjE6AwNeRMJUc+rFklGPej +PUZ4hGcydHdgFaTAs1vjDgHPyJGN/3PpAUtwZWEwGjD8g1ubSsoyeL1ahPOa+rN5QBYdTTjF8et TeWbMQ7/6SMMWH2QASZMRZPHHGBu4Z2KOW5ddznjJbI6OmXGgWxjaMO0cwWonM7J+Kao4blltBD1 R/3gN+DTmgRr+Qtn9QkcIx2t7HP7CINeHl+tewGwbiJdpuJVrB5i6QXEEN5Fkz568NB8eZcZZcy5 XN+d9MNVcTVcVOPLVkrdJl4ybzbMTym9urmiqbscSJhIFgPZFLoSUdCnnUZbdAJGG/5MqBZFDqEd A4gZbCTjcXfk92tilQvJfLi3/OYNmOc1O9BocDdNJ5b873ZA2Ggc4Sf5wzEUEu2lmjWIlkt8zRph REJr2Pyccx9jtvdNJTSBTCS1HxNJcu4SeVshOLEZEFJZKm9jvL4FljiVmWAZUqZywEUzTvv5Sonf jkG9SPwppdEyeH4vd1hrculXk87PEZaPiEQrXGbLQPdDX2S0kbAfPlGWUu+dTM4WZdAx4wQ52M9S M4WEQEbV3H8QvfKZqKjmwiyJeB4VqZIQ1cQeU8cslAZdbe48XmkJVYavEu9OlNgb0VCYPu240Q1G VFNTfS9wcaPpohs0R16Cg1WDVr2dEffsyxA0zoR3NR+OcRdkjgseM5q9oKjN+kr1SECHARk/NFHg /MgX60XpBMFsmNRPKlDomnmT6IEYB1WvbdZ/sgH+L+IEDVmfythrY7/z1Z0MfKe9l1znYwg0Jxoo 9jKCGNZOu04ZjOvVXz1KChy2H/vHRK+Dkybhl3yp1DNGphHW/a1/nOAGxrSyDOi6upQnSQuwM3JT zx/M3wiGZTj8NqdhP9q+opSgud//nDOlDtVBriWgLBsT2e5qRChL3WfNyRRZpWpJEbpDXURjlr5n sxBlnWdXGaiRTW4FhQo556MjQd8Cxdn/l2K0ZSHnR3uGmA7nH29JXPFO4XuLnPTi3PX8fvty0mJQ lTBH2rMjX2vJQJvG2kLrveb2FvLn7ANVY2s/a8UyGFaKME/huVcOJNJ6DkSN0s2IKeJQcxxwVUrx k1ZghogLKyMD700uAe8JJ7J48SZSTWHQyPwhm0WwkNs13+Ag3z4VlrfNzVy5EoSm3eLpJzVVDNRV XdogcY1PeEMZv6F49qlWe1bfnBT88gFbTrNHX9qD/hN4/r1KgSqhwMUoEm4gtWYxSppvZUCWj3kr ZqpjGa8VqrIrX2sYD+QaiOMXHrhu5IEhAWx3CP1r3RNgRuZFB+mbs7otiyGB92OjT31+bX2kEJyp AF95esZ3+hsxwmLwVSJWk4XfwU+/7bDsrN4AA0qM5Pi8SVDiTFJzHxQoyhYIu3m57pb4WFLfUraf 6MxWaB39+jgmLuk3UUAQb1OjAqyTC8It5rhLDNyX+9t7Ex+XKUD0qc/fOTr7By+pzlXpdiimwHXX 2qJ3Z8ZZ0aVgDKblEgDOeW/tagjt4enzuYAIres+eYuzGmEuGjqq+W1CAelqqXiQKpzQy3i9nUte T62sMlIfFufiqGgKlTg7hDoh0GvmFUzrmDrwHsYdtRq0iZJ3IV/hhHnig3JKDGaUtJ2ln4Oex6p4 txFM6Gi1eE0elardmECHdUymjdNvhHaOpFg0n64gEk1WjFqHLratJbxuFa0+H8iO9br6QZLjxvdO su5WrYKfWzzyFmU0OFnMeT++/J49AwTQnwo2P9pJu+aWsnLN7Kuuw3bBFyvWIWWHyiupoMKAyHmz HuGBRyreRT0qi7wh/qV4wBhgYNC8rtU5kfjrroCanCMkLEB1SKCJzBzzgcO23lS/NiDcoxagkHz2 Q9kcgU0WDJCn0/mv+dWpjxJYufxlmy1bnU9a/c+t98xlmXkbQD7KCbXOpf1y0WJeuPfTAG1MIHPm 9bTMZuXjxVNmTTGm+gIUDfAL3FDDh6VmcPt7uTdgoajgJ1x16OXS/KqdwMoWO/Do0IRNH+xFC/8O sXKJmzNBtzPYHJRIQtzrAY3mc826fo7mEGqOykuLWS9aIJaTSl2mXmwh/dqG2xE20dnaz0mUZbbr TXKawrbwV7nbH3f96Q+1Djfk6ntaqekmSMwLoP/aPLYFfbXmo2k8IfYoWn5ZWC4wQqdBRiG1TIyD jV6ce+w5vWLJCd4rKKveAvD6k7M/h7uom2U8J5Qh8ynTtJyqjBAHYuyufOKeZCTpu2KLP5bQeLBz dW8Q36Lbdi6+063jFoFaztZ/EyXFUt2JnObc1tGdxH8fQiTgvr/v3feiV6586AfEE29UiIbRaLp1 YYA9NhdhNPfgawH2qHV4VV7BgyiWzFOSZhnATunWJZtlgMVQn6bxT6/UZ4TL0gs5McuZLeRdsd8o xa+fL5VaczVJSROh2dO3RifP989Vbv6nczy14ERh32T/WX90WtX8UzHaurB3dWHxeFQTOzYJlBkA 60dsWdwfXHDz6eWMDc0LPgUfRJqnq3GzNqzRu978tl95tCzZhwPBbJpYp33/ZULIekZZsCI4Ieh0 jlf7h5a5k38r5i9XBXHNZ3x7dFW0fh3DqW7s91Z1oYrOOcpYsLSl8Vy+LqTF+u5FiSZo8ONcnVY1 OC+LWABem4OVW0J2PwWo9N0q0ioLHoT+oyQPr1OSEYFkVUYXE2WDyBipqLaqZUdARsy+yx8AuVj3 rO49vxx672fUYUAwmvTwl3gXfn+7ob5mNMMPfxXQ5YD/Y2vlyBbrjdXLwyVKlnTaI6j8Coxue4K1 nwLRWA3Y7oHosyeupM256iFRPKjYus6jOwMLLMGkiOoWlJprdxwQGPDrBjwzj1dbEahFreQGTBiz feC6JD2475QnveCEh9pmVyPt8KFQDn9TrzR/UcrMmBEa3X/NG1cpcOowWP8SnvX8X9z9Yo6HcrEF kzUhTqRzLzqUHcmooCGl3ch0+G143ciSvsU+dtSgagsACk5a2HwsnqEFCQIJNOfEpKYJVF46C1iY Y4V3sAzaiYgUT8w8YQ99whBjeykIGjF2mtQrVvlGCSEhnBnng0eNIbxUAS+1xphX9Q++AQ1+j1Gi 0pBKZCJtwCJsh7j1S6UzdamVz/vPHVJ3NJGS8zhB0evJ0G5CUyCtoA3MW2kONTqH0sUp7kvTSBIK /B0biw6I5Z9shEQETAAgrUgXi8D7RB5cUakP81wSG1rz6tvBKr+2JGgoZPS8vF/CqK4htQAOVZam MLkPdWHt+QXmG1K+m+HlDPIA+wDPa+qB3fyYgTdtl2y+iBnxtyI4R7C9+kvoyQXG0CHcZrTmZ1DY uGtHhGwvwf6zbIKZf+UVDgqiX4Xf2U6E7ryF3y1RNPNI6NquFFJKsDKF3kHd7eGPqo06+veMxA4O vR/4zoPQSdZ4melCgzqNHjWTTHjmkdP24pqGqQx3xHVdeReb72ibnOqp9PALsqKoFy9tdsVCfYLs jMKdtp4mE3XYrshrpwR5jq0eMzdTj4Tklsw512s3Ux70R4QFit0tGKtzJ8UqXIQhTWeS0SROG8nv 0zbEVHR6ka94NhFAdXDPf6HZ4ULAUM6P3QysjHk+N2Wew0+uzePkfdkHKkX5etBIMU0zCWehac0B 1HJJy9iAilWoC53dUvwGyfZV6ALf84A4eOk/yUZU52aeRzcWSIK/KG0wOfW6cS9AtAMVoSXTaAB5 nTMZ4eC1xR/5wp8xPqj1mvK3h0pCj0CHGcQ1EVCwskwwUDOsKD7Q/r1t9YU2UtvcwsUAo5gTVsi7 ydJHmRDBHEiW+VfxAwF5Yb/UQHmF/QnmIMeCwc9gSIUvxungmPfb1bdnqEJ5PwzPgCrK+ad2KbEu G1aS9cyanuBblW/OX9XKbBH9fKD44cmOM26qhG9rfb+4GzpCdV+k4XjjdKF4CXpq7lep0aNkXpwa 5TwV+/FAYW/aiH0yxu8qyMiii6puRzuj0rHI6YnCkH+0ewyp403VUThL0AXdw3B7Ey+wT1VvWdEC 6PBuLkOzvgwSLELVtOegSzHyh2qGCR0E7WX3R5ZVjQmyq6RF2AfExzhWulX619RJ6B5NswAjHVsX 1Ws9xtSdr9Ptq5QNLUmRGtUgdgOULeCX9a8QAl+uHde8NPEpuGfG1KBLC9HKefTrV6x3HKfUfxRd y+zboJced+0iJHuv1YY859PF6lf/z+Y3WLbF2Hf12TdyAtBYOE1MT1icSU9xdQD1aqmTnBAyF1tp 8gVbDOAs1GoaW6+5/aZyiQTauGTy8yaY4tUp8R5dmNxRx3VAoKgYYYPTi8nRcGfNXnHDt4AKe81h pulbfhVKforS8sLrq2qDfRqOPh+KfcOaWcvcijBtyjbG4+rwjwh2tGQ4lZp6L3TOBruJ81REbJ0S 0zBYBKI5iOzYTRg8yUUtE1iOVRY7vxEGuEsvKILXgMFgheW1OSsCiVkDXUdpxGRVLIe+h9DlRcmV XtuxnGZfkkvHpAPL3PIxQ0Vng7Hu/OqTNsSHCC8TD8I/mJbvLZySewj8f2+7d3Jfc4WhlHQRqmqw 4e9+EEqf+ZMKMsm8QLRiya2JA1XspxeLjQjAw/ThgD+SmOEtVI0vCBlXHb9GdRFY1BTLjU9yxgaU sRdKgb7jkX4tuSV6qGlUSG3wo9h7i/72cn+Km+w8UkKHQa9kO/Psb2CWlSbTvhuvddd5Pqdcx5FV iBtOvGjM36Wrz4dAKl2u6mdEt+DAUNZZiJvZQb56sIM2lmDSQT4NhTRi209PU+bJmOw63rEw4Qzm 85boypXKQFNx/hq+V3iwk7zbsv8y8N+QA0JdQHaA9LryrUyB1eBUFvpdZHvkP4K21S8EcJ9Rd6u2 IKmsQrK4PKZsgENetQXqreMM4aLx6eLNsKKXtw0PMNrQjiexeTt5MyHidXmlslZk7R/JVg4SMlkS Z1UWDAl9Ifpg3mj93WI3Cs5jwFE5tQEzEt8O9tKA5kzFbC+e3lr7YQwBkFakf0RkJ3nCykYrDTsA ceHfoktrdK1H9NnPzGlNzQMa1dWhIigHNoi2bMhN/g4zFskU49DopGXWNx6OPUcztGBk4sqeS9IR gzw0IMh/u+8UHthxioTZZ56kkOaW17ogkkcJ+JgjVzmUvyGhxXSfpbOzmPt8byFIfvQd3gira9+K Mu5nASN1lVKhUa6urk0xIUQJYUddGxGA3mdsmG7hM6MsH1jekdaG2n6HWDIQdGWPMBKebz59OtAs Ux1wgPV4YYsa5I/5k6T799X983R8Sf5AJCoaela6qqVG+k1djm6qYfbV0zPBDDmgKBMAtcbE8CAa GTLswB5sOWUcKYzo+Zmx3vZZ6edWPsBbW/krJb7B6yPlt5osrWubMF/XAidvPdMauhS3W5Qp519T I+G4uKcJqCT8eWe0uDTEOhd08oOR4a/TYslunSJssR5K8+l0pIzGcT+LGqnRPFaQ9prELI31RZiy NWV9vXcqF8j5kD5riaQvXYHl7cgCmv1HYP57oxbosDjqiTpftKiLwXn2CrrFf7zhOJElBa01PLDS we6ef3SLbndiphDdeKWnyzKntL1CLZyWhTFDjTe4y89qXVYGnZhRzti07Nw1xkc4Jp7tsOjMqip6 kX2qKovb4fKgR3Gd985ejQ2Zg7++CVKtTXgQ8nlgt0/1ScdXg86Bagq2wxUC06UREjz9Setc87p6 /4358RcKj/ZYz+s4IQJfi712xGQrC2PC51ltcunMpSf4/Y+YAM9EAwcv11crp0WfC5X99ql70VcJ b/dA0qzxE4wm9MwFVpqDRlnesTC2MkNZ8lm/reKuiLClG2/YzCYRH8t+HvMB/CpcR2xC40EcbyoR XDpD4IA0BfzeQ3PRhFhPmAoUe9q/ocMwhDsW4L8UpEHCqjWAJk10ouWsqIbD0RGRoownc8nwh5nF 5HecSCld1VwTD4lynRC5vHUKAbHJwgk+Q8GyN7uYtE3XnvhAicOPJkvTDJzQ24VwajnVDCkbGrLR oEsfMJKwMDFiaik+B1Vrc6qFVi7U0jGqmOuaTZMvKaMvRu9AA8w/jfo/2DbWCyYmTwH9DwD4oYnM 8P73djn8uFwM3VKCA+oOaDFYCctivYYpbMEi5ZDcLMDDPig2zmkUF0rOImK0ahIwZ5lwZYAv3X3F /7YdAMhw2egG+yUMSNMVfhGCG7HtRsSv3uW5M6lUmrtm9Q3qgs/looPhAhEuWfJC6/s49F+FTo1f KMrBNOg3TGo1rXdzgvAAg0gIUFbYUDXaCxs4QtZbsuW8D29NHFeVnhHQq9q5273e2geSI3JM2aR1 8hPCp+WhVAn0eIeFz/5RtMdt5MaFM7+C4SQFHv3uW6RTtrjJKB1tILXTjHy0uJ0OPcnCwWA5NBTY RNQPzdtsytfx7Sm4HRRlxz+8gJsofLUBXl04Qkzty4jrLlXXpNxR4o2giJW+NN9huw9A2witnCG+ A9pp3qo/DVhrnsHboWcHIRSjjrOn8At4sSG7GznWctpP0vZUFI9Mr1nI4HWdupvqv3qAV/ql+dMw OM1UAtCmvNkgI92p9NxaQCd7o5lLJPXz5dBzAzA6PTok2GOXYNXETylj22z4V7JAIE1QwLXRsiHX CRJSUg9ygtEGyWQV6NnGORm5uzJyaHa3DY5fehhDUsZV6d5cC0t4g/42252D3ezt9LmSi9Gx/V3H 6hjp4LdapY594Te1Z5Hd60ClIiQKyUPwpwD7/UyjsGZzH3j57W+kgRKXAZC/TbFDKhqJ4XDjcPx8 Z+9Na/A4I3l9MSlK/JFaMQI7J7rJoSN6BLeiubaicxsWBsvZib42YKi+qDpbl3U9trEKB6LkAZ1A yehr7lBPM75vz9u1GEk2unDqN+vFf1vQb4FlSWBGWEPmKvA2g+fUqMb6TOFu8yDPs3mZ0sYH/Lvy rHgZRTRLIJz9tyNgLzfX6v9M8sy/5BVCuHhALdgqw9ZXoIcIT+iZgAG+9depcssPQ3J0Ij/d0hCW nrARIZyuSa2meMI4n9lbk81/qc1vYWcj/qBakBu+SbKlgp/Yft7rsDsJgVakZuPDcpUn8Y0IM23k y/n1yPeK5+W5UEunfKw8OauhK6vsx+ihZl4Ii1KPwBSuVWhLpOC9OIbo0DZPCPYxxDkLdi6HTI9s OCpmEw4X4g1K3jPOcwIJHHcp6arpXSwZb/Pvvj2dgUZ1Ujnx/4tKqQrrXZxTgwWx6slSbJfF4OzI bwrQspitb/WOVkKBQvZsMrDy1pPY7Hefa0frizk7pGpDLc1M75jZxgGqq8WKLdArv9YBP4knTMhn OHIk1EMzLtQyvop636FgbDl8Y9RPE5qv6LaRb+7+lXxT2/Y0BEeAHLNtBqLz34tD6QHFvGP/Zfum d7N0ZnRRKcFzPA3TQ9Js/IpoLesqrn4kVt/UuSTBj3iYzBjn/ZXdg8o+MaxMCXUj4OBC6KxH7xCI zWe/whZTvXpCjEDNxi+/nfBnk5+vrcx2oozdkA/Aia+AXAbGooHHYd3emurlDUv2BPml4eh/Pnpn YkTuiJRP6zQMG2hcXH2MFVAc8Vo7c2K1A5ZmpOq10zhO2wxHvY/Sa+GHm7w+YRVyO0VqFKf11OhP pJ5f3zhdVrB95KBuXx3EoUNkvrygfznIkbFhQNKIG1hn1KHtwetMEOttWDWYargu9ODE1gsrBFb4 NddL+h1OL1Wo4nWf63jZWF1SdGar6TwvW8rTbJAr6nQo4MY83ZVj/x/WL3ciUusM56BPidH1G1DD nX6wBJ+p99AXoEOCS+IcSX9o3raoZ7nJ1Ex/plzf4KYlbwMBPb3yPl2uG+xn+rEFWH1Y4knWTof+ 7yCHLV3fTGC+9UUm68WCOpICd2R7mZMIZVAH99ZlZgM2AFCJMwBE+cZAYoea7wMWAyBRzGBsXmwN xplYJzNKkzbLR6mZ+6S4VEMyBanGt23MHi+7GfbH06hhsa85Pq0VLjJv9CM+xaHuUj2h4YW88iZo lhn38tM0MPO7cZw8+qqgY1GmQHSnVlR6CaRXUKRFVLtNjpvIVstyEZfpAWtkH1YmhaiuS55kNxAI IGHX2kUiUW8rpBWFIqM4FPSj+E47Zw1yrz9KwNXmdSweKYlciy+pRJIDVYxjUMUlr2+HvETlM2XF VPWihqsnGd2iFMSIngUr4rQ10QEmLlF46zZ/fxzdwT3uaqs8g8S7ADaI2SKB1TF1L4/PTB/cgqxL 8tjUAR/cVF0myNMD8/0GSwfQ7sQd6iVKwIncb2OUNXy87JzNhShAXg/ecpbukbsJH0FNe5fqUKAp Uz2tJfNWpE4Ojhd85we4nQsuZ/2jbM9XevUScYq4hTCH7QVID4WHvAePfqmijR/xKVJYGD1WfyrH TN/doAYt5jiow/ebwdHBmkOarz0kWYpFeB8gqdKu6YaDeSXyEiVTS/Rb8NMcyBbLJ43hxMQds1jN cYJUGTZMNhhgYrAI1pLhTVPDjFoMiAW7vRPcxUynCQClnXH31bfuuM6uhvKAu+El9kTyNImDCWnH l7r8e6D+Vpvg3QQhdDAHsGEiV19+3d0U8Q5SAuHr53T0OnrATwPKzYNTalP9nzrQ0PQTWKdmirO6 V5/9ofVThA7bDJK64+m6DQv3WOfTu8YyW/T82wxLtiU3p1lHPNvBMlgMg2nmkJ0ct+Efrlsf3oCc 9Aes7Cx3ngQIKMrt/4voCu9Y2x3CcCAae3wTbc05o5+Y/cq+4RTN4GguCisoQ7e5GIoxZtPn1qo8 uNWeT53eF0/hLgMJZ5uWjcUgCuC9iafg7QmBEff8drwzI7d8bs8sLhW9a1mVaE5EnofU+vJ9ccLS eWmVXWwW7n0nuRPNqrglAZX3RJ9HLkhcgQAa6L0/ewEQ8b12J5NvrWyV1jMMKbRdCw0NWAEVEaU5 funcWwGnwlifrzfp9qRnN28aRsgs31VzR/xcE7Vrgw6rxnHZen+Lp/NkgSmTorBUoBei+tsdyGSe eJ2RYJm02vlFGgjg1mX1GVjxW6sGVQ9OkXJue+76fbTcDjm+UmwYpnOUspPlvRvqKuET8aKTlwm/ KNvye04C4l+KGlwgCEIfKRHh7OGGEqj9pBrcdZ4tJJ5xIo4bHeo/1CJ9sTfjjPDBZFph3pL4aRtD O9huiy9EWS3l9eZRZxGs13OVllLq/RegyJ32sb4oi+FGSqu5bGtSC5oOrchmyY9w8SHYyn23zoGE h3VXHYlqVPgq0klYvMzJeu3UyvZUq+Bf/kWKVo1OSdpZ2+6PJrDP2W6gLilvRS4ieT4TYRyyMs2v +iAj3EgiI9b6EWnsA3ayk4KgmmurynBieDDpXqKgC3LnNbat3tC0Z0qqBSwmQYvf11pelITKAUHl FpAdRMdJMUvb+CgISRpYWP7qsCNEwradwMN87Lvox6Ju3HHjRofqxp7+paAdR1sywPBNBWN5jvq3 8PADKYTddOqjQMw2AMz0NHnWpIWA9mKLEZIXCzaI7Hq9WCYvOTqGFPR/1nzgpz2jOaJDlsDM3kjz bvpMCwJonc2jhs0Wlc/UTWY76e9symU8+waTrfyqXUBuLXIa4B2HWZR+akasd7HU/WwLFaJnqhaa 2hU4gYxHNxmJF1FQep/df9adufbn5PE4tjDzael+qeA9EZYxv9Pk47DLUNcbx5GK5JzTn3zUUWM0 wmQPwKP7pyKOm0tzw4kBMy7tOtvd0Il84HsB7gMP3mNHwfsfN1fIa08BGL6vUR6gBjgP4YtOBV3o LWFt/xXV1oRzKQv4yi6WdIwmjXlDlx9zZSeH/tmdoyS/FV/7k4kgbrizle9Kks0RPKdL+1leCAet oWsJB2zgY4vvos2kEoOqKwYGO8NV8IAstUdTir/hPHsrz7xNiZCjKfuV651QFqmMCJ/wDNh2rVBL BKCqk/MTOeVc/01PsCXslpR8e9RyQRYMWm0e5FbjSbXtaS6p4hYQkmV3CQqg+D1xdnkOMNxzruOq 2K9WkKi3P8p0fjT3lGIbrbdK5TqKtjOO00xmvnFy5hnsn9JoHx8xAHt7ti5sM4FbmRx7oy38R1Gv iHxcK5ygdwzziPgGTt/89s8GVCjvpNbuYWI4jOIlhOenhFd89QBB8Q2sqUoHNJ9ybw7AGSp9cMVY Ih6fhkTxtkZM6Q2qXGWqgoJVognsWKYPgEE2pZVtKgEWjkJL4z0ri5dBg5R3kp6oLI89JqWWOwPW 8YA9PEibaOFycv62n/iRQ3yBgNtAKHbY99diMVyRf8j69qAK6Sdh7nE9cCjthz3/CmvtLKzAU9TS Qp4p7WoqcrUE50X+aLCeCgESsggwYTWK6OoUGkXm2QPpLjbC+SKZxx3ezicBVTimzYn7L9Skwuwy 3zLlUteWgwwVV+jybu//yaWJs0cPyw7xPfOUS2xaqbukACV1xTRRshcHL3LdRHGh2T3CtqDuNYdW qv2DXlbI5CyWcypfpxpcSL36AqvlA/o54rMtXTurFEbxu2uy33XZAfNRmYOZq0nW5OGr8Z1aGSV8 Htx6JrOEWQ/vPZjNS8tN3XJHF+BmHvV9aU2YF53jXh9IkHjpFnBESHE2ZTJ5/wQQbGttrbptOnZo 9pSLR/1B8ratcCSJusx5DorbhoKtA0w6eQB9vagq63fLvzyRpcCl79/rDhg/MQBKfG8+w8KueYBa IWPKffjL6eCJmvrLUY1Y/9T6JTDCg+6JlG8ZnzkDo2jyewgKu961drxTQwXpD/mqPyaVTmfCyrym nsJl4v18OGepymNbwY3yrI5AlRrQUabZT0dgCvGXLrC5iFPzOeZP+bhTayOZfxi26K2MlRRMI+ki /bTlmM4pFLP4b+23/CIKv2LWbZ5FZz6jhLPk1ASGUphxyTudyYSXLoan+W5tz/NWM/mEmgeSjSOQ lxGT/dTAmjj9g+MykPrC4b4CyGubsxZYWnYFFQKnxqluQv9IbzWtILW/F24OMTytmY0oKrlEscqo uGowqKUfIDaY4Z0kyFrnx13933QIBpz2J9yO5ZzmoaiWnaS31JvaQG2ilXiO78EVJwzwx8qo0Ax5 yas6Ta/oNunjqHNpQSSWHQcilYzdpDl3WouBZIFYk8RlIeZTaLhgr4UK8LLIb5Imwdo9TdMJh55i dfvK4ZXmV5ICjqx0ocd7IqW5tntuaVQYEeze2/yV2klg/bhUXckIufcdBp9TigugkUkU9SIK2yQS JNparezHllOZ9cUGdSJZ0WJnAKw8VLs/odKIaYLiGX45z7AFJ3M2GxQ79tCh0SD5J9iZxfbQ0nuy Yy0rep901tH45hwUiOBJxcl6fysq32aycion85oEi8hlbnKstI/XO6miGDqOJyA0bBiqcXVV3ldH bT4v99Nt/KUleUmLuUndHVJ70+F6JslvY091d1gGeNUzshvmzKcq5qJPFmYWwbxOWrc+4mFW1FwT KDqDVL+IIXxG65FF61KIXibgn79qKMQfaGm/3jafsXTXRxFuxpQu64wWvQorB7/nfLj8sw9KUYbS vkDZFCVs0wNud2QdROPID52itd9KGajt+7DeJYY1INQFVn5wI2Ff6TnlhIuZmKtTOY65Cx3UFeFa 8Ccx9ueGuopPsG1Uoe9sbTtKgmJ22BnkDS1H10Ykk0UG6JatB4BhMhcjxrw11kuTv/zL7CsL1aUG eoH6u/QQJO+nFrZe53RwHrtyAp/9cTG5J2XALWRrAqg5Rst2WhspXzG/M2ioXVRxM/Kxo5rB1nLD k8xRxY2a7kdyZo1BVR84EqzSnSXpz77sxK2AP2y9zludOiLM+2GNjWlcAyAfbPr17tDoGDSdxcSF 6orjnih26neYs+vBBylHg1W6Dds7VhacvDSNca41deiLNRY3gN3jNyy6XvDsF3RVMs0Lw131ByIs gu7PKypFRRvUhsS2Eq5/VWEG5WkafANrpPXohpWQrvvybFNrmVxZzSDDAgCnPPEY/62U4lnnm5BX N1XEk3cpxaR4Y6DjeCNomXRQY0WjQ/jlYkBj+xLvEMlUE4hrNmruXxQUeJzRokWzhA+fTSkhhhYL +OfQ6I9IWGwsZoNrodyctE2n1jTbxuoi14H/WlCJJE+jwUcTJhq9M7IO+2Nd4NrYH3JCuDfW9ESG dTUBlKcstMdMxiZYeiKuTk+fmQAlFNETXUPj2oLRmxx37jvsCVI+/iDfclSL84LXL0YpZThejsy7 dAJqW8ge1LTzWRJXDxIqbwzPDDV7BN36jikNxgMjUFSQqkVhX1qzsvYtuVQU+mF41g2sszGP8EwV RfbzWlcSg70UQJCXijRym3UEu8ZXsI0yXu5c2xnD6YxF9TVheVX0rrP2PHJOLx+t9z5EeB7Eu9LD Y71XP0oTnPBwgRQIWmFfseEmhNSbXIEEPxJuTcJTk0AX8mAHTCo7PtIfpfLWrsi6hF5WVMNziSJo srqC0BLHJDYq9I1ZqMfmMYDqo/e9fUtrdPHlEGtQ+oD7Jxy0ZNWp6awC1s/anOYDD/pMBLgg6iY3 Zo3oJIh+vzzev9p+FOVF9CTKKdoXVNdrr9uVXQYCM8vZu2lV0R6hOtY7DhS28k5b2UDfbvG4mXOr LhL0N2auVwxv2yQEGdpLckv7E8hnIkPmFuLGF8ufbmibjs4lJ2GMadkwqsReprEW8zhTBnIh3QsH O9pNgscO0fUcEht7DH4J5ErsWAYDHD+4tF1tkaG45fIAmxuqlAarFkgbGVFrDXKxNvhx8MqqBu5J wTrRxouDOk1o81e6eVh8+WrC/psPth2RJ67yvTze/X5R8mg2PpgBNKj7C5BmjmYpL4Sb8XlYBZbR iq1I+huptxz+NHnbreofTRyLz7my8W2rNWUxsTS4PQZYryFG5DVwXynHoSS92VHSIhHPw5POtgH0 GLdEK2mskaVBhz/acO0zLFhdslV6lVsRwd9TktgzC0FvqZuUAZoUM816asrukt78o9iuxNkAjCPg ioXQI4CB1sAeZSJAEa5cLVY9+M40aUoD9jMywQE+lKC/BP29l/jOj6ZIuOQyMwuFFtB/FhSLH0hJ sT0BeZDetjhR+8DRFFw6KP+2KggN41TotKwaavPPkbD3JrF+DJFTVtzIyW6/XWO/xYKaDhaa6h2K C4isd2wOiaG8VRHwJ0TAgWL1QtXaQ4AmSULSO3ErWGe8/zxO3OEkGN9o6Jia7Q7L3gv7/RciYJ9O wsf6uHkNfx2yiQ8Siaam/YVSJqYOMtHuVjWpL/Qsj5DjNGUJF4rYvD5+H3HNkLR02HwalBHIQ4IB c+uqxDwq9AfD/yloVe93osM0czgvLJA1oE2Q/3G4ri5JMkbdvk99LqIGUtjB2gI+mu8z/joZhi+H EBVySZrd1Oec8/wj+UAuqcwAPgddy9VEO9ypGY88jTRXCiirNECduLN6SnSEO4LUmCceSma7nYDJ /LYnCatTjJEXAxrPZBL9gwnM0W9gohZMWT/+Ub7cBDSi1k6ZO6gMZ9hgTKP8qU90Xl3o1XmlrzIQ U0Cd/anQttE1ppe74WRy5/nj2g37jtzFvkve4AZJ7VTWlv5VDj0GXfDGzRU61cpZL3CBJP0BjPe0 RkY25KPXoawkzprqB4nxuz0Gf631B3BcNRBpOji+GdI10aTfVXsHHKbh8juXKvNMkQVBYL79eQQU zVw6GPXPYq3NF0PlQ03ucRnwFbRJBxf9ACJKcbkTl7Cx4BIVq5rcj8kkY5nEesNZ+XIRbCCmiD3t l++BVC/exds/fH6PU0ckqkfIG47nO0kHKoqjWNxx+W2s6fqZzMtBG3G9AtK9HMBdRe7l2WRd7/rm gkmjMmzOWdAgSB+Ubu0sWFUZj79kCIOvd9u2GtdcqTdthCxfjPi6vXzd5qnnNnhq4K6NXaeAzbhe l7c2XL7hKuRr/OsoVSNbakXHXjb1GE2gyVovRLt5gJSkL8LWRecR9xX0qehddIHBJj/IntBB7woE GVcbazAdI1ML78drcvfYV3cYBiVsrLxtBhaVEtMZLGiARq487YTq8+Yb3g3Y+lWEsqFfgIeY8qHF 2Du61dgCuqC4yfUjY3JloD+Um6Z7AEuM97kKz5l//6AF2IJWh28mCf4X7u8LWPL+lxO0U+FkO46R sv4iLBqhueJFv+VVS2E+AWYMlpesHuyKC4r4b1gh6aTY+sf2CnbUQCyloGu+WsXl5AZ6qJOnVzFD hQYiXqURSAxzM114G2OpFmBgEy1rehAt37xZlk01MDIU9H9h6sS5s3UHoO+BjpyS6SN19U+IcLca HNeVB1SyEpX6QzGgRTM1DYddAniESRHe6tQlq4Uo0y47sptTHFBUHFoyaMPGFfZs2LslvfD4vpid HLhAkdcZRCh2D7YxFZlUXicdujNHH2R5GPqzKlj+1nCDVkcsnhW8J5WLpzJgmkgx7ahcGH+sPInd 5LEw+eDIUs+dtImxU5E0RI8fzbe71F1HkHgpOjbBHvPD2ma6z3Er4wxXvl1c8biogysr/8hjArxJ Qa305ITt/2V/DAX8NDsKMlNuxzlfG/HuYrAeuXjVntpctCtNgtgWN7zJi4VBTpCWE1ep7VIShPeQ +CNb730q+yf44j1VjqxEROlD8ln6pxMHNitTduSkGkG8P7mmXFVET3L7IMbT0er16NOUqr2bzpBR nO53d6Pu0FmHsx7Pjf2miNAXHT/ZbnsugySK618cAChozV7JW6esQKY+kNU3YKYlEryFp//YvL+z UaEG+WaD/6CCSrYQ2nt8TvU2ICcmea24OLhcQpXWnQsnbKkfQjQtC28oRzALy0+weUtjyDETitMJ xMVATmjZ8zxRhpUeSMq03bAMqx/wmWAVxGoic/H3H/WL+WwNKxbiOQ9dCZj4t8hln2qCNxXc4D17 okYC0ZyacX2m351yemXYVdrp2v7MlxG8zOWFVGKU0VAIDVks60PlpM+sYFs5lYXjjYFjTiEsLj23 my8Z4xEqnJBipb//NNww99KnnYyBDvJe5g9FteJKLrmYixNXKj0cj5zsGfBeSxyffahb13pKX+VG sN+Fp+GVtA1pT14qCAmlVixEPpGRQrDgbenrmjpgno6kz84p6qgk2On1rYZ1UIp86vNZaiRte5Hq z4BChRyQFkpm1eRgiNwygN0W/17UanxmIVJXvnJokN/7CkA+LISFdKZjPG7Ujp47Jznvaa6klrm2 GUA1CWq3YRNLN37BPuQiZ0dgitOihSuBopjVXqKyzxzCfuL76pkO0AuI1AwZQom172gOwz+t/veL oZxeNnFGYwwDyMhY+pLMJZyq2nIEhHRk/OF09KswW/j8++5ykYLf240wD9RwH7Q3Afcip2OjgDve eg5nGdV4+uA9eNl3pIgmRta+cIASGcVuYGxlcjRz8XKbwZzMbq7Ha/BlreoVzH7r0/J/HzYXd62K sY1r2DFzuaQ5Nd1+EKg+TE1vHddbZ8LTC6RZ3Ypu8S+vnqd38kAxRmEDbxObHU+ehFTKCT2dVUcW 4b1Z5UdXHv5AMnZNSIEcsF9z2fAhJ4tHeKd3vBI97I6KpFJLNcbHNtxprPjYu8WMEBjyoHAQ/GWu 9COi76x7jIqg6xHtVP5zALY6Uk2DdXU1bPTMRlq3/VauyjrhhYEzfErTEokQc72FdQkvGAgQ7cR1 uG85KBTVFFYXy1YeM6fU/6OTbztFaydydfVMu2t1ykwYq87fTZ/xNo3/vnQl2u6v38nr/Jc8GTHA V2XGIm/alqjBo+ndPIFgJdTbnCaZqrm5CTwYqvRG3LtBKL4a0hWfToagAhW03/tdoY/bpOKeqqhH 37dG+6/7tzJeINcUGmiAz0l6ilTS7ZlcJV+aBZEGkIAjZamc4ZOCM4QXlLjaSPnD5IeMhOGNQcen FVh0OUE0Kj3C2puq/vNfBZPRJFYfQYL/FcDxUsH5+GcfR9063Bd4hY1/JAUMZDNXgwPSTei90528 9tpDnNHj190bmy7KJ8iy6CGbYXYGfIhTD+R/4VKAw+sOruXM25q5+/R0oyNjh022nY5NIM/6dyWK /sq95BWHuqJC3a0+In8wSEAlmuS1Twwqh2U6HAlTmOWYSa3talCYEiEbVISTuj1IvjryVXhqz2Ew y2N1g1I/WnqTnbsKhTjPUoggRjECmd4JFRlDUSDZtfgSBtcmR15u4jj8pg5gl7paaVR/lHdiMBEt whejJe3MUbGcShuxOqYe9iFBNP2noOgfAVB3J9j4HKPZdnAXhRreL08PJ+oDJIyv/spsAwFxUjSz b081NOaybPuCayepkGISZHYZ4vtm5Lw0OA0PA1ZgCZbhKXOfSkQtKexNohaCSNUnjE4hytOcA9eH UP6JZRStCJfSsLIdG19mgg1vZF0nL9V0LMd2v8W8ly6BlrSNK86rdlEMz9T5Hz2HfbsKO56jEqoo TWRDRjNrf0c14hz8CtEjcDgFGRqaW7hvpq5B9RvSY2dG0tNqqmpnBO+mchgNIFcvjw/E3zfKYUio MYjBp47eOG9Jp0SlSmGYRbVXEL7c43iuTz8jhIrZxUIkaUIWPtQQ5ezvSNogeQyeqptfo8Ufs9do c2D84aK6xMzK0N0jQbkn1cUjNtEEhBtwwTEDK7iPYXWDBHVKoebtWqXDaRw8xjidaBwHxn22IGvR OrnnUn/qomAfkXkj5MAbNYduG1sRpLt/C64YZSgZ9MinDWG/k1eewoygpiisUVp3N5P+r6IpJF1/ 8xIeI+a4UNMKUET81tfeoeAM1+0X1XstZ9pqFcZE58edzzj5B/FxZtweZJ+HkrGnkpBj12FVLWjT rr+mKkZFAFkwIMCcqg0fJ34f3qZDRvKN3A== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block H+/W8lU1c3gF5YSUsGejG07/Zey8qovlTGgeQVnfjJVTpada6ywn425MC+Re3UpCUNxsUmiNbLou 8/X8M9GQ8w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Mg9LoQnG9QqvkHfwioahLm8RAjQykinVqYqXixaVwcLE7XJjpV0iqTApecAxlmmIYSeJfFMVhkyV j7d2rm5l0UQ6dsbhP9rDnEsgY6XlVZlGtZMkd3/Cvv/UslNjJoNmU0RqAvr5neFHC0C8tPDgw+T/ 4RkuK7mUzoqQpXzDL4k= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jl5v+2WGqsNEu4wjmOwIDZui4wl5yJZmI0hiE7hWVU9e+yakpqa2fNSn345h0G8Pb6syohAYCIOo x7+74i9t/v3eAkjPR6GO1sCsQbcrQbVpcusiN7L7eqNuwB2sXoCOz9eDWNiGbNUv2an0ciVwGvGu xlLkn6c/UrIQVaFtX+wU+cWNvnjHjLosQ2WEBf38bw48zmTdp9YhbrM3t5nZlN4c+yUK5cijl8zJ 4ptWYVDzPEfvUbDLGFg4Xq2A6LKiK8TNIs0bFG/r+i0n0xQNfFvJFq1ePsnCm9d6TpAhYFPs1lZG vsVM6RowS6m0cu07SOVkh2aekwta/X31EFTwiA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block oITI3vRvRXu6OJDbgOMeffqRV7wVJd88fj5kcwY4sjj5xRMX3G1txYMlv9PlbAVSz5OuEWgmM0hP BKdyZR55rBQjKtrx2A2QdSYaAIJv1eyWgen8RgUhCDZ2p9Ut0r4vWq/I9sjAZo3eB+HBSNHriiDi Bj5dD4/P5WTrDq4xTSE= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ZUMQHRqVyznaffBVXnjoYjN8QtkR2JFBnUSYvSE1AuNK6X2WF0CYP/e/nOkH7b0o5w2EqvuoxtY4 vkpf4QKnKqb2lSN8dCpGYR3Kq6KQJ2QCBqrcKyYwZOF3iWDv2pTUweuUXZnkfkjs56RCSBxA8kpP A6MsHzKGPYkBIkFX2Xvvhj3MXVaGQn1n3ufoHwMb3G7muNYZnR50W7ztLwAqCRMtrzRvB0HTaudF UEZdRZgGTSwpUd7PSpgPaLBeLiiwY1mBJuNpbBtMGywMUuEhj61rtunn3e+8g37dPw9hLeX5lKTP bJVycgN4acRTFOCgIaOOygfErfWFAh2RaRQLew== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 52864) `protect data_block 4TeHLUc9awWZJe/iTM2TFo8BWdbAVu09E7SOZjNsDO34doZJ4ZYwYuQtmjN/hGZcFBTKzGpqAh2C 2wpW6+FMGPyDD/FqOVWYC5YJMW8A7n0TmFLZDZVUw0V0qUbtp2mP9x1uNKQq+zK4TTypoROfubiA qTON06wAtsueOUh//GKaln9YS6hj5gKUIkm/u/Bw/KCU+Lo1l34kY/GPS5rhZy4cYVHcFSAaeykY laB/XMU9cbvQDS7msNMvysUs5PDO77E6jiuGxK2GFSQzctX+sTCDslhUoR9IGOGnRy/V1imjtD+k r1EiVCQ89OhXM8iipUajHgoaMRhZjpAPsub9rFoYhWfSfsPQZPysLLjeqxY2ZcvOJVfskR4PGVxR erdQYSCVCRbgvIGTOi0GaKBYFQG4GrZflKd1sy8APH2Vzl88I6D2aYgnf9bPmB9LgJvkgBxaVN03 2sKqVbRuC8rlTikiRP1HxGDDdQB19MRvc4PdoT7TuL8OHPXCAX08xL3cuvOv7wyDduTG+V9JMZ/F 43x5y4dMvayStgPuiQmlzqFSUVR16+Q/nUjnPmnjTsmhum10YfzMPBVhNTyNuJzsl+Z92dlC27WD SXBWUX2TytDZwB02IJyt3x/I9q05qMFNtw4EdFCszmJ7nxjtv0znUjMEWQ+M2mUcccuEJMrY2Asd Ezgga+nNkbKP0X+RL/Td4xGlXP/IMMY3FDfRWl05KYkYEGCY4gy1B1b3d1Lao7dNgKCdU7ekotTB MM/p2zebNL1MzBIKAo3+h39oF6Vo+3iT/4BeUOLkTYBpXan2kkypSf1CbbVa2nMfN9Xrdv5PELwN YJDVuRHWNSaKylBdKUX5a7s+O421wFDC6erIiywDO8sTMsBYiZXZPQYq7g2noFBbsGWLpSwX+FYV 0A+HUBSAwfp8mHy2MylBm+CYYRn+1QYAjZ4giTL+47ozJRTPuQGesq/b+6qwPM493YmrxrwoTII3 xD01ePiUEg1zFGao28zEwD/4ky0ALSdIMjqYMnmV1g1vSgrQbrYsnIVRdVXAhlbmyk7Pv/sHe0EG OOQY6ua+s5AjvNbkgE84ubR8KA3KUeQlbRF36bhy4oP4hBynUS4bIBGbqKzhdOGdyE4D+Tt6jbVi ZSCUMnXyVodsQh52ydeZ7j9MTbsErhqihjThu8bUpTf4UfYv53G+zQw8O5HVEA7W4EqkrrusH2o4 gyw2lolq+y9jVSGD2xvZ/4mmmUkacuweFOCHvIWUyz4I9boRXFyIwSi2hao5GWaRm5D8n6rNFOLa G1qlMtpOd/ORO7wsXmHxVrW+X9H837jmFuAMsTX6P2s7u8AkgbyLeMbVvmoGlM0WlQUxwzh66ymP peoAo1l6cU1wD/TJKoK2ynmIU/Y+d2S6PwA1MqJDi/wSwC6+fO1kXU222pKY58iIKLl+UMUuLjnr VGTIAjPit4ygiKt+A3xf/9H8DCq700RmbxK5nclRQV3F/+Q8f2b6b/mA+9n4YBBC5+9YCAwIMNZ5 vaVpApRRA8ig+pJchWx3AkaoMA4PiS0CSPxt1XBHSPfYCwOAskFAA3gXBaxoaCo/WRYKNdIltwzJ qgmQiYoOh5dIZW6S0tB6GG2MfdG8hOlOPYR0LzAoT3VKIO47twk5DMWTO/qrd2oqclygG0tMOKIQ LGIVcUn0K1prjk5BRMx8W+QjYCF62GbqEAZtiiw2PgvFYXpJnEv9Q4KlYDwBuvnt1J7nMnizuR7t sxWrsayjaN1XHeTUrqzFjWGWaqTRnic9lseMRPJNEEvw3lDOeIF4IdlInaEY2L50O0gdR+CLUDVJ XVacxptlAVFYUZQOULeLzwUe7RVx7gINqon/Op99rB/KuC0MtAVUcKqkqqtSa8uv9CIj1WYH0Etg epvwu0g2lrqT/NjiyOmODweE9oErdtsofnEshrqKddahwvxyEyNG07oMGHGKY6+bBCYgkZnb1tEN 80+zL2CZLygeOvYF02mggnUO+s2NkR9x5eXxb9EKOWUkValZd47AB/XTbcoJaZ3nUWdKGUUKHR/X JTgYvLY60rtT8tM8USRuZiPc5qcyflLjTFMneIuB81JOjxI9OHDZKuVqecB97WougnGdXyiaUlaZ uU4PHegFC6MkIyWOiZmS917bUfcLQjeZ1jL8xf6TgWrpAtLZl5S3fVaimV0yDux1HehpbzhJWa/5 Ze8MUcgfs82tFXRUclAws8ELfI3ExiQiI1reD06uWQWE8f58/8qII42/+5ufHtQ1FBpghFWDLg/2 zlXH0YcowwnWT2IbBOcvVl5s4iMxt0Y/Vali/50zae4WtKhSgVH1y8hXzSnjwo3O6JiG87SpbWBv yStYgAlk4NeuU7OJ/CQquEQJi7BcgbC/WabuX0R/QegTrVcKEamwQk0cN8dk0397E46MyfUU4ydJ eBWybLGUlhJIz/hWyWkZEC6nR9MyCEjIno0uTldpGc8QqVA67zXcp1tw45QZXRtosLI6/KYHBNlm AZPN26uEGQjU1EhihXVGYBid5H5ChmjoEgHQmkT1Dupc18k/v6IgK2x6y33YnZASWOeJ8Lv28wQJ fYvN9NE1H6csnhwZj3OmWdCuvvqDEOGl+eLjHDutvzdne+XBgh0wLXwTUpFi9PdzRWbnFNSAfrdx ZftrfmLqMoqLQ6Q3hcjAGy7DGJXiiVcNfvEvD7p9WE7jwizbHzSBW78IxAwGBO7CEbUXkdUxuwvY ul07Jf42KHzw7avvtC+dQJ05rcpI1nzjQvpWXR1G45d1wXsW4ywY4thf49r7hHPkUGSrMt6fjuHh w8B7X/0sDFWFnXpf9qIQB9j0tjho+cdxLfu1CGNQNLKIQmp49gJkx4UL1m7UlFDZJgrIaXceN1kY hL31XMdy1U+mf77vBdJByk7leg+VvCWddGX0l0epkfmp3vwOfV9AxFYvOoDJBXbGmWdU3umzgOtD GlzDGIrhXadnaVQV/9erlfusecP9ND6ScrsWb6J+QV5fgnOGT3xaIhl0OR9MHinQptvS4flg4lmD TAz0d1NoHhNlRv19AArx08pawDbrUDFUkb69OpfVNvISsXqfBriPlXs1bELvmUsa6tFgnumSW8Fn u1CabVM7z9Zd+fFicIfYTTZLNHA/i9oeb2y87QAs8ANem92ykDP/46l1wLQiq2l+JGN6PeTCwBG+ MoHnwh+7d3P4w4rYgy8GJRXm5KDMlhIa3ShuYHp/dNJWZKlzKGiYe1nQwQMQpVZGAv85/o8JCmso 2BlWHC8wy5UnbUGsKh+IeIoDPyMLMG+Ik8a/dtWRETSA2AcFYkTjPzU9vPC5+Yn/WAVmSjCXBvcn Xn1tFCq62hHge8hsMikf3b7iGvM/9XitZZTGqOl9dxtX6goRac8ptm38VXLLRMOIg1bULNiT1JoZ 2ieKtMU4Aio4Dkv5Zrc+Wf0xz0LR56gcdhr3RjUkMXnYfAJx4VXh2AgixdObiHoA9k9TTHNcQdWU MUYoxOkjV702LBc9+7x2K3bJeqVQ706U7c4KEqV06bS/oAWBHmv+xN8/+fAKIqt9WJoHchuS6bki JrI4kRuUlDoL8aBIVP+peG/traIOeo1+/fZQnXFixkWd9KNhzYHDm18zmIkAo8cdxCnZIVdNJWWP fxHBBi4Q8CKYinHbSL7E2//vWlseZZ1UKQPqSNaRzXKtXqy3j/pAhPPqHrUgHQuXzxBZrNLDOsYv bjMp4aIxTuoPy1i2IR9KLNj03yKwYFllLQP23/kGHP4LYbQuux1Uetzn8ZR0Kc3cnznSEJCNPO8D ZUb7LlDkoyLnoqY4dL06Dbh1+lKOejsuayfSeMlAs9suMWhQX6wDV+gZJXe0uKApSicbXCR0JZmG QzPHDe+J9uGQMYwplFSFOTm22OIQrs9h1dGKM5HT9ajyuNyyiiqVAwAPv4ADIDRtDEaRKhYswOK4 NWxnAChWpdwXfMfLYCQrGQSeg42Qa62I7N4tC5iqRnk7jfFpXKVMdLZiwg2trmP3YFahwFR2WDht wnR9JzE8+R78EmKv7LXdeiYmNQl62UTQDyWNq9kOw2HtIf7/FdBH0fhL4yvsp7nqP/nZnyowXdfo ImzfpUlKQIIw3rY+d2Cl58/DM6zvM7pfQRE/RnGF9rwMvo1eenRfH3MAhauYRIwJ3Z4D5O/IJ/Ho RdNw7OQ8WIzw1X6vZM9EPZUSjMZL4aVF5jAXYnnqfyYN9/MmPzQ0Aa5JS6pDKyd3rEJX2d/jd140 jlvM6rO2TRXLeHqW7Pc1ztfFusQDaayBJmL4rZU8Mb8rgd2GR0N+A+wgYIVVjWBPpzjLqKCXdH6A EvsDaJ2+T5iNEsAWy5cLo9D8CHdFzPl+zeYyRsGrSC46fHOKX+wNeKJb1ELnDjZezHcljEpqEHZq BKmmQVaagfEla0lwCSYuexzaIn6OESIv3qh0L55II1kpk5m1DRu/h7bx2MqBQxYP4Ilkykxohg7+ 8df7jpObSahZ06h2z2x7m7jXy49q7KPrbFS/ZYzRzbhHcZZfH9Mqw3rTxtObT5Eo0kgua3SVlC6h eaN75WNG6icQYOW6n/3/PaIEqoGqb9urVkooHp7JdwDp/Y53cZ8vptoOWF5Frj6AMeFuU4GdwDCm qp/Mm63tgFmNYMRQLV7s9tbqNEs+fvo4nLzIUW2ZVPso3yrMVKTf/vVILZoZpiHKy8lkuf4QGmsy 7dHNTGY0D5/92xfgN4aFEvGgQfR4QyShGjz4G5+6KjkE0fx3tTlhSvyHU/98sCnUW71WPcAmPJbZ 7QKKLa+zLxgQbXqMZ8NksvSUtNbJLHP7vfElrGbv/bS6ZcZBVpznO7e6zl7j4ox+QioF6njdBniF QSwTUJFyswgpARl0vr1ATCo/fLXqiwu4W5kIlSqENoBwH6F8eO75qYRC4uayPofAEY8Yv06ewZBa she8FM7SkgiCzsnkqpPo3AO0SV1ggODq+Jnv5ipGGt3XbGvErPCrDBpNm/N9uj1azYve1u3DMzna 3+i8KQmmpqL6yrOWZMJNWR5shOFFscfVXyR3HTBSPz1gjL5CJJulJFjMAC9ghf35xr/boLgMtE1y i+FnBO7DwJIl9DPrOeKmImjexfc06UQ+nFC29IRqJsI+5zzV4EslNheS4VpszG6bpRka8TUe01zr Rufof04aAJT3pGpqWF5Fec9qppD4syY79pIzGY87axQbqwlVfi9OsstuUiCvO+RkJoJBv2+yrvXm TzAo3pddGV+ED9MgktU0S3ulM2/MlCxMqvzR2SATwZJqpiFd4WX0kdMMNv/ed/4nNrm3BcnkawvU s5kd8oqLLXK5gVutSpHps6mS/EthJoL3sF9cuSgBzJqjBEDBKIkI2+1sxMKqmgzo6f64CAgOWk9W bMUWCDgMrgZqvqEDgdxX/jKJ75AbPyKGqekhJFj1OGECuYVjI5IhD9HXsQ4stkv4DHHBDLZgdsbr Wo+nAyQkVlLobOWMifF9A5pL9XgUKnZ/yAaTagCSrkUQBL2OwyUUrMmyDoI79rMUxFt+NRA3/VsN BUaCCMIJfTTBROa83cikraOry+GyqGIWkEI4U1xY6BBRIye/tgzBYgyvW2kgeJic2hbs6/V56Asm GkSld7zlEN91xA90xmifFOZPaerxgH+lo4DQ1R2FmQ8foliQiTMtH1sZIItSHRx5IBHdDBiO1LcK TCWS851nZ8KHPBU+wMUgzCJ3gWn4fZRUwHjwFjy+yeGyGrXn9Bx2UXKDo8zeXv6IOMaNZXRuu/TM aq3eo7bLUN2mLdYKIHh+HR83U5xUoK0X7qsA2bGfB5AnOqONW8qLpgTj/a1nzy9uSRLbVZsm8hoQ ZqemyKV8ZEE+eKdVBdo7TXSWobuu+fwQMqd6igCW2pwdKPskIy5CDE2FjLShSmbyErrFPr4WYrfP hux0gXtam8jZEYMRnIxqrsdmYLMGaztPcQfKjnOA7Ot067SmR1B0sCOWm85EfN8AZqYHuAHGNdmQ /LWNjAhgiaZ6Nnh45VYFUH8qmm66IGBNtveOpHLYH26rvvDK82AbUby7oNknNedqiIR7YzXq8KdK Xtz2T7G0UGDs8GZLl2lr8PveMFaT3Wc0C+6PsbnRb/FlpJ6k8HbVNRmDy9Zd2Wn04DY6Bd3JUPaR VXbI888iGhUWU6YVoaSTTDHnToNc0uenz0N+t15E4YGU1D8t/mABThYkA28pFs0EMogiqw69ZyNT +TBlCxMf/x/0l7qAZWs0taHBV1w/8VAQwaWxu8piNi4YTgRnnC0wBirj+dYfCs+KkUaKBgCXMgRJ VGsEwfDiN8lKbYQLlVQCLPsFlQc2lPBUIWbHq7MDjlUERCC2PjAiPATVQhhtWJVdDRrhnqHPLP4W 6/c9mW1TWDZVpu/41MWrV0wWOD6emU6rRRgIW4I4l13Z8unpqHVaHN4B4x1vFmyMkmsBKSwSLS0h ZPfpfTQy5rTfdte7ZKD/ndDt+bhsxhG92gjH4fDr6X6gNIOQlyDGMMGXUkKFuUBoQCAXMy4q+oef 1XVKcelNPKv3hx8MNW6bGnrb25WeVs7t8DNr9hrm1T+BDWoKhg+5C58K/uleOT1teTnYWGHGtyk9 oKqUdYhNBp5ReZMzfLKd+FRxi2VCzkbNsXnoECGMnKz0sClH7nUQZtOqr022t/dxSC1+k2iyw3jd FIpHKx2nKML9KFoNTtigXLGr1FTfhxdL5wnMg32AlhWGuMZy93c/FbW41Ll3LrLh79x3cbM84Kdi tyScUJUhjcq9GkSYOXfHBJ4OqR9TUM6GON9TkK7zaF50kfqdJhuf2s7nuXXm2oCybhURDu7uE02e f118S00bU6M6XEBfa/BOQWTDKqvTQzs81pnpxhq/MY/XIMteSV4SR4wkwPjF+lgrwTKUbMp0ZFsq DCoi5zUciTQTfYMVm6Cvwp0ghx/eZ48zVS35MVt6p9Y0jMM8FidQ9TSMAPU4Pkpcc3xx56gnqeRD hG1DbEhT3JTgDLyEnlvAOrFpZsHshoiowOyRimJzdeyB3I+aX/6K6wYAXMwuXKdGcZgGcj6KHO+x kAUL8yuXDQisCVefZFe+NGrsxD7wyqDPJ1WYZHfYIG2rUSiVNU4uhAl1t6BdouSlF2KRe3c8/25u XZxMJ8T54YXegksxqf+wuAifB056rBtSKUQ0nDIT8yY14DE9RXVTAQzXgUkqtqwgSztLv/Vf9KrL q87sEcQ8Z+E1ZUXuIKfFG2uV+kGvYc72ehGKooYXltOHk40U6/d9ldc4KbKtLtC1ExyYJs2mrPGZ RpKcPaKqlondnDkHfcdyCjfQGXcncYnAOiTU/P1y1pWqPeuXDU6egeMOwhCSnJBAlecFUncnMwiD 9fh3w+bOqG5FfXAfcyv3nATN8RC6NNPggqo5Z50rLPjRh8qHgF7C7XH4eevuzkQOFfi7fY2yfQZE xGsSF3rArqC/B0+t29UHPvq1rXSds7IlqCpQ2WAExWGzKSPFqBCtr7+nHpq/pdNphXx2l3bUUVWv gRbxB8E0y9ELyToRRgSWz9Pp9JAKJbDh941lhc+cb6txaTFF/OiDFkGf/blu75y2n/vcc0Rq/bIq UaX5pQN+497202hDneLO17GmGtYJ1rZUrz7+Nc2TYHofA2gorke4jJ/JaewJc7alUp+cxySqJOGw RL5AL6EhK3aMgl2Vnd6l+rL3PkN2or52LvvvFLjNACQAFEUNL1/2g5kdlRPvp8WJ+JB1PwkmwFjw 45SH2g7CyPPQQcFjSM1utPGV3ZMuiE4Y58T3zVK1egmTnH4VFwdSYI1hqMIC9MgvlvHicphZ4j1M EqIfbBsjL1Of7+5E34DOA0KIgSUjMANTeU769EWNGhXi5HS+yF1itFV+iiPaPavuVADXhT3hOOIk /Gvub/aiGAMc4PdozTJYUSYqM3dNZaoTVyc0e/QEi02uR5IsRl4LTaeyTT8kWr/UgzhCJLEdnAY8 uMTO7YRjmFkyAIZBzJi6Zpj90Py5aXX9gbvo+OnNkMyjZQlWMHBbqEicpDkr8kBDXeeMDrHrAxa5 8NpqskNz1jPYw0Umlnxz/XZD4PXXqqjV9v+PbFA0vlSS7VJFGHXyLY0wlBm6L0SqXhHvbxWJHlGL CIjJZWBRA/tk0eh9bYPxoD6xhYeqU6c52rDAUwAc4M5cNJA6sI5nJlGSQfk5h9/pV3NWATFgHOvi W6STHb4ouN6R2qvaQwCwIpweSy57UNBQeYa5JuqGVkeLfx/deYLG/5yY5RRHT3ixwjQ091KA/wJD 7B+KDfbmRk4c0iujkuf4rfNhsOauFBxLr7mg0q/ZlrO6Yh6/q+6H1NahnW708gMXlE2WK7kb3zCC 2jGIa0QS0QSZQEjJ5RQC5zLNYCAZgV+oXTXe9S+QwNwtyDuXqQfFOfW2fthzZAM6kz3j0MvcrMc2 5VFThhqgQ5GBaorla8CxkqIrUGFsLXKXgacUmmXqA/on8SfTKoSwFMSy4DzXToT1NNe1FGFDU8Sa MTumRpd7+3+xFt0dGq+XVkrdI2WsrjswpaQ/9gUG8uUpIyt+kpfukhlo3SP20W9OEAgQiI4ByOO6 Kpqa8F7vD0igpjsCtxb6wrqINtJtSISU4GgEtS/rOdhEZTqJn4cO4isFcodJE1GLuWBnY0hv768X hKk9anjBi8Uga+eiqaxFQ/aUWPnaSG0C3OvDb/24spGXFRkXzmt5llGHlI97TRmYbLk9+8SVqeWc eWkEEZ7tW430lqqEmVeb+owPJkocl9qLOWykVsCsfPYo5xa/WBYeIJrB77hhNLGAYFugg3OT2xw6 pC5rq9pfEakd7LOXv6NLXe/7iQlQPnN7SVZUPLJ8lHq3Tt8ymrtRHEmqHEmsBmsU6ej8hFSRd5Gc qwXtSH9qjq0zQh+Qukm5VWXu3YLohksIGEL2vvWbtkQUn97uAsUsbT2eJ4Wv52OpX2q9NBKeMlrB /EOOv4TvPDIQ2FfuSuI+JPPpSxxRD19sigvl/uJ//MwgZNUl4Nzj0Hj8w2eOv9zcTMuUpBkG7h3D qupQ76Tes904SNLYcuES+mbyM7lkVunQBMKWyK/n8Jq3P+BdYjgETAqEpdr3Fut+Rw5hijGgYIQM oAiXntxmQ1wqV9p6Dfhb/6+Z31PZ2PPf3AJUyEhF0aFuJ/dEmuOw8Y9binETKAxRNP7MRazFIyq7 rgEQMAEXx0gOUGf+SiTSWvINDu7ioxNe49DBYYqTxu8R/cj60GzJGHxJIzN1tYB7HBXp2uYuipvW reqOcsKzAVFjxr2v50d3CdKYOaDv6p7folf2mABsZuZMYuy3ABnupoEV1ynY/sJXGMXe6pp5BDN8 rBLkRbi9DVg0is96ZneJQIj31NPCaRE2tDNTRYcdR/hi7Hwne6WtU2l6exVORCCobF2nWrIG7tAj e5cqFWu0Jf04kkwMmgzyzHXyk97CdZNG/8NLAtJFcCnJeeIwXfXtcYa7QCy7r95L/CuymZSqhOaE PvGH+49dLQTxNsD9FdHd+vmJ9MEOgZBtssUPr240xbQTd2U0jvqczcb8y69vnNpnRN95uEAJbpkC y540xS9A4Ez5TlPkXrTFCMGCm0MgSnBBMx1SXjEjj2weDe+E6bGL0ZG7POlISDcKJl8G1UNJqVFW w4jcTD2C+WwwZN1fBUSHQoUJMJ94TyRoZabEPLFEh+fwvL1doBfGlP/LOXtyJMGPACW/fBRTqpgU PRfqoydQn0J/ln4cICbyxUcmD107tsyaaDGSqdumRCTY94Ei5n6yHrJOY/Gj2RtI/MC3ewyVwChz sja1FuL2inW64i6J72E2wq7XQgEphE3/ScKlRZKM24a2t5spL3VkGhUQEXMVTwx4kqqT55dxc0/I dhaFt8Ev+tyM/dG+8DYmcnu+JG7Kh7f1L8r9dwJC8YNJ9azcDVSt7GAIsPkNm94qHMH+EOWsVaSH 2jXsjiV+ougH3C258iAHEbKH+3jQRL1WAuvtFOp+k7h0H6K0bdgkn/SyNzeP25Z3TzkpdATdkhjw C/cl3EDsrj9rwRyitD2lsKupVPwrxHZcAjmRqepMT2wF8sT7nYpQ4qbrgqRt8fKJmJR+YGCXv8qg HdcFr+WvT8hUH3VyXPSi4nVyy4L/XKPseXIvS0WHRLj0oJ5z3LeX34WvB4xvrRqklHVlOYEIS5WM qO8yRDiuUb8i4LZZ4DKfCcLlXjUQ4qxIZ5Rvmtvjz9HULjxiDYWoOh1Wcf0O1nIFmLttdaeQ3S7l AvbQfcqEI3lfPths3XcqhGwZth0dvMsvhRyzK9z8sTj7iurWXrSg9UXOC/Ejr0NMlT2P42mN5ma/ 8bywC90Lb480h8GcuQxo+sz4N5IpQWodIXlb5m0U1lFOLfOpWq9vYNZRGYNma/sVZYgDI7IaJ2d9 4kwCGVaIqikFZTTst7urbd5OdKHAwscyIQ5jiQUS9e4lHKQZRaPRrzBvNe2JKHTgM8QLi8mJDsqk nMw0pVnr7BDdxlyR/ONIald7nD6dd0UDbdOvOGiuNXnYQ0u3H9tGfOdsRC4T74ZgzaEw7ot6Io+J ENYFPyWvg0abXyv/o0/8qU2FgFQJ0hZ2AoY905H8155DamgHyXg28w3STbNESMXou/LPA/fct4n0 mCiFWs9VbIirqzsJeu7FpAekihYH2H8CnVcF9UktVxtYuv98LQYIv5sYI8dnEfzBBP9G6uhShvtl zkkg9GHIydA0cOR7ic+Y52ixsDZ6Thi/T10djd5aSsTAD/lOdsEfyAQ5OrN2r9aCkvMx9whO0vno 105n1CwKS0hJm2tGr08Gjiiavn7EbpTuhxfm6JW+dTHlM15s7r5AI6ZE/5PMBNbRL3U5kVIitq3k sLzrqnnl9JfstE7xE1FxRg2SMP699FXvY1lx30TTtToQGfxrAF8MV54qSBH4USj8I9VnsD25hmiC Yzhj99o5CIqM5P5nsu7rl/hIH2i9cPXMFQU0YNTz+wFu9QOSgwhv67bDRv4pgsMaK6xpATE6fATB TGRGjKg2Kjt4Vhp1WhvEmnaOFz7SwjvkwR0L17GscswsKSwsQSSaP6Gc+MOU9SKvRwvYoRfnfslj nejdWfwQq9F5s6f169ErieNENuN+SB1UGJMxFivIqzbldQW46ahxVw4MUW4RK4j3eSRA7MpQ0POp LrJwdol+B7ECJGyRHJ1ftxC/u3elopIC629gsuvEYAF4P1vd7krNtOu/p4gl9ruhuLuSlIMoXhcb OZWfbZLQxy6UrW/jQflkz8Cn9LnecBQUz0VacZgPOwJ/yr71lTrzlXVLBkv9LRvYTwKZSwkTE1vh UlnwZNT1UWUMF2BYHLq/8rdhUyZbF1hlWzVP75OZM2VMAEKEjwegqjGtWIQI00HhuwJ6YnAdhbq4 nkgLvJ7asSk5EbLDf3AjJdk5jONxZos/rVhHNgV+3nXbTLpsn2RHAsQguM/V8VmmZSyu6nV5qsz7 0WSJs5WgwX0pVPl7wBKlbO08fyRLmGI/tW7yapCRQp+W8yHs78EA9h5PyHGWaX0uDLl+EBDry/tu e+l4ra5gryUrcYxYnTe7Wr0BkCAq0krARYSGxQGOIl6VLP2f9aregBOTdL1v4aSVGhE8/nHZ6ZPu +ZzMNbhCk3ze6J20XQoyofY4TuXrEaoDiDlSRbhbnIAEMwpvw6goBgM6v03ekBp91BfCAn8cUNry rk65yBioMA1qsBfvHhNs29wkFLiSqyERGOVGAyu+sD0Tj3q8WO3kK20nYv5y6T1fXs9CbvPy686p S0AXPbb0fYe6QfVHpEBnBFMLFhbg2yzVw7dYKALAIUCnnbirlOyQq76o8xiBqryDpiBS2qTSvBK/ dWlZhSyNGsjmb89xbXictjau1b7dWnxgXZyzBLy+ruXO0MCCpu1LLbY9XCyqtkG1L2ITB0fLoGpo +BdjfpCVGQ6BACCAbtetpHWJ4B+o3NH/Bkg5wZnTsCsKQF8p1JSbxEXQkQ371R1NqDUQT5NdTPRF D/Ir/hXobfXfipKh4iS6z4I+HDfp2nQHZszmQ3+NxFyzfEbmCOw2oQAVonmg/m+8O56f1uoe/kzY iC9TDxKW+Fq4wVbjriKCJMmTZKtRM9lOrAgjl4T0QhwMwitZg4jZCMx5fEHPmZSieEgdLUZIGGIT oOX8X1sseT2zsL0VVKIGdQuqA6nV/I5QdlHNGpkX06mAA0lAHrc5JumAm5ACaVr3fbnte4JRTCRo zWRNgkdJwMcKZH/3QD7SAdkydoGB/tHTNfCvLt4u8AORu9sN5uHZ3vLTgufOr4MmWIWndXkwk4t6 hEsokLPvQFn9YHXDwlAWMULs7m8vchLjd9tIwy7+Qiog3SfYdmaDv3g2Li+SgVpPToVC1OeK2gH1 mg8KNyJvP32g3ExX1i2bcOn6g7/oEMT7ffv3KEzItk0l7hftW8R7UXvm17HTto47mjZp8dkwESmg oK+7+dQzybZ5gTP5frywNxEgMRlRYJ6aWE7kliKmj6UsaBYvYyfSFy3Q7GREpG85DAL8gKDckxc9 g1DiVaws45TPjqMCJRsES4Sk0a7M+wWsUVtLcsbNWV1VWuTLH/0HlWwMYP90uc0t+/iO9lUPisPt cAwOiYdbdc4BouTrMbaXerjyd8u3y74IY5cLLPfi38Ijep+KiuLw/iya9xJpqWU5kSlFsPujF1Yr FnkkisfVf+UM1Z4NGjRiEdLPGdRyFGAHUsKQcBP6aobmDASHc3hB9gkrsv4m5bAM//nr3exJEVIC qnSab+cNy9WaVAbwBhGJHU/GkpO5SSSy5d/St3I3ngALO00H+Z2sCM2az07UfibG1CYGMCccq4aL le16TqOtFvSpJE913g0poCbsHtlK+Mozr9NIuobL/t9XTKDVRJ2SymxKYodufYzSyOGSwZe5cz8h rfM0dPQ0AVg7ju5oKbCM5hF8bsZo+z5xgH+wmrJbkN750R2HsXBjOVhGcn50AAWG9YfUbHgJHIAO d+Ozw3gt2dE2X1jlH1i5mKBGpcJik1yroZg+hkmZEEqZE98Mo+LENix4sk19ZqFly1Q7400kXQdR cUSuTwSwLU3IaNcwAsH+eK18L2Gm2VoOhcBbiZpgDfxjOHT/b4fLJItYS1KIZ86a+Mul0LDeETn6 x35afgvRBDG0tZJgq3dgEnUs6CM5vuevTUsHjT1SYG0X6+Z97DpGWbqzwIL5SNLu2i7IzTa6fUHq oqAOg6ZKbLJ/xIX/MPkqndC8LtHDC0K2/abhF28BkHdm2L0JF6t3t2Z7BnOoP1I+1GddGlO/6fJ3 V4tKZumRhOCyQPJvgU/A1Y9EYRrMLlTMz/6pmCmUOufc2I2NB68orlkBNZtqGDkorh7cOBLKpe01 f+PdLWGyQT+oY381fhgOMTCuLpdBy3p1kc6fxBG5KT/VVzSrMQ4uxd41wBKUuLvj+E+yQfBJXMHD M+mPGQ7fgth9GtH3Yqj/FHO6AtLE265QwJ/5A8iQPt3uRCdOm5RHR+WM/eVPFdR6MRQyeKD26sBl T9WXtv+zUYvLGEnw+3pMMCkdroV7FQGbArfPNbpChd8Oi+OECRt5hMycAa+BkUpoWqH/Zx/2lZjP UdNEHTpU+Vz4CAyNQvrPFf0iIJ00Se/SoUpR6ixk1RhMRRYDPyNZt/PFyJCG3RJzHj4aDaqUD9FN QR6QQ7XX/+enGrs9tjA1ZpTnpc9INOASGgaF6EKw4oIG/SAmNXrP6kKy8tBXROeI051bB/FTfsgF tCZHCrfae0r1UOk5SxCeQkt1CfnrKuj52qiCqPcjQ7qYxOI3TRT71YzKaHm+aLvnpgepAZLPcI68 sPmOYnlREhMlm/hUW5bh6npk75ZB9Uit2qHm+2pRJDsrpLGiJSkFewqNknNqIv7+H08SlgNuiPxy nFc+r2ke3zKM3bboe2aPhOLi4aEh9x1upJMXXY6toTrDsNBtJVRw8J+SLYzoMkkT6Pdhy4nVf45g OF9NweZl8ZvcEqWbHyeb4xQJebAxB+xrGqKOab5fpZrcXxR/ArR1ZIQHsGOro6dmK2C+zIIv0vfa UjbpdBEuwie5HQarxAcIsT6R3ELJgs84AzNYAWdojoJJL2TBUaCJ1Z02bt8PTqtBIXdQXmUS9IpO b5PGFrxd5EQ7INpu0H4H8886aQmQl+P1YayAzLGoSlAwZY5unbixM9UWwcb6q785EhKDb/nSaHpq +mk9Ce4D8CLEOoVXx7SAoqMJX+WkkMeQhfAu97UPWGoBBvxiJxvoqjZqiCDUUR4Ty6muvdEUkukI oVwUeY8wOCaWDMSyPxLD90bb4e8petil5G8uDNGXva6N7fem0B+8q4khVF3RvbRUXYkWvF4qSinm YTwbrvBCoroEmqVhd8YluDNUJHw1VjujJKQLYwZcd5sMOTKRES0ATSmUS47Zhh/a+vKdBBpKT+4u fLeZLE0jQqZVD0hmL5oQ8qf4TY6pkiHiYjTo1fiq8AlYPT13Th5zkVHiQiadfM95PCPL32CzWN2/ oN/QcA/oVMR16tvpKvnWo9OFM6jh/qwqEVlDxqmlUkVM+pe9nW6XOpMPS4xSnYpHz49i42hQdbCB neA28qTNDFbGOMdCWAzxp5kFRnwtXvpI3AuIK1DAm4wWwXikxOIvikKw85/zW0H/XBTDSP3f5KLb vk8Gpi265LLlYN67Cd7NnNxFGszkX2Hvlmmby3pRL5REOn8sFs1XniDHMIqGD0BV8aPKWjJT/53P p0OGmmrHB933N/1/bbxqBHhQ5AObk4ki13iSOu8vzcYz/KyDb2TmeL2MjB0cNIk+SwIzEgNQVxKD ioqokgJ9aulonLm5MDPKwyaWhlVXm8HLegAMVzDDCCfMrLL3BFCwgRTTJc9de5Pj7bgOLx3OrPkj weFq8rHEdwVpTsnA9FYc6bbdgF594T/+k9H2OpteysGPF+l4BKwMTmxX910guIQNT/gwlQ80ujA8 I2ruIKfaqvvIYotJx84g0xzE6O1iJyBK19ql4AgC8aVSKaMVj5EQFCok2km4LkJmX6jvV6Kg78A1 NBaW/BzFgMffSoTQMxzHbNhXKYzWrbmPaRS/3+KPUaQOJZrifqnfq1CA1lECg4cIUrbiN9WpI1oe 22PkEyQc3DJ+3/sYUzJ1fTNlLLoFXeFj5bdXDDdQHQnAAqkZWqsrxkXWmDpTB6+Fe00PRuUd7xRP hyHjapmpeV1EseNc7nLxE6SD6w5UuU0sTQieM7Jcp0YK/mPeIAmA3hH6D+I7WK8yaG4+N8dgFR9t mAlfqdCGomhkzuVfjmf0WsbJ5SlhjUoBRwBbdPjfxn391LJHKclq9dkBZM9A+0fk+nT3vq4eNvU3 2r6gZfXpRWDtxDG7w0lbf3uKVubQK8pu/y2NzBuUsSAUE1KPKvRqjNzc1ehpfepzOhW9RcLBu9JC PqMBGdo+sJQucI2tsWiElmgm1XPqbDVT38mXzarWHY3GWQUm0VYWmwzf7yDDFdL6kcWjHo+hbhBv t9s2Dd3XDUxw5lAO5zovJSjz4pZ7xQstsVOV1xvi4Hs3bAA3fmgaeXXauFlhe0uM5z4qWo3muq4E Uy7ykPLfWzMJv9UD8Io5NeLG17hieWmdQFX0JNIp6whKIbS+gIB5i4ZDfFIAnBRObtPaCuoYDCfb nKFGhmfInpSAo14ByUcxXLUTAYkQuQc62RJ9StT4ZRl+EdL9WWLzNTjj5DKv3krKIpEGjs1bXNG0 B8+LIihuSlBhoCzHJDu3QltQfwimhHIIad2/5JkB4mwoEzwiR/gq68MvPqvpxaqFLbOp1mWQFEhO HIcFNihVq8fulIc+GVqtC14mI05CXST+g3nVsSvDRZuz3XMILSQGb8LDIHmSc4CJ5jBnNQlJY6sl AwzCDzIAK5Ls+s46Z1CYtHaakWjRsqZJ4iInG9+50ip0UlEFkmyuXxpqy5PzB+NH1bwzIvSfB/d6 U0yLXNCjKZAhXJeU0kjDo2IAFZAxzpuEWsDIgDbrCJidTrKDVm9P0ZXTu5yH5KC6a9EupKPsmPNf v1HTXXKvwCbehVcDyaJx7PRIczXLMKABL0ZwQ/rHHpnoXZNGQeZ48KP9LcQZxw4q/AyxMdwQZXQ6 HwovUYKZX5r6wg79yLvQS4LfrplnRivTJ7cQ5h7NFV4TLvYn5B24XvNFAdCMdFqIGRpXkkp1Od4F QvNcII7TPOYssTM1RkZECfR6A7fqatkmZGJcmTSoEtdCOG6FFeoWpAwRqYAPWt9dF/wE0uVlS7rN 4jfrxG2/dUn7Ef8wSNl2POA2tvtXyyUtfMp4tGibvS7gwgYpDHRiVRakWGiXsUficNKm+XG7Ed1C fOctRryAPxgwRihCjZrZiFqJ0OXth03rpcTm3341Wktk0S8YcIo5AZhaeNPdeQEsmDC6vvqOLvcT vGcloEawdfLw+dW6EE5MdQDaFksFwcTBYvA1yNitEexYT70WnwUjvEL8GzLLL309BR2CrKNc2pG+ oBeV+pV4noDOcheDQdIRTbebkbg1q72yK1GTNVoRc69/dWcKlrHX9oE5Cc3Ix3KK7nWrmhdVXe6L stONECZ3r0tLCPylBEmXgj2XqJrTBiclsakyjcHg17U2ysN47dQOrYsnpqe+way0hYmkcgucsGRR FXMpFQmdE4YTqXWFmKnnmtrtv04fyOATdfngFgtue6edHeAtPRqPfZRMZK+Tb7NnfdpBoZeTRr0k 7KBbRm8Qd3w+LU2jnyqTuW4Mafgp1F0Go58dtbPM0ay2HkYJ36Z8yqX23tl12YVz/qLm9otNzyTH Uy1VoccK43OygaXs1kx6jLgSFmejCxIBPmCPnuGZ+mlNhPD2BUzUICeL/iXTZl9XLNFVc8B2FzZh ik4+R0zHMluX+Z82cdkA79emkev39XlCU/wz6wXGK9xZVDzi8eDNAv+AXpBh8I9i6Omi2rhDo8iz 2OT3cQiqaCV10Ns9N/uJgFBPZg4+4jLvRdf8o8WOC5Kb45msQPYs+7DlBwtvHiOtM+dMiiR8Lm+W hwJhAmaBcrkQTNl2BW1JHSyAg5dH/amMVqN0H0D7qgkB/QWZpohlHWAU+F0KqbM2okZm5ydc6Mif uaQduoaP7rhhaIwFSFsktKnzZRlwMt82luTs6SwNepMPjKsqbyV9ZKnvPdqa6m7aWOYTWlWcblOf UAPZwLsGe4Fiw8qcSbzWVy26SLXCXEuQG4Qb0SSpmm4jfbZfivAtG4XApSYzWDhxTVUtb0D3Ytdy ULrBmoIh88km3IsN7pDrsoxKTZbAW3xcvkjJDe2wmKzKcYFr8rLX4R4rdtvkcu6G7lIgf/1Mmik9 7uTxtKzSG/LLx6Ldx0Xrctv9gNNbxmjXz4vCBOGX8ys3/6n7UtcYZZfNVkZ8XMpSfQ2f70Pff907 uIZ7JGxD+KkQhDIrDXcl4JsS8NUR9yeKDTIMHrsaXt3P0OzWYSB4yeZfJeNs/OvkfzoEhiog5eI2 tQYFSQA0wcXdsCNyhwjHtO0bQ0LIl+Sul3W93kMP2zqQ9tSDGVlsyHXw2CEsWIxu3UkvChu45eCf vmdxpqtixP6kGuogrylJ/4o4FIkKKBff7J+mhKRQ9oAa7Y6RMP/o6tn2LhBqfAQ1n/lIV1cAJBUG xpQMmyz/Cj4sYqm2J/32HdmJrCEPwjE4+uYDrnsEa/yKjxsSr9j4L5sF4fUcb6s5mJ414P++GqbP yXgWa5L7fAoQQmFFfZPrrTNZpNAT6v/G1UlZ1JatCZ4QOK9yxex45TApwwvm1jaoySy2c7BzU4D3 WKAL0iYbIerom3/3Z7KlLhz32zo6y6FRhFKCbvvqBM7q6UD/fSB39kjTNEifkL3wX0x2hI+qMDty 7eR+OUlEwxlpWZNPWgxi3XEgp5jYxYacNx16KMIn1S0aQcihKFbc3rSpbr25uOkcM9FcSuUweV0T j0CY71ejmtKrd59+XX8WftRPrZsV5ogDVA/+gTM5ShzCnfwmZy4ZQDDmfQONvtJeXzsyuy5S0w1l 0KBUywWg0dIvS5/Lrrri8LVwZ00BNZFE9liyAHLz55gTnOGIpBrnP9u6+c5jVRlbdtVoLBTKNBhm MSbo37HBZaMOWaLx3LV/6APrALFLaAjIR14FCPVLbKri2OASP2Tdj6AHeBY9C+q4GukfSbmIG/bX L0LMOnSDXL6HacbysiuDRgfY4G06LtUMhPfkbrcy3pHO/MW+rTcI8RtQNqEDYbUi19oSkJMhZKjk 4xDzJ9pe2ZAxoKr8N5J2DXDoTDgPkFygOp1IWr6+OnEPM+f+00hWaM0zjdbJccFAERRt4BojiBZK 7ASy8ar1WIoNQPWJKCuR1ZTw/BOLnSRK1fbukfRqW63NRclxNBvd7N4v7uZ70rG3H6Z2nn+08TtG Vb1YahWWLUAZXDL/v1u6FTazsKqzjFTrFuMbwH4TE57qhGxMqMJ/dlk6qhYiahiMnQvAhgcO13Lh F0yJfGGvtrNbZNsK7G3STqNvLxzCkomWd3RP2mHTWYwAS+bFFaomr386JJrWE09ul3XuH9PSkR/e 0YJzEh3tgIfCbZNFhpx0a1E77U+snlhUaGCSPyILzx2GN9J8UgwcjdYLgwEsUIj5HeDD/XkhB5kk JNQ2cQRHPcrurAT85iKACMGFEUSJAKNb5SMp7j7YGydTXGxI8Z67WjiYUaKgwKhS2ssQLyp8WoqQ CGqLNfb8cmXh+QjwI9YxHAVtV8//RfjjoiWm+HU/0LLbDWowRknUiDG2zuBh/8omJT2Aghd6YXn7 w82/gXYKDGDMaicL4NI/3+zcpAuCfsqsl1NdsxmoUAZJMhXkiCZCVWoZYDhCmBaTMTn/QNQ3IC0m qtIbnPN/05aPPsmJCU2DRngEjjC55zKTCBh6fc6E9x296AjwgYTihfmwwgu9zC2c6RbzC1a4HqwF YvCN8GHT8VtGQb6jfw3Ito7nq3KFKtjR9KRo0jvlam3eMS+0n/sVlxXL9NOIiJ2+eStMIgKvPA4o EJ1+iwThn1+gb5GxZVQw5tmzHL3fgmm5f/SL6+/cN+neeUq1zvHTHZ7pElcOHEIJF1chq3YeNEd2 WZeJRfJXwb/+4fF/cb+Swg7LcDhCBonCaXDp9JSsorXzhiaemZ6d9m6dK4oR4H4QpYrQ8id/oriA UnJXZugcO7C0pJh2cuGTPfBWfLN/LnZ7zuzKJ2WgOm5Zv7UuZoAJjRATbeURmliUnk1g2hZaOq3h yGulDnXVe9XoOre1TP41Jq+j10ZWOxz8c4kxz3eouPXfVjwPi83cWSrXQ0a+L5g808Jn2hLv2w7r YPOc5R6Ar1/t+Qn+OkY9cJGIA4TsAQdQvWPD+Tr7Dk7FaEV1UWEad2kLtp+l3QVUfYKdo9OJlH7U OxBc2C0BphWKoAGszUFgqQgPiE4wy9MvUEcTuLBI8jb2PQb11i+lvCAbf8tse06r1pq2FEBD5hp4 TYWm0ZNu8d/CumK1csP2RQ3nhRoC7lXpqO//z8om4WNEnoQcCjVXTg3ZxNFAdj7YsSh9jXlzUhhR mJMpHB1+FvxgXYP8FS7xV4TPnv0exl5WAND5pAZNVNTNgnMkDiZsoQARVm7PfbK1VxcE798bInMk u/7l0mIUDFw8G8UOx6s8WPiPS8/QUfKzlAajTRa3IX8Zcx8aeWbA0Fau+onJDCjXUQduuFUEj4lu Lq5QokGptuGzBOepwlHT5ABafJgl4t15nyabvXQ4L96kE+8dAtVvvmMc3bOlYkAg3mzKi5QAIGYl V+j3/6FOp9y/DTGv9SJubVjn+0cszUy0sruv0uBqAqjLnyUSmjdTFKR6dSt8g9B7jbG6cqEByHBm NqfGZJDNdTUq7kTJeg2BYAlQ3ZcxtHJxdJyqr1YEIVP7IfXJ8KNQYd8nb6tYpUSskmENf5/5jhbv GihR59Mv0+t31j9/liypxIWP/gaD1C7KZhnlY7+8WX405IwcPztXPoYQSzTmBSF+ni3cnxNflaYd OXaNRYVUCEBkyIhnNLK4OLLePcHmQrBaL2VGKbR8sjkZycvFDWZl8Pnjem85cGNu/NTcPzw0Ui4e I6Vi1oFEiqBCJZn4y7ybyKbYmQR3htj+jhqOxyYfGCQBzzmSGshm2VvP7Y72dpN+dQ6wdRT95j51 12cU9ayE5TFp3YtL7T2hmm1fHTqPSv4Fg7HMzgRBix7VL1KdMciEOYMpDgHTfBYFbI7AYg+J8YSG 764m/Dm5JcMLsM3Ra8h94LhSk3+kCfA7gYsqW1FJM2NLXkxZfZQfy8HOAh7z91MPl6IOXRjU+td8 T3CYNwOJ80uK+Tnm2P1gJB7V0L1NrEDelhfv5cjptlrP4q6TA4D0rzbKJFprV1XEgw+yUNJc4QR3 WNNyZcIL7YBJTvnx4gD7v5obZw4swbS5+5OBgrXQOnmO2He6NNgZLYCWIBgT9HRyIkchj3ojBoBR Do+AaI3skwGb4U50KJQwBvHP/qI0RWp1IkWoWSgD1BJH30qyJ2dmhraJdSRFrONFlrGZWwlmdgfm Tv8u13KgKoQUJB0Q3XfdaPiBTwsUGHiU5BG9MJn5HJkfFv78QJs6XYrxRJ0maFTH9/8muFCqD+Rj 9+pgIXvSWg8phUHOE0yVSTFWJWPxwBkcs4MYRs/8kjRzJCWZ18sKNXScasjvqxcoLi+5+GlorQId vo1MBoHE1MQfcebAu06E8ijITXPXK+qP0bnapAeFjwznPvQUxm/UF5aWqQucHD3sm2f67v7LsS5y zCmEEG9qPzvqWl+ZPXaV5B3xuV6WnVNpO0qzgaDHxOyPCp4qge6zs5qj/70eqRzYOFEs/4/GHt5e XY+/AGxV+DaV0MtQcNEobDFjW7scKIDl9PTrb9x2hLIAr7zT91fhdMhG7zHEuhxvAnWNP6NKz5rl q+Td+3bLYYsAz/f+l8Pbp2M8F/USslwFPH2sPgZeK0ikd+iOd80v1rS1XUPA7fmIczXr9ZVpG87L sAikIv6QQHaQjCbAMAhlWWrlxyoKHBEfoWWSljzyiufrzTGiOejHQHO2dDy4bV1RWg9n+qsbEpIE fn1oGLflXcBL7CCs5LoFS+Wi+Ed/KjWDV+sIOkpnOD/5LvAHvWGVyqW9imBVPMdL77uR9CXI1B/2 RRcOGRDyPRigWNBUj3e+8QUSXascCpVLTFv8owfLoRWLA8yA/Fl+9LEcpAIybMxJB1w4YwomxtVO gxXmHfUr44U+uPpQAwyXYmhRM7hV/s6j3nZG8lQ1jL7st+EfdHVMvkrJ80U6hxdlb9l6l8XUOW7K WBF21GK5/47RI/uF+U7Ru6jQgIXy11n6T7/Dw1nGCETRvmdPQRrH1jms3Asv7kmf6NWdIJnf//LD nRb9RiPLUQF9r0gTx7blS7PnCO/v07L//sDvMfy6sH96gjjG2AjFOvEWhBOFbY1SMSqYXG9Ok+vv o79aQef9sahqR4EXj7GZTRn9vu33XS0Z4C9Yookfo2FbhyY924SNpBG9bnzPHJCewhrEm1Twnek9 PFsdA3PrLTckiB14KbV3C1w2MmYxfCVjBq3oDPzAVJ0JNdxUXwW6W5VxxO0ryeIBCPp2F7ASVFkd ZzJ6qg6Sc8OBfh87iUrlGJK3WBYjvwIGnJlWQzxRovub110K6CGYiAhbf2E7dwy0NDrhxpOt2F70 X9QCaXmbPeKvRzXCx+MtNoX/75Ocp06OTqnqDH+ai8Ss8gRQLIVYN1CW4+swsE6WFvN3AvWU7jrw ROZ+eZm9QRlDVsJFRI66ybjAWpS+U17rrPTXcQIPn7Se85ONq4dc5v7D9rDgWv5opIozz90L/UiO xpUOa5tyZGMGCDC78rTEtepAFXMC0oKZNu09LU7RMMzevp1YUDBIoTguDMhuXyFNtgjnsov/+eS4 tk8v1z8QRncUnWFusNxpdlR2GIdxBirwTC3KD030xF1/oBX4ZWhX8AThHDBbFd1vCUgh8qkkTX4v YL7UJSNOwSP7AUcgjXoBBH6f2VuCwqUN0G4A+zUQf4tyv6iECI8WhVRuSKv+hJHiypf/a0VtVjGV FxnqT5p8laDkU9vvqH7mn+b5C4t2IKJp5amTaP3bpBuo9m6M91jy2LbmGZrMLaFNdR4ldMVYdqUZ XrN+0jzqwufa6tW2M5XAv8XkhbqY08dfIPgVpq0aUJZUUr1nEN0pDtFM8aFQtb0MbjluaOgH3yKd ZBG4UvtWMeWk403qIrw8MEcP8KOXmyozsAIuwk5IncgUDtUMZmWeKmT8Rd/Pq2iV7XMvndDuNxqD eCVdbdVRjA9VQQFDA9MTPqX4EWSJsXBU8oKpd5cfBhlZLGcNxA+JdAHJOSuGrDcJbyQSGxA2WSkq atPChOZHbwSYLtrrFqNaaqYX0I+//TOWKPutVgFmUA9RneJEmeHnlYbjEbTF94rTVSGNFCQLktnd fNFhFTtnMV8uhZdCfAL2FwbvSpzIIZ4ndx+B64cJm0oMt+XtAhLmQ9TKzZdGkRhqbP6AItm004kC QEm3YgNvceAy2Yzx32XkoPR6RGsjSN43BO9mipJfq4/dHsnVsTVs6XOtGM77OWWqzi8EHd5IOfc+ npSOJ0RGpT/Dx+HC7ogG1SzsqRUWXDN+ue9a4B9KiLD3C6ggvRfMLA62HDM8iZdkKu8/z5zhspfL sj2LXz86IpgpMk43RIkblwSomOdCMmA6rcZUuyiPN68faPRRWWl2JU6P35S7awgyTyW3oj+Pgzpv G2UwYlCpavwH32YIuRwnbnxn5Ul9NWUm5nFfhT7Lr9dSmahlAE2GAgYpZ8S3g7HZTPQpTWoLzAMH bOrTj43lsRBMfNewI5e4kymr7HPqiyJlsmSBYz7Ccu7PLA0dQqzth3XPKbDar8DLrFIgwLYotHfR tzHsdBW2B7pEIxBp0xwfV5Gf6soKTI9Lmf8xdWJzhGO1jvWEjVGJdUEX0fliataX/L0E67plYi95 EM95/IUQHcC3kGzyI76jT36tSOkGK+la0K8OENDj0sgR7h3hLrTmqhf5V5i9wcH0nH0naISOA8Qa Pw52Q+cp5oYxf0bb4394/6ylTit+JjIzwrwZ5Qwo1L8Q3TUbaGX3AiwD5PNlnLKjmkJNTqFMGT/m UYb4IGLEx2reOZKBdiuf/Q+F/XIbKM2ZpqwpR9+g46D0EJ3s4FDK1CoPmkhoH5K0drm9HpBnWBPJ 1yOynIUPX8SFRyptA7Mau1U1W3a/iZxKSEuVGRmY0m/QNCd7WJ2dzaIf7LWOa/QQmpL9Re4IUg4F LrM/hWn8bGbrk8rZpoRgfByoQw6qWCv8vDFuRVs7uhXXpfZpiYkPKNEfcN0HlmeEEIPAFSS+Svu7 d85tpG/hol6yKRBfk9sxHbSOjgTaXLZr06ufuG6Gllm8G1VLm60twr/uoDaEsPBIYkhLbRkX6Z6N mwNppKN+rsMNKw/DmhUBKUqqfQumat5HWjadvZ+JTGDi1w25JfgFJrXAl8hFU2dQMlkO/lXoiWCZ utiMOd0Alm+zBB6ZWB0IXeigYIB2atxWwLxHgGmNnW+FgcDP5rMIIL30hBFwbFjnTpLPZndE8l0q J9XVCaOxn2EJzEBd9edfNfQuVfaMgJQ9HrInVYXmu4p1zCuOnwPZyvqbmSsyI6Rm5oVYmFBTwfGU 4YwsKJ2Ptp4Uhy8pJJ4UHwkQiLD51+ovaQUgZnuXLFtXMOQ93LCtckKjnlvVrEeIIJo5vFRAH2BJ f+z8oYrd63flibxRd4IWuXjSGs96jRQ2o97nyHa9mOloxbqNj0gBY9PsjT1fNB2rGUcU3nRRoDCf O0YAQGaZUPxpWbkLeCU2mV93A98TXoMXWS/U9KOK7ZwfSkntZgasuTlY53hPcHiIRPRImNQ3xbU8 GSF/YdMxOOKGq9LI03yAH/VP8Xkibj2klWlznNTomeU0xma84cL4mycQylotcEUEV3hcobgpcm61 lE22+7qw00TNeWL0EhOI7FfYGr8/7uR66iCzh8dZzLAQ1qqMSESvZlBbZj0tcNOPCHP0d2qnt6hx E/vRHaCHzbIEFHBjveVD6eGyfpl2gF83OhwzD5l41ohl0vW6+GVWNWDMnCGpBIeihuUKWzpF1SIt yoQuiv/NK3/3box6c2U4ZCkw6M/AhXe/B6+Blcqgpv1ES6gXdYfJTH+HA+4PcLxS8IgmB6ro+vvN sMJsvh+vfHYrI4i+9xZSJGbbtLwnpGDIusf+0Alk3DcnSZWciaTlDA4TcjPE6GkuuE369paBfRCe oG/7JuBrpaQ3BppoMvSyBlS+NfSUu5CZi4GMgEhW31gLKsnxIpOppjgWxb/TLlEsKT7UI8v8eSvB 5WC32N79OSAcCXWTZfrM1mH39NGgzzVBsSa0xXODZIWk4BWQvaXCxhtluE63uuWuUZwUxC576/Tb jinSgRhshn5k5RS4EBgFTjM09/4aP6nT8/SzB79DKpSDZezgw+zpWANLsrFRAKT40maH88kJF7XG qODOCv0yZD/RsTZb2R0d+yxHzYN+aVSeS0ZsrAjAYHKqSJf8LwL4FidWOrqjP9ONFVY2h4lhziae PRsBOSQBDdS4Hk72OfgcyRs+7HkFfYv9WwD6o4j8ulaliLlALehoEIcsq8GheFg5KV7gZNO1TIrB Rp4TnOtcKCcifZac5HAhE5UUOD6md6W3E0roBydE7/Fb393oBzNl4X0dm78KXHOwjcJ2z+RP1fIs V7nZXtale0dzxfGAwLsFIOrXdHzeiD044ZtVHpK0NtGmVKPQSlVcYAhp3RQwnaLvGhON0IPO1ASx 03kVYvq4AM5QtfepOTuXHOasZCOx9IB3BDKFvadWHcov2KsBwn9tGIinYFyIjzoPo9W8rMO+1c6k 0JvQvdI7DDK1yeLf3SA79x7kVSlW6OOQkwjMrH86PsyTsvKzpgG/gN5oIstApedwD2VGVMFZogr9 rt6uyfSHMSxjfbOcgH9zUw6ar1N9N7mgSguZvYZjc1XQa5bXKDAXPfh3V17SqvK1BoIsNi7YzjBP kQnmPczDg5ESpagr9jRjsiGQ5+CzZTqVn2Hb/iQRhtdliJPjML42qjhmkGmZzb34qTpTrhS9lqtN SvoqVAvYqlijrtLnVLk/RR6IqgGzqRgQ2c4Sr131aIc20sbVHQMrXAGxhD9ZsVu10ajgoDsJiUc4 YonMn7x0CZcGPVScmZA9ZUxNx1faXBtQxR4kS8kLdJab2b8OuXVF6uRyXs6q5DKDjocb8Bo8f3JX ujpPTiIdyqflBLL8+UsGmwBZ8m4ArafL82z5MX6zg1rlgoc4xFAkcQkKIIS/dTm4y+OVclqetDa0 9nnZAyZ4zC1MM9FlYn8UFHOsHvo4QgToSz/mb8UwWXV83FsarwYOrhBjehHwO32a6DOPGy9ncCxM WQCJeaJdq4P5EfoVMzbbkw0QuCmRXe3Zs3fAnaQoc7znqTRLtpvAK9DxaxfFjk/aZVHmbea/Zgzy 3EoN306SsQuyY1et3sJQbl2gdHKalyObK3CpzYTPatJsicjNh3UC0u+el9thmT5skmQDyiiQ2NwK 1iUFIrTeJj3aSlLxGXMSsM7U41tJlUEPRy8VCcu+zJTwDTiXhLvsc4u6Utu5kZ5rJF2CUbbvtuqK 5jUx4Y62qL9VMB0Ym3czO2W0cgfsQz4T+WdvlB8tnUeVhV+cS27OkfGv2J2PRc73ySGSeMOGR0O3 7snZXyAUFP6ivCmpPoSf6kSM6MyP73D5J7Kzl97CkrN2G8uY/fJ03z5YI9bCSGD15D2f/THXKcgo l7MYInXuKyNRJ38DFIUlkW6WdA7ZQy/iVVU49UU5JF4fDmObkqU00CSCjj1O26s4+5TlIXrC60Jx m2JUmIUDho2q5nDu5riTKKgmfDijyWdUdgDFoyoxCXbNdanUL/rPynNYZM2wE5kX1i6B6eZddO+9 SX3OagtbIjslnNUTQklJIA+ze+DtxnRoTpTADP0RhNqnybYPG3PmfIlQLG2tqxt4wwSnBL/ZD9em JnSWGReR5cR92ajYo9GN8oCEUG+Utqny8CTmK3pq3WKi/3j9Dk14ow/w6nX67Q5Tzk8DwZvdGJDk UX+LJaSqWGzANqDhXZTNEcOnpGzorj+3lPxUNc/wfYoWf9TbGZKJtbtttLF7UYHOQFXY3jxqXtr4 je/TM15DnDRnYcbFSvQvAAxLIEK8tISKKwoM8Mg84P0LL3pJYYZbIIu/QE0yKzu2HG9vA38Ilql1 +GVHAVkomlU7khREM8yJXRHktVilmBssHRubj++wze44Q39k5yjNZbUucbjsDv5ww9REIMVeXbA3 1T77yfTOpmXFytrMU9LZGWBPM89awQ1rz7CfWGy4x/ja06CR55jQnAtCD1hy2O9cZaqjtphm+mYv NevRBsFzJHrN10YWX9aZ05F7PnK7b3kpkwihbuGCO+wpy7XEwQmsMBzmy7MnYw/tVqie8qWNXvCd 87G9L11/HlGF1fvWuCTvviAjm3WjfSapWCLNgQtg9O1zPf2WKTwPDbzxYDPWLd9dihRom+zVlylC 0firB6ooh1I9eNykoXX6tfbFufkSJaXQdh8W6BQBH0njUxqMDLGEhmfnN6G3zL3s3U5V7wJhRLa6 jLpZDckq9OpDViGVj8CE8AyHJUAk0E21QYFXq9x3LH2F9ZHX6J0CnzijwrmlipKLm2etljB7xHH/ /PcK5YqkGfIVf04BWNYgXdjC3MKbNJpenmZkE1Y73wby4zP3R88Os2GTo9JrU2Iky+CSqxBQW3Gx VWVMqIhDfiwb7WergWaevvFwWYUs4U+6E84Nl1BxIvT09i5ehCyvrdXu6nRXTuMne84AZ38ZLHDp s4uvMGQ8qSo1KTWmk9+Tqb3qokFIN7v0Xwc+IBEMfGa74mvMqg9UZRo2lwdIvGNKzroEV5Myl96+ 9qHRg+jZERSSxcGJvQrpsxxm0gBCx2wp16VuJlZLa9sINzrgBIPWFP0x3+GJHdDGKQk2ORQXARp4 1eE2JBw5dj5T7z2tOfpw15g/zqZCPU6GV4XHOC0Gz0ZFYayBHA9SoC1NgzOUsNSdAZMmRx0IB5I+ K9EfHTVwqauFrwmgXiexyI/eKbUYeO8UwONHAubmCJmGnUpaRMnud27+A3upUd/7HcOr7TrzcxWW 2UBMEPaqjSlUe22nGdLt9Q6T3IyuGZveRgw8KuVt5cLdj/Kx2HgQ+J0pHvo3fvclgjw1WhVHcTCr +Q6zk3taTE6cSmx+dhIVQWikCx4ZcmMqcD+hTu9qD+eAiU6f9S0EsDnwzhgBIUs4cd0SBiPijo0w VSdBqGt8nFBi+t4hrXOfIcMonbIuIiw/51e17EwsNIpr1qca66s1nMuUYkwpuOqcVahcsDnOJgYK +hkUrOJvq/Nac7z+HsV6OVKQuT2Cmk4rYYcy3WhocOUGmXXiRNh1UnI/ldJp3KZ6YV5Xk8d+WnYx k67ihPJyqVKZV9aTAPgk4JCqfCUS0HLa4nTBE9RcgFJRN6vJNnF1tNR7GYc9na5cfL2XPms5I19l gyIc9gKflP9H0g7fzEQYN7XRCIcRkOy8wtMOMhshxrtfS7Je0th04TYm0ArQIixLjXbKs4GCZ+mX WFXsVEd+L/05B9zB9NUoKcpy57PIhYktAqWgxydV+n97rrLWERMIIfIKBv7fjjgL7EN7sMkxOkZJ eVP/B/50qIj3+i69DezqGZFurBqoXXc7+b52Wjo+vuUBh/vxpzCRT3D5up7Aaaf9XXSLCCk8i4xW 3QgJDLoTsM98jXvxw3ODGwzUiqaiPt4b5tzj2NuvIxIRwdoOoKt5oXH3gvcSy6zRCSuasl2/mtER yZz/765T/yIkDermCuqrJV8CrO/GCYYjhfC+G73PD+nBf/rDR4HtRzDaW5MHmgWqdU50MHcvjU5n HFkSAwbKb/+4FKvKvppCNfkcptnKOaImiUcn2+NQdteljqxXgBTFWrHuhMMHtKzy/Z7UAkHiIjLD VNGlIvm+ZAgXkw4u6ydoxgWxwxOnBxiOBDiHjWRzrRaUVySppBIM555motjyRA/eZBBHnB3UgZ1h uUBwDIqHMG+MiOdwWm+zPczT7u3aYemuQM3MjP7uFMwWdYB0ELOG3CF8FHYwagTne9b9FEvGgbBn rM7hvb5DJjue8i6162xgNi6nckvCC0TPYW4VGTzj8gel7bv8T+ZkNVG94FWW3hJ3oHCaUav2RLu6 wxSuPPy8NhhdXjBCGkk6c8bRXJPu9A/aO84bAYZ3JiQEK7QcRnkcycnPPx1YwpGMGt135uMZ3/C8 Zm+ZL3RoVATwMhcOHYBkf7gW4b5vEQsSSBNbgBd18TLMe3cBNCCLzb3XEOpyALQm5YUEsUciXMwz sGAyPRQRMc5ZLY0DL3F+NrB9XAttO9zjnXtqfDQGhK0f9ZoUFgPVTqlMVl6uN6vJWuvQ9Z/JaWjC iY7cg38Ec9Ro+cLnstMIMUvyUyBvUMEwtCkH3YTvdgPwnMS5dG3IjKBnU11czrJnVrdE5ga+Yy1K guhhObnJMeGBOVmmTlplPg2GgFJMHuC/2aY3f5mVgkWT6ZAvTeo+78gJwUAeHDWLN7FnoXsLEV58 prVTZ9s48V25mzGcNs3NoeZoorr9Xyw02pLzIGXG6NH0ol22nQy+9vJmI3PX+JL743ZlND/uoJIQ QQqYHRxSjImevYMeEcbn67aVwnvMlVIv4rfe2Cy4xy9hQM+d312t94JewzVY8h5pf66hAdugl8hG qu9fb14+/nKvPm/l3vk1QZjcBu2IC5Lp6xxd4JaPioO6U79YJ7fAZ7xe0kjEHTR1LaVX1cZJ8xBk ixLHu4AOutW6E3vhZEEnSfYoK2L38kaR9IQBMnXFrg5h5AdfUIYU5lL5mk7EpEFrBoupbRz3+6Pd R3H0z5inCO0dftsd+u2VRtzwi7Xr0DEkbPXUyYw66yLCI23fyqNkswYgF3wCQ6k2a47TS9TihWDG JASWc9c6/exwF1HPcYg/ugBawNuFDapVMLp46W0Lr5x7/D2ImHCaxXxoJJav0oNyrc+OTdeuTtlF PivG/H+FvH/BmkACFAVhJ56qdqe4RzWo1XmW6atWPsaes9rgyQIlJjwHOa/V3n9s4Xe7mOznl/e+ Wq9OUJwCerxnieYRTAgshiUIiilGwY+9fXuDeCIT1GeDeEzkRrZukppW69CxA575wIAcnYCUAHpQ fsFu5keR24vofcjY5UZ7yZqhh+ED9K+6RH+08xlOvt62791Gf5RAG+XCx48QS0A9bpN2JoXi8YlJ ROshh2Qdwmq/UV4yQE9RQZPE+04tB2ViO4uamarropR4Dg8aScHMKdtk2LyxGUl7GOKXC1esD/st Z+WJHdHpAn1GVRhfSwIWHVvpXRHUjEZRSduVkttj+MGPa4YcniougsZ3zNFO9Obrf+lTM6Ad7Nn0 udMvGDNSlPha85GYp9drrrgibSMqm5SBlue6YSk7IxZnPKjbz86vscn6fl/ClW6gpwqJi0zrzWgw ctnBip0kaCrrX3z+KrlcAnBwKURo77R+kzixP2KYZPzY9FLEw2erCd+ZRkVsjWskfJWFFftsu9Q7 CdZ3dPCTGwPppcRlTm32yz1UuADofp3ctdz1z52vb9EKZIS9jYdM6Rfh9MV4/uBCDEKOE3BglMes KC8JuBtrNKd3Cpzqk9j2/f7dV2g8y9HONBjF7cETgcF5MyuqPGns1e3QXyMT4yXaCNodJugxuXYD DqTvatcEbcxzHJDYtO4uo/gT1FkwFprfpuHkVzIfB3+K8+frzvtqUzTjfdAUxZ0uKfldiMl7n3Mh GBiHH0iXUySeuNtbnO5/jvvQ27FhW/RUhKe4wU/rKtVeFdYqfZ0lbT9CeEvF/WMYj/FIzwNExy4b QVctVduSqDcjSwRbC2MsTXX1cuRvIZWlGWbHZD/j4cTuexk46TK+Z2A6PFZJcDJ3ks/IP0Si5JhB DOSqX6X6ttpf7ydnLK08FU4nLfpYK05WYDO3Vam1EzdUV26yTWdaFKk8I0uTjKKPz0sgjtfyEIzk +X91vtxRQYFzbu/+CKWUFmi1BWNQfFMF7Jgin1cSHI74pSn9NRBavyug696ufJ4NwyDCg1CJ90R/ imocIZ6EjcddLOXFKktvCwcPt5QaH+IxG65Z7vkJLV1qQ6qRhbgZmluaaqn1nET1t07Mjdf69XX/ ndLds6IsaZrdlcQ7lS7LT6VnVPCucOhlgqHRcrXj4GHi7NiCUw69zCI1oaIn8UEqc0f15FNqzs8o 5+UL1+dzg2vfrXDh6YoOw0cm1IH87+wi4TX8iPoQweHwBipGCEZ/PsSLQExR5njgfBg7/n+kEpJY UOiUFSc3wXhgBFaNGD39KYD5TxmCyyU3KGO+y6+jbHT33cg76nLUDz53mLyFTPOB7a/q7T3tmy+v GYsDH7g/T1+NVrDqnJfexZOT7xoz3l36Va+TD7rxNGjDwgSiSuDegIgGz2l+USfL9W8eKu0B6duU p8vGCDNNv/AqggsEjkAS7uwXIoro+d2WsPzV8sbc/qWpK5s9TNo3oryTH3j7r32u5dw8Q0joCkP3 /xvv9O45O4+RfaOv4aMcuN3mzMIQ17yNDktyh/qLfzOBgFrmtvJFrGxqmQRTnlGv8RM6TYj47kp8 iRozT1guwIC6iHTsyFMAheivmwNPcC7CdECOW3zJ9p4SLSHVq8x/MnhUu5r9RFM62vjKZasob2i8 B0KlZl6gJMKhCoaPhG55XkYQwHtsm9JEEqWYy3S80uD0lNiQSixLCBKNEeECVDS/DZUhi6AbqTZL guMsCpJv/+6kKd64/noV32aylJRGXHflEl9MY75Mya/wxIb5kKAr7ftkuK3NuoVoIYWy18eWWjfZ k66bJzrmv4zibiBe0H+g2dPszZZ5dGenFOpH8GsVZtQ46wfdsRytVd7BUmEERpOy/sQVXdzyaSu+ 7ufbhDr/ZW0ENSWU/zvpgIGov4Rf0d+b+7D31qE6IzkgR5DG4nlUILI3qMm9iQCmf40jF9Wtyxln jcAXkcvasT1xjqvKyE57x/A8ff1GpPchAQTx4fQ7l6h3VC29rBIACn+qXTgYrLcy/3Y2JTc4bJ98 BP/pV/kynIcqc0i08x+8lOh2QQJo1HLL7jIEk36kbKw39oHGe7GPF1aqHIVJMJnsNjYvmUnem3pj eBw6hjxO92rr+tQuXlHb4f0rzJRBlLFnwDk4o7tBwuLMbF2g/XUrtspNEMoFqSviasDPRuwDtFxI pzjmRI2Jj2C+Mi9G1SKlJp7PsmBp3PN+ZeLHmeaaFjKoSEp8GNpNNEvs7nSpLfZKrODQ+Kpzv9fa 4G18WGHy1dgsgM5BeImlf++2AVB0xQazRHl3ZkaF6JmOd31k55iD+4DgUk3LKLyURgmZQjQCbaC1 6SzBe+00/bAsjskLEMp4NgcEPfoJ1zRJh+OKMST0Ijd1/wFBaJSqNw1DBgPpAAH5KgctzSsW47iG iMJtn0OYGZtt1HdnKuGjkWwABillTbrwfg+tueQDDS0/TXcM6Gy4L3UpLpV4h+Q1860350xHxbI8 9vgdNq8oEDs/5gF17sz4Aa/h1pRPeeMMCJTtXNHpG9p2wI/F18M+i/dzAn4no1DBwjsOjH+H7SiO K/M5muh40IdvL6sOtmdAr4jKRQN0ijzJX2vRtFE6vlW7LGgo/ivmO1rxNvsmA67wzRSdydF8uFnp 7z66yOO702DQjU29Gzcj/MzNAtFuE27M0u0Z5kIJt6UbWVUI3/ARKmI41tt7qhClFqGL4Euz9AYY hZl7zKp0x68j5IOkk7d29h1UF+/e+DmJ/GLHTJWo+JW2IA3tvDjlstKB0topqtuB9nPCbCLsFO5L Qj7X4auMwv+UoGnau0ELnEsKYiEHNP8OUlXw53Jv4Z2N5cy1vhJQ7Ot58ACPkCZy+i92ixIk2YFE TqwFG3M2HgHr9j2hbAglbFrvWzwTkJ6DF5+BkUSo5H+avrb5wN3WLeHQE46Nlj/zjRglKVBCyalh dFu/W+1mjbRHUQMt+J3eD6gNBdN5roknScGuJgMsOLpilYO6AzvXp/HXm54/axrGT2ejExOTRroD u3j9UPnt3ou44XXcwuU7n1q8hjLcK/US+kCoxjt46hIKbuHFdPcKKrOMmaNvfaufEwldoXpg/8du sikSxz5y1E6x+nEnnvtOZWxr33UQVuqPXjJ6ZH5SzAzj6bvVXe1pRvVhBezkpWlRzomrE0KVDwkc TsRQSF0nVKxy3GPihV3/jtQMVPuM0kHiebyUTcFErtPn5CbAFqdbrAEbqgDF1egDy3RjZEN1S4jA GCcpF4mqNoIpxUqiD9RuiOrF5QdlNOp40qCjK7r0NAX0bopAmRamAcmDP6AMXl5+YDJQe3JRjTzw +NmfnOXa7z3haBrptgXFirUBpKLJ6baRQDaBE9uoyHHNq1o0Ocg+i211LZ+ghOooWcTKOIcbcnRY pwfwc+qBkWj+P+xtmBAX8AJaCUSzip7tPDt7BsEyaiUn2hkS2mzZElycdEhY18DE4qwuzrRYwpU7 saljUMxfMRfy1NpCRJm6Tv1sbBK6PrvsbL+W3znijziJECm8OMEXlnktYa7Iv40acbgSVxbPNXc8 3EfGYfjn6ObTPEYMiqZdTMnJ0vDyPojg05Up43fWh9DU1hgL84K7TpxCqZsL9PpHUh6NXcqe1aD3 k72hw+zpkWtaGIPIg3VY8AX8wSIug11nCDqQ+1gFfI2PX6e8m+uzNPdWo05d2pyXwm9ffIU5tQFT JWOgLXQ6QB2k9FJNibkrLWkgkhBQMjC26ascfhpAwGd3af+2DRYQhg7XOy2F2eaSZsPQArzGPmKe LO9f5dduiwcL4fdWP9NsnpriaINNbT1txuYM49hysC0CNpd8aXK5obGHk2x2xfhWr6vrUJBCKH6r VU+yQks3BbNFnAi0zehpOxJw9BDqmxZsLaepRYq3rWpCYYazlQTL6u9PR+OI8RQpYEm8Rv495K6p qWl/i2W5dW8szkq+nuFCPjAyqBuQLWcE4cNS4h/l4J+6pp5Y9bUpM85cSjU4LVAdxhxntQ0NxqUN LxdfozQq/+HJqG4zsPVgVltvDmmSw+8wguxkjnnBLSmkKdP0VcZaX13zHmOo106t7xb3aSiOAwzN aFJotmBlqxTGaQymeLO+evgE5GWLJ5ScMIXWBqLVS3/E+VmyxFAEuN5Aum4HmJJd3rumf2mZVLcN 7FeYRiQduGNDQ1uJSUL0AMzXFnrmUSZ6kXgIWmFat8xIDx1ofLPTsbvCEMYlfc/sRFIHn+mgThS3 9rTfzP1W8KrIUFf83375/0mIGJRLU62BQRnGVMmFPRHpXPXgTEhbzrps2XLF/tALrxKp6EisFeUt lpD2zH6n/hhOKYHKN0X+TrRgRMz+FF92FHpU9pPLNTpOTkeDmvpffZD8ryByDY/XjklWonKZXRA5 q6+8UlkU19WBqq2NT0KuEKdwZZDlb8+RYnlE3gZYMBjGErZ/3wDjtuQn8jCBer29bvs9GyLRgBse cePkfemrzJmdL5CLcpLfP1EU7H08ymIJoZ3fbItbMrwSW95e9ukdB+ta1Xx813H1b+znNVk+4Rzj o5sHCJkblH6yvrFgqV2luZTcmdYftXMyQwIRoBmm/vDtZ2kDg8VK2imysk8SMEZSKMGAF2nVult2 5kEFNtRcu8IGb1NEiUQBVHO6ouNnzs/Y6meGrCnq6pwAsLFVDXqL9z+NTbbZzhwFa5b+haFEQDKk C+MLZnzEwnEs3U5fQJ5QHRQ6VaEX6+3DzRrjVduorpYCQG6wRcoSOzb4zcQsFaxCOtNUELzC8uGm e7fIwdr1rNEV38j7WVS6RAGGOptaZIdH25miIbFBLMpgwOO7CNl+1TdtAFApcrdBjIpbF8Ht61v8 2b3SBtjLY6HMXG6xEURZmHLznbVm6DA/inWkt8zIaxZhf8X9CU8cJArt9ilpWghfw/QQzLyTvhfN PZint1BuMQOMlHf/7OHxKIUbh3O0rEgFcnEeqn2a0nEIdPNSf91nbxZ6sTv1yj1F4iqFQom+IAPU cwfYOpl9dLj9BOiEwCBL1U0cstn0CxyRN0uhrCA49gTyYby1t7akYIL2uD3uy/zV1vWEkCppWr9C j3S6oo9M+TKEvc2GjfCjceeesrPEh73WQyXsZgExcEUppBwDQJcoZ1iumzmWRrAuPaf5PtUOajXb StmBZ1w1ch1zjKSJT/SgmzmbiqPCUtlmdoKZnijGH3Q5g86OObV6W4V/NRBHgObWbVcdjCofRmBc S+kHlwGT3HzCuYfdgwfX52CMh6pD0/0G+q8zzfCw345+GmIOgif1Ip77zD3uuts4PW6rhu+z9PEc bdZgnG5a2gx7aUR5oqfRnCEfEVwcczcaVh0Vju+/+SCXZAd/uW4aBl1LaF8EFGwTGno+ahGvMFHk 121icRmgqno9BA5LrYKVI8Bp4kyZfXuRPIcOOx0kGoQwxrynIGMBe1DpAyYcZ7t1AeR9UYufIyca JXgS5+0FdFqElPuDK/e5+hlXt45vj9S2EAb7KBJZQbQX3HNTizJXoWjphmSs2ttjo/V/ru00Kyg/ UfmIv1l3QYuB54mfWQc9VtZnXpMpgqYewBBOgC6acZWHeF4fgSWB/NCwT+Sy5mpd3iBP6i9fUJDH C6ekK1C3GWn0RqN/wpnoRpOuds1inB+eL98ynG9u04NDhZtWnMfdKWJ2wfYyW2m1bXUK4/y0wXlY fExHeg6Q+e6/Z9cSlEXcUWFesPG/yiwU7ykWN6TKuAIiisYl2CUl/QkXqozHnkv4hY+EXhEAHMlP Kfn+bySLVDg4ZCYRc7YHOVU4GVsdgw/yeKznb1Ony6t1M9s/LA8qo1DN0z2m8oZHbBEbMm9fgwCc /aYT/oiZi9Y86S6CCvL89TTE8jvsMXNoK1qMSzZ/j0elpX4qJ45EoCYOjFSIwYSUMGy6TrXZUBPL g7neDCa/3YoDh/8yFem+mo+Xr49AdoSdqyhMqvhDykevZaTcvR4hCZifT05d4/JzfRL2qLb9jfVI ol/mNdI8v/vZB6Brw/ryiN4ZK1RRKsyGqX16QNu1azkDrPHxeIj4xXXDX7xNKWuHKto4ltmqzP5X awxbcveNvkwyZdGPCDlgpjnm8UcUsUGgKoTtDVS+h1PRWCNvFOeSKYhI2AJe9jncQSCXWVW5dKb1 btD79SWU2ZzlHzsye1XjZvSrEm152PhEVmcVefz2DFBbjZN1jVanTADiqmPiLZQRnS7mm22Iqw/I Ov7MnGSb2B1pOD+OFtnO6cZ+j1WyarRuj1uboSNF0mYBTLk/W+tNQC6dwSVDhjnAGoachHf+gVWQ OWgrlJbrVEZ0HzHNbXlFcs9OKoFZcsoZA3Zm1QrFN4gD6CTeKsVgymF+USefbZOKFsBQyyW+xv+o XI1ZrVD90yh7Ej6YiDfoU+LpGezo3FHBh6IiOgvLKfuwyv+QZzZG1o3rFl7QP9M02tziMUK5pLuv 2DI+pWTlw2FhlD4jXhJ7JqjxkO0AlqBMxc6MZG5ot6K1u1kQYzmFAEbM30BNbh+CDDdUewrWicwG WCQy5qIPg/3Y1WX1jAOA4/lqznye3G9GAu7KdspxViPxzVL0dGxJIwngC/WPX81SmH1kKRCJ5o+l /vBOHLOuwkXs/4Bg1AsCp78GXLv+06WyOLlHQmWJEVXrNz28Ro4xUPiz3sA4PU0SV49f/eztPicR TV/k7hN6A/GSxb9I5vjiQ/xMDeWj5LB/V0Mj7S/ms/7NZU6k90fh13zToe2g8z8ez6meQzG5CXLZ G1WVIZ9I9pMV72qhzw9pcaD4bvQL21s33sVvUaRtTPUrkO/6wCQaGOR0B3Hmdc6M1GbiAEb8HP7V Py/ftazU+mX6Rhgf1iMjW7T9lLGPu93PVxjd+L/u1947dv3JEXhkOms6/M9ZauL3Vq4TY8Q2/VdP rL23UGdsCrV/4/b382g4qeCwFTaa3X6YKA9esV/XZ2kQiMDveTXZ7AGeDUFe/ur7a5/Y1wqqFbC0 OWU4y/ecDw43VTbrPnB3IUcEw02gLqeVVzgX2G5kRWzrzI6mRivS4a8tiTtaQcJgjOm5xZl2GDY+ 4cgqgh0D99rffYTnobemRFWoFzDIRG1CoesBBw4DS4b+SSTRuTWCto/Cna87p3BHU8DE91pAU0Rl mTAGza7z9+U7KQQoenKtpy1SXuTnXQjAt8q2urqwRhLDNVXO6j7JOExKAWe7jxgxIurmmh6HP36T iSNTrhVgVMY4fInCLqsJj5Uuli31xMSn7yjweQEvBCLQtxenMAL2nKVvuwB4jrJ4qffz/3ui2RE9 KX6jS2t8GeMbo9flovniFXHh5Mqq06tb//OjCMonqQkZ1mCUKjQ4JE47wHPzsClSbRH36VgpqMQm FXhDuWUtm6OUV5am6ynQxLEx69ds2IHnGku1fuycaJI4KPPsCZ5n0BepDmtBXwCdIDi/G8KkoLwc B4apk/mZPvjRe1IjRRkIEWt9R9LWhP5K0J8Su/pSO+0BsG5ctH7K4KlT8gMEnsvzdfAIwk3ZH4Q7 g7am/ZOV4J330AxJZgF8WFAFGACVI3LDt86aPAMzO6sc3bsZxoy223/ipegwB7GM0y7D1IyYf1Sr HulEVaPM8ZgfVkDKscUmfQyMit27sX+NEWX5uLoXPy1eMAQUWzsMHIjMdXZHkxrFXKOtIpTWHGRY 3qnFEg6opwNdeDU0WA24EwUsCKuaePJztgSbk9LAZk6JNA8opZVu7XVKT6cL0DCoJa/BwDCt1yBW 3volXKapewUr3g8QM2OqGf+CpUZQUkS00IidtwQI8NOkAvVKq1N6lBwFG5XXTE+hQwKO9t8VzPNO ZBMYS4j2Uk0A3WVd5Ns/1sb3Lcb5a+lMRQyTzJOy9vRCHrJbXiDgZ5DQknh/W7uDnEOjawSW/nrk kR+ErISqe6bqKbLuxFXswjfqSUiNJwxmsGAvHBeYNRtsgnV6aafEi+h9Wl0HFXftzgw2hG/kr1qT Bdozc+kho89DBst69uczlOJG90k+ZeOzu2mpmubY95O2m3kCqrsAjiFpKDjzjtc2IsuzKYEG1Zhc 4RpY8Xan84NUQ9AsyTVqhwfm5vHguJ8ZF3oRYoQmbdOSO4dT5yUm997npfq5oeZkun22YPBtrk1D c4oRAh7fV9sNQ11v5GhX8Kif9widFGQDSvOSGtTgkU5kDh0+HidNjLEo4LbVm2S/NuTwCo1mO+PO jEJSdcw2YXKUaDCs75Ub2sURGHihtjypVQ0allHSoQVdKn0tRPNSS4+iI0NR19p/iAJZFx2MjM5U 6vFeUtj2RyR0usabAOpAyWyI8u2Pkf1IXGdR16JrWzYf+EmagwPcvHSRShEQ9KAhekjTH/cttDdu /UETh1BLFVlxB6xf/BYAZGR8UWjneCZTh48TBLTQlMpr9cMVLY80glGzFQvD4NOyYSF7j0rPaO4x AxcHZtHOkB+VQfS2FTvBddaLpGOI0jq/UQBTOBvBgUSIbSHE6vqAivaxaOvORP3nwWQEUuvaQ1iC +nZB4EtBs8QSzGPv9KWwhPlEUvpcbpHw48XIMwoj3ztXd2OtTQ0KItvqyLXoVEobaYtyt10DU/fX f3ypoQq6qyGLusFUfqznOd5AoS4/1P6lkRhwizDo7iCT6DeNgmxpy91/sy1fI3HSkjU3WasMiSIz eJdVTo3CfukfBHy1Eh7Bc1gabXCZJTV1og3jiiEi1RUjtonp5SP3tiKT8FGM8Urgr38TvbySTq31 J8ykUN3wo2RGbx8cJDggKwKVgnjqsmXlFDFyGAyLU2+wFGbHYuSb4Tdo9eScBtF4YRJrnqKY6Y4G NRQ4BZfSqFIY2ODB8mOr65PTBGeyfqw9EZp6zBNVBKihxFz4Di2OC15KuNUQiLRqHRvQUZRVYCR9 N85m4Qb9zYGu37uZwpqYbIFKkgIAIQFzS0wUnhM86gtsSPIk0IZYH4Mwseq18bU6m+i8/p+ImGXy Og30p36fwtoDqEmhbQBKVKSzsrvxJmnfBhpWvQFmaxzYo8q3JzMtPSWDVe8MkRz7puJ84SJy/l3i bFOKxUS/WKHN+2fucAD/I1kA6OMF5O7ClvPnitTzVjSajID+v13/2WaFVQEHn+hULVJwCFCOMb0S Yg+ftZxT3ViZuHyBjAA0XutSs4B/ZwvMMqvXUkOYVg+sFg24iiQYfgTNjLiQepgnsbWdWLiVBopi Ii40UI0jPaLLY9K16WLnniItKV7cFe2yQejqOsa6I3JHO3idUIbKFA6MxGd5zRWqnAbyT3rTk8ct rC1LC7JUGQpIi3PnNqrClX+CR0KVkblWg1D9Y0yCw8/0IVNcirSJdOSWxJnFa9PKGQKnkJdCI0bt nNq75MjSam9Pl/iQSgjtMaPKOWrRQ7t4H/xzq0EaOpud0O12tvPc/gP+ltSZR/LxlAPMUj/cBeZv 16L/tlZTPSqI0bOXRa8yKKISb0DQNSGlYZUmrZVe+PG/644a+AgMxVseuWsxhsuuwb8OlE5MGDcu eYPdsNFI8wdyUTJeJvfFPRrX7x4ZcGPb/Qplim4+ZEl18kzknaXIv/xsLsX2DMmkzTaVZoItAMgr kTGEpkHjzEnQe9JYUmy4kJuaUVZ6u1jrTtUhhJTTI1fHPlj/OwvIOoM+UvvC3xgRBKF49XcxpW6n BR5qgR88dmy+MFwHesVU4Cbrh8bSp1T5XZuSLjd/t19dIWRg3KpMKLFNzpe1kbsoyI9Rgf+X3Hrx r7nitAWWzVNKAw/TVAgfjGfZZrdEj6l0QQhp5o9bDEymvxMazzECAxxLZkkN2BmFwl2s4A8etYKn 55Se6/cLSWZJCvVQGFMtdax185fdZHDrQEp1Dy2Ov2PMelp4tDQf8f6SkuEHFWKfE8VceWdzFe1t LeKgmRd4PDhwgTyZpMm06PmtEdm1X6k4GkFHTVMHh4sUNHjoon0/ggiFcE48HcEkZMOIb2Kl9NMI HEaadNVjRgBvMaq1eawbSkdZbmGfSQzXVyRShWCPhLEe0BBP+03X/2JD9Df9U/r5Zt0tyrvCPi7Z CClXzU5oESil7Z64WBlb9RlD7oRz4I916owg4+s6MJi2Zrv0zJXiZsgD2PD6X84dz3VvGH7o06YV +92lRdrt28urFBViVxE7c6gc07SWSQfUnBJBBrlKqZipyaTqUeN06F+00nQdRTAANyl+g0WCXy4J E+ryB+jcqstVLFYHr2cBJdINwU23YfhBQayjv3axh7GZLcWuelCGxqkMPauvyFUEWlHXx1Iefq2v P7g39qpGTj+v5h3lit6MG2d45oHU10V1IVkBrHv53JGTz3/7IbPXafBwTnd+uOlMdhlwGlHtLGRp sEFXEnIl2Z6ZkBTKpFdyVvE+nC8V/L22lfMRQzl3+GWADiFAvPLDw8yCQSK3LBUthhK4gZA3/xAd ZAa3bivPGkOhe0GF1gsvVchDXDT5dsiPpjXUgXkPYYaIi4TC8VBD3R9XF+Cj3lxP6/zthfacz/7m 3EVH/WbqbVut//Wqy6pXITLEzG1VJz3Ar2RCU6UrSWAgZ5bKUpgqPF0zyRO9MnkClI0N4XFOPaFd BCL+VYbOYx8p2WnQhqYifuBggIN75T9U/D1RRnS2Y+rhBlzE9dk+hSpKfGXexSqrb7VJcf4m8ulp //u3n/iWzlMlTCq+H4rHm0qGeGCa1M4sb4S7dyK/DrpyFx+necXmMkIhbjXYE6rlDz0bne6Yh+Od Xm+9Sg8kHeUBpBAv6ieYXt+vTGH59ek2IY0u0FOoPALb9wuKdDLDtdaCsB1mymCzzEBHTaqw6f4x /ddskccqrJ+K5NU3FiUuTkMYbtIxX5YAuiYfqB0XrHx0vaYEptEoWuztfeZ0jP8PovhXednYcKw1 ii3ZJrzKCjaxietBqPbPGSwNSeNDojFXYBXoXkYPD7TbhyVk7TE2s+fY4ke6Ghy1FPoAyow1Ig+1 FGZvM5LO5SNDnbXuNzYaOJNRq3qkR4p98ZxROaI5EdNvJZJKjZBYKNddoQSIN0RCuvotFotpxY2k dsxX0Y19Lkneh7kLKVicPB+i/v2MdDy+hHX2G4hPmd1aKP7MkiUApRHJSt/KLNE0OXijM2FW3MnR 0uIIRErk+Kug0T0oz20uQyGq2ELyxcNVvaeqqMLpJTiO6DT0h1JDrIhYzmXzJJsXGJ9nbUfZPmyJ JGwF91PfeM2mzXfpqH3sdkkZZ45L66KFL8zF9WWRkj5S84JRhMKwj9xcBOxu9zk2uwH8PuZyIRxk fCxkf/1fXlKgYQidLB0r+/Az3X9Pj0rJHfYTr9Ydz3JrDWT7MCMawn4Qtj2HRQC6L01EZnUWLQUg uhc32C4n9rg1df7fn9pOaxeN+Z9Oz77EIw6Qw15S+plBfpIGVfY3YO+6RJWbhXqXmobNqR1khwxy knSmMGsg/rHCCoeFJ3zJouXtI5IQ56/cHzn0hwtca5OcongKwufUR22CYu4qSQljt7a6YRfzZ5Qm HUZhcZWN69W7qymseIe0wQx97I2DIAU5wYcgF7hmhUIGoFjFEkpVc29bDU+x8hq8A/p/7iEgvJx8 o7pN1CB4gCm4+CYFYaYEMbUfY82Ei27MhsWtEuxCOxpD145GHAjnZSnhr7eqDDnQtm0fZrJEN0V+ CkxuNQxGTlodzyTGBr17Oqv+Z903vDtNUTtmZqcv55kfchzDDE0jibkGApzPN1PBaDWB2znzgZHJ Uvr/WBaWXDCWfD3wIi3dEDWnLtHBtRZLu8ht26LrijrXhVw4R3iMN6pgyIj60eWQEpJJjIpovQsG o23ACm2EbOAp4dM0DiRY0K2fkeqZ7n4cksC+OOxwcYqppmS9B9JfjUXsjm5NqBnHu4fqJ5veo+SG W+Sg2BtVYV+Vfc9k++JgNRVqU4EylgWhRgolqq/1NHMO2PjvhGKe4QsZ/wx+7q9dlexVV6zv0cet 6jyNREJrriRMEpeHGWMFxA7n2bL/Ds6iNtpEI2Wnyz/ZHe94ttGfn0wAAdUfRZHkHOpD92VV8Dme yGhGwVbpQM83bXBqFTIhLFn7KzpW+gQTfqJfEWaWLZh5DGqh06UrKbQqlEU5iHqvZEIoOmguIvVu cpnjoNOk51AKfVFnviu7uyxKRcsLVus/jL8mtY7ArrFykT0lEbbEGgeM52g7uqiUeGauCC8Jkskz xKRV5sFuSR/M0nl38fgTQwMLmbc/S3/ZIUUB2o3lhiWqIHCAT/nCw6XdeP8D83G4a7Xa50DxS1uU XY/uVFlw1WNTHSOYD+iiB4mdfabFGWV2bJg78tLk54tdKR1wpfvlGjbhMu5Sj8CI7YGOI0KrAaGv 53I1Ng+n3w7TraEBIH02+nTHl+OQUrCU/N3QrELEj9wmiWJbfcQUBIR0c3fhsft8BNiqOgZsZXXv FZKQV18isOCx4pHhrH7k8n74wBRnZlNnQUoH1youlxoKjd6vBn6Uum/fBPERs2Psff400YDgPLiv f3fsXXVwfIsBQgrc29aoE4atFAQf2n/EWm4ejlKCm+1GfS3iMF8fPB8lv/T0WdPDMBd4uM/F508G NqrOBa8SQcnaH5+cbWcIWy0vrjeMV7/0utOrslEZ649/nMAkP7rLBpCX+74jXlypIuupICNhVwyn up+vCwGR6f8Oknsw5geXC2wNw54aN3X9qo99ZtHEy3/sMYEEp1s+/DUzMsFxDQLz1oC4BFcts8Rs nXTYThAwkeqwL8AtGl5dOiqvt3g9LuD55qmtJMomuN7+XYim3gmOZEuBNjKBZs6z/c6SiN5SPHy5 hE2BD5YhkazNq7xaqDphYOqK70NtHGA3RyyMU44bxu2juQrVbiLpm5IDDbx1nhRQwZEcwMC/6n0j xerVpgUEkud0fozIpmhdY4CjBvnxub/MY7nVTqgS1v2cyQWVaM7+a+ccQ3xgfzEp9xbse9YkgDhd iVlhboSf4DrIDpWz1V8qvbKRuaxJWrHiI65Ley12N53k4NGEkBCpMrLxyKhtR8nuJYdXUquHm8bz N2LyfjOdRqnq1jXZ/J5GlzpWbwaVkf/HBgpG8ZMI0UjcX1+4Qaczlpts7uoQAkIAEkFbr4zLC8r1 hw/hp01jOiJFWxIQKF0sYYhoFDKlzfFNPbU/p0Glnn30zJWMMDEoRdieu2XkOpS+3bl/HLIgXeX6 AiNlEI3a4x2XrFAy/Hi5n0gT+9+8rL4IAadrmm+U7u28U/mT1nT9n4YEddJVGKK0ANltY/HXBmJZ Ky6JabteBk94RsqHn9gvWIcOzHk0fZziZyxDkFeFAuJIOJfVJ8cpEqeoL3VrcOQFKtZ56a2/pxE0 4vgL6LWG/CJECyRcwBt4ySVQ6qnAar9AsbFVqtw+gQvqPQAgc0AEMSpJFRA161qs8d2x7fv4zsGO OnIIy/IY8UvHaOT2Te5nBf+bwZWOSgslpPDlGHVjw2xH6rSBUbgrEkdP4avW2gZAtSSF98YdEZCi VDEEmeWj4VQ6qukhMw5RIKai6bJqfHzB0Qy5z7UWFwaZAAFg0+A+vT7pe2DCkF08Dytn/9l5qNtq 6LJG5wml/QMzeGOXgj/KmjoLbyHHZdwdAAEQ+a/kwozIBcBecwMxn0o3QLoSquayxwPrh0eZF4hg dYhb1O51VOeBQ+04P/8ccThz8hsiOKStlYMgFDw4LXnhnC7kOOkwXcWYwKRmJFf5EPOC16Rlu91x C1IRuEkdvdsVZ8j6l5MAAWrC7AVj6FdZzT89DblQtUhrs8F+WVB5ksrtGrgtL1gFYx6RO7KByUvJ tf4hOORm48AX0sVSfMQ7u+N4J2vBf3SM2Kt/WkTdtx3GRnOs5xYf02Nj0PYGeKXyWvQHHI+h//7L ECOQi01yMKiCQgbCLtWNYUxoExPHlw6jCUE9DOyrk4u4+/nA+fbD4+o8MkHs04VC2gp2o3QKIC7+ Qoi7qptftlGhrA+UtmSvX5FzdhGjeNyTodjFpNyxDzH7Co2On98j3PjyEb60RqYUsET9tMZld/xj l1veH6DsyZZgS718jSwGtFQikbRvuqRMJpApdRFiftU7yE0s1goS7jboYiAN3yOk4y8v4SbQWzf+ FbmH2j9FWlTWtVwUH4+jbfRuvtltZueVcPoriI9R2zqxUZCgfSuvro7kdOCAHmvIqTS9tzjqJvHy n4B0fX84/uInN9gyBJ66i3BO8xxkeIfQI6pQrGuJ5fs2LGka1Ca0z2z1caWPPu0tGnrr7aU0AMxG UcCogBgkR7hQISS1lZEkK/fwrOuvFQROAv4suJlvwhQCIKSI1JEIiOL1sH+oZVkek9R/TrnrW7+T 86tf/IXPcnbyt0+/hHRloIJjmB+HFy2HskfhT6nyMHqszSANBpVtlAgs0dNXrL8sdmleyyJTF6Xt sZpcW5T3O3C/+ELrhq8PbNQixD6qXQPjvJiuAaVUUz3kljGYtknfyp+iyNzuR272Ziw58N4ACyk7 RyroYhKD7tAcOPFOP4WzGnqusT8XlxEcZsVydmcJilwNdQfggYObqYOOB7Df23Yhz6zc4o3d1pp9 va498V/NXszbsAIbJcCXSj1voUTFmwFM/fE6Zlau79RQ+otlfZHD3bPnnfbIKNheEDy54ya++BZN t4YQH2ayTGsaKPii1cwW19ZDk1eyAjT1CWOM2R2C4xi37lcGZD5JHhwXjz7SFBVjix+bUm3PYi3F wLcveWPoEysn0YjA6YX2BxwD6/zEbBZZSzTAy91sRAaoSo8WBBiy3Z5M5m2l3X6L/AxgEewgkYiV ZRDyid5yRkf/6SMss2Af6pFsswoGVNl+qE0ip3MKppgZ9KjBXaIl9GRV3H3nAD9/CG2UkLQBLT3r uhlepWHGba7nMMJnl++QRnQhaCbEJ+Z1AbzeFzzDJ/JgrQEt0Hu0zV5ITM/RXJ6wCboz03ijuxuN Rc5bMOOzzA79GdgQ8djRhuQVnPDT4vwXW/JiVLrRcSl/mARDtQ1I2vQRjjxoaOe4CimjE62EgBcJ 3OD+sLEkbK6ZciSkV9OkP4fC8tfebgbH1+e+Iytteamb5wC7DsfNzFEV+GXpOBcB4AYRlL93TGUg HQ4amL1vmB9+bN68BRV9m7vDPXnRt4lcMoWjd99r9HJL93SSoo8HzVUUfkUKUp9HyyobaRND503Z fqg0fE0SpzD+tyul2oMXfyrl1HXFW+bJpBjPd5XD8VMeCf+BvabD3vroe97TP/1KKqaPlDH8O/S5 BOU2+6lXmFosCoBm2yoGRAy0Xst5rIV514cYRovKPdySo2IKS4JwIjUcJhMTKLFpv38HbKTiQpPc luszCOpx/rHEyba0nJUtOyFCyAjrsWis/u4lhmwgfZPH++pIylTElUVLur5fyk3RRF3VybvaxW77 /yRLn7s4ifffkBfbBK/lYU2kAVOS/RFDiFtc8Q3xKR1cHd4R6lpEWzCZ7hPvXUBiHX8AANnRvJXS ZydFqOu2DkmqvTKP3ipmjf1/NKTB7WoWHKWpWVjdaZGNS7VORXyKzA8DzPwre/WK1Rv30s0lH2VJ QYHkI9ontKaz+oo7PS8rz1v7rAIB/1lf6SToiN3fPyixWW76S6VnO0a9aqvu/Ohg+ru0M0UfyfTq R/6ZvJ3aToZYAZj02EJjHuhGx8WXp8aX3wdyydsyUptTuFa4uu1MMdEj/4TrNRcQfTvwBzt1qEwF jGVQrQu+NEXzgINlgW0S3hyNS1PPL3i1MC1zrps+2o2E9Ga/9T+ghzTYAzZT9iJWbvWeV6c2n9JT RncMNxaLbZZwOaVFIreqgypBT9qlGh4h1vUMHRg/BU61Bo3culn12fYvCsFkkWNXHCQseDawi/BU k2p92Uz7cnSJi7m0WPtzoyJsEo/Hz0FIWsRZ41Mw8DZhXMNB3czv6lX3INEGzUrQITbRHAzHT+f1 /moYoS/uTNXEyWwjdT8Bcn1Y/wc9xLA80GbWGxeBGUvl7Sczl56DNWM2kT4PromvoCOqLm6pzOdZ B1UP0xXjFPAypmoIVY7KVSP62JcxUtGIENpQ9kXhCKfYOw2IYzQLDbPcsSvG3EBKpismwzh6yxEs 7U8VwkwH88XmGgLdIdt6wu4GMUWg8crLvPTFVD9Fb0edxrtxVriohwr7XL8cv8tjx0TcSbe3Ir/d mZPJm8X6+GfO5Oj/STyf/8l0y1huKQgxw1YtLkqYOYxCcQXYbX2aQV5p3qk4hLB/SBJArODXL/99 1PyDSSSzld0v2KBSueAfa8YzDP2lKMiQSYlUoIqhSks0Iipn7Azz1JFN273cQLNkm2gGcNDF87qe lgcBoNrRpkTRezbAGZxThsjzOsfvv3N0ojuNqZKQ5g8bAzVAIUWuZPsFqkcDS23zbOeasJNi1Igd dS/Bs5+fkAkWdjgJrkWEdRIQ9pHECu06kX7nwv5BEcCGNgRsNgsflj0GFVZRH+I+vHVfrNlDeXrL 70P/XhGkVNAMNigh5UsL6sLuRsvU9bU+Nog46XGDgxN4OqEH1mXuvYU+0M4YeKk7NTT5fvvlFK0c AZEDB7Y6B+/DQz5ejazDobMC2gDUfQNcShWqiZx2ZPYkh3rrvZt5shXU2vmiUpG/jYFVttk90L0F qTeF6ErYE/Etn3xx6izUW5M2zgVfa/KXLsg3YXnKqIv5QmbmKpSbn6rCLd7l0QWt4V2orBB8Z4Dx 8T5YFREQ5psZJT8JjGz/r17CFqh9pK1vuL23jrtfLaEzvddGm1DrP8I1sx2lGWCM9ku8cDwa7yaB Tfz76e6WPfA88TiYLZvi5YsYzCOJmNln7vQpAAMTKn68n1AfQ1Kd9ORg7+JrlSNKItlUw0OGzN49 jnSkEnon5hV/6jN6TeVzlpD7m0Z3MhcGbqVBQZyrMdBgkFxzMJpYISIOWVBIc5sOculkxPYV2OMq 697CY5AWl4qYDO8tMKgcq2vV0pEw+sAjAFCXKxrHS2oMZM8zv9o+WmdRQjwvZwi8WumaulvcYjzb A/+LUgr7/pMKbCBEIrEqHfEOYiucP+Cyt8SJh/jjeByI8vveth8DhOjvyz4+eWy8f5jU9TqpIHm9 lNuruagfkHjKoQK00WSkd5N4zwWtWKux8vGrKddURp65BHx6RF5QO9PazkkaouvcV4G1p7/l9ogM YyHMLfqFQQNZV71E/XmAUZq+EcnJ8Jtyv6dv7lk6ZOlhuWp4FZlnldZsdQ7qm/oDhEmikEN3n/D6 z7fd5geiEY2LueKSegx5QKD4e6HcTaZI2qyMaw7S1BkDGE8DaiDHoUx8iZtY1g+QaztD2TKmh5cB LzAAa1QTdeMsKbvR9Nx7vizNys4fDGjUuttejKegM20hfOLkSveR2iP/58sjkCSrJPGH+3wEP31r 5HAD5msMHaQh8pyA/eFbpm9JrxykMnTnnpN1hy3Ud368+VsVDBmRQwD+JQvSJYJflbev2CJ1O/Fd lPA9bIP9mn2XLBj2YCX70aq3+YoAzgjnzYRo6D3TguK263Kx2K3BaOyzkbY4u0WBzfyPdX/CdDoK +MDyl5b93youRh+5IDhTrVyhFTriJbeiSFloVuwRQWlMFQbibXoSB7e89k3/dxOflRg63T6Uv4Z3 gP40WRYL9wPvTKKpQKVv7V4fMWzi8faytV66kVY8X1TwI6Vb6D2HypU/ux7C7bGkX+mwOJD0iSXw w//aJJHYOV8gDjQ3hUJ5cNB/a3mURWf/QpHVOOTyGQdVlnYbH/DoOC27AC/zNsQFJ9n0Eh2ONe/+ YTaru/nnGDaeSEmlO3/8CSue354aSXBH4tjSS0grgpcI4iS1raRuhdYUnDTEne4gP5I6Qa/qp4P3 E2zWSBSmIsAerxNXWxh6RmDxkd35W7SyyKc/sbPOObHHYrMP5Ms392UeBbTGHUKIfFLMW+Nv/Io0 m5de8kWxj3rPqWN6fp4vXTJET0zrJh34MA2ThhdPkXK9efPB1JZgEGMP+zkHZ7TJHvrUutaQGA1N UufvdZiigFHPybD3aqQlNGSYbNODDw1DLJDn60MGs8Oq73d/WSDU2uafjcnL1A7OoVU3X6HA0iRZ UULNaez5Iu9VfmfcT9VnHpeeS4Tfnz7p2+fMuw56OEaPmFF39fa89VTRVaf7JsUosAiWgBDSp1lL exSPKwuaHhD8nKnZOXRgRF5AhdDN+XmL3ckqwgMqURfl0VoHd7SWW0zKaYiu0z20dVKbTHQLUgzE Z0tAnydtCDhld2xLBfaQZ6FvszVycqqr84C4VoLJyQE2P6NAGISUh3B5nppX1S0Ppmyr0Hdedoax ibAU/gJFsH5wBOm/2uNUskB5x24RjkTVzqVQGOgRXPjzh81d0mFelL+smkjJplvU61aMl806gjOf XUZb+xC3q1vs4/6AbsTcouk2DXXx7t4ddenPU89DnZDrTxm4rMpCytsFlWpkqxVuSkuvEAAOcDrS yQ1HkfJYj3bJYspz1imxjL+CeTL5xzzxKCgCTfAxybqZ7Aquz34irImLCm7RZwsTjwchj9qfMeOV DFwwkjZRMQEQkyl23mymig0XXx9r7w8CHO/lAtWz9BtsBs+w0t/eqiKbvEDH6f4Qi4HrPwRkoWCl 10pAPSJYnvP2un8wLY11nrFkNMPiWzo2gGOcf0KRzwLTmnLrBWBOoHr6pbOg8BY8VrKxVIZ/ygeA IAKNN75prcbjsifm+wOLzqN7Iy6W/1ArS0X45yXEyl7mPYz38Aj/mh5ESAbZ/zK5rWygKghCxe/3 zwhKOyimkC3SqWAJZfU48NUgj5Fh49ZGp03c7OIsT/3OrMl7jNhwUL+qEe8ZpI5oBgR5eUodJmMh AommaWhtNwvyVtB3oLm4BEvQdGRUHt1N4NSeWw4/mVWavuR6F7EdOHqTfVd31xv3iN/EwQe6u7E0 Bp1ZQwSRhNZuQTxz7BRVUOAgrEX2TYv3eUh306OBhdi91HhohXsYyGhP1/mQmOhsUSI+pWepDMt4 j8NbbUJ3HSKVaFaG8Gv+VV1sauMPWyBA8+FG9zNZrDJau5l47cdrffLcEB5pMF4PUj7lM4eWP6sd umV7zEgdvUTdsUKAOmXx2dN3lqrN8laE+KOfQp2NHFVRj/JWFpq6wW5zAE8smI+9BgR7a+mClEwp P15jqHIPP32d+zUw2u5Mq2y+Qh+RITSvZxfJE4l6h5RXgx8RC2jdIt+2l9VjIDemYtze7Os285Ys MxqC24aNpjho3ZSvznDhsLDYbORo64Xet8oS7NJnugPgXtM6xPYcti0Ugw6WGfPJnA8q+g0ewum3 XlFbCgdLPrPg+1CDP51AD56/RGNcvoXESzdeJF9D7Z6M04e7mcZhI76536WZUHRLZ/YYZkq6Z01G JvkmtrGDskc5LeHSTJsSxt5fWubP0omSp1LWIJodcb6z+vBG4RDXfJzxeO6K+DkzOsXAf0HGkLoj rVN+BimIS6WNv5CHAc4l5v4OytsfDoGCt9+BhjBBv3b2KveWRm5abl8W5JWzVYQKrGm1FIHU3TKi 4j+hnbzCFE5pwsyaJyFpL5KCZuvwnuHKn89Y+fcGEGhk89yGXVu2j1qKHxz0cdN3CJa+iicYf+j2 p9xPct+3AnehSmWD/LitzIGwOcNoe4LQEuhisnpShIi/mfIkoVtCj0scAJp0ud1BJARTAEngUTC9 BAyhJkInbqiT03Wfv8JbFJQn3TB3H2X889yp7rjh6LuTgQBhaYfFn22DCF5mKITtMF+be8UNsEo4 2jJK6+8Q5RQy+HL09kR0R6tOdH7lwSm8r1rPKyu/ZD9BMBjucYZhdpv7pMblW6cnyv7vBAwzWVMI nc5WeDUr/LLnz/WGdvOcmgtw4Nkz0g6PSUtFIdOEoddg0ZxVL1WgiQWOAnLulKS45sDY2qJONGM2 Qx7k83oDyV4aXzmpEtgbNCsBAUFPxjQ8JZ4rkqQFtq6hPRwTUZEdUycZdqqBPsFWfKdGZo76psFo bbL6Iou4/7gfPK3qL2yUvmGMpJ9Q/mgdCN89wpVRXl57OqsixtyMZGD8XFyzWY2AH97KGn0vIH4K JMYBPMFe3M9e9C+uiCmEg/tWatcmsXTPb23+mftkQvh+Z3MXL6r4w2D1UUq8xI223jkl6WC2mZH3 5Y4FncW7lC/9/WcOM1hEfrslNTdvPV7N5QcxoGWymmYH0el0l4FoEfPsX5bxfCpWYUEYKOxeSaNU W3oaVnM5c2SvM4bMsefAIb3nG+B37KX2HVbuE3Zw2Q/xhnBywuPLvpfjm/oM33lfH2tblpQDzZUF 1cOW4aNoctDAgSGHpReGYnYrTFzWiKgt6jqsa5duzjT8eCcb3mcC9pBmEyKfwlFh8GewmkdWwI4R uiSwY9kvozU/Rwig1thvGFUqPXrP+svpPwY4M29dTxPDpQeSuIo536RID3tbQVix21InPVM2H4f3 qZYuUraliEl7WS27uTi+1Kk2mXlPlfr3yxibpmZCwli5MWs8ykyekTE6UzfJ5ehU/zuTgDCB8njV ltgQovq1Db8PsN7KzY61LnDLe0q1ohmDl9cXrCi3E9ajupb8SFc708DsvoNCZ/5sXWFlcBEJqPIM EaICT/v9jAKcX9/kQYVqohSuLNdRYtsJUhPRLGl5f+DVYOgpbzKaP4XWI/nezSCXB/kVWzX+WQml ZP+i22Lfik5OYHqA7DGAiNN6GKiQ8TJ+ig5ThUcHC2LXtGE+sFKZH4SMvToyyhALrULHRqu8aa7Z /IkwuCP8oVQuZaVSlUuC9eE0y5iTv6JgZr/jMwAG+2BJIeh6VZmmNLHx/wPOjIs7+rY05ERat6gB KvKP2rshPr9S3+CN+tWaIf5JmxNgpXQGT3YnxlvdBZOMzQqdbfvJOb7aTONMqCicpbuoWMDK5wAE TWbM4ShQTT5EFu5KOWrDyC5Klgvv7YJ9Qt7zezvQ9SnPIsrO+vtLm8ir5UGPQ3xlA0U27BaV/zcK 9Z8+F9zL3jyiNu0H7EQ6zCm1ZM2PZPQODFn1AUhLqSb1DRhMig1uNJj8G5V9YmSF1A0nbloGs2am 744TRB2WZWY5XTkdbr2KkxYGveZz7IOFAwaXADUFbtfLX++7dcbTXqdVUnPQBQLpGwQZhBPrG8eY JhJDta/scgKwjFvQRHAEgJRg9W8rg1brU2J62EbJXN3J2vRSFsoupmC+f2cUr02KdLi5E/Wkgowt 29cxniG3psPjGokdv6LZLlUj4cjey3MYvIPJjZJa89Ar7qwclhee7OPq7XD945VeW41PU7l4G47X ntqigibsx2PJqvQZd7YdOHQc2JQoXmamNWoWDG84Cj9Hnb7FVzxSXqkcOByVWr+0Moq3ptretFIT YZbKMpEpHKAk9FJcMy5r9nlwBmCieLwI2Z3YIxANIJbVj4WhRe8cYZfUkvZy7crQXZjxM04T0BDX GjoIC2vO3cDZUzZ5litwfL5+A62l9TeEgUZkqDW8kyxWrFdR1r6EG3I/uRK2wls2EH4AV3dBtA3x yQcXPgvTht9bJHt2jzGgZAp/YvDl1czujT6sKf+sWEnGNGOzslloCYXO1Rpoy8a0kAGG18hZGWZL QFiTsvzLk1w6yoyTZuUNkccfYwQAdVsi8mra1PjGbeRAF2v9cyoBqVT4QpYy101ak4RdYVDdd/vD 1N7R4AQb88YYWFg+JTAD27y8oTCkOBy69HyzHkw5LCkPVemPPTOW7vXCGokfrOq4nYQHK/l11MbL zhWDKehZCAs6MjTniTN9zHT+37S4h8G3ZlDzatDZv1y9uCWxE1ZeouLv07bc9T98xDc03AwsB7aL r8HGXKchGkZ4h1r2Xk3ZorgkjZPUaW+usUBXXB5pw3IlbV6KAKyvpOGW9uZz62c88peDXTaVjl09 fNHiRykQ0WNPaYu7uBTMp9uwBBFdB61BCcxtK58w/nih7pM5M6rPuJFwlrpdg2dNIYGEm8XtzdSt QoPqiQdqKxUgpDXomvbMXR6zRlCL3tm6Jv9oL1YIE9iZ35KpmmzpktgEpeIUDm4RyNliwJmRWaON 4GmyXrgLIKL/mWdp56QtG/QxSeT387spW+y4s9PaRzjU14qoJXeG9ftPGcMVmayeB3Pk+PNHLaKy D/7RkM7LscQnM66nyqvg9XL2/qBBidUqV0PpH6NiF7TGV/aoMnTaOlsGQYPTCbbdUOoc7kPyILJa hGhtOjSomXDsXqRvTCc12cZM9FBQVrfI2yqDK/gMuu7AhmPutgXkR0oxFk6Sspl7LeHkgDoHKHb9 OfVsAkgCWLih7aNN0dEKfnY5XX4MWaXw2OElJ0LBfbJj6f2jc3imOxcwepGttAAxMz6iiqfRtekS DhKVDi71batfTLciyflwwh7sWb1On4UCQbQ34JQWU7dUfH7rcN3mjbktk6c5HT/A14En340t7+n+ 4XtnGs1QaRKEl9LalAs+nTGGpoBtPvKb0vUTRcvByJwfJCU9WhnHrWrhF2PLGVeYA1+ys1MCYKC7 9MrLTqP7bF+xZKABHuWPx8CjKyqm5+uYZnD3Gj2H+uIIl+E1FB8752eO/dMMZHOrrv/kChbzhRhz PTDJkvrEEMNVgzsaoFYfkEE6apobT9aU6yntCVmvU/Ka8a6Febmg2/OEQRwjtgdIdMHyxP95gfNa 0mKQoJ7R5fL+4AD+LjgpHDk/RnB9pnTCqcd5nXZzyiB07jOqjtPxrf92NIu7XZU6UDndYUhSgu5C 1wObcy4Jwfwlmk/FFpI1xd+ZEDDg5tj3gnEOu1lQSMzW4crgGEn6i81NaRUG9oJfYJuhpzRJQeTL Ys1ybIGmoCeazEVJgGdbjbDdn+DP5/QWzyDNvAXZ5mjf9Hi6u3mWNHuxY1a2t0iZ0eOjB223cYAo /Jny31XbZJCXfcpggYmzeDYYjUa7MPG+hLe+QYab7Jdd0wkDupzAB9w5tOnK+RSaRon6dblpUBMn W6juEq+lq7ub+yFCtB7gPNyJrQrlNCE6kXaWkcO2+rQZsm8SwoQSZJrT5xCUvuTmcq4AH8SmzQo9 rNwrIZAmrb3dpGFCK0yh/YrdTs18iaBxwsmy/8fxypCllmEBHGOjebbRvaIHgDxVrltnvhxZ5bOa Zcw8bxxzmX9gB3j+U6byJaGO93Q5Nl6wlD/q6kI3k+tZ2PiLhToevF5dvlj013ZAHzdU5NgJ9eGc WxpEbhqMDIknQdv4hiQvnbj8XqgTkOm/m1FpgYouHmvOartYSLBbvktSkhWscLkfPzdbWAw2wSoN RPVrPfpEFQrEzAONJCh/ivYU+Aaq2mbUC1ZpTtLixhf7QdSf3WZ4KWRHon/dk1Ycw45shJwH3NWv EhCUgWKVMb5NLl4MX9KK+xNS8yztRwiItvrQDRmJMEU98gzpmTv6giYLc+Xak2IZy1UqNK55FESL rUMOQ5OkXZE8dDtmdi2NOYx4gK/9EncuiEd64TVDihcqTVHjLtjkdllcDMlTEKHZ/Kdvx91JeU8o zx9GE5AS265pG8LFq+0ixtaN3Zci5ssmd4TXkbgRp7qYE7ZBGA2UbGPn46aZKht2/+na1F1GgO/G VvxG/uhqKl4FYwxXsQHYtv8o6WRMDHIaqnUeys+fEsr5ZASghsG5r8IPQu0Q+8Psf5QfuIXpNGgW K8zpUpiYqg1w9qGFNRGTL7YCd8ElvMBVgpnGMk4s/y4avGaK2TEi00/Wcp5HRGvUtcDCLmRHOxWW o07R7PkWXq6t1ccZ4QwYSuMKV0wM/rFh/tEtJNuJBdN1Qw6k88YtL25bN2FY+oBafI1KVC8igAZa SVpz74xbfDdchXMBRjWHEeEP5vvRdTgGEB/QoxV+ZGEV4y/eZCwOM4VWyymwuHGRvf5lhLv7hIMb ZOd5OC2jL9xvAKmYCYMSEeHv8evLPznkylG6cMJ5dli38MY9NnBCn9gt5HU/kFBJwjoP5wFF1V8Y 91b/WFuaAYG0SMpZsm4XvIwjCm24ErJ8bBGwh07MPDzzwG4bVlP9OdGt06IEAwwebcxIy9G6KhVD +Ih1sZKDap5pxbSGSfGOm6Bfka1d3x8GMa1fh6yBw4tQLKKYgIp14f0qy756Ay9o7VQESteOUFtw z+FIcjMbdaMjW/3vIK5Ji4x7lDnWK/6n7XhBlOeQmq5YWNWpguSQNmZZLEpnIzSix9ZBoubgMrpN xjRZGRRGgVawIBZ5BXVk36UD85jEnVmSAGfqbh4U5cXL7RQOoMR0vGo4rHvdyuANKnCaoWysGRWg 96QeelZh7Y2V8lfHaax8AmoRPG0QTWTGkaWLKGDAPlkCY48xfjaLq1K5m3M7mTAkacc4nZ1SjWfk W0NhV4vmeu8XBVlxVEEMtIq0KMVa6PaVjE7/hrPJqVPUYD8I6xKFT6Izv9BqfF+Wojzzs9kC2+Lp 11H2x/YiM0Y+UT5GHWvRYWi7OYLTZ9tywM2O6USa4YHJ3y6Z8+TnOzKer8A3rH6CF1RHsyaC2hvR v5PrhTlXTyNuT7ZMo6ISzicCEvTlEdcPnDFv34QI6aCD5tCfEpU77WukAx+MDnSrBZQpTbt4+w4A 42onROeybhqzBLohT7IGJf3rJyT5Md/3EHKmYCesjMtS+V5RveZbFArQbyumH7PWAMuz6aScvtO8 EhE7I5wCXaz8dJfP1bjjZkidFoLRxkNgum7YCZaleVsCD4Anc4hw8ATs4OVj711nIyksbxXB6dfb 9FGnqBpJ5UxRG4PVSTpmdPO+0dTng4O1QnX0LlptIs9fUmMsOhYvKwx1qSwph6P50NaD6hvJvuFa pryWNcEJ43e93r0zOMjrxK6rDf0xNlis0aC3fOpXeJzQHoOkz/EAjJvjE6AwNeRMJUc+rFklGPej +PUZ4hGcydHdgFaTAs1vjDgHPyJGN/3PpAUtwZWEwGjD8g1ubSsoyeL1ahPOa+rN5QBYdTTjF8et TeWbMQ7/6SMMWH2QASZMRZPHHGBu4Z2KOW5ddznjJbI6OmXGgWxjaMO0cwWonM7J+Kao4blltBD1 R/3gN+DTmgRr+Qtn9QkcIx2t7HP7CINeHl+tewGwbiJdpuJVrB5i6QXEEN5Fkz568NB8eZcZZcy5 XN+d9MNVcTVcVOPLVkrdJl4ybzbMTym9urmiqbscSJhIFgPZFLoSUdCnnUZbdAJGG/5MqBZFDqEd A4gZbCTjcXfk92tilQvJfLi3/OYNmOc1O9BocDdNJ5b873ZA2Ggc4Sf5wzEUEu2lmjWIlkt8zRph REJr2Pyccx9jtvdNJTSBTCS1HxNJcu4SeVshOLEZEFJZKm9jvL4FljiVmWAZUqZywEUzTvv5Sonf jkG9SPwppdEyeH4vd1hrculXk87PEZaPiEQrXGbLQPdDX2S0kbAfPlGWUu+dTM4WZdAx4wQ52M9S M4WEQEbV3H8QvfKZqKjmwiyJeB4VqZIQ1cQeU8cslAZdbe48XmkJVYavEu9OlNgb0VCYPu240Q1G VFNTfS9wcaPpohs0R16Cg1WDVr2dEffsyxA0zoR3NR+OcRdkjgseM5q9oKjN+kr1SECHARk/NFHg /MgX60XpBMFsmNRPKlDomnmT6IEYB1WvbdZ/sgH+L+IEDVmfythrY7/z1Z0MfKe9l1znYwg0Jxoo 9jKCGNZOu04ZjOvVXz1KChy2H/vHRK+Dkybhl3yp1DNGphHW/a1/nOAGxrSyDOi6upQnSQuwM3JT zx/M3wiGZTj8NqdhP9q+opSgud//nDOlDtVBriWgLBsT2e5qRChL3WfNyRRZpWpJEbpDXURjlr5n sxBlnWdXGaiRTW4FhQo556MjQd8Cxdn/l2K0ZSHnR3uGmA7nH29JXPFO4XuLnPTi3PX8fvty0mJQ lTBH2rMjX2vJQJvG2kLrveb2FvLn7ANVY2s/a8UyGFaKME/huVcOJNJ6DkSN0s2IKeJQcxxwVUrx k1ZghogLKyMD700uAe8JJ7J48SZSTWHQyPwhm0WwkNs13+Ag3z4VlrfNzVy5EoSm3eLpJzVVDNRV XdogcY1PeEMZv6F49qlWe1bfnBT88gFbTrNHX9qD/hN4/r1KgSqhwMUoEm4gtWYxSppvZUCWj3kr ZqpjGa8VqrIrX2sYD+QaiOMXHrhu5IEhAWx3CP1r3RNgRuZFB+mbs7otiyGB92OjT31+bX2kEJyp AF95esZ3+hsxwmLwVSJWk4XfwU+/7bDsrN4AA0qM5Pi8SVDiTFJzHxQoyhYIu3m57pb4WFLfUraf 6MxWaB39+jgmLuk3UUAQb1OjAqyTC8It5rhLDNyX+9t7Ex+XKUD0qc/fOTr7By+pzlXpdiimwHXX 2qJ3Z8ZZ0aVgDKblEgDOeW/tagjt4enzuYAIres+eYuzGmEuGjqq+W1CAelqqXiQKpzQy3i9nUte T62sMlIfFufiqGgKlTg7hDoh0GvmFUzrmDrwHsYdtRq0iZJ3IV/hhHnig3JKDGaUtJ2ln4Oex6p4 txFM6Gi1eE0elardmECHdUymjdNvhHaOpFg0n64gEk1WjFqHLratJbxuFa0+H8iO9br6QZLjxvdO su5WrYKfWzzyFmU0OFnMeT++/J49AwTQnwo2P9pJu+aWsnLN7Kuuw3bBFyvWIWWHyiupoMKAyHmz HuGBRyreRT0qi7wh/qV4wBhgYNC8rtU5kfjrroCanCMkLEB1SKCJzBzzgcO23lS/NiDcoxagkHz2 Q9kcgU0WDJCn0/mv+dWpjxJYufxlmy1bnU9a/c+t98xlmXkbQD7KCbXOpf1y0WJeuPfTAG1MIHPm 9bTMZuXjxVNmTTGm+gIUDfAL3FDDh6VmcPt7uTdgoajgJ1x16OXS/KqdwMoWO/Do0IRNH+xFC/8O sXKJmzNBtzPYHJRIQtzrAY3mc826fo7mEGqOykuLWS9aIJaTSl2mXmwh/dqG2xE20dnaz0mUZbbr TXKawrbwV7nbH3f96Q+1Djfk6ntaqekmSMwLoP/aPLYFfbXmo2k8IfYoWn5ZWC4wQqdBRiG1TIyD jV6ce+w5vWLJCd4rKKveAvD6k7M/h7uom2U8J5Qh8ynTtJyqjBAHYuyufOKeZCTpu2KLP5bQeLBz dW8Q36Lbdi6+063jFoFaztZ/EyXFUt2JnObc1tGdxH8fQiTgvr/v3feiV6586AfEE29UiIbRaLp1 YYA9NhdhNPfgawH2qHV4VV7BgyiWzFOSZhnATunWJZtlgMVQn6bxT6/UZ4TL0gs5McuZLeRdsd8o xa+fL5VaczVJSROh2dO3RifP989Vbv6nczy14ERh32T/WX90WtX8UzHaurB3dWHxeFQTOzYJlBkA 60dsWdwfXHDz6eWMDc0LPgUfRJqnq3GzNqzRu978tl95tCzZhwPBbJpYp33/ZULIekZZsCI4Ieh0 jlf7h5a5k38r5i9XBXHNZ3x7dFW0fh3DqW7s91Z1oYrOOcpYsLSl8Vy+LqTF+u5FiSZo8ONcnVY1 OC+LWABem4OVW0J2PwWo9N0q0ioLHoT+oyQPr1OSEYFkVUYXE2WDyBipqLaqZUdARsy+yx8AuVj3 rO49vxx672fUYUAwmvTwl3gXfn+7ob5mNMMPfxXQ5YD/Y2vlyBbrjdXLwyVKlnTaI6j8Coxue4K1 nwLRWA3Y7oHosyeupM256iFRPKjYus6jOwMLLMGkiOoWlJprdxwQGPDrBjwzj1dbEahFreQGTBiz feC6JD2475QnveCEh9pmVyPt8KFQDn9TrzR/UcrMmBEa3X/NG1cpcOowWP8SnvX8X9z9Yo6HcrEF kzUhTqRzLzqUHcmooCGl3ch0+G143ciSvsU+dtSgagsACk5a2HwsnqEFCQIJNOfEpKYJVF46C1iY Y4V3sAzaiYgUT8w8YQ99whBjeykIGjF2mtQrVvlGCSEhnBnng0eNIbxUAS+1xphX9Q++AQ1+j1Gi 0pBKZCJtwCJsh7j1S6UzdamVz/vPHVJ3NJGS8zhB0evJ0G5CUyCtoA3MW2kONTqH0sUp7kvTSBIK /B0biw6I5Z9shEQETAAgrUgXi8D7RB5cUakP81wSG1rz6tvBKr+2JGgoZPS8vF/CqK4htQAOVZam MLkPdWHt+QXmG1K+m+HlDPIA+wDPa+qB3fyYgTdtl2y+iBnxtyI4R7C9+kvoyQXG0CHcZrTmZ1DY uGtHhGwvwf6zbIKZf+UVDgqiX4Xf2U6E7ryF3y1RNPNI6NquFFJKsDKF3kHd7eGPqo06+veMxA4O vR/4zoPQSdZ4melCgzqNHjWTTHjmkdP24pqGqQx3xHVdeReb72ibnOqp9PALsqKoFy9tdsVCfYLs jMKdtp4mE3XYrshrpwR5jq0eMzdTj4Tklsw512s3Ux70R4QFit0tGKtzJ8UqXIQhTWeS0SROG8nv 0zbEVHR6ka94NhFAdXDPf6HZ4ULAUM6P3QysjHk+N2Wew0+uzePkfdkHKkX5etBIMU0zCWehac0B 1HJJy9iAilWoC53dUvwGyfZV6ALf84A4eOk/yUZU52aeRzcWSIK/KG0wOfW6cS9AtAMVoSXTaAB5 nTMZ4eC1xR/5wp8xPqj1mvK3h0pCj0CHGcQ1EVCwskwwUDOsKD7Q/r1t9YU2UtvcwsUAo5gTVsi7 ydJHmRDBHEiW+VfxAwF5Yb/UQHmF/QnmIMeCwc9gSIUvxungmPfb1bdnqEJ5PwzPgCrK+ad2KbEu G1aS9cyanuBblW/OX9XKbBH9fKD44cmOM26qhG9rfb+4GzpCdV+k4XjjdKF4CXpq7lep0aNkXpwa 5TwV+/FAYW/aiH0yxu8qyMiii6puRzuj0rHI6YnCkH+0ewyp403VUThL0AXdw3B7Ey+wT1VvWdEC 6PBuLkOzvgwSLELVtOegSzHyh2qGCR0E7WX3R5ZVjQmyq6RF2AfExzhWulX619RJ6B5NswAjHVsX 1Ws9xtSdr9Ptq5QNLUmRGtUgdgOULeCX9a8QAl+uHde8NPEpuGfG1KBLC9HKefTrV6x3HKfUfxRd y+zboJced+0iJHuv1YY859PF6lf/z+Y3WLbF2Hf12TdyAtBYOE1MT1icSU9xdQD1aqmTnBAyF1tp 8gVbDOAs1GoaW6+5/aZyiQTauGTy8yaY4tUp8R5dmNxRx3VAoKgYYYPTi8nRcGfNXnHDt4AKe81h pulbfhVKforS8sLrq2qDfRqOPh+KfcOaWcvcijBtyjbG4+rwjwh2tGQ4lZp6L3TOBruJ81REbJ0S 0zBYBKI5iOzYTRg8yUUtE1iOVRY7vxEGuEsvKILXgMFgheW1OSsCiVkDXUdpxGRVLIe+h9DlRcmV XtuxnGZfkkvHpAPL3PIxQ0Vng7Hu/OqTNsSHCC8TD8I/mJbvLZySewj8f2+7d3Jfc4WhlHQRqmqw 4e9+EEqf+ZMKMsm8QLRiya2JA1XspxeLjQjAw/ThgD+SmOEtVI0vCBlXHb9GdRFY1BTLjU9yxgaU sRdKgb7jkX4tuSV6qGlUSG3wo9h7i/72cn+Km+w8UkKHQa9kO/Psb2CWlSbTvhuvddd5Pqdcx5FV iBtOvGjM36Wrz4dAKl2u6mdEt+DAUNZZiJvZQb56sIM2lmDSQT4NhTRi209PU+bJmOw63rEw4Qzm 85boypXKQFNx/hq+V3iwk7zbsv8y8N+QA0JdQHaA9LryrUyB1eBUFvpdZHvkP4K21S8EcJ9Rd6u2 IKmsQrK4PKZsgENetQXqreMM4aLx6eLNsKKXtw0PMNrQjiexeTt5MyHidXmlslZk7R/JVg4SMlkS Z1UWDAl9Ifpg3mj93WI3Cs5jwFE5tQEzEt8O9tKA5kzFbC+e3lr7YQwBkFakf0RkJ3nCykYrDTsA ceHfoktrdK1H9NnPzGlNzQMa1dWhIigHNoi2bMhN/g4zFskU49DopGXWNx6OPUcztGBk4sqeS9IR gzw0IMh/u+8UHthxioTZZ56kkOaW17ogkkcJ+JgjVzmUvyGhxXSfpbOzmPt8byFIfvQd3gira9+K Mu5nASN1lVKhUa6urk0xIUQJYUddGxGA3mdsmG7hM6MsH1jekdaG2n6HWDIQdGWPMBKebz59OtAs Ux1wgPV4YYsa5I/5k6T799X983R8Sf5AJCoaela6qqVG+k1djm6qYfbV0zPBDDmgKBMAtcbE8CAa GTLswB5sOWUcKYzo+Zmx3vZZ6edWPsBbW/krJb7B6yPlt5osrWubMF/XAidvPdMauhS3W5Qp519T I+G4uKcJqCT8eWe0uDTEOhd08oOR4a/TYslunSJssR5K8+l0pIzGcT+LGqnRPFaQ9prELI31RZiy NWV9vXcqF8j5kD5riaQvXYHl7cgCmv1HYP57oxbosDjqiTpftKiLwXn2CrrFf7zhOJElBa01PLDS we6ef3SLbndiphDdeKWnyzKntL1CLZyWhTFDjTe4y89qXVYGnZhRzti07Nw1xkc4Jp7tsOjMqip6 kX2qKovb4fKgR3Gd985ejQ2Zg7++CVKtTXgQ8nlgt0/1ScdXg86Bagq2wxUC06UREjz9Setc87p6 /4358RcKj/ZYz+s4IQJfi712xGQrC2PC51ltcunMpSf4/Y+YAM9EAwcv11crp0WfC5X99ql70VcJ b/dA0qzxE4wm9MwFVpqDRlnesTC2MkNZ8lm/reKuiLClG2/YzCYRH8t+HvMB/CpcR2xC40EcbyoR XDpD4IA0BfzeQ3PRhFhPmAoUe9q/ocMwhDsW4L8UpEHCqjWAJk10ouWsqIbD0RGRoownc8nwh5nF 5HecSCld1VwTD4lynRC5vHUKAbHJwgk+Q8GyN7uYtE3XnvhAicOPJkvTDJzQ24VwajnVDCkbGrLR oEsfMJKwMDFiaik+B1Vrc6qFVi7U0jGqmOuaTZMvKaMvRu9AA8w/jfo/2DbWCyYmTwH9DwD4oYnM 8P73djn8uFwM3VKCA+oOaDFYCctivYYpbMEi5ZDcLMDDPig2zmkUF0rOImK0ahIwZ5lwZYAv3X3F /7YdAMhw2egG+yUMSNMVfhGCG7HtRsSv3uW5M6lUmrtm9Q3qgs/looPhAhEuWfJC6/s49F+FTo1f KMrBNOg3TGo1rXdzgvAAg0gIUFbYUDXaCxs4QtZbsuW8D29NHFeVnhHQq9q5273e2geSI3JM2aR1 8hPCp+WhVAn0eIeFz/5RtMdt5MaFM7+C4SQFHv3uW6RTtrjJKB1tILXTjHy0uJ0OPcnCwWA5NBTY RNQPzdtsytfx7Sm4HRRlxz+8gJsofLUBXl04Qkzty4jrLlXXpNxR4o2giJW+NN9huw9A2witnCG+ A9pp3qo/DVhrnsHboWcHIRSjjrOn8At4sSG7GznWctpP0vZUFI9Mr1nI4HWdupvqv3qAV/ql+dMw OM1UAtCmvNkgI92p9NxaQCd7o5lLJPXz5dBzAzA6PTok2GOXYNXETylj22z4V7JAIE1QwLXRsiHX CRJSUg9ygtEGyWQV6NnGORm5uzJyaHa3DY5fehhDUsZV6d5cC0t4g/42252D3ezt9LmSi9Gx/V3H 6hjp4LdapY594Te1Z5Hd60ClIiQKyUPwpwD7/UyjsGZzH3j57W+kgRKXAZC/TbFDKhqJ4XDjcPx8 Z+9Na/A4I3l9MSlK/JFaMQI7J7rJoSN6BLeiubaicxsWBsvZib42YKi+qDpbl3U9trEKB6LkAZ1A yehr7lBPM75vz9u1GEk2unDqN+vFf1vQb4FlSWBGWEPmKvA2g+fUqMb6TOFu8yDPs3mZ0sYH/Lvy rHgZRTRLIJz9tyNgLzfX6v9M8sy/5BVCuHhALdgqw9ZXoIcIT+iZgAG+9depcssPQ3J0Ij/d0hCW nrARIZyuSa2meMI4n9lbk81/qc1vYWcj/qBakBu+SbKlgp/Yft7rsDsJgVakZuPDcpUn8Y0IM23k y/n1yPeK5+W5UEunfKw8OauhK6vsx+ihZl4Ii1KPwBSuVWhLpOC9OIbo0DZPCPYxxDkLdi6HTI9s OCpmEw4X4g1K3jPOcwIJHHcp6arpXSwZb/Pvvj2dgUZ1Ujnx/4tKqQrrXZxTgwWx6slSbJfF4OzI bwrQspitb/WOVkKBQvZsMrDy1pPY7Hefa0frizk7pGpDLc1M75jZxgGqq8WKLdArv9YBP4knTMhn OHIk1EMzLtQyvop636FgbDl8Y9RPE5qv6LaRb+7+lXxT2/Y0BEeAHLNtBqLz34tD6QHFvGP/Zfum d7N0ZnRRKcFzPA3TQ9Js/IpoLesqrn4kVt/UuSTBj3iYzBjn/ZXdg8o+MaxMCXUj4OBC6KxH7xCI zWe/whZTvXpCjEDNxi+/nfBnk5+vrcx2oozdkA/Aia+AXAbGooHHYd3emurlDUv2BPml4eh/Pnpn YkTuiJRP6zQMG2hcXH2MFVAc8Vo7c2K1A5ZmpOq10zhO2wxHvY/Sa+GHm7w+YRVyO0VqFKf11OhP pJ5f3zhdVrB95KBuXx3EoUNkvrygfznIkbFhQNKIG1hn1KHtwetMEOttWDWYargu9ODE1gsrBFb4 NddL+h1OL1Wo4nWf63jZWF1SdGar6TwvW8rTbJAr6nQo4MY83ZVj/x/WL3ciUusM56BPidH1G1DD nX6wBJ+p99AXoEOCS+IcSX9o3raoZ7nJ1Ex/plzf4KYlbwMBPb3yPl2uG+xn+rEFWH1Y4knWTof+ 7yCHLV3fTGC+9UUm68WCOpICd2R7mZMIZVAH99ZlZgM2AFCJMwBE+cZAYoea7wMWAyBRzGBsXmwN xplYJzNKkzbLR6mZ+6S4VEMyBanGt23MHi+7GfbH06hhsa85Pq0VLjJv9CM+xaHuUj2h4YW88iZo lhn38tM0MPO7cZw8+qqgY1GmQHSnVlR6CaRXUKRFVLtNjpvIVstyEZfpAWtkH1YmhaiuS55kNxAI IGHX2kUiUW8rpBWFIqM4FPSj+E47Zw1yrz9KwNXmdSweKYlciy+pRJIDVYxjUMUlr2+HvETlM2XF VPWihqsnGd2iFMSIngUr4rQ10QEmLlF46zZ/fxzdwT3uaqs8g8S7ADaI2SKB1TF1L4/PTB/cgqxL 8tjUAR/cVF0myNMD8/0GSwfQ7sQd6iVKwIncb2OUNXy87JzNhShAXg/ecpbukbsJH0FNe5fqUKAp Uz2tJfNWpE4Ojhd85we4nQsuZ/2jbM9XevUScYq4hTCH7QVID4WHvAePfqmijR/xKVJYGD1WfyrH TN/doAYt5jiow/ebwdHBmkOarz0kWYpFeB8gqdKu6YaDeSXyEiVTS/Rb8NMcyBbLJ43hxMQds1jN cYJUGTZMNhhgYrAI1pLhTVPDjFoMiAW7vRPcxUynCQClnXH31bfuuM6uhvKAu+El9kTyNImDCWnH l7r8e6D+Vpvg3QQhdDAHsGEiV19+3d0U8Q5SAuHr53T0OnrATwPKzYNTalP9nzrQ0PQTWKdmirO6 V5/9ofVThA7bDJK64+m6DQv3WOfTu8YyW/T82wxLtiU3p1lHPNvBMlgMg2nmkJ0ct+Efrlsf3oCc 9Aes7Cx3ngQIKMrt/4voCu9Y2x3CcCAae3wTbc05o5+Y/cq+4RTN4GguCisoQ7e5GIoxZtPn1qo8 uNWeT53eF0/hLgMJZ5uWjcUgCuC9iafg7QmBEff8drwzI7d8bs8sLhW9a1mVaE5EnofU+vJ9ccLS eWmVXWwW7n0nuRPNqrglAZX3RJ9HLkhcgQAa6L0/ewEQ8b12J5NvrWyV1jMMKbRdCw0NWAEVEaU5 funcWwGnwlifrzfp9qRnN28aRsgs31VzR/xcE7Vrgw6rxnHZen+Lp/NkgSmTorBUoBei+tsdyGSe eJ2RYJm02vlFGgjg1mX1GVjxW6sGVQ9OkXJue+76fbTcDjm+UmwYpnOUspPlvRvqKuET8aKTlwm/ KNvye04C4l+KGlwgCEIfKRHh7OGGEqj9pBrcdZ4tJJ5xIo4bHeo/1CJ9sTfjjPDBZFph3pL4aRtD O9huiy9EWS3l9eZRZxGs13OVllLq/RegyJ32sb4oi+FGSqu5bGtSC5oOrchmyY9w8SHYyn23zoGE h3VXHYlqVPgq0klYvMzJeu3UyvZUq+Bf/kWKVo1OSdpZ2+6PJrDP2W6gLilvRS4ieT4TYRyyMs2v +iAj3EgiI9b6EWnsA3ayk4KgmmurynBieDDpXqKgC3LnNbat3tC0Z0qqBSwmQYvf11pelITKAUHl FpAdRMdJMUvb+CgISRpYWP7qsCNEwradwMN87Lvox6Ju3HHjRofqxp7+paAdR1sywPBNBWN5jvq3 8PADKYTddOqjQMw2AMz0NHnWpIWA9mKLEZIXCzaI7Hq9WCYvOTqGFPR/1nzgpz2jOaJDlsDM3kjz bvpMCwJonc2jhs0Wlc/UTWY76e9symU8+waTrfyqXUBuLXIa4B2HWZR+akasd7HU/WwLFaJnqhaa 2hU4gYxHNxmJF1FQep/df9adufbn5PE4tjDzael+qeA9EZYxv9Pk47DLUNcbx5GK5JzTn3zUUWM0 wmQPwKP7pyKOm0tzw4kBMy7tOtvd0Il84HsB7gMP3mNHwfsfN1fIa08BGL6vUR6gBjgP4YtOBV3o LWFt/xXV1oRzKQv4yi6WdIwmjXlDlx9zZSeH/tmdoyS/FV/7k4kgbrizle9Kks0RPKdL+1leCAet oWsJB2zgY4vvos2kEoOqKwYGO8NV8IAstUdTir/hPHsrz7xNiZCjKfuV651QFqmMCJ/wDNh2rVBL BKCqk/MTOeVc/01PsCXslpR8e9RyQRYMWm0e5FbjSbXtaS6p4hYQkmV3CQqg+D1xdnkOMNxzruOq 2K9WkKi3P8p0fjT3lGIbrbdK5TqKtjOO00xmvnFy5hnsn9JoHx8xAHt7ti5sM4FbmRx7oy38R1Gv iHxcK5ygdwzziPgGTt/89s8GVCjvpNbuYWI4jOIlhOenhFd89QBB8Q2sqUoHNJ9ybw7AGSp9cMVY Ih6fhkTxtkZM6Q2qXGWqgoJVognsWKYPgEE2pZVtKgEWjkJL4z0ri5dBg5R3kp6oLI89JqWWOwPW 8YA9PEibaOFycv62n/iRQ3yBgNtAKHbY99diMVyRf8j69qAK6Sdh7nE9cCjthz3/CmvtLKzAU9TS Qp4p7WoqcrUE50X+aLCeCgESsggwYTWK6OoUGkXm2QPpLjbC+SKZxx3ezicBVTimzYn7L9Skwuwy 3zLlUteWgwwVV+jybu//yaWJs0cPyw7xPfOUS2xaqbukACV1xTRRshcHL3LdRHGh2T3CtqDuNYdW qv2DXlbI5CyWcypfpxpcSL36AqvlA/o54rMtXTurFEbxu2uy33XZAfNRmYOZq0nW5OGr8Z1aGSV8 Htx6JrOEWQ/vPZjNS8tN3XJHF+BmHvV9aU2YF53jXh9IkHjpFnBESHE2ZTJ5/wQQbGttrbptOnZo 9pSLR/1B8ratcCSJusx5DorbhoKtA0w6eQB9vagq63fLvzyRpcCl79/rDhg/MQBKfG8+w8KueYBa IWPKffjL6eCJmvrLUY1Y/9T6JTDCg+6JlG8ZnzkDo2jyewgKu961drxTQwXpD/mqPyaVTmfCyrym nsJl4v18OGepymNbwY3yrI5AlRrQUabZT0dgCvGXLrC5iFPzOeZP+bhTayOZfxi26K2MlRRMI+ki /bTlmM4pFLP4b+23/CIKv2LWbZ5FZz6jhLPk1ASGUphxyTudyYSXLoan+W5tz/NWM/mEmgeSjSOQ lxGT/dTAmjj9g+MykPrC4b4CyGubsxZYWnYFFQKnxqluQv9IbzWtILW/F24OMTytmY0oKrlEscqo uGowqKUfIDaY4Z0kyFrnx13933QIBpz2J9yO5ZzmoaiWnaS31JvaQG2ilXiO78EVJwzwx8qo0Ax5 yas6Ta/oNunjqHNpQSSWHQcilYzdpDl3WouBZIFYk8RlIeZTaLhgr4UK8LLIb5Imwdo9TdMJh55i dfvK4ZXmV5ICjqx0ocd7IqW5tntuaVQYEeze2/yV2klg/bhUXckIufcdBp9TigugkUkU9SIK2yQS JNparezHllOZ9cUGdSJZ0WJnAKw8VLs/odKIaYLiGX45z7AFJ3M2GxQ79tCh0SD5J9iZxfbQ0nuy Yy0rep901tH45hwUiOBJxcl6fysq32aycion85oEi8hlbnKstI/XO6miGDqOJyA0bBiqcXVV3ldH bT4v99Nt/KUleUmLuUndHVJ70+F6JslvY091d1gGeNUzshvmzKcq5qJPFmYWwbxOWrc+4mFW1FwT KDqDVL+IIXxG65FF61KIXibgn79qKMQfaGm/3jafsXTXRxFuxpQu64wWvQorB7/nfLj8sw9KUYbS vkDZFCVs0wNud2QdROPID52itd9KGajt+7DeJYY1INQFVn5wI2Ff6TnlhIuZmKtTOY65Cx3UFeFa 8Ccx9ueGuopPsG1Uoe9sbTtKgmJ22BnkDS1H10Ykk0UG6JatB4BhMhcjxrw11kuTv/zL7CsL1aUG eoH6u/QQJO+nFrZe53RwHrtyAp/9cTG5J2XALWRrAqg5Rst2WhspXzG/M2ioXVRxM/Kxo5rB1nLD k8xRxY2a7kdyZo1BVR84EqzSnSXpz77sxK2AP2y9zludOiLM+2GNjWlcAyAfbPr17tDoGDSdxcSF 6orjnih26neYs+vBBylHg1W6Dds7VhacvDSNca41deiLNRY3gN3jNyy6XvDsF3RVMs0Lw131ByIs gu7PKypFRRvUhsS2Eq5/VWEG5WkafANrpPXohpWQrvvybFNrmVxZzSDDAgCnPPEY/62U4lnnm5BX N1XEk3cpxaR4Y6DjeCNomXRQY0WjQ/jlYkBj+xLvEMlUE4hrNmruXxQUeJzRokWzhA+fTSkhhhYL +OfQ6I9IWGwsZoNrodyctE2n1jTbxuoi14H/WlCJJE+jwUcTJhq9M7IO+2Nd4NrYH3JCuDfW9ESG dTUBlKcstMdMxiZYeiKuTk+fmQAlFNETXUPj2oLRmxx37jvsCVI+/iDfclSL84LXL0YpZThejsy7 dAJqW8ge1LTzWRJXDxIqbwzPDDV7BN36jikNxgMjUFSQqkVhX1qzsvYtuVQU+mF41g2sszGP8EwV RfbzWlcSg70UQJCXijRym3UEu8ZXsI0yXu5c2xnD6YxF9TVheVX0rrP2PHJOLx+t9z5EeB7Eu9LD Y71XP0oTnPBwgRQIWmFfseEmhNSbXIEEPxJuTcJTk0AX8mAHTCo7PtIfpfLWrsi6hF5WVMNziSJo srqC0BLHJDYq9I1ZqMfmMYDqo/e9fUtrdPHlEGtQ+oD7Jxy0ZNWp6awC1s/anOYDD/pMBLgg6iY3 Zo3oJIh+vzzev9p+FOVF9CTKKdoXVNdrr9uVXQYCM8vZu2lV0R6hOtY7DhS28k5b2UDfbvG4mXOr LhL0N2auVwxv2yQEGdpLckv7E8hnIkPmFuLGF8ufbmibjs4lJ2GMadkwqsReprEW8zhTBnIh3QsH O9pNgscO0fUcEht7DH4J5ErsWAYDHD+4tF1tkaG45fIAmxuqlAarFkgbGVFrDXKxNvhx8MqqBu5J wTrRxouDOk1o81e6eVh8+WrC/psPth2RJ67yvTze/X5R8mg2PpgBNKj7C5BmjmYpL4Sb8XlYBZbR iq1I+huptxz+NHnbreofTRyLz7my8W2rNWUxsTS4PQZYryFG5DVwXynHoSS92VHSIhHPw5POtgH0 GLdEK2mskaVBhz/acO0zLFhdslV6lVsRwd9TktgzC0FvqZuUAZoUM816asrukt78o9iuxNkAjCPg ioXQI4CB1sAeZSJAEa5cLVY9+M40aUoD9jMywQE+lKC/BP29l/jOj6ZIuOQyMwuFFtB/FhSLH0hJ sT0BeZDetjhR+8DRFFw6KP+2KggN41TotKwaavPPkbD3JrF+DJFTVtzIyW6/XWO/xYKaDhaa6h2K C4isd2wOiaG8VRHwJ0TAgWL1QtXaQ4AmSULSO3ErWGe8/zxO3OEkGN9o6Jia7Q7L3gv7/RciYJ9O wsf6uHkNfx2yiQ8Siaam/YVSJqYOMtHuVjWpL/Qsj5DjNGUJF4rYvD5+H3HNkLR02HwalBHIQ4IB c+uqxDwq9AfD/yloVe93osM0czgvLJA1oE2Q/3G4ri5JMkbdvk99LqIGUtjB2gI+mu8z/joZhi+H EBVySZrd1Oec8/wj+UAuqcwAPgddy9VEO9ypGY88jTRXCiirNECduLN6SnSEO4LUmCceSma7nYDJ /LYnCatTjJEXAxrPZBL9gwnM0W9gohZMWT/+Ub7cBDSi1k6ZO6gMZ9hgTKP8qU90Xl3o1XmlrzIQ U0Cd/anQttE1ppe74WRy5/nj2g37jtzFvkve4AZJ7VTWlv5VDj0GXfDGzRU61cpZL3CBJP0BjPe0 RkY25KPXoawkzprqB4nxuz0Gf631B3BcNRBpOji+GdI10aTfVXsHHKbh8juXKvNMkQVBYL79eQQU zVw6GPXPYq3NF0PlQ03ucRnwFbRJBxf9ACJKcbkTl7Cx4BIVq5rcj8kkY5nEesNZ+XIRbCCmiD3t l++BVC/exds/fH6PU0ckqkfIG47nO0kHKoqjWNxx+W2s6fqZzMtBG3G9AtK9HMBdRe7l2WRd7/rm gkmjMmzOWdAgSB+Ubu0sWFUZj79kCIOvd9u2GtdcqTdthCxfjPi6vXzd5qnnNnhq4K6NXaeAzbhe l7c2XL7hKuRr/OsoVSNbakXHXjb1GE2gyVovRLt5gJSkL8LWRecR9xX0qehddIHBJj/IntBB7woE GVcbazAdI1ML78drcvfYV3cYBiVsrLxtBhaVEtMZLGiARq487YTq8+Yb3g3Y+lWEsqFfgIeY8qHF 2Du61dgCuqC4yfUjY3JloD+Um6Z7AEuM97kKz5l//6AF2IJWh28mCf4X7u8LWPL+lxO0U+FkO46R sv4iLBqhueJFv+VVS2E+AWYMlpesHuyKC4r4b1gh6aTY+sf2CnbUQCyloGu+WsXl5AZ6qJOnVzFD hQYiXqURSAxzM114G2OpFmBgEy1rehAt37xZlk01MDIU9H9h6sS5s3UHoO+BjpyS6SN19U+IcLca HNeVB1SyEpX6QzGgRTM1DYddAniESRHe6tQlq4Uo0y47sptTHFBUHFoyaMPGFfZs2LslvfD4vpid HLhAkdcZRCh2D7YxFZlUXicdujNHH2R5GPqzKlj+1nCDVkcsnhW8J5WLpzJgmkgx7ahcGH+sPInd 5LEw+eDIUs+dtImxU5E0RI8fzbe71F1HkHgpOjbBHvPD2ma6z3Er4wxXvl1c8biogysr/8hjArxJ Qa305ITt/2V/DAX8NDsKMlNuxzlfG/HuYrAeuXjVntpctCtNgtgWN7zJi4VBTpCWE1ep7VIShPeQ +CNb730q+yf44j1VjqxEROlD8ln6pxMHNitTduSkGkG8P7mmXFVET3L7IMbT0er16NOUqr2bzpBR nO53d6Pu0FmHsx7Pjf2miNAXHT/ZbnsugySK618cAChozV7JW6esQKY+kNU3YKYlEryFp//YvL+z UaEG+WaD/6CCSrYQ2nt8TvU2ICcmea24OLhcQpXWnQsnbKkfQjQtC28oRzALy0+weUtjyDETitMJ xMVATmjZ8zxRhpUeSMq03bAMqx/wmWAVxGoic/H3H/WL+WwNKxbiOQ9dCZj4t8hln2qCNxXc4D17 okYC0ZyacX2m351yemXYVdrp2v7MlxG8zOWFVGKU0VAIDVks60PlpM+sYFs5lYXjjYFjTiEsLj23 my8Z4xEqnJBipb//NNww99KnnYyBDvJe5g9FteJKLrmYixNXKj0cj5zsGfBeSxyffahb13pKX+VG sN+Fp+GVtA1pT14qCAmlVixEPpGRQrDgbenrmjpgno6kz84p6qgk2On1rYZ1UIp86vNZaiRte5Hq z4BChRyQFkpm1eRgiNwygN0W/17UanxmIVJXvnJokN/7CkA+LISFdKZjPG7Ujp47Jznvaa6klrm2 GUA1CWq3YRNLN37BPuQiZ0dgitOihSuBopjVXqKyzxzCfuL76pkO0AuI1AwZQom172gOwz+t/veL oZxeNnFGYwwDyMhY+pLMJZyq2nIEhHRk/OF09KswW/j8++5ykYLf240wD9RwH7Q3Afcip2OjgDve eg5nGdV4+uA9eNl3pIgmRta+cIASGcVuYGxlcjRz8XKbwZzMbq7Ha/BlreoVzH7r0/J/HzYXd62K sY1r2DFzuaQ5Nd1+EKg+TE1vHddbZ8LTC6RZ3Ypu8S+vnqd38kAxRmEDbxObHU+ehFTKCT2dVUcW 4b1Z5UdXHv5AMnZNSIEcsF9z2fAhJ4tHeKd3vBI97I6KpFJLNcbHNtxprPjYu8WMEBjyoHAQ/GWu 9COi76x7jIqg6xHtVP5zALY6Uk2DdXU1bPTMRlq3/VauyjrhhYEzfErTEokQc72FdQkvGAgQ7cR1 uG85KBTVFFYXy1YeM6fU/6OTbztFaydydfVMu2t1ykwYq87fTZ/xNo3/vnQl2u6v38nr/Jc8GTHA V2XGIm/alqjBo+ndPIFgJdTbnCaZqrm5CTwYqvRG3LtBKL4a0hWfToagAhW03/tdoY/bpOKeqqhH 37dG+6/7tzJeINcUGmiAz0l6ilTS7ZlcJV+aBZEGkIAjZamc4ZOCM4QXlLjaSPnD5IeMhOGNQcen FVh0OUE0Kj3C2puq/vNfBZPRJFYfQYL/FcDxUsH5+GcfR9063Bd4hY1/JAUMZDNXgwPSTei90528 9tpDnNHj190bmy7KJ8iy6CGbYXYGfIhTD+R/4VKAw+sOruXM25q5+/R0oyNjh022nY5NIM/6dyWK /sq95BWHuqJC3a0+In8wSEAlmuS1Twwqh2U6HAlTmOWYSa3talCYEiEbVISTuj1IvjryVXhqz2Ew y2N1g1I/WnqTnbsKhTjPUoggRjECmd4JFRlDUSDZtfgSBtcmR15u4jj8pg5gl7paaVR/lHdiMBEt whejJe3MUbGcShuxOqYe9iFBNP2noOgfAVB3J9j4HKPZdnAXhRreL08PJ+oDJIyv/spsAwFxUjSz b081NOaybPuCayepkGISZHYZ4vtm5Lw0OA0PA1ZgCZbhKXOfSkQtKexNohaCSNUnjE4hytOcA9eH UP6JZRStCJfSsLIdG19mgg1vZF0nL9V0LMd2v8W8ly6BlrSNK86rdlEMz9T5Hz2HfbsKO56jEqoo TWRDRjNrf0c14hz8CtEjcDgFGRqaW7hvpq5B9RvSY2dG0tNqqmpnBO+mchgNIFcvjw/E3zfKYUio MYjBp47eOG9Jp0SlSmGYRbVXEL7c43iuTz8jhIrZxUIkaUIWPtQQ5ezvSNogeQyeqptfo8Ufs9do c2D84aK6xMzK0N0jQbkn1cUjNtEEhBtwwTEDK7iPYXWDBHVKoebtWqXDaRw8xjidaBwHxn22IGvR OrnnUn/qomAfkXkj5MAbNYduG1sRpLt/C64YZSgZ9MinDWG/k1eewoygpiisUVp3N5P+r6IpJF1/ 8xIeI+a4UNMKUET81tfeoeAM1+0X1XstZ9pqFcZE58edzzj5B/FxZtweZJ+HkrGnkpBj12FVLWjT rr+mKkZFAFkwIMCcqg0fJ34f3qZDRvKN3A== `protect end_protected
-- ------------------------------------------------------------- -- -- File Name: hdl_prj/hdlsrc/OFDM_transmitter/RADIX22FFT_SDNF1_3_block2.vhd -- Created: 2017-03-27 15:50:06 -- -- Generated by MATLAB 9.1 and HDL Coder 3.9 -- -- ------------------------------------------------------------- -- ------------------------------------------------------------- -- -- Module: RADIX22FFT_SDNF1_3_block2 -- Source Path: OFDM_transmitter/IFFT HDL Optimized/RADIX22FFT_SDNF1_3 -- Hierarchy Level: 2 -- -- ------------------------------------------------------------- LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.numeric_std.ALL; ENTITY RADIX22FFT_SDNF1_3_block2 IS PORT( clk : IN std_logic; reset : IN std_logic; enb_1_16_0 : IN std_logic; twdlXdin_6_re : IN std_logic_vector(15 DOWNTO 0); -- sfix16_En13 twdlXdin_6_im : IN std_logic_vector(15 DOWNTO 0); -- sfix16_En13 twdlXdin_8_re : IN std_logic_vector(15 DOWNTO 0); -- sfix16_En13 twdlXdin_8_im : IN std_logic_vector(15 DOWNTO 0); -- sfix16_En13 twdlXdin_1_vld : IN std_logic; softReset : IN std_logic; dout_7_re : OUT std_logic_vector(15 DOWNTO 0); -- sfix16_En13 dout_7_im : OUT std_logic_vector(15 DOWNTO 0); -- sfix16_En13 dout_8_re : OUT std_logic_vector(15 DOWNTO 0); -- sfix16_En13 dout_8_im : OUT std_logic_vector(15 DOWNTO 0); -- sfix16_En13 dout_7_vld : OUT std_logic ); END RADIX22FFT_SDNF1_3_block2; ARCHITECTURE rtl OF RADIX22FFT_SDNF1_3_block2 IS -- Signals SIGNAL twdlXdin_6_re_signed : signed(15 DOWNTO 0); -- sfix16_En13 SIGNAL twdlXdin_6_im_signed : signed(15 DOWNTO 0); -- sfix16_En13 SIGNAL twdlXdin_8_re_signed : signed(15 DOWNTO 0); -- sfix16_En13 SIGNAL twdlXdin_8_im_signed : signed(15 DOWNTO 0); -- sfix16_En13 SIGNAL Radix22ButterflyG1_NF_btf1_re_reg : signed(16 DOWNTO 0); -- sfix17 SIGNAL Radix22ButterflyG1_NF_btf1_im_reg : signed(16 DOWNTO 0); -- sfix17 SIGNAL Radix22ButterflyG1_NF_btf2_re_reg : signed(16 DOWNTO 0); -- sfix17 SIGNAL Radix22ButterflyG1_NF_btf2_im_reg : signed(16 DOWNTO 0); -- sfix17 SIGNAL Radix22ButterflyG1_NF_dinXtwdl_vld_dly1 : std_logic; SIGNAL Radix22ButterflyG1_NF_btf1_re_reg_next : signed(16 DOWNTO 0); -- sfix17_En13 SIGNAL Radix22ButterflyG1_NF_btf1_im_reg_next : signed(16 DOWNTO 0); -- sfix17_En13 SIGNAL Radix22ButterflyG1_NF_btf2_re_reg_next : signed(16 DOWNTO 0); -- sfix17_En13 SIGNAL Radix22ButterflyG1_NF_btf2_im_reg_next : signed(16 DOWNTO 0); -- sfix17_En13 SIGNAL Radix22ButterflyG1_NF_dinXtwdl_vld_dly1_next : std_logic; SIGNAL dout_7_re_tmp : signed(15 DOWNTO 0); -- sfix16_En13 SIGNAL dout_7_im_tmp : signed(15 DOWNTO 0); -- sfix16_En13 SIGNAL dout_8_re_tmp : signed(15 DOWNTO 0); -- sfix16_En13 SIGNAL dout_8_im_tmp : signed(15 DOWNTO 0); -- sfix16_En13 BEGIN twdlXdin_6_re_signed <= signed(twdlXdin_6_re); twdlXdin_6_im_signed <= signed(twdlXdin_6_im); twdlXdin_8_re_signed <= signed(twdlXdin_8_re); twdlXdin_8_im_signed <= signed(twdlXdin_8_im); -- Radix22ButterflyG1_NF Radix22ButterflyG1_NF_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN Radix22ButterflyG1_NF_btf1_re_reg <= to_signed(16#00000#, 17); Radix22ButterflyG1_NF_btf1_im_reg <= to_signed(16#00000#, 17); Radix22ButterflyG1_NF_btf2_re_reg <= to_signed(16#00000#, 17); Radix22ButterflyG1_NF_btf2_im_reg <= to_signed(16#00000#, 17); Radix22ButterflyG1_NF_dinXtwdl_vld_dly1 <= '0'; ELSIF clk'EVENT AND clk = '1' THEN IF enb_1_16_0 = '1' THEN Radix22ButterflyG1_NF_btf1_re_reg <= Radix22ButterflyG1_NF_btf1_re_reg_next; Radix22ButterflyG1_NF_btf1_im_reg <= Radix22ButterflyG1_NF_btf1_im_reg_next; Radix22ButterflyG1_NF_btf2_re_reg <= Radix22ButterflyG1_NF_btf2_re_reg_next; Radix22ButterflyG1_NF_btf2_im_reg <= Radix22ButterflyG1_NF_btf2_im_reg_next; Radix22ButterflyG1_NF_dinXtwdl_vld_dly1 <= Radix22ButterflyG1_NF_dinXtwdl_vld_dly1_next; END IF; END IF; END PROCESS Radix22ButterflyG1_NF_process; Radix22ButterflyG1_NF_output : PROCESS (Radix22ButterflyG1_NF_btf1_re_reg, Radix22ButterflyG1_NF_btf1_im_reg, Radix22ButterflyG1_NF_btf2_re_reg, Radix22ButterflyG1_NF_btf2_im_reg, Radix22ButterflyG1_NF_dinXtwdl_vld_dly1, twdlXdin_6_re_signed, twdlXdin_6_im_signed, twdlXdin_8_re_signed, twdlXdin_8_im_signed, twdlXdin_1_vld) VARIABLE add_cast : signed(16 DOWNTO 0); VARIABLE add_cast_0 : signed(16 DOWNTO 0); VARIABLE sra_temp : signed(16 DOWNTO 0); VARIABLE sub_cast : signed(16 DOWNTO 0); VARIABLE sub_cast_0 : signed(16 DOWNTO 0); VARIABLE sra_temp_0 : signed(16 DOWNTO 0); VARIABLE add_cast_1 : signed(16 DOWNTO 0); VARIABLE add_cast_2 : signed(16 DOWNTO 0); VARIABLE sra_temp_1 : signed(16 DOWNTO 0); VARIABLE sub_cast_1 : signed(16 DOWNTO 0); VARIABLE sub_cast_2 : signed(16 DOWNTO 0); VARIABLE sra_temp_2 : signed(16 DOWNTO 0); BEGIN Radix22ButterflyG1_NF_btf1_re_reg_next <= Radix22ButterflyG1_NF_btf1_re_reg; Radix22ButterflyG1_NF_btf1_im_reg_next <= Radix22ButterflyG1_NF_btf1_im_reg; Radix22ButterflyG1_NF_btf2_re_reg_next <= Radix22ButterflyG1_NF_btf2_re_reg; Radix22ButterflyG1_NF_btf2_im_reg_next <= Radix22ButterflyG1_NF_btf2_im_reg; Radix22ButterflyG1_NF_dinXtwdl_vld_dly1_next <= twdlXdin_1_vld; IF twdlXdin_1_vld = '1' THEN add_cast := resize(twdlXdin_6_re_signed, 17); add_cast_0 := resize(twdlXdin_8_re_signed, 17); Radix22ButterflyG1_NF_btf1_re_reg_next <= add_cast + add_cast_0; sub_cast := resize(twdlXdin_6_re_signed, 17); sub_cast_0 := resize(twdlXdin_8_re_signed, 17); Radix22ButterflyG1_NF_btf2_re_reg_next <= sub_cast - sub_cast_0; add_cast_1 := resize(twdlXdin_6_im_signed, 17); add_cast_2 := resize(twdlXdin_8_im_signed, 17); Radix22ButterflyG1_NF_btf1_im_reg_next <= add_cast_1 + add_cast_2; sub_cast_1 := resize(twdlXdin_6_im_signed, 17); sub_cast_2 := resize(twdlXdin_8_im_signed, 17); Radix22ButterflyG1_NF_btf2_im_reg_next <= sub_cast_1 - sub_cast_2; END IF; sra_temp := SHIFT_RIGHT(Radix22ButterflyG1_NF_btf1_re_reg, 1); dout_7_re_tmp <= sra_temp(15 DOWNTO 0); sra_temp_0 := SHIFT_RIGHT(Radix22ButterflyG1_NF_btf1_im_reg, 1); dout_7_im_tmp <= sra_temp_0(15 DOWNTO 0); sra_temp_1 := SHIFT_RIGHT(Radix22ButterflyG1_NF_btf2_re_reg, 1); dout_8_re_tmp <= sra_temp_1(15 DOWNTO 0); sra_temp_2 := SHIFT_RIGHT(Radix22ButterflyG1_NF_btf2_im_reg, 1); dout_8_im_tmp <= sra_temp_2(15 DOWNTO 0); dout_7_vld <= Radix22ButterflyG1_NF_dinXtwdl_vld_dly1; END PROCESS Radix22ButterflyG1_NF_output; dout_7_re <= std_logic_vector(dout_7_re_tmp); dout_7_im <= std_logic_vector(dout_7_im_tmp); dout_8_re <= std_logic_vector(dout_8_re_tmp); dout_8_im <= std_logic_vector(dout_8_im_tmp); END rtl;
-- multiply18.vhd -- Jan Viktorin <[email protected]> -- Copyright (C) 2011, 2012 Jan Viktorin library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; --- -- Multiplication of 18 bit data with bypass -- line for related control signals (CTL). --- entity multiply18 is generic ( CTL_WIDTH : integer := 3 ); port ( CLK : in std_logic; CE : in std_logic; A : in std_logic_vector(17 downto 0); B : in std_logic_vector(17 downto 0); P : out std_logic_vector(35 downto 0); CTLI : in std_logic_vector(CTL_WIDTH - 1 downto 0); CTLO : out std_logic_vector(CTL_WIDTH - 1 downto 0) ); end entity; architecture full of multiply18 is component mult_impl18 port ( clk: in std_logic; ce: in std_logic; a: in std_logic_vector(17 downto 0); b: in std_logic_vector(17 downto 0); p: out std_logic_vector(35 downto 0)); end component; -- Synplicity black box declaration attribute syn_black_box : boolean; attribute syn_black_box of mult_impl18: component is true; constant MULT_DELAY : integer := 3; begin impl_i : mult_impl18 port map ( CLK => CLK, CE => CE, A => A, B => B, P => P ); bypass_i : entity work.ctl_bypass generic map ( DWIDTH => CTL_WIDTH, DEPTH => MULT_DELAY ) port map ( CLK => CLK, CE => CE, DI => CTLI, DO => CTLO ); end architecture;
---------------------------------------------------------------------- -- brdLexSwx (for Advanced Dev Kit) ---------------------------------------------------------------------- -- (c) 2016 by Anton Mause -- -- board/kit dependency : LEDs & SW polarity -- ---------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; ---------------------------------------------------------------------- entity brdLexSwx is port ( o_lex, o_pbx : out std_logic ); end brdLexSwx; ---------------------------------------------------------------------- architecture rtl of brdLexSwx is begin -- polarity of LED driver output -- '0' = low idle, high active -- '1' = high idle, low active o_lex <= '0'; -- polarity of push button switch -- '0' = low idle, high active (pressed) -- '1' = high idle, low active (pressed) o_pbx <= '1'; end rtl;
library verilog; use verilog.vl_types.all; entity mult8x8 is port( dataa : in vl_logic_vector(7 downto 0); datab : in vl_logic_vector(7 downto 0); result : out vl_logic_vector(15 downto 0) ); end mult8x8;
library verilog; use verilog.vl_types.all; entity mult8x8 is port( dataa : in vl_logic_vector(7 downto 0); datab : in vl_logic_vector(7 downto 0); result : out vl_logic_vector(15 downto 0) ); end mult8x8;
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2013.4 -- Copyright (C) 2013 Xilinx Inc. All rights reserved. -- -- ============================================================== library IEEE; use IEEE.std_logic_1164.all; use ieee.std_logic_arith.all; entity nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4 is port ( clk: in std_logic; reset: in std_logic; ce: in std_logic; a: in std_logic_vector(5 downto 0); b: in std_logic_vector(5 downto 0); s: out std_logic_vector(5 downto 0)); end entity; architecture behav of nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4 is component nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4_fadder is port ( faa : IN STD_LOGIC_VECTOR (3-1 downto 0); fab : IN STD_LOGIC_VECTOR (3-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (3-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end component; component nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4_fadder_f is port ( faa : IN STD_LOGIC_VECTOR (3-1 downto 0); fab : IN STD_LOGIC_VECTOR (3-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (3-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end component; -- ---- register and wire type variables list here ---- -- wire for the primary inputs signal a_reg : std_logic_vector(5 downto 0); signal b_reg : std_logic_vector(5 downto 0); -- wires for each small adder signal a0_cb : std_logic_vector(2 downto 0); signal b0_cb : std_logic_vector(2 downto 0); signal a1_cb : std_logic_vector(5 downto 3); signal b1_cb : std_logic_vector(5 downto 3); -- registers for input register array type ramtypei0 is array (0 downto 0) of std_logic_vector(2 downto 0); signal a1_cb_regi1 : ramtypei0; signal b1_cb_regi1 : ramtypei0; -- wires for each full adder sum signal fas : std_logic_vector(5 downto 0); -- wires and register for carry out bit signal faccout_ini : std_logic_vector (0 downto 0); signal faccout0_co0 : std_logic_vector (0 downto 0); signal faccout1_co1 : std_logic_vector (0 downto 0); signal faccout0_co0_reg : std_logic_vector (0 downto 0); -- registers for output register array type ramtypeo0 is array (0 downto 0) of std_logic_vector(2 downto 0); signal s0_ca_rego0 : ramtypeo0; -- wire for the temporary output signal s_tmp : std_logic_vector(5 downto 0); -- ---- RTL code for assignment statements/always blocks/module instantiations here ---- begin a_reg <= a; b_reg <= b; -- small adder input assigments a0_cb <= a_reg(2 downto 0); b0_cb <= b_reg(2 downto 0); a1_cb <= a_reg(5 downto 3); b1_cb <= b_reg(5 downto 3); -- input register array process (clk) begin if (clk'event and clk='1') then if (ce='1') then a1_cb_regi1 (0) <= a1_cb; b1_cb_regi1 (0) <= b1_cb; end if; end if; end process; -- carry out bit processing process (clk) begin if (clk'event and clk='1') then if (ce='1') then faccout0_co0_reg <= faccout0_co0; end if; end if; end process; -- small adder generation u0 : nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4_fadder port map (faa => a0_cb, fab => b0_cb, facin => faccout_ini, fas => fas(2 downto 0), facout => faccout0_co0); u1 : nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4_fadder_f port map (faa => a1_cb_regi1(0), fab => b1_cb_regi1(0), facin => faccout0_co0_reg, fas => fas(5 downto 3), facout => faccout1_co1); faccout_ini <= "0"; -- output register array process (clk) begin if (clk'event and clk='1') then if (ce='1') then s0_ca_rego0 (0) <= fas(2 downto 0); end if; end if; end process; -- get the s_tmp, assign it to the primary output s_tmp(2 downto 0) <= s0_ca_rego0(0); s_tmp(5 downto 3) <= fas(5 downto 3); s <= s_tmp; end architecture; -- short adder library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4_fadder is generic(N : natural :=3); port ( faa : IN STD_LOGIC_VECTOR (N-1 downto 0); fab : IN STD_LOGIC_VECTOR (N-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (N-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end; architecture behav of nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4_fadder is signal tmp : STD_LOGIC_VECTOR (N downto 0); begin tmp <= std_logic_vector(unsigned(std_logic_vector(unsigned(std_logic_vector(resize(unsigned(faa),N+1))) + unsigned(fab))) + unsigned(facin)); fas <= tmp(N-1 downto 0 ); facout <= tmp(N downto N); end behav; -- the final stage short adder library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4_fadder_f is generic(N : natural :=3); port ( faa : IN STD_LOGIC_VECTOR (N-1 downto 0); fab : IN STD_LOGIC_VECTOR (N-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (N-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end; architecture behav of nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4_fadder_f is signal tmp : STD_LOGIC_VECTOR (N downto 0); begin tmp <= std_logic_vector(unsigned(std_logic_vector(unsigned(std_logic_vector(resize(unsigned(faa),N+1))) + unsigned(fab))) + unsigned(facin)); fas <= tmp(N-1 downto 0 ); facout <= tmp(N downto N); end behav; Library IEEE; use IEEE.std_logic_1164.all; entity nfa_accept_samples_generic_hw_add_6ns_6ns_6_2 is generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; ce : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR(din0_WIDTH - 1 DOWNTO 0); din1 : IN STD_LOGIC_VECTOR(din1_WIDTH - 1 DOWNTO 0); dout : OUT STD_LOGIC_VECTOR(dout_WIDTH - 1 DOWNTO 0)); end entity; architecture arch of nfa_accept_samples_generic_hw_add_6ns_6ns_6_2 is component nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4 is port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; ce : IN STD_LOGIC; a : IN STD_LOGIC_VECTOR; b : IN STD_LOGIC_VECTOR; s : OUT STD_LOGIC_VECTOR); end component; begin nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4_U : component nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4 port map ( clk => clk, reset => reset, ce => ce, a => din0, b => din1, s => dout); end architecture;
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2013.4 -- Copyright (C) 2013 Xilinx Inc. All rights reserved. -- -- ============================================================== library IEEE; use IEEE.std_logic_1164.all; use ieee.std_logic_arith.all; entity nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4 is port ( clk: in std_logic; reset: in std_logic; ce: in std_logic; a: in std_logic_vector(5 downto 0); b: in std_logic_vector(5 downto 0); s: out std_logic_vector(5 downto 0)); end entity; architecture behav of nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4 is component nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4_fadder is port ( faa : IN STD_LOGIC_VECTOR (3-1 downto 0); fab : IN STD_LOGIC_VECTOR (3-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (3-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end component; component nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4_fadder_f is port ( faa : IN STD_LOGIC_VECTOR (3-1 downto 0); fab : IN STD_LOGIC_VECTOR (3-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (3-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end component; -- ---- register and wire type variables list here ---- -- wire for the primary inputs signal a_reg : std_logic_vector(5 downto 0); signal b_reg : std_logic_vector(5 downto 0); -- wires for each small adder signal a0_cb : std_logic_vector(2 downto 0); signal b0_cb : std_logic_vector(2 downto 0); signal a1_cb : std_logic_vector(5 downto 3); signal b1_cb : std_logic_vector(5 downto 3); -- registers for input register array type ramtypei0 is array (0 downto 0) of std_logic_vector(2 downto 0); signal a1_cb_regi1 : ramtypei0; signal b1_cb_regi1 : ramtypei0; -- wires for each full adder sum signal fas : std_logic_vector(5 downto 0); -- wires and register for carry out bit signal faccout_ini : std_logic_vector (0 downto 0); signal faccout0_co0 : std_logic_vector (0 downto 0); signal faccout1_co1 : std_logic_vector (0 downto 0); signal faccout0_co0_reg : std_logic_vector (0 downto 0); -- registers for output register array type ramtypeo0 is array (0 downto 0) of std_logic_vector(2 downto 0); signal s0_ca_rego0 : ramtypeo0; -- wire for the temporary output signal s_tmp : std_logic_vector(5 downto 0); -- ---- RTL code for assignment statements/always blocks/module instantiations here ---- begin a_reg <= a; b_reg <= b; -- small adder input assigments a0_cb <= a_reg(2 downto 0); b0_cb <= b_reg(2 downto 0); a1_cb <= a_reg(5 downto 3); b1_cb <= b_reg(5 downto 3); -- input register array process (clk) begin if (clk'event and clk='1') then if (ce='1') then a1_cb_regi1 (0) <= a1_cb; b1_cb_regi1 (0) <= b1_cb; end if; end if; end process; -- carry out bit processing process (clk) begin if (clk'event and clk='1') then if (ce='1') then faccout0_co0_reg <= faccout0_co0; end if; end if; end process; -- small adder generation u0 : nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4_fadder port map (faa => a0_cb, fab => b0_cb, facin => faccout_ini, fas => fas(2 downto 0), facout => faccout0_co0); u1 : nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4_fadder_f port map (faa => a1_cb_regi1(0), fab => b1_cb_regi1(0), facin => faccout0_co0_reg, fas => fas(5 downto 3), facout => faccout1_co1); faccout_ini <= "0"; -- output register array process (clk) begin if (clk'event and clk='1') then if (ce='1') then s0_ca_rego0 (0) <= fas(2 downto 0); end if; end if; end process; -- get the s_tmp, assign it to the primary output s_tmp(2 downto 0) <= s0_ca_rego0(0); s_tmp(5 downto 3) <= fas(5 downto 3); s <= s_tmp; end architecture; -- short adder library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4_fadder is generic(N : natural :=3); port ( faa : IN STD_LOGIC_VECTOR (N-1 downto 0); fab : IN STD_LOGIC_VECTOR (N-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (N-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end; architecture behav of nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4_fadder is signal tmp : STD_LOGIC_VECTOR (N downto 0); begin tmp <= std_logic_vector(unsigned(std_logic_vector(unsigned(std_logic_vector(resize(unsigned(faa),N+1))) + unsigned(fab))) + unsigned(facin)); fas <= tmp(N-1 downto 0 ); facout <= tmp(N downto N); end behav; -- the final stage short adder library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4_fadder_f is generic(N : natural :=3); port ( faa : IN STD_LOGIC_VECTOR (N-1 downto 0); fab : IN STD_LOGIC_VECTOR (N-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (N-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end; architecture behav of nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4_fadder_f is signal tmp : STD_LOGIC_VECTOR (N downto 0); begin tmp <= std_logic_vector(unsigned(std_logic_vector(unsigned(std_logic_vector(resize(unsigned(faa),N+1))) + unsigned(fab))) + unsigned(facin)); fas <= tmp(N-1 downto 0 ); facout <= tmp(N downto N); end behav; Library IEEE; use IEEE.std_logic_1164.all; entity nfa_accept_samples_generic_hw_add_6ns_6ns_6_2 is generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; ce : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR(din0_WIDTH - 1 DOWNTO 0); din1 : IN STD_LOGIC_VECTOR(din1_WIDTH - 1 DOWNTO 0); dout : OUT STD_LOGIC_VECTOR(dout_WIDTH - 1 DOWNTO 0)); end entity; architecture arch of nfa_accept_samples_generic_hw_add_6ns_6ns_6_2 is component nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4 is port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; ce : IN STD_LOGIC; a : IN STD_LOGIC_VECTOR; b : IN STD_LOGIC_VECTOR; s : OUT STD_LOGIC_VECTOR); end component; begin nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4_U : component nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4 port map ( clk => clk, reset => reset, ce => ce, a => din0, b => din1, s => dout); end architecture;
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2013.4 -- Copyright (C) 2013 Xilinx Inc. All rights reserved. -- -- ============================================================== library IEEE; use IEEE.std_logic_1164.all; use ieee.std_logic_arith.all; entity nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4 is port ( clk: in std_logic; reset: in std_logic; ce: in std_logic; a: in std_logic_vector(5 downto 0); b: in std_logic_vector(5 downto 0); s: out std_logic_vector(5 downto 0)); end entity; architecture behav of nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4 is component nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4_fadder is port ( faa : IN STD_LOGIC_VECTOR (3-1 downto 0); fab : IN STD_LOGIC_VECTOR (3-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (3-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end component; component nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4_fadder_f is port ( faa : IN STD_LOGIC_VECTOR (3-1 downto 0); fab : IN STD_LOGIC_VECTOR (3-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (3-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end component; -- ---- register and wire type variables list here ---- -- wire for the primary inputs signal a_reg : std_logic_vector(5 downto 0); signal b_reg : std_logic_vector(5 downto 0); -- wires for each small adder signal a0_cb : std_logic_vector(2 downto 0); signal b0_cb : std_logic_vector(2 downto 0); signal a1_cb : std_logic_vector(5 downto 3); signal b1_cb : std_logic_vector(5 downto 3); -- registers for input register array type ramtypei0 is array (0 downto 0) of std_logic_vector(2 downto 0); signal a1_cb_regi1 : ramtypei0; signal b1_cb_regi1 : ramtypei0; -- wires for each full adder sum signal fas : std_logic_vector(5 downto 0); -- wires and register for carry out bit signal faccout_ini : std_logic_vector (0 downto 0); signal faccout0_co0 : std_logic_vector (0 downto 0); signal faccout1_co1 : std_logic_vector (0 downto 0); signal faccout0_co0_reg : std_logic_vector (0 downto 0); -- registers for output register array type ramtypeo0 is array (0 downto 0) of std_logic_vector(2 downto 0); signal s0_ca_rego0 : ramtypeo0; -- wire for the temporary output signal s_tmp : std_logic_vector(5 downto 0); -- ---- RTL code for assignment statements/always blocks/module instantiations here ---- begin a_reg <= a; b_reg <= b; -- small adder input assigments a0_cb <= a_reg(2 downto 0); b0_cb <= b_reg(2 downto 0); a1_cb <= a_reg(5 downto 3); b1_cb <= b_reg(5 downto 3); -- input register array process (clk) begin if (clk'event and clk='1') then if (ce='1') then a1_cb_regi1 (0) <= a1_cb; b1_cb_regi1 (0) <= b1_cb; end if; end if; end process; -- carry out bit processing process (clk) begin if (clk'event and clk='1') then if (ce='1') then faccout0_co0_reg <= faccout0_co0; end if; end if; end process; -- small adder generation u0 : nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4_fadder port map (faa => a0_cb, fab => b0_cb, facin => faccout_ini, fas => fas(2 downto 0), facout => faccout0_co0); u1 : nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4_fadder_f port map (faa => a1_cb_regi1(0), fab => b1_cb_regi1(0), facin => faccout0_co0_reg, fas => fas(5 downto 3), facout => faccout1_co1); faccout_ini <= "0"; -- output register array process (clk) begin if (clk'event and clk='1') then if (ce='1') then s0_ca_rego0 (0) <= fas(2 downto 0); end if; end if; end process; -- get the s_tmp, assign it to the primary output s_tmp(2 downto 0) <= s0_ca_rego0(0); s_tmp(5 downto 3) <= fas(5 downto 3); s <= s_tmp; end architecture; -- short adder library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4_fadder is generic(N : natural :=3); port ( faa : IN STD_LOGIC_VECTOR (N-1 downto 0); fab : IN STD_LOGIC_VECTOR (N-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (N-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end; architecture behav of nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4_fadder is signal tmp : STD_LOGIC_VECTOR (N downto 0); begin tmp <= std_logic_vector(unsigned(std_logic_vector(unsigned(std_logic_vector(resize(unsigned(faa),N+1))) + unsigned(fab))) + unsigned(facin)); fas <= tmp(N-1 downto 0 ); facout <= tmp(N downto N); end behav; -- the final stage short adder library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4_fadder_f is generic(N : natural :=3); port ( faa : IN STD_LOGIC_VECTOR (N-1 downto 0); fab : IN STD_LOGIC_VECTOR (N-1 downto 0); facin : IN STD_LOGIC_VECTOR (0 downto 0); fas : OUT STD_LOGIC_VECTOR (N-1 downto 0); facout : OUT STD_LOGIC_VECTOR (0 downto 0)); end; architecture behav of nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4_fadder_f is signal tmp : STD_LOGIC_VECTOR (N downto 0); begin tmp <= std_logic_vector(unsigned(std_logic_vector(unsigned(std_logic_vector(resize(unsigned(faa),N+1))) + unsigned(fab))) + unsigned(facin)); fas <= tmp(N-1 downto 0 ); facout <= tmp(N downto N); end behav; Library IEEE; use IEEE.std_logic_1164.all; entity nfa_accept_samples_generic_hw_add_6ns_6ns_6_2 is generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; ce : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR(din0_WIDTH - 1 DOWNTO 0); din1 : IN STD_LOGIC_VECTOR(din1_WIDTH - 1 DOWNTO 0); dout : OUT STD_LOGIC_VECTOR(dout_WIDTH - 1 DOWNTO 0)); end entity; architecture arch of nfa_accept_samples_generic_hw_add_6ns_6ns_6_2 is component nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4 is port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; ce : IN STD_LOGIC; a : IN STD_LOGIC_VECTOR; b : IN STD_LOGIC_VECTOR; s : OUT STD_LOGIC_VECTOR); end component; begin nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4_U : component nfa_accept_samples_generic_hw_add_6ns_6ns_6_2_AddSubnS_4 port map ( clk => clk, reset => reset, ce => ce, a => din0, b => din1, s => dout); end architecture;
library IEEE, JUNIT_TB, LFSR, STD; use IEEE.std_logic_1164.all; use JUNIT_TB.junit.all; use LFSR.lfsr_components.all; use STD.textio.all; -------------------------------------------------------------------------------- entity pulse_tester is generic ( G_lfsr_width : natural := 3; G_period : natural := 7; G_expected : time := 70 ns ); port( CLK : in std_logic; RESET : in std_logic; GO : in std_logic; DONE : out std_logic; PASS_nFAIL : out std_logic; RUNTIME : out time ); end pulse_tester; -------------------------------------------------------------------------------- architecture tb of pulse_tester is signal P : std_logic; begin stim_proc: process variable V_STARTED : time; variable V_FINISHED : time; variable V_RUNTIME : time; begin DONE <= '0'; PASS_nFAIL <= '0'; wait until GO = '1'; wait until rising_edge(P); V_STARTED := now; wait until rising_edge(P); V_FINISHED := now; V_RUNTIME := V_FINISHED - V_STARTED; RUNTIME <= V_RUNTIME; if V_RUNTIME = G_expected then PASS_nFAIL <= '1'; end if; DONE <= '1'; wait; end process stim_proc; U_UUT: pulse generic map ( G_lfsr_width => G_lfsr_width, G_period => G_period ) port map ( CLK => CLK, RESET => RESET, PULSE => P ); end tb;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.io_bus_pkg.all; use work.sampler_pkg.all; entity sampler_regs is generic ( g_num_voices : positive := 8 ); port ( clock : in std_logic; reset : in std_logic; io_req : in t_io_req; io_resp : out t_io_resp; rd_addr : in integer range 0 to g_num_voices-1; control : out t_voice_control; irq_status : in std_logic_vector(g_num_voices-1 downto 0); irq_clear : out std_logic_vector(g_num_voices-1 downto 0) ); end entity; architecture gideon of sampler_regs is type t_boolean_array is array (natural range <>) of boolean; type t_mode_array is array (natural range <>) of t_sample_mode; type t_u8_array is array (natural range <>) of unsigned(7 downto 0); type t_u6_array is array (natural range <>) of unsigned(5 downto 0); type t_u4_array is array (natural range <>) of unsigned(3 downto 0); type t_u2_array is array (natural range <>) of unsigned(1 downto 0); signal enable : t_boolean_array(0 to g_num_voices-1) := (others => false); signal repeat : t_boolean_array(0 to g_num_voices-1) := (others => false); signal interrupt : t_boolean_array(0 to g_num_voices-1) := (others => false); signal interleave : t_boolean_array(0 to g_num_voices-1) := (others => false); signal mode : t_mode_array(0 to g_num_voices-1); signal rep_a_pos2 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal rep_a_pos1 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal rep_a_pos0 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal rep_b_pos2 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal rep_b_pos1 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal rep_b_pos0 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal start_addr3 : t_u2_array(0 to g_num_voices-1) := (others => "00"); signal start_addr2 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal start_addr1 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal start_addr0 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal length2 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal length1 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal length0 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal rate_h : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal rate_l : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal volume : t_u6_array(0 to g_num_voices-1) := (others => "100000"); signal pan : t_u4_array(0 to g_num_voices-1) := (others => X"8"); signal wr_addr : integer range 0 to g_num_voices-1; begin wr_addr <= to_integer(io_req.address(7 downto 5)); control.enable <= enable(rd_addr); control.repeat <= repeat(rd_addr); control.interleave <= interleave(rd_addr); control.interrupt <= interrupt(rd_addr); control.mode <= mode(rd_addr); control.start_addr <= start_addr3(rd_addr) & start_addr2(rd_addr) & start_addr1(rd_addr) & start_addr0(rd_addr); control.repeat_a <= rep_a_pos2(rd_addr) & rep_a_pos1(rd_addr) & rep_a_pos0(rd_addr); control.repeat_b <= rep_b_pos2(rd_addr) & rep_b_pos1(rd_addr) & rep_b_pos0(rd_addr); control.length <= length2(rd_addr) & length1(rd_addr) & length0(rd_addr); control.rate <= rate_h(rd_addr) & rate_l(rd_addr); control.volume <= volume(rd_addr); control.pan <= pan(rd_addr); process(clock) begin if rising_edge(clock) then -- write port - control - io_resp <= c_io_resp_init; io_resp.ack <= io_req.read or io_req.write; irq_clear <= (others => '0'); if io_req.read='1' then if io_req.address(0)='0' then io_resp.data(irq_status'range) <= irq_status; else io_resp.data <= X"10"; end if; end if; if io_req.write='1' then case io_req.address(4 downto 0) is when c_sample_control => enable(wr_addr) <= (io_req.data(0) = '1'); repeat(wr_addr) <= (io_req.data(1) = '1'); interrupt(wr_addr) <= (io_req.data(2) = '1'); interleave(wr_addr) <= (io_req.data(6) = '1'); if io_req.data(5 downto 4) = "00" then mode(wr_addr) <= mono8; else mode(wr_addr) <= mono16; end if; when c_sample_volume => volume(wr_addr) <= unsigned(io_req.data(5 downto 0)); when c_sample_pan => pan(wr_addr) <= unsigned(io_req.data(3 downto 0)); when c_sample_start_addr_h => start_addr3(wr_addr) <= unsigned(io_req.data(1 downto 0)); when c_sample_start_addr_mh => start_addr2(wr_addr) <= unsigned(io_req.data); when c_sample_start_addr_ml => start_addr1(wr_addr) <= unsigned(io_req.data); when c_sample_start_addr_l => start_addr0(wr_addr) <= unsigned(io_req.data); when c_sample_rep_a_pos_h => rep_a_pos2(wr_addr) <= unsigned(io_req.data); when c_sample_rep_a_pos_m => rep_a_pos1(wr_addr) <= unsigned(io_req.data); when c_sample_rep_a_pos_l => rep_a_pos0(wr_addr) <= unsigned(io_req.data); when c_sample_rep_b_pos_h => rep_b_pos2(wr_addr) <= unsigned(io_req.data); when c_sample_rep_b_pos_m => rep_b_pos1(wr_addr) <= unsigned(io_req.data); when c_sample_rep_b_pos_l => rep_b_pos0(wr_addr) <= unsigned(io_req.data); when c_sample_length_h => length2(wr_addr) <= unsigned(io_req.data); when c_sample_length_m => length1(wr_addr) <= unsigned(io_req.data); when c_sample_length_l => length0(wr_addr) <= unsigned(io_req.data); when c_sample_rate_h => rate_h(wr_addr) <= unsigned(io_req.data); when c_sample_rate_l => rate_l(wr_addr) <= unsigned(io_req.data); when c_sample_clear_irq => irq_clear(wr_addr) <= io_req.data(0); if io_req.data=X"FF" then irq_clear <= (others => '1'); end if; when others => null; end case; end if; end if; end process; end gideon;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.io_bus_pkg.all; use work.sampler_pkg.all; entity sampler_regs is generic ( g_num_voices : positive := 8 ); port ( clock : in std_logic; reset : in std_logic; io_req : in t_io_req; io_resp : out t_io_resp; rd_addr : in integer range 0 to g_num_voices-1; control : out t_voice_control; irq_status : in std_logic_vector(g_num_voices-1 downto 0); irq_clear : out std_logic_vector(g_num_voices-1 downto 0) ); end entity; architecture gideon of sampler_regs is type t_boolean_array is array (natural range <>) of boolean; type t_mode_array is array (natural range <>) of t_sample_mode; type t_u8_array is array (natural range <>) of unsigned(7 downto 0); type t_u6_array is array (natural range <>) of unsigned(5 downto 0); type t_u4_array is array (natural range <>) of unsigned(3 downto 0); type t_u2_array is array (natural range <>) of unsigned(1 downto 0); signal enable : t_boolean_array(0 to g_num_voices-1) := (others => false); signal repeat : t_boolean_array(0 to g_num_voices-1) := (others => false); signal interrupt : t_boolean_array(0 to g_num_voices-1) := (others => false); signal interleave : t_boolean_array(0 to g_num_voices-1) := (others => false); signal mode : t_mode_array(0 to g_num_voices-1); signal rep_a_pos2 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal rep_a_pos1 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal rep_a_pos0 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal rep_b_pos2 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal rep_b_pos1 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal rep_b_pos0 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal start_addr3 : t_u2_array(0 to g_num_voices-1) := (others => "00"); signal start_addr2 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal start_addr1 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal start_addr0 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal length2 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal length1 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal length0 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal rate_h : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal rate_l : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal volume : t_u6_array(0 to g_num_voices-1) := (others => "100000"); signal pan : t_u4_array(0 to g_num_voices-1) := (others => X"8"); signal wr_addr : integer range 0 to g_num_voices-1; begin wr_addr <= to_integer(io_req.address(7 downto 5)); control.enable <= enable(rd_addr); control.repeat <= repeat(rd_addr); control.interleave <= interleave(rd_addr); control.interrupt <= interrupt(rd_addr); control.mode <= mode(rd_addr); control.start_addr <= start_addr3(rd_addr) & start_addr2(rd_addr) & start_addr1(rd_addr) & start_addr0(rd_addr); control.repeat_a <= rep_a_pos2(rd_addr) & rep_a_pos1(rd_addr) & rep_a_pos0(rd_addr); control.repeat_b <= rep_b_pos2(rd_addr) & rep_b_pos1(rd_addr) & rep_b_pos0(rd_addr); control.length <= length2(rd_addr) & length1(rd_addr) & length0(rd_addr); control.rate <= rate_h(rd_addr) & rate_l(rd_addr); control.volume <= volume(rd_addr); control.pan <= pan(rd_addr); process(clock) begin if rising_edge(clock) then -- write port - control - io_resp <= c_io_resp_init; io_resp.ack <= io_req.read or io_req.write; irq_clear <= (others => '0'); if io_req.read='1' then if io_req.address(0)='0' then io_resp.data(irq_status'range) <= irq_status; else io_resp.data <= X"10"; end if; end if; if io_req.write='1' then case io_req.address(4 downto 0) is when c_sample_control => enable(wr_addr) <= (io_req.data(0) = '1'); repeat(wr_addr) <= (io_req.data(1) = '1'); interrupt(wr_addr) <= (io_req.data(2) = '1'); interleave(wr_addr) <= (io_req.data(6) = '1'); if io_req.data(5 downto 4) = "00" then mode(wr_addr) <= mono8; else mode(wr_addr) <= mono16; end if; when c_sample_volume => volume(wr_addr) <= unsigned(io_req.data(5 downto 0)); when c_sample_pan => pan(wr_addr) <= unsigned(io_req.data(3 downto 0)); when c_sample_start_addr_h => start_addr3(wr_addr) <= unsigned(io_req.data(1 downto 0)); when c_sample_start_addr_mh => start_addr2(wr_addr) <= unsigned(io_req.data); when c_sample_start_addr_ml => start_addr1(wr_addr) <= unsigned(io_req.data); when c_sample_start_addr_l => start_addr0(wr_addr) <= unsigned(io_req.data); when c_sample_rep_a_pos_h => rep_a_pos2(wr_addr) <= unsigned(io_req.data); when c_sample_rep_a_pos_m => rep_a_pos1(wr_addr) <= unsigned(io_req.data); when c_sample_rep_a_pos_l => rep_a_pos0(wr_addr) <= unsigned(io_req.data); when c_sample_rep_b_pos_h => rep_b_pos2(wr_addr) <= unsigned(io_req.data); when c_sample_rep_b_pos_m => rep_b_pos1(wr_addr) <= unsigned(io_req.data); when c_sample_rep_b_pos_l => rep_b_pos0(wr_addr) <= unsigned(io_req.data); when c_sample_length_h => length2(wr_addr) <= unsigned(io_req.data); when c_sample_length_m => length1(wr_addr) <= unsigned(io_req.data); when c_sample_length_l => length0(wr_addr) <= unsigned(io_req.data); when c_sample_rate_h => rate_h(wr_addr) <= unsigned(io_req.data); when c_sample_rate_l => rate_l(wr_addr) <= unsigned(io_req.data); when c_sample_clear_irq => irq_clear(wr_addr) <= io_req.data(0); if io_req.data=X"FF" then irq_clear <= (others => '1'); end if; when others => null; end case; end if; end if; end process; end gideon;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.io_bus_pkg.all; use work.sampler_pkg.all; entity sampler_regs is generic ( g_num_voices : positive := 8 ); port ( clock : in std_logic; reset : in std_logic; io_req : in t_io_req; io_resp : out t_io_resp; rd_addr : in integer range 0 to g_num_voices-1; control : out t_voice_control; irq_status : in std_logic_vector(g_num_voices-1 downto 0); irq_clear : out std_logic_vector(g_num_voices-1 downto 0) ); end entity; architecture gideon of sampler_regs is type t_boolean_array is array (natural range <>) of boolean; type t_mode_array is array (natural range <>) of t_sample_mode; type t_u8_array is array (natural range <>) of unsigned(7 downto 0); type t_u6_array is array (natural range <>) of unsigned(5 downto 0); type t_u4_array is array (natural range <>) of unsigned(3 downto 0); type t_u2_array is array (natural range <>) of unsigned(1 downto 0); signal enable : t_boolean_array(0 to g_num_voices-1) := (others => false); signal repeat : t_boolean_array(0 to g_num_voices-1) := (others => false); signal interrupt : t_boolean_array(0 to g_num_voices-1) := (others => false); signal interleave : t_boolean_array(0 to g_num_voices-1) := (others => false); signal mode : t_mode_array(0 to g_num_voices-1); signal rep_a_pos2 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal rep_a_pos1 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal rep_a_pos0 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal rep_b_pos2 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal rep_b_pos1 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal rep_b_pos0 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal start_addr3 : t_u2_array(0 to g_num_voices-1) := (others => "00"); signal start_addr2 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal start_addr1 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal start_addr0 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal length2 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal length1 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal length0 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal rate_h : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal rate_l : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal volume : t_u6_array(0 to g_num_voices-1) := (others => "100000"); signal pan : t_u4_array(0 to g_num_voices-1) := (others => X"8"); signal wr_addr : integer range 0 to g_num_voices-1; begin wr_addr <= to_integer(io_req.address(7 downto 5)); control.enable <= enable(rd_addr); control.repeat <= repeat(rd_addr); control.interleave <= interleave(rd_addr); control.interrupt <= interrupt(rd_addr); control.mode <= mode(rd_addr); control.start_addr <= start_addr3(rd_addr) & start_addr2(rd_addr) & start_addr1(rd_addr) & start_addr0(rd_addr); control.repeat_a <= rep_a_pos2(rd_addr) & rep_a_pos1(rd_addr) & rep_a_pos0(rd_addr); control.repeat_b <= rep_b_pos2(rd_addr) & rep_b_pos1(rd_addr) & rep_b_pos0(rd_addr); control.length <= length2(rd_addr) & length1(rd_addr) & length0(rd_addr); control.rate <= rate_h(rd_addr) & rate_l(rd_addr); control.volume <= volume(rd_addr); control.pan <= pan(rd_addr); process(clock) begin if rising_edge(clock) then -- write port - control - io_resp <= c_io_resp_init; io_resp.ack <= io_req.read or io_req.write; irq_clear <= (others => '0'); if io_req.read='1' then if io_req.address(0)='0' then io_resp.data(irq_status'range) <= irq_status; else io_resp.data <= X"10"; end if; end if; if io_req.write='1' then case io_req.address(4 downto 0) is when c_sample_control => enable(wr_addr) <= (io_req.data(0) = '1'); repeat(wr_addr) <= (io_req.data(1) = '1'); interrupt(wr_addr) <= (io_req.data(2) = '1'); interleave(wr_addr) <= (io_req.data(6) = '1'); if io_req.data(5 downto 4) = "00" then mode(wr_addr) <= mono8; else mode(wr_addr) <= mono16; end if; when c_sample_volume => volume(wr_addr) <= unsigned(io_req.data(5 downto 0)); when c_sample_pan => pan(wr_addr) <= unsigned(io_req.data(3 downto 0)); when c_sample_start_addr_h => start_addr3(wr_addr) <= unsigned(io_req.data(1 downto 0)); when c_sample_start_addr_mh => start_addr2(wr_addr) <= unsigned(io_req.data); when c_sample_start_addr_ml => start_addr1(wr_addr) <= unsigned(io_req.data); when c_sample_start_addr_l => start_addr0(wr_addr) <= unsigned(io_req.data); when c_sample_rep_a_pos_h => rep_a_pos2(wr_addr) <= unsigned(io_req.data); when c_sample_rep_a_pos_m => rep_a_pos1(wr_addr) <= unsigned(io_req.data); when c_sample_rep_a_pos_l => rep_a_pos0(wr_addr) <= unsigned(io_req.data); when c_sample_rep_b_pos_h => rep_b_pos2(wr_addr) <= unsigned(io_req.data); when c_sample_rep_b_pos_m => rep_b_pos1(wr_addr) <= unsigned(io_req.data); when c_sample_rep_b_pos_l => rep_b_pos0(wr_addr) <= unsigned(io_req.data); when c_sample_length_h => length2(wr_addr) <= unsigned(io_req.data); when c_sample_length_m => length1(wr_addr) <= unsigned(io_req.data); when c_sample_length_l => length0(wr_addr) <= unsigned(io_req.data); when c_sample_rate_h => rate_h(wr_addr) <= unsigned(io_req.data); when c_sample_rate_l => rate_l(wr_addr) <= unsigned(io_req.data); when c_sample_clear_irq => irq_clear(wr_addr) <= io_req.data(0); if io_req.data=X"FF" then irq_clear <= (others => '1'); end if; when others => null; end case; end if; end if; end process; end gideon;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.io_bus_pkg.all; use work.sampler_pkg.all; entity sampler_regs is generic ( g_num_voices : positive := 8 ); port ( clock : in std_logic; reset : in std_logic; io_req : in t_io_req; io_resp : out t_io_resp; rd_addr : in integer range 0 to g_num_voices-1; control : out t_voice_control; irq_status : in std_logic_vector(g_num_voices-1 downto 0); irq_clear : out std_logic_vector(g_num_voices-1 downto 0) ); end entity; architecture gideon of sampler_regs is type t_boolean_array is array (natural range <>) of boolean; type t_mode_array is array (natural range <>) of t_sample_mode; type t_u8_array is array (natural range <>) of unsigned(7 downto 0); type t_u6_array is array (natural range <>) of unsigned(5 downto 0); type t_u4_array is array (natural range <>) of unsigned(3 downto 0); type t_u2_array is array (natural range <>) of unsigned(1 downto 0); signal enable : t_boolean_array(0 to g_num_voices-1) := (others => false); signal repeat : t_boolean_array(0 to g_num_voices-1) := (others => false); signal interrupt : t_boolean_array(0 to g_num_voices-1) := (others => false); signal interleave : t_boolean_array(0 to g_num_voices-1) := (others => false); signal mode : t_mode_array(0 to g_num_voices-1); signal rep_a_pos2 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal rep_a_pos1 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal rep_a_pos0 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal rep_b_pos2 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal rep_b_pos1 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal rep_b_pos0 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal start_addr3 : t_u2_array(0 to g_num_voices-1) := (others => "00"); signal start_addr2 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal start_addr1 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal start_addr0 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal length2 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal length1 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal length0 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal rate_h : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal rate_l : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal volume : t_u6_array(0 to g_num_voices-1) := (others => "100000"); signal pan : t_u4_array(0 to g_num_voices-1) := (others => X"8"); signal wr_addr : integer range 0 to g_num_voices-1; begin wr_addr <= to_integer(io_req.address(7 downto 5)); control.enable <= enable(rd_addr); control.repeat <= repeat(rd_addr); control.interleave <= interleave(rd_addr); control.interrupt <= interrupt(rd_addr); control.mode <= mode(rd_addr); control.start_addr <= start_addr3(rd_addr) & start_addr2(rd_addr) & start_addr1(rd_addr) & start_addr0(rd_addr); control.repeat_a <= rep_a_pos2(rd_addr) & rep_a_pos1(rd_addr) & rep_a_pos0(rd_addr); control.repeat_b <= rep_b_pos2(rd_addr) & rep_b_pos1(rd_addr) & rep_b_pos0(rd_addr); control.length <= length2(rd_addr) & length1(rd_addr) & length0(rd_addr); control.rate <= rate_h(rd_addr) & rate_l(rd_addr); control.volume <= volume(rd_addr); control.pan <= pan(rd_addr); process(clock) begin if rising_edge(clock) then -- write port - control - io_resp <= c_io_resp_init; io_resp.ack <= io_req.read or io_req.write; irq_clear <= (others => '0'); if io_req.read='1' then if io_req.address(0)='0' then io_resp.data(irq_status'range) <= irq_status; else io_resp.data <= X"10"; end if; end if; if io_req.write='1' then case io_req.address(4 downto 0) is when c_sample_control => enable(wr_addr) <= (io_req.data(0) = '1'); repeat(wr_addr) <= (io_req.data(1) = '1'); interrupt(wr_addr) <= (io_req.data(2) = '1'); interleave(wr_addr) <= (io_req.data(6) = '1'); if io_req.data(5 downto 4) = "00" then mode(wr_addr) <= mono8; else mode(wr_addr) <= mono16; end if; when c_sample_volume => volume(wr_addr) <= unsigned(io_req.data(5 downto 0)); when c_sample_pan => pan(wr_addr) <= unsigned(io_req.data(3 downto 0)); when c_sample_start_addr_h => start_addr3(wr_addr) <= unsigned(io_req.data(1 downto 0)); when c_sample_start_addr_mh => start_addr2(wr_addr) <= unsigned(io_req.data); when c_sample_start_addr_ml => start_addr1(wr_addr) <= unsigned(io_req.data); when c_sample_start_addr_l => start_addr0(wr_addr) <= unsigned(io_req.data); when c_sample_rep_a_pos_h => rep_a_pos2(wr_addr) <= unsigned(io_req.data); when c_sample_rep_a_pos_m => rep_a_pos1(wr_addr) <= unsigned(io_req.data); when c_sample_rep_a_pos_l => rep_a_pos0(wr_addr) <= unsigned(io_req.data); when c_sample_rep_b_pos_h => rep_b_pos2(wr_addr) <= unsigned(io_req.data); when c_sample_rep_b_pos_m => rep_b_pos1(wr_addr) <= unsigned(io_req.data); when c_sample_rep_b_pos_l => rep_b_pos0(wr_addr) <= unsigned(io_req.data); when c_sample_length_h => length2(wr_addr) <= unsigned(io_req.data); when c_sample_length_m => length1(wr_addr) <= unsigned(io_req.data); when c_sample_length_l => length0(wr_addr) <= unsigned(io_req.data); when c_sample_rate_h => rate_h(wr_addr) <= unsigned(io_req.data); when c_sample_rate_l => rate_l(wr_addr) <= unsigned(io_req.data); when c_sample_clear_irq => irq_clear(wr_addr) <= io_req.data(0); if io_req.data=X"FF" then irq_clear <= (others => '1'); end if; when others => null; end case; end if; end if; end process; end gideon;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.io_bus_pkg.all; use work.sampler_pkg.all; entity sampler_regs is generic ( g_num_voices : positive := 8 ); port ( clock : in std_logic; reset : in std_logic; io_req : in t_io_req; io_resp : out t_io_resp; rd_addr : in integer range 0 to g_num_voices-1; control : out t_voice_control; irq_status : in std_logic_vector(g_num_voices-1 downto 0); irq_clear : out std_logic_vector(g_num_voices-1 downto 0) ); end entity; architecture gideon of sampler_regs is type t_boolean_array is array (natural range <>) of boolean; type t_mode_array is array (natural range <>) of t_sample_mode; type t_u8_array is array (natural range <>) of unsigned(7 downto 0); type t_u6_array is array (natural range <>) of unsigned(5 downto 0); type t_u4_array is array (natural range <>) of unsigned(3 downto 0); type t_u2_array is array (natural range <>) of unsigned(1 downto 0); signal enable : t_boolean_array(0 to g_num_voices-1) := (others => false); signal repeat : t_boolean_array(0 to g_num_voices-1) := (others => false); signal interrupt : t_boolean_array(0 to g_num_voices-1) := (others => false); signal interleave : t_boolean_array(0 to g_num_voices-1) := (others => false); signal mode : t_mode_array(0 to g_num_voices-1); signal rep_a_pos2 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal rep_a_pos1 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal rep_a_pos0 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal rep_b_pos2 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal rep_b_pos1 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal rep_b_pos0 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal start_addr3 : t_u2_array(0 to g_num_voices-1) := (others => "00"); signal start_addr2 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal start_addr1 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal start_addr0 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal length2 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal length1 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal length0 : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal rate_h : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal rate_l : t_u8_array(0 to g_num_voices-1) := (others => X"00"); signal volume : t_u6_array(0 to g_num_voices-1) := (others => "100000"); signal pan : t_u4_array(0 to g_num_voices-1) := (others => X"8"); signal wr_addr : integer range 0 to g_num_voices-1; begin wr_addr <= to_integer(io_req.address(7 downto 5)); control.enable <= enable(rd_addr); control.repeat <= repeat(rd_addr); control.interleave <= interleave(rd_addr); control.interrupt <= interrupt(rd_addr); control.mode <= mode(rd_addr); control.start_addr <= start_addr3(rd_addr) & start_addr2(rd_addr) & start_addr1(rd_addr) & start_addr0(rd_addr); control.repeat_a <= rep_a_pos2(rd_addr) & rep_a_pos1(rd_addr) & rep_a_pos0(rd_addr); control.repeat_b <= rep_b_pos2(rd_addr) & rep_b_pos1(rd_addr) & rep_b_pos0(rd_addr); control.length <= length2(rd_addr) & length1(rd_addr) & length0(rd_addr); control.rate <= rate_h(rd_addr) & rate_l(rd_addr); control.volume <= volume(rd_addr); control.pan <= pan(rd_addr); process(clock) begin if rising_edge(clock) then -- write port - control - io_resp <= c_io_resp_init; io_resp.ack <= io_req.read or io_req.write; irq_clear <= (others => '0'); if io_req.read='1' then if io_req.address(0)='0' then io_resp.data(irq_status'range) <= irq_status; else io_resp.data <= X"10"; end if; end if; if io_req.write='1' then case io_req.address(4 downto 0) is when c_sample_control => enable(wr_addr) <= (io_req.data(0) = '1'); repeat(wr_addr) <= (io_req.data(1) = '1'); interrupt(wr_addr) <= (io_req.data(2) = '1'); interleave(wr_addr) <= (io_req.data(6) = '1'); if io_req.data(5 downto 4) = "00" then mode(wr_addr) <= mono8; else mode(wr_addr) <= mono16; end if; when c_sample_volume => volume(wr_addr) <= unsigned(io_req.data(5 downto 0)); when c_sample_pan => pan(wr_addr) <= unsigned(io_req.data(3 downto 0)); when c_sample_start_addr_h => start_addr3(wr_addr) <= unsigned(io_req.data(1 downto 0)); when c_sample_start_addr_mh => start_addr2(wr_addr) <= unsigned(io_req.data); when c_sample_start_addr_ml => start_addr1(wr_addr) <= unsigned(io_req.data); when c_sample_start_addr_l => start_addr0(wr_addr) <= unsigned(io_req.data); when c_sample_rep_a_pos_h => rep_a_pos2(wr_addr) <= unsigned(io_req.data); when c_sample_rep_a_pos_m => rep_a_pos1(wr_addr) <= unsigned(io_req.data); when c_sample_rep_a_pos_l => rep_a_pos0(wr_addr) <= unsigned(io_req.data); when c_sample_rep_b_pos_h => rep_b_pos2(wr_addr) <= unsigned(io_req.data); when c_sample_rep_b_pos_m => rep_b_pos1(wr_addr) <= unsigned(io_req.data); when c_sample_rep_b_pos_l => rep_b_pos0(wr_addr) <= unsigned(io_req.data); when c_sample_length_h => length2(wr_addr) <= unsigned(io_req.data); when c_sample_length_m => length1(wr_addr) <= unsigned(io_req.data); when c_sample_length_l => length0(wr_addr) <= unsigned(io_req.data); when c_sample_rate_h => rate_h(wr_addr) <= unsigned(io_req.data); when c_sample_rate_l => rate_l(wr_addr) <= unsigned(io_req.data); when c_sample_clear_irq => irq_clear(wr_addr) <= io_req.data(0); if io_req.data=X"FF" then irq_clear <= (others => '1'); end if; when others => null; end case; end if; end if; end process; end gideon;
---------------------------------------------------------------------------------- -- Company: NTU ATHNENS - BNL -- Engineer: Panagiotis Gkountoumis -- -- Copyright Notice/Copying Permission: -- Copyright 2017 Panagiotis Gkountoumis -- -- This file is part of NTUA-BNL_VMM_firmware. -- -- NTUA-BNL_VMM_firmware is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- NTUA-BNL_VMM_firmware is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with NTUA-BNL_VMM_firmware. If not, see <http://www.gnu.org/licenses/>. -- -- Create Date: 18.04.2016 13:00:21 -- Design Name: -- Module Name: config_logic - Behavioral -- Project Name: MMFE8 -- Target Devices: Arix7 xc7a200t-2fbg484 and xc7a200t-3fbg484 -- Tool Versions: Vivado 2016.2 -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- Changelog: -- 02.08.2016 Added ONLY_CONF_ONCE as a state to prevent multiple configuratoins -- of the VMM. (Reid Pinkham) -- 16.09.2016 Added additional elsif in state = CHECK for dynamic IP configuration -- (Lev Kurilenko) -- ---------------------------------------------------------------------------------- library unisim; use unisim.vcomponents.all; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.axi.all; use work.ipv4_types.all; use work.arp_types.all; entity config_logic is Port ( clk125 : in std_logic; clk200 : in std_logic; clk_in : in std_logic; reset : in std_logic; user_data_in : in std_logic_vector (7 downto 0); user_data_out : out std_logic_vector (63 downto 0); udp_rx : in udp_rx_type; resp_data : out udp_response; send_error : out std_logic; user_conf : out std_logic; user_wr_en : in std_logic; user_last : in std_logic; configuring : in std_logic; -- we_conf : out std_logic; vmm_id : out std_logic_vector(15 downto 0); cfg_bit_out : out std_logic; VMM_SCK : out std_logic; VMM_SDO : in std_logic; status : out std_logic_vector(3 downto 0); start_vmm_conf : in std_logic; conf_done : out std_logic; ext_trigger : out std_logic; ACQ_sync : out std_logic_vector(15 downto 0); udp_header : in std_logic; packet_length : in std_logic_vector (15 downto 0); VMM_CS : out std_logic; ena_conf : out std_logic; xadc_busy : in std_logic; xadc_start : out std_logic; vmm_id_xadc : out std_logic_vector(15 downto 0); xadc_sample_size : out std_logic_vector(10 downto 0); xadc_delay : out std_logic_vector(17 downto 0); myIP_set : out std_logic_vector(31 downto 0); --Lev myMAC_set : out std_logic_vector(47 downto 0); --Lev destIP_set : out std_logic_vector(31 downto 0); --Lev newip_start : out std_logic --Lev ); end config_logic; architecture rtl of config_logic is signal packet_length_int : integer := 0; signal reading_packet : std_logic := '0'; signal user_last_int : std_logic := '0'; signal count, timeout : integer := 0; signal last_synced200 : std_logic := '0'; signal i,w,del_cnt : integer := 0; signal del_cnt2 : integer := 0; signal counter, k, j : integer := 0; signal sig_out : std_logic_vector(292 downto 0); signal sn : std_logic_vector(31 downto 0); signal vmm_id_int : std_logic_vector(15 downto 0); signal cmd : std_logic_vector(15 downto 0); signal user_data_in_int : std_logic_vector(7 downto 0); signal status_int : std_logic_vector(3 downto 0); signal user_wr_en_int : std_logic := '0'; signal cfg_bit_out_i : std_logic := '0'; signal VMM_SCK_i : std_logic := '0'; signal start_conf_process : std_logic := '0'; signal conf_done_i : std_logic := '0'; signal cnt_array, cnt_pause : integer := 0; signal MainFSMstate : std_logic_vector(3 downto 0); signal ConfFSMstate : std_logic_vector(3 downto 0); signal test_data_int : std_logic_vector(31 downto 0); signal delay_data : std_logic_vector(7 downto 0); signal udp_header_int : std_logic := '0'; signal cs_int : std_logic := '1'; signal VMM_SDO_i : std_logic := '0'; type data_buffer is array(0 to 60) of std_logic_vector(31 downto 0); signal conf_data : data_buffer; signal reply_package : std_logic_vector(63 downto 0); signal udp_response_int : udp_response; signal start_vmm_conf_int : std_logic := '0'; signal start_vmm_conf_synced : std_logic := '0'; -- signal we_conf_int : std_logic := '0'; signal vmm_we_int : std_logic := '0'; signal cnt_cktk : integer := 0; signal DAQ_START_STOP : std_logic_vector(31 downto 0); signal dest_port : std_logic_vector(15 downto 0); signal data_length : integer := 0; signal cnt_reply : integer := 0; signal cnt_conf_18 : integer := 0; signal cnt_conf_96 : integer := 0; signal delay_user_last : std_logic := '0'; signal ena_conf_i : std_logic := '1'; signal ERROR : std_logic_vector(15 downto 0); signal vmm_id_xadc_i : std_logic_vector(15 downto 0); signal xadc_sample_size_i : std_logic_vector(10 downto 0); signal xadc_delay_i : std_logic_vector(17 downto 0); ----------------------------------------------------------- -- IP Signal LEV signal newip_counter : integer := 0; --Lev ----------------------------------------------------------- type tx_state is (IDLE, SerialNo, VMMID, COMMAND, DATA, CHECK, VMM_CONF, DELAY, FPGA_CONF, XADC_Init, XADC, SEND_REPLY, TEST, REPLY); signal state : tx_state; type state_t is (START, SEND1,SEND0, PAUSE_ONE, FINISHED, ONLY_CONF_ONCE); signal conf_state : state_t; attribute keep : string; attribute dont_touch : string; attribute keep of sn : signal is "true"; attribute keep of vmm_id_int : signal is "true"; attribute keep of user_last_int : signal is "true"; attribute keep of cmd : signal is "true"; attribute keep of count : signal is "true"; attribute keep of last_synced200 : signal is "true"; attribute keep of reading_packet : signal is "true"; attribute keep of user_data_in_int : signal is "true"; attribute keep of user_wr_en_int : signal is "true"; attribute keep of packet_length_int : signal is "true"; attribute keep of cfg_bit_out_i : signal is "true"; attribute keep of status_int : signal is "true"; attribute keep of start_conf_process : signal is "true"; attribute keep of conf_done_i : signal is "true"; attribute keep of cnt_array : signal is "true"; attribute keep of DAQ_START_STOP : signal is "true"; attribute dont_touch of DAQ_START_STOP : signal is "true"; attribute keep of user_wr_en : signal is "true"; attribute dont_touch of user_wr_en : signal is "true"; attribute keep of MainFSMstate : signal is "true"; attribute keep of ConfFSMstate : signal is "true"; attribute keep of test_data_int : signal is "true"; attribute keep of delay_data : signal is "true"; attribute keep of i : signal is "true"; attribute keep of VMM_SCK_i : signal is "true"; attribute keep of udp_header_int : signal is "true"; attribute keep of j : signal is "true"; attribute keep of start_vmm_conf_int : signal is "true"; attribute keep of start_vmm_conf_synced : signal is "true"; attribute keep of dest_port : signal is "true"; attribute keep of cnt_conf_18 : signal is "true"; attribute keep of cnt_conf_96 : signal is "true"; -- attribute keep of vmm_id_xadc_i : signal is "true"; -- attribute keep of xadc_sample_size_i : signal is "true"; -- attribute keep of xadc_delay_i : signal is "true"; -- attribute keep of vmm_we_int : signal is "true"; -- attribute dont_touch of vmm_we_int : signal is "true"; attribute keep of cnt_cktk : signal is "true"; attribute dont_touch of cnt_cktk : signal is "true"; attribute keep of k : signal is "true"; attribute dont_touch of k : signal is "true"; attribute keep of cs_int : signal is "true"; attribute dont_touch of cs_int : signal is "true"; attribute keep of counter : signal is "true"; attribute dont_touch of counter : signal is "true"; attribute keep of del_cnt : signal is "true"; attribute dont_touch of del_cnt : signal is "true"; attribute keep of VMM_SDO_i : signal is "true"; attribute dont_touch of VMM_SDO_i : signal is "true"; attribute keep of ena_conf_i : signal is "true"; attribute dont_touch of ena_conf_i : signal is "true"; component ila_user_FIFO IS PORT ( clk : IN std_logic; probe0 : IN std_logic_vector(292 DOWNTO 0) ); end component; ----------------------------------------------------------- -- NEW IP Signals LEV ----------------------------------------------------------- --attribute keep of conf_data : signal is "true"; --Lev attribute keep of newip_counter : signal is "true"; --Lev attribute keep of myIP_set : signal is "true"; --Lev attribute keep of myMAC_set : signal is "true"; --Lev attribute keep of destIP_set : signal is "true"; --Lev begin process (clk125) begin if clk125'event and clk125 = '1' then user_wr_en_int <= user_wr_en; delay_data <= user_data_in; delay_user_last <= user_last; end if; end process; user_last_int <= user_last; user_data_in_int <= user_data_in; --synced_to_125: process(clk125) -- begin -- if rising_edge(clk125) then -- start_vmm_conf_synced <= start_vmm_conf_int; -- end if; -- end process; ------------------------ IDLE 0000 ------------------------ VMM_CONF 0001 ------------------------ XADC 0010 ------------------------ RESET FPGA 0011 ------------------------ DAQ OFF 1000 ------------------------ FPGA_CONF 1001 ------------------------ REPLY 1011 ------------------------ DAQ ON 1111 process (clk125, state, configuring, cmd, reading_packet, count, packet_length_int, user_wr_en_int, last_synced200, user_wr_en, dest_port) -- variable i : natural range 0 to 10 := 0; --1ms begin if clk125'event and clk125 = '1' then if reset = '1' then state <= IDLE; else case state is when IDLE => MainFSMstate <= "0000"; status_int <= "0000"; count <= 0; j <= 3; cnt_array <= 0; sn <= (others=> '0'); vmm_id_int <= x"0000"; cmd <= x"0000"; -- cs_int <= '1'; if user_wr_en = '1' then state <= DATA; end if; when DATA => MainFSMstate <= "0001"; if j = 0 then cnt_array <= cnt_array + 1; conf_data(cnt_array)(8*j+ 7 downto 8*j) <= delay_data; j <= 3; else conf_data(cnt_array)(8*j+ 7 downto 8*j) <= delay_data; j <= j - 1; end if; if delay_user_last = '1' then -- cnt_array <= 0; -- count <= 4; j <= 0; state <= SerialNo; end if; when SerialNo => MainFSMstate <= "0010"; -- count <= count - 1; sn <= conf_data(0); reply_package(63 downto 32) <= sn; state <= VMMID; when VMMID => MainFSMstate <= "0011"; vmm_id_int <= conf_data(1)(31 downto 16); packet_length_int <= to_integer(unsigned(packet_length)); data_length <= packet_length_int - 8; reply_package(31 downto 16) <= vmm_id_int; state <= COMMAND; when COMMAND => MainFSMstate <= "0100"; cmd <= conf_data(1)(15 downto 0); reply_package(15 downto 0) <= cmd; state <= CHECK; when CHECK => MainFSMstate <= "0101"; if dest_port = x"1778" then -- 6008 VMM CONFIGURATION state <= VMM_CONF; -- if vmm_id_int /= x"ffff" then status_int <= "0001"; -- else -- status_int <= "0010"; -- end if; elsif dest_port = x"19C8" or dest_port = x"1777" then -- 6600 FPGA CONFIGURATION cmd <= conf_data(1)(31 downto 16); vmm_id_int <= conf_data(1)(15 downto 0); state <= FPGA_CONF; status_int <= "1001"; count <= 0; elsif dest_port = x"19CC" then -- 6604 Flash Configuration --Lev -- wait a few clock cycles to initatiate New IP Set --Lev -- wait around 10 clock cycles --Lev newip_start <= '1'; --Lev newip_counter <= newip_counter + 1; --Lev myIP_set <= conf_data(2)(31 downto 0); --Lev myMAC_set(47 downto 32) <= conf_data(3)(15 downto 0); --Lev myMAC_set(31 downto 0) <= conf_data(4)(31 downto 0); --Lev destIP_set <= conf_data(5)(31 downto 0); --Lev if (newip_counter = 10) then newip_counter <= 0; newip_start <= '0'; state <= IDLE; end if; elsif dest_port = x"19D0" then -- 6608 XADC state <= XADC_Init; status_int <= "0100"; xadc_start <= '1'; if cnt_array > 0 then -- If it is not an empty packet vmm_id_xadc_i <= conf_data(0)(15 downto 0); xadc_sample_size_i <= conf_data(1)(10 downto 0); xadc_delay_i <= conf_data(2)(17 downto 0); else -- is an empty packet vmm_id_xadc_i <= "0000000000000000"; xadc_sample_size_i <= "01111111111"; -- 1023 packets xadc_delay_i <= "011111111111111111"; -- 1023 samples over ~0.7 seconds end if; else count <= 0; state <= IDLE; end if; when VMM_CONF => MainFSMstate <= "0110"; if timeout = 5000000 then state <= IDLE; timeout <= 0; ERROR <= x"ffff"; else timeout <= timeout + 1; end if; if conf_done_i = '1' then -- user_data_out <= reply_package; state <= DELAY;-- SEND_REPLY; -- reading_packet <= '0'; ERROR <= x"0000"; status_int <= "1011"; end if; when DELAY => if del_cnt2 = 10 then state <= REPLY; del_cnt2 <= 0; else del_cnt2 <= del_cnt2 + 1; end if; when XADC_Init => -- Initialize the XADC MainFSMstate <= "0111"; state <= XADC; xadc_start <= '0'; when XADC => --Main XADC State if (xadc_busy = '0') then -- if xadc is done state <= IDLE; else state <= XADC; end if; when FPGA_CONF => MainFSMstate <= "1011"; -- DAQ_START_STOP <= conf_data(count+2); -------------------------------------set this for the real configuration -- if count*8 <= data_length then -- if conf_data(count + 2) = x"00000000" and conf_data(count + 3) = x"00000004" then -- EXTERNAL -- ext_trigger <= '1'; -- elsif conf_data(count + 2) = x"00000000" and conf_data(count + 3) = x"00000007" then -- PULSER -- ext_trigger <= '0'; -- elsif conf_data(count + 2) = x"00001111" and conf_data(count + 3) = x"00000001" then -- DAQ ON -- status_int <= "1111"; -- elsif conf_data(count + 2) = x"00001111" and conf_data(count + 3) = x"00000000" then -- DAQ OFF -- status_int <= "1000"; -- elsif conf_data(count + 2) = x"ffffffff" and conf_data(count + 3) = x"ffff8000" then -- RESET FPGA -- status_int <= "0011"; -- else -- state <= IDLE; -- end if; -- else -- count <= 0; -- state <= IDLE; -- end if; -------------------------------------set this for the real configuration DAQ_START_STOP <= conf_data(4); if conf_data(5) = x"00000004" and conf_data(4) = x"00000000" then -- EXTERNAL ext_trigger <= '1'; state <= TEST; elsif conf_data(5) = x"00000007" and conf_data(4) = x"00000000" then -- PULSER ext_trigger <= '0'; state <= TEST; elsif conf_data(5) = x"00000001" and conf_data(4) = x"0000000f" then -- DAQ ON status_int <= "1111"; state <= TEST; elsif conf_data(5) = x"00000000" and conf_data(4) = x"0000000f" then -- DAQ OFF status_int <= "1000"; state <= TEST; elsif conf_data(4) = x"ffffffff" and conf_data(5) = x"ffff8000" then -- RESET FPGA status_int <= "0011"; state <= IDLE; elsif conf_data(4) = x"00000005" then -- Latency ACQ_sync <= conf_data(5)(15 downto 0); state <= IDLE; else state <= TEST; end if; when TEST => if count < 10 then DAQ_START_STOP <= conf_data(count); count <= count + 1; else count <= 0; state <= IDLE; end if; when REPLY => state <= IDLE; -- if cnt_reply = 0 then ---- user_data_out_i <= conf_data_out_i; -- user_data_out <= reply_package; -- cnt_reply <= cnt_reply + 1; -- elsif cnt_reply = 1 then -- user_data_out_i <= (others => '0'); -- cnt_reply <= cnt_reply + 1; -- end_packet_conf_int <= '1'; -- we_conf_int <= '0'; -- elsif cnt_reply > 1 and cnt_reply < 100 then -- cnt_reply <= cnt_reply + 1; -- else -- cnt_reply <= 0; -- state <= IDLE; ---- state <= DAQ_INIT; -- end_packet_conf_int <= '1'; -- end if; when others => end case; end if; end if; end process; --synced_to_clkin: process(clk_in) -- begin -- if rising_edge(clk_in) then -- start_vmm_conf_synced <= start_vmm_conf; -- end if; -- end process; sync_start_vmm_conf: process(clk200) begin if rising_edge(clk200) then if start_vmm_conf = '1' then start_vmm_conf_synced <= '1'; end if; if w = 40 then start_vmm_conf_synced <= '0'; w <= 0; else w <= w + 1; end if; end if; end process; config_vmm_fsm : process( clk_in, conf_state, k, i, counter, del_cnt) begin if rising_edge( clk_in) then if reset = '1' or status_int = "0011" then conf_state <= START; else case conf_state is when START => ConfFSMstate <= "0001"; cnt_conf_96 <= 0; cnt_conf_18 <= 0; cs_int <= '1'; counter <= 1728; i <= 31; k <= 2; cfg_bit_out_i <= '0'; VMM_SCK_i <= '0'; test_data_int <= conf_data(k); conf_done_i <= '0'; if start_vmm_conf = '1' then conf_state <= SEND0; cs_int <= '0'; ena_conf_i <= '0'; end if; when SEND0 => ConfFSMstate <= "0010"; VMM_SCK_i <= '1'; cnt_cktk <= cnt_cktk + 1; if cnt_conf_96 < 96 then cnt_conf_96 <= cnt_conf_96 + 1; conf_state <= SEND1; else cnt_conf_96 <= 0; conf_state <= PAUSE_ONE; VMM_SCK_i <= '0'; cnt_conf_18 <= cnt_conf_18 + 1; cs_int <= '1'; end if; if cnt_conf_18 = 18 then conf_state <= FINISHED; end if; if k <= packet_length_int - 1 then test_data_int <= conf_data(k); if i /= 0 then cfg_bit_out_i <= conf_data(k)(i);--(0); i <= i - 1; else cfg_bit_out_i <= conf_data(k)(0); k <= k + 1; i <= 31; end if; end if; when SEND1 => if cnt_conf_96 = 0 then cs_int <= '0'; end if; ConfFSMstate <= "0011"; VMM_SCK_i <= '0'; if (counter - 2) >= 0 then if cnt_conf_96 /= 96 then counter <= counter - 1; end if; conf_state <= SEND0; else conf_state <= FINISHED; end if; when PAUSE_ONE => ConfFSMstate <= "1111"; VMM_SCK_i <= '0'; cfg_bit_out_i <= '0'; i <= 31; if cnt_pause = 10 then conf_state <= SEND1; cnt_pause <= 0; else cnt_pause <= cnt_pause + 1; end if; when FINISHED => cnt_conf_96 <= 0; cnt_conf_18 <= 0; cs_int <= '0'; ena_conf_i <= '1'; ConfFSMstate <= "0100"; cfg_bit_out_i <= '0'; if del_cnt = 5 then conf_done_i <= '1'; del_cnt <= del_cnt + 1; elsif del_cnt = 100 then conf_state <= ONLY_CONF_ONCE; del_cnt <= 0; else del_cnt <= del_cnt + 1; end if; VMM_SCK_i <= '0'; counter <= 0; cs_int <= '1'; cnt_cktk <= 0; when ONLY_CONF_ONCE => ConfFSMstate <= "0101"; if (start_vmm_conf = '0') then conf_state <= START; else conf_state <= ONLY_CONF_ONCE; end if; end case; end if; end if; end process config_vmm_fsm ; start_vmm_conf_int <= start_vmm_conf; vmm_id <= vmm_id_int; dest_port <= udp_rx.hdr.dst_port; vmm_id_xadc <=vmm_id_xadc_i; xadc_sample_size <= xadc_sample_size_i; xadc_delay <= xadc_delay_i; status <= status_int; conf_done <= conf_done_i; cfg_bit_out <= cfg_bit_out_i; VMM_SCK <= VMM_SCK_i; -- ila_conf_logic : ila_user_FIFO -- port map( -- clk => clk125, -- probe0 => sig_out -- ); --we_conf <= we_conf_int; --vmm_we_int <= vmm_we; VMM_CS <= cs_int; VMM_SDO_i <= VMM_SDO; ena_conf <= ena_conf_i; sig_out(7 downto 0) <= delay_data; sig_out(8) <= start_vmm_conf_int;--user_fifo_empty; sig_out(9) <= start_vmm_conf_synced;--user_fifo_en_main;--'0'; --user_fifo_en; sig_out(10) <= udp_header_int;--send_error_int; sig_out(11) <= user_wr_en; sig_out(43 downto 12) <= sn; sig_out(59 downto 44) <= vmm_id_int; sig_out(75 downto 60) <= cmd; sig_out(83 downto 76) <= std_logic_vector(to_unsigned(count, sig_out(83 downto 76)'length)); sig_out(91 downto 84) <= std_logic_vector(to_unsigned(cnt_array, 8)); sig_out(92) <= user_last_int; sig_out(93) <= last_synced200; --sig_out(110) <= reading_packet; sig_out(101 downto 94) <= user_data_in_int; sig_out(102) <= user_wr_en_int; sig_out(103) <= VMM_SCK_i;--user_conf_int; sig_out(104) <= cfg_bit_out_i;--reset_fifo_int; sig_out(112 downto 105) <= std_logic_vector(to_unsigned(packet_length_int, sig_out(112 downto 105)'length)); sig_out(113) <= conf_done_i;--configuring_int; sig_out(117 downto 114) <= status_int; sig_out(118) <= start_conf_process; sig_out(122 downto 119) <= MainFSMstate; sig_out(126 downto 123) <= ConfFSMstate; sig_out(134 downto 127) <= std_logic_vector(to_unsigned(i, sig_out(135 downto 128)'length)); sig_out(166 downto 135) <= test_data_int; sig_out(174 downto 167) <= std_logic_vector(to_unsigned(j, sig_out(175 downto 168)'length)); sig_out(190 downto 175) <= std_logic_vector(to_unsigned(counter, sig_out(190 downto 175)'length)); sig_out(198 downto 191) <= std_logic_vector(to_unsigned(k, sig_out(198 downto 191)'length)); sig_out(214 downto 199) <= dest_port; sig_out(246 downto 215) <= DAQ_START_STOP; sig_out(247) <= cs_int; sig_out(255 downto 248) <= std_logic_vector(to_unsigned(cnt_conf_18, sig_out(255 downto 248)'length)); sig_out(263 downto 256) <= std_logic_vector(to_unsigned(cnt_conf_96, sig_out(255 downto 248)'length)); sig_out(264) <= VMM_SDO_i; sig_out(265) <= ena_conf_i; --sig_out(262 downto 247) <= vmm_id_xadc_i; --sig_out(273 downto 263) <= xadc_sample_size_i; --sig_out(291 downto 274) <= xadc_delay_i; sig_out(292 downto 266) <= (others => '0'); end rtl;
--! @file strobed_trig_table_ea.vhd --! @brief data strobed sin/cos lookup table generator --! @author Scott Teal ([email protected]) --! @date 2013-12-19 --! @copyright --! Copyright 2013 Richard Scott Teal, Jr. --! --! Licensed under the Apache License, Version 2.0 (the "License"); you may not --! use this file except in compliance with the License. You may obtain a copy --! of the License at --! --! http://www.apache.org/licenses/LICENSE-2.0 --! --! Unless required by applicable law or agreed to in writing, software --! distributed under the License is distributed on an "AS IS" BASIS, WITHOUT --! WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the --! License for the specific language governing permissions and limitations --! under the License. --! Standard IEEE library library ieee; use ieee.std_logic_1164.all; use ieee.math_real.all; use ieee.numeric_std.all; use work.fixed_pkg.all; use work.util_pkg.all; --! Data strobed Sin & Cos lookup table. Finds new values every time strobe_in --! goes high and outputs them with strobe_out. --! Outputs cos(2*pi*angle) and sin(2*pi*angle), where 0 <= angle < 1. --! --! @todo add generic option to use quarter-wave lookup tables and make it the --! default. --! entity strobed_trig_table is port ( clk : in std_logic; --! Clock line rst : in std_logic; --! Reset Line angle : in ufixed; --! Normalized angle (0 <= angle < 1) strobe_in : in std_logic; --! Data strobe input sine : out sfixed; --! sin(2*pi*angle) cosine : out sfixed; --! cos(2*pi*angle) strobe_out : out std_logic --! Data strobe output ); end entity; --! Uses two lookup tables to find sin & cos. Future version will use --! quarter-wave lookup tables as default. architecture rtl of strobed_trig_table is --! Function for generating sine lookup table function sine_table (angle_width : natural; sine_high, sine_low : integer) return sfixed_vector is --! Size of lookup table - 1 constant table_high : positive := 2**angle_width - 1; --! Working copy of lookup table to return variable table : sfixed_vector(table_high downto 0) (sine_high downto sine_low); --! Working value of sine to convert for lookup table variable sine_real : real; begin for i in 0 to table_high loop sine_real := sin(math_2_pi * (real(i) / real(table_high + 1))); table(i) := to_sfixed(sine_real, sine_high, sine_low); end loop; return table; end function; --! Function for generating cosine lookup table function cosine_table (angle_width : natural; cosine_high, cosine_low : integer) return sfixed_vector is --! Size of lookup table - 1 constant table_high : positive := 2**angle_width - 1; --! Working copy of lookup table to return variable table : sfixed_vector(table_high downto 0) (cosine_high downto cosine_low); --! Working value of cosine to convert for lookup table variable cosine_real : real; begin for i in 0 to table_high loop cosine_real := cos(math_2_pi * (real(i) / real(table_high + 1))); table(i) := to_sfixed(cosine_real, cosine_high, cosine_low); end loop; return table; end function; --! Total width of useful angle bits (-1 downto angle'low) constant angle_width : positive := 0 - angle'low; constant sine_lookup_table : sfixed_vector := sine_table(angle_width, sine'high, sine'low); constant cosine_lookup_table : sfixed_vector := cosine_table(angle_width, cosine'high, cosine'low); --! std_logic_vector version of angle for lookup table signal lookup_bits : std_logic_vector((angle_width - 1) downto 0); begin --! State assumptions assert (angle'low < 0) report "Angle to trig table should be a fraction from 0 to 1" severity warning; assert (angle'high < 0) report "Any integer bits in the input angle will be unused" severity warning; assert (sine'high < 2) report "Sine will range from 1 to -1; more integer bits not necessary" severity warning; assert (cosine'high < 2) report "Sine will range from 1 to -1; more integer bits not necessary" severity warning; --! Casts the ufixed angle value as a std_logic_vectro for the lookup table. --! @todo There's got to be a more elegant way of doing this. remap_lookup_bits : for i in lookup_bits'range generate lookup_bits(i) <= std_logic(angle(i - angle_width)); end generate; --! Pipeline to look up values data_pipeline : process (clk, rst) begin if rising_edge(clk) then if rst = '1' then sine <= to_sfixed(0.0, sine); cosine <= to_sfixed(0.0, cosine); strobe_out <= '0'; else sine <= sine_lookup_table(to_integer(unsigned(lookup_bits))); cosine <= cosine_lookup_table(to_integer(unsigned(lookup_bits))); strobe_out <= strobe_in; end if; end if; end process; end rtl;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- -- Entity: i2c2ahb -- File: i2c2ahb.vhd -- Author: Jan Andersson - Aeroflex Gaisler AB -- Contact: [email protected] -- Description: Simple I2C-slave providing a bridge to AMBA AHB -- See i2c2ahbx.vhd and GRIP for documentation ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; use grlib.stdlib.conv_std_logic_vector; library gaisler; use gaisler.i2c.all; entity i2c2ahb is generic ( -- AHB Configuration hindex : integer := 0; -- ahbaddrh : integer := 0; ahbaddrl : integer := 0; ahbmaskh : integer := 0; ahbmaskl : integer := 0; -- I2C configuration i2cslvaddr : integer range 0 to 127 := 0; i2ccfgaddr : integer range 0 to 127 := 0; oepol : integer range 0 to 1 := 0; -- filter : integer range 2 to 512 := 2 ); port ( rstn : in std_ulogic; clk : in std_ulogic; -- AHB master interface ahbi : in ahb_mst_in_type; ahbo : out ahb_mst_out_type; -- I2C signals i2ci : in i2c_in_type; i2co : out i2c_out_type ); end entity i2c2ahb; architecture rtl of i2c2ahb is signal i2c2ahbi : i2c2ahb_in_type; begin bridge : i2c2ahbx generic map ( hindex => hindex, oepol => oepol, filter => filter) port map ( rstn => rstn, clk => clk, ahbi => ahbi, ahbo => ahbo, i2ci => i2ci, i2co => i2co, i2c2ahbi => i2c2ahbi, i2c2ahbo => open); i2c2ahbi.en <= '1'; i2c2ahbi.haddr <= conv_std_logic_vector(ahbaddrh, 16) & conv_std_logic_vector(ahbaddrl, 16); i2c2ahbi.hmask <= conv_std_logic_vector(ahbmaskh, 16) & conv_std_logic_vector(ahbmaskl, 16); i2c2ahbi.slvaddr <= conv_std_logic_vector(i2cslvaddr, 7); i2c2ahbi.cfgaddr <= conv_std_logic_vector(i2ccfgaddr, 7); end architecture rtl;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity somaSub is Port ( A : in std_logic_vector (2 downto 0) := "011"; B : in std_logic_vector (2 downto 0) := "001"; sel : in std_logic := '0'; S : out std_logic_vector (2 downto 0); E : out std_logic ); end somaSub; architecture Behavioral of somaSub is signal aux : std_logic_vector (2 downto 0); signal c : std_logic; signal c2 : std_logic; signal ccomp : std_logic; signal over : std_logic; signal igua : std_logic; signal comp1 : std_logic_vector (2 downto 0); signal comp2 : std_logic_vector (2 downto 0); begin process (a,b,sel,c,c2,comp1,comp2,ccomp,aux, igua) begin -- Soma if (sel = '0') then aux(0) <= a(0) xor b(0); c <= a(0) and b(0); aux(1) <= a(1) xor b(1) xor c; aux(2) <= (a(1) and b(1)) or (a(1) and c) or (b(1) and c); igua <= not(a(0) xor b(0)); over <= c and igua; --subtrai else -- Aplica complemento de 1 no B comp1 <= b xor "111"; -- Aplica complemento de 2 no B comp2(0) <= comp1(0) xor '1'; ccomp <= comp1(0) and '1'; comp2(1) <= comp1(1) xor ccomp; comp2(2) <= (comp1(1) and '1') or (comp1(1) and ccomp) or ('1' and ccomp); -- Faz a soma aux(0) <= a(0) xor comp2(0); c2 <= (a(0) and comp2(0)) or (a(0) and ccomp) or (comp2(0) and ccomp); aux(1) <= a(1) xor comp2(1) xor c2; aux(2) <= (a(1) and comp2(1)) or (a(1) and c2); igua <= not(a(0) xor comp2(0)); over <= c2 and igua; end if; end process; e <= over; s <= aux; end Behavioral;
entity sig1 is end; use work.pkg.all; architecture behav of sig1 is signal s : rec_4; begin end behav;
entity sig1 is end; use work.pkg.all; architecture behav of sig1 is signal s : rec_4; begin end behav;
------------------------------------------------------------------------------ -- plbv46_slave_burst_wrapper.vhd - entity/architecture pair ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library proc_common_v3_00_a; use proc_common_v3_00_a.proc_common_pkg.all; use proc_common_v3_00_a.ipif_pkg.all; library plbv46_slave_burst_v1_01_a; use plbv46_slave_burst_v1_01_a.plbv46_slave_burst; ------------------------------------------------------------------------------ -- Entity section ------------------------------------------------------------------------------ -- Definition of Generics: -- C_SPLB_AWIDTH -- PLBv46 slave: address bus width -- C_SPLB_DWIDTH -- PLBv46 slave: data bus width -- C_SPLB_NUM_MASTERS -- PLBv46 slave: Number of masters -- C_SPLB_MID_WIDTH -- PLBv46 slave: master ID bus width -- C_SPLB_NATIVE_DWIDTH -- PLBv46 slave: internal native data bus width -- C_SPLB_P2P -- PLBv46 slave: point to point interconnect scheme -- C_SPLB_SUPPORT_BURSTS -- PLBv46 slave: support bursts -- C_SPLB_SMALLEST_MASTER -- PLBv46 slave: width of the smallest master -- C_SPLB_CLK_PERIOD_PS -- PLBv46 slave: bus clock in picoseconds -- C_INCLUDE_DPHASE_TIMER -- PLBv46 slave: Data Phase Timer configuration; 0 = exclude timer, 1 = include timer -- C_FAMILY -- Xilinx FPGA family -- C_MPLB_AWIDTH -- PLBv46 master: address bus width -- C_MPLB_DWIDTH -- PLBv46 master: data bus width -- C_MPLB_NATIVE_DWIDTH -- PLBv46 master: internal native data width -- C_MPLB_P2P -- PLBv46 master: point to point interconnect scheme -- C_MPLB_SMALLEST_SLAVE -- PLBv46 master: width of the smallest slave -- C_MPLB_CLK_PERIOD_PS -- PLBv46 master: bus clock in picoseconds -- -- Definition of Ports: -- SPLB_Clk -- PLB main bus clock -- SPLB_Rst -- PLB main bus reset -- PLB_ABus -- PLB address bus -- PLB_UABus -- PLB upper address bus -- PLB_PAValid -- PLB primary address valid indicator -- PLB_SAValid -- PLB secondary address valid indicator -- PLB_rdPrim -- PLB secondary to primary read request indicator -- PLB_wrPrim -- PLB secondary to primary write request indicator -- PLB_masterID -- PLB current master identifier -- PLB_abort -- PLB abort request indicator -- PLB_busLock -- PLB bus lock -- PLB_RNW -- PLB read/not write -- PLB_BE -- PLB byte enables -- PLB_MSize -- PLB master data bus size -- PLB_size -- PLB transfer size -- PLB_type -- PLB transfer type -- PLB_lockErr -- PLB lock error indicator -- PLB_wrDBus -- PLB write data bus -- PLB_wrBurst -- PLB burst write transfer indicator -- PLB_rdBurst -- PLB burst read transfer indicator -- PLB_wrPendReq -- PLB write pending bus request indicator -- PLB_rdPendReq -- PLB read pending bus request indicator -- PLB_wrPendPri -- PLB write pending request priority -- PLB_rdPendPri -- PLB read pending request priority -- PLB_reqPri -- PLB current request priority -- PLB_TAttribute -- PLB transfer attribute -- Sl_addrAck -- Slave address acknowledge -- Sl_SSize -- Slave data bus size -- Sl_wait -- Slave wait indicator -- Sl_rearbitrate -- Slave re-arbitrate bus indicator -- Sl_wrDAck -- Slave write data acknowledge -- Sl_wrComp -- Slave write transfer complete indicator -- Sl_wrBTerm -- Slave terminate write burst transfer -- Sl_rdDBus -- Slave read data bus -- Sl_rdWdAddr -- Slave read word address -- Sl_rdDAck -- Slave read data acknowledge -- Sl_rdComp -- Slave read transfer complete indicator -- Sl_rdBTerm -- Slave terminate read burst transfer -- Sl_MBusy -- Slave busy indicator -- Sl_MWrErr -- Slave write error indicator -- Sl_MRdErr -- Slave read error indicator -- Sl_MIRQ -- Slave interrupt indicator -- MPLB_Clk -- PLB main bus Clock -- MPLB_Rst -- PLB main bus Reset -- MD_error -- Master detected error status output -- M_request -- Master request -- M_priority -- Master request priority -- M_busLock -- Master buslock -- M_RNW -- Master read/nor write -- M_BE -- Master byte enables -- M_MSize -- Master data bus size -- M_size -- Master transfer size -- M_type -- Master transfer type -- M_TAttribute -- Master transfer attribute -- M_lockErr -- Master lock error indicator -- M_abort -- Master abort bus request indicator -- M_UABus -- Master upper address bus -- M_ABus -- Master address bus -- M_wrDBus -- Master write data bus -- M_wrBurst -- Master burst write transfer indicator -- M_rdBurst -- Master burst read transfer indicator -- PLB_MAddrAck -- PLB reply to master for address acknowledge -- PLB_MSSize -- PLB reply to master for slave data bus size -- PLB_MRearbitrate -- PLB reply to master for bus re-arbitrate indicator -- PLB_MTimeout -- PLB reply to master for bus time out indicator -- PLB_MBusy -- PLB reply to master for slave busy indicator -- PLB_MRdErr -- PLB reply to master for slave read error indicator -- PLB_MWrErr -- PLB reply to master for slave write error indicator -- PLB_MIRQ -- PLB reply to master for slave interrupt indicator -- PLB_MRdDBus -- PLB reply to master for read data bus -- PLB_MRdWdAddr -- PLB reply to master for read word address -- PLB_MRdDAck -- PLB reply to master for read data acknowledge -- PLB_MRdBTerm -- PLB reply to master for terminate read burst indicator -- PLB_MWrDAck -- PLB reply to master for write data acknowledge -- PLB_MWrBTerm -- PLB reply to master for terminate write burst indicator ------------------------------------------------------------------------------ entity plbv46_slave_burst_wrapper is generic ( -- DO NOT EDIT BELOW THIS LINE --------------------- C_SPLB_AWIDTH : integer := 32; C_SPLB_DWIDTH : integer := 128; C_SPLB_NUM_MASTERS : integer := 8; C_SPLB_MID_WIDTH : integer := 3; C_SPLB_NATIVE_DWIDTH : integer := 128; C_SPLB_P2P : integer := 0; C_SPLB_SUPPORT_BURSTS : integer := 1; C_SPLB_SMALLEST_MASTER : integer := 32; C_SPLB_CLK_PERIOD_PS : integer := 10000; C_INCLUDE_DPHASE_TIMER : integer := 1; C_FAMILY : string := "virtex5"; -- DO NOT EDIT ABOVE THIS LINE --------------------- -- ADD USER GENERICS BELOW THIS LINE --------------- C_MEM_BASEADDR : std_logic_vector := X"FFFFFFFF"; C_MEM_HIGHADDR : std_logic_vector := X"00000000" -- ADD USER GENERICS ABOVE THIS LINE --------------- ); port ( -- DO NOT EDIT BELOW THIS LINE --------------------- SPLB_Clk : in std_logic; SPLB_Rst : in std_logic; PLB_ABus : in std_logic_vector(0 to 31); PLB_UABus : in std_logic_vector(0 to 31); PLB_PAValid : in std_logic; PLB_SAValid : in std_logic; PLB_rdPrim : in std_logic; PLB_wrPrim : in std_logic; PLB_masterID : in std_logic_vector(0 to C_SPLB_MID_WIDTH-1); PLB_abort : in std_logic; PLB_busLock : in std_logic; PLB_RNW : in std_logic; PLB_BE : in std_logic_vector(0 to C_SPLB_DWIDTH/8-1); PLB_MSize : in std_logic_vector(0 to 1); PLB_size : in std_logic_vector(0 to 3); PLB_type : in std_logic_vector(0 to 2); PLB_lockErr : in std_logic; PLB_wrDBus : in std_logic_vector(0 to C_SPLB_DWIDTH-1); PLB_wrBurst : in std_logic; PLB_rdBurst : in std_logic; PLB_wrPendReq : in std_logic; PLB_rdPendReq : in std_logic; PLB_wrPendPri : in std_logic_vector(0 to 1); PLB_rdPendPri : in std_logic_vector(0 to 1); PLB_reqPri : in std_logic_vector(0 to 1); PLB_TAttribute : in std_logic_vector(0 to 15); Sl_addrAck : out std_logic; Sl_SSize : out std_logic_vector(0 to 1); Sl_wait : out std_logic; Sl_rearbitrate : out std_logic; Sl_wrDAck : out std_logic; Sl_wrComp : out std_logic; Sl_wrBTerm : out std_logic; Sl_rdDBus : out std_logic_vector(0 to C_SPLB_DWIDTH-1); Sl_rdWdAddr : out std_logic_vector(0 to 3); Sl_rdDAck : out std_logic; Sl_rdComp : out std_logic; Sl_rdBTerm : out std_logic; Sl_MBusy : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1); Sl_MWrErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1); Sl_MRdErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1); Sl_MIRQ : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1); -- DO NOT EDIT ABOVE THIS LINE --------------------- -- ADD USER PORTS BELOW THIS LINE ------------------ Bus2IP_Clk : out std_logic; Bus2IP_Reset : out std_logic; Bus2IP_Addr : out std_logic_vector(0 to 32-1); Bus2IP_CS : out std_logic; Bus2IP_RNW : out std_logic; Bus2IP_Data : out std_logic_vector(0 to 128-1); Bus2IP_BE : out std_logic_vector(0 to 128/8-1); Bus2IP_Burst : out std_logic; Bus2IP_BurstLength : out std_logic_vector(0 to 8); -- 8=log2(16*(128/8)) Bus2IP_RdReq : out std_logic; Bus2IP_WrReq : out std_logic; IP2Bus_AddrAck : in std_logic; IP2Bus_Data : in std_logic_vector(0 to 128-1); IP2Bus_RdAck : in std_logic; IP2Bus_WrAck : in std_logic; IP2Bus_Error : in std_logic -- ADD USER PORTS ABOVE THIS LINE ------------------ ); end entity plbv46_slave_burst_wrapper; ------------------------------------------------------------------------------ -- Architecture section ------------------------------------------------------------------------------ architecture IMP of plbv46_slave_burst_wrapper is ------------------------------------------ -- Array of base/high address pairs for each address range ------------------------------------------ constant PADDING_ZEROS : std_logic_vector(0 to 127) := (others => '0'); constant IPIF_ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE := ( PADDING_ZEROS(0 to 31) & C_MEM_BASEADDR, -- user logic memory space 0 base address PADDING_ZEROS(0 to 31) & C_MEM_HIGHADDR -- user logic memory space 0 high address ); ------------------------------------------ -- Array of desired number of chip enables for each address range ------------------------------------------ constant IPIF_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE := ( 0 => 1 -- number of ce for user logic memory space 0 (always 1 chip enable) ); ------------------------------------------ -- Cache line addressing mode (for cacheline read operations) -- 0 = target word first on reads -- 1 = line word first on reads ------------------------------------------ constant IPIF_CACHLINE_ADDR_MODE : integer := 0; ------------------------------------------ -- Number of storage locations for the write buffer -- Valid depths are 0, 16, 32, or 64 -- 0 = no write buffer implemented ------------------------------------------ constant IPIF_WR_BUFFER_DEPTH : integer := 0; ------------------------------------------ -- The type out of the Bus2IP_BurstLength signal -- 0 = length is in actual byte number -- 1 = length is in data beats - 1 ------------------------------------------ constant IPIF_BURSTLENGTH_TYPE : integer := 0; ------------------------------------------ -- Index for CS/CE ------------------------------------------ constant USER_CS_INDEX : integer := 0; ------------------------------------------ -- IP Interconnect (IPIC) signal declarations ------------------------------------------ -- NOT USED: signal ipif_Bus2IP_Clk : std_logic; -- NOT USED: signal ipif_Bus2IP_Reset : std_logic; -- NOT USED: signal ipif_IP2Bus_WrAck : std_logic; -- NOT USED: signal ipif_IP2Bus_RdAck : std_logic; -- NOT USED: signal ipif_IP2Bus_AddrAck : std_logic; -- NOT USED: signal ipif_IP2Bus_Error : std_logic; -- NOT USED: signal ipif_Bus2IP_Addr : std_logic_vector(0 to C_SPLB_AWIDTH-1); -- NOT USED: signal ipif_Bus2IP_RNW : std_logic; -- NOT USED: signal ipif_Bus2IP_Burst : std_logic; -- NOT USED: signal ipif_Bus2IP_WrReq : std_logic; -- NOT USED: signal ipif_Bus2IP_RdReq : std_logic; -- -- BITWIDTH ADAPTION: -- -- Bitwidth of plbv46_slave_burst is variable depending on the C_SPLB_DWIDTH/C_SPLB_NATIVE_DWIDTH -- Bitwidth of plbv46_slave_burst_wrapper_128 is tuned for 128bit systemc modules -- -- The following signals may have different bitwidth between -- plbv46_slave_burst and plbv46_slave_burst_wrapper_128. And MSBs of them may not be connected -- signal ipif_IP2Bus_Data : std_logic_vector(0 to C_SPLB_NATIVE_DWIDTH-1); signal ipif_Bus2IP_Data : std_logic_vector(0 to C_SPLB_NATIVE_DWIDTH-1); signal ipif_Bus2IP_BE : std_logic_vector(0 to C_SPLB_NATIVE_DWIDTH/8-1); signal ipif_Bus2IP_BurstLength : std_logic_vector(0 to log2(16*(C_SPLB_DWIDTH/8))); signal ipif_Bus2IP_CS : std_logic_vector(0 to ((IPIF_ARD_ADDR_RANGE_ARRAY'length)/2)-1); begin ------------------------------------------ -- instantiate plbv46_slave_burst ------------------------------------------ PLBV46_SLAVE_BURST_I : entity plbv46_slave_burst_v1_01_a.plbv46_slave_burst generic map ( C_ARD_ADDR_RANGE_ARRAY => IPIF_ARD_ADDR_RANGE_ARRAY, C_ARD_NUM_CE_ARRAY => IPIF_ARD_NUM_CE_ARRAY, C_SPLB_P2P => C_SPLB_P2P, C_CACHLINE_ADDR_MODE => IPIF_CACHLINE_ADDR_MODE, C_WR_BUFFER_DEPTH => IPIF_WR_BUFFER_DEPTH, C_BURSTLENGTH_TYPE => IPIF_BURSTLENGTH_TYPE, C_SPLB_MID_WIDTH => C_SPLB_MID_WIDTH, C_SPLB_NUM_MASTERS => C_SPLB_NUM_MASTERS, C_SPLB_SMALLEST_MASTER => C_SPLB_SMALLEST_MASTER, C_SPLB_AWIDTH => C_SPLB_AWIDTH, C_SPLB_DWIDTH => C_SPLB_DWIDTH, C_SIPIF_DWIDTH => C_SPLB_NATIVE_DWIDTH, C_INCLUDE_DPHASE_TIMER => C_INCLUDE_DPHASE_TIMER, C_FAMILY => C_FAMILY ) port map ( SPLB_Clk => SPLB_Clk, SPLB_Rst => SPLB_Rst, PLB_ABus => PLB_ABus, PLB_UABus => PLB_UABus, PLB_PAValid => PLB_PAValid, PLB_SAValid => PLB_SAValid, PLB_rdPrim => PLB_rdPrim, PLB_wrPrim => PLB_wrPrim, PLB_masterID => PLB_masterID, PLB_abort => PLB_abort, PLB_busLock => PLB_busLock, PLB_RNW => PLB_RNW, PLB_BE => PLB_BE, PLB_MSize => PLB_MSize, PLB_size => PLB_size, PLB_type => PLB_type, PLB_lockErr => PLB_lockErr, PLB_wrDBus => PLB_wrDBus, PLB_wrBurst => PLB_wrBurst, PLB_rdBurst => PLB_rdBurst, PLB_wrPendReq => PLB_wrPendReq, PLB_rdPendReq => PLB_rdPendReq, PLB_wrPendPri => PLB_wrPendPri, PLB_rdPendPri => PLB_rdPendPri, PLB_reqPri => PLB_reqPri, PLB_TAttribute => PLB_TAttribute, Sl_addrAck => Sl_addrAck, Sl_SSize => Sl_SSize, Sl_wait => Sl_wait, Sl_rearbitrate => Sl_rearbitrate, Sl_wrDAck => Sl_wrDAck, Sl_wrComp => Sl_wrComp, Sl_wrBTerm => Sl_wrBTerm, Sl_rdDBus => Sl_rdDBus, Sl_rdWdAddr => Sl_rdWdAddr, Sl_rdDAck => Sl_rdDAck, Sl_rdComp => Sl_rdComp, Sl_rdBTerm => Sl_rdBTerm, Sl_MBusy => Sl_MBusy, Sl_MWrErr => Sl_MWrErr, Sl_MRdErr => Sl_MRdErr, Sl_MIRQ => Sl_MIRQ, Bus2IP_Clk => Bus2IP_Clk, Bus2IP_Reset => Bus2IP_Reset, IP2Bus_Data => ipif_IP2Bus_Data, ---- FOR BITWIDTH ADAPTION IP2Bus_WrAck => IP2Bus_WrAck, IP2Bus_RdAck => IP2Bus_RdAck, IP2Bus_AddrAck => IP2Bus_AddrAck, IP2Bus_Error => IP2Bus_Error, Bus2IP_Addr => Bus2IP_Addr, Bus2IP_Data => ipif_Bus2IP_Data, ---- FOR BITWIDTH ADAPTION Bus2IP_RNW => Bus2IP_RNW, Bus2IP_BE => ipif_Bus2IP_BE, ---- FOR BITWIDTH ADAPTION Bus2IP_Burst => Bus2IP_Burst, Bus2IP_BurstLength => ipif_Bus2IP_BurstLength, ---- FOR BITWIDTH ADAPTION Bus2IP_WrReq => Bus2IP_WrReq, Bus2IP_RdReq => Bus2IP_RdReq, Bus2IP_CS => ipif_Bus2IP_CS ---- FOR BITWIDTH ADAPTION ); ipif_IP2Bus_Data <= IP2Bus_Data(128-C_SPLB_NATIVE_DWIDTH to 128-1); Bus2IP_Data <= PADDING_ZEROS(C_SPLB_NATIVE_DWIDTH to 128-1) & ipif_Bus2IP_Data; Bus2IP_BE <= PADDING_ZEROS(C_SPLB_NATIVE_DWIDTH/8 to 16-1) & ipif_Bus2IP_BE; Bus2IP_BurstLength <= PADDING_ZEROS(log2(16*(C_SPLB_DWIDTH/8))+1 to 8) & ipif_Bus2IP_BurstLength; Bus2IP_CS <= ipif_Bus2IP_CS(USER_CS_INDEX); end IMP;
------------------------------------------------------------------------------ -- plbv46_slave_burst_wrapper.vhd - entity/architecture pair ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library proc_common_v3_00_a; use proc_common_v3_00_a.proc_common_pkg.all; use proc_common_v3_00_a.ipif_pkg.all; library plbv46_slave_burst_v1_01_a; use plbv46_slave_burst_v1_01_a.plbv46_slave_burst; ------------------------------------------------------------------------------ -- Entity section ------------------------------------------------------------------------------ -- Definition of Generics: -- C_SPLB_AWIDTH -- PLBv46 slave: address bus width -- C_SPLB_DWIDTH -- PLBv46 slave: data bus width -- C_SPLB_NUM_MASTERS -- PLBv46 slave: Number of masters -- C_SPLB_MID_WIDTH -- PLBv46 slave: master ID bus width -- C_SPLB_NATIVE_DWIDTH -- PLBv46 slave: internal native data bus width -- C_SPLB_P2P -- PLBv46 slave: point to point interconnect scheme -- C_SPLB_SUPPORT_BURSTS -- PLBv46 slave: support bursts -- C_SPLB_SMALLEST_MASTER -- PLBv46 slave: width of the smallest master -- C_SPLB_CLK_PERIOD_PS -- PLBv46 slave: bus clock in picoseconds -- C_INCLUDE_DPHASE_TIMER -- PLBv46 slave: Data Phase Timer configuration; 0 = exclude timer, 1 = include timer -- C_FAMILY -- Xilinx FPGA family -- C_MPLB_AWIDTH -- PLBv46 master: address bus width -- C_MPLB_DWIDTH -- PLBv46 master: data bus width -- C_MPLB_NATIVE_DWIDTH -- PLBv46 master: internal native data width -- C_MPLB_P2P -- PLBv46 master: point to point interconnect scheme -- C_MPLB_SMALLEST_SLAVE -- PLBv46 master: width of the smallest slave -- C_MPLB_CLK_PERIOD_PS -- PLBv46 master: bus clock in picoseconds -- -- Definition of Ports: -- SPLB_Clk -- PLB main bus clock -- SPLB_Rst -- PLB main bus reset -- PLB_ABus -- PLB address bus -- PLB_UABus -- PLB upper address bus -- PLB_PAValid -- PLB primary address valid indicator -- PLB_SAValid -- PLB secondary address valid indicator -- PLB_rdPrim -- PLB secondary to primary read request indicator -- PLB_wrPrim -- PLB secondary to primary write request indicator -- PLB_masterID -- PLB current master identifier -- PLB_abort -- PLB abort request indicator -- PLB_busLock -- PLB bus lock -- PLB_RNW -- PLB read/not write -- PLB_BE -- PLB byte enables -- PLB_MSize -- PLB master data bus size -- PLB_size -- PLB transfer size -- PLB_type -- PLB transfer type -- PLB_lockErr -- PLB lock error indicator -- PLB_wrDBus -- PLB write data bus -- PLB_wrBurst -- PLB burst write transfer indicator -- PLB_rdBurst -- PLB burst read transfer indicator -- PLB_wrPendReq -- PLB write pending bus request indicator -- PLB_rdPendReq -- PLB read pending bus request indicator -- PLB_wrPendPri -- PLB write pending request priority -- PLB_rdPendPri -- PLB read pending request priority -- PLB_reqPri -- PLB current request priority -- PLB_TAttribute -- PLB transfer attribute -- Sl_addrAck -- Slave address acknowledge -- Sl_SSize -- Slave data bus size -- Sl_wait -- Slave wait indicator -- Sl_rearbitrate -- Slave re-arbitrate bus indicator -- Sl_wrDAck -- Slave write data acknowledge -- Sl_wrComp -- Slave write transfer complete indicator -- Sl_wrBTerm -- Slave terminate write burst transfer -- Sl_rdDBus -- Slave read data bus -- Sl_rdWdAddr -- Slave read word address -- Sl_rdDAck -- Slave read data acknowledge -- Sl_rdComp -- Slave read transfer complete indicator -- Sl_rdBTerm -- Slave terminate read burst transfer -- Sl_MBusy -- Slave busy indicator -- Sl_MWrErr -- Slave write error indicator -- Sl_MRdErr -- Slave read error indicator -- Sl_MIRQ -- Slave interrupt indicator -- MPLB_Clk -- PLB main bus Clock -- MPLB_Rst -- PLB main bus Reset -- MD_error -- Master detected error status output -- M_request -- Master request -- M_priority -- Master request priority -- M_busLock -- Master buslock -- M_RNW -- Master read/nor write -- M_BE -- Master byte enables -- M_MSize -- Master data bus size -- M_size -- Master transfer size -- M_type -- Master transfer type -- M_TAttribute -- Master transfer attribute -- M_lockErr -- Master lock error indicator -- M_abort -- Master abort bus request indicator -- M_UABus -- Master upper address bus -- M_ABus -- Master address bus -- M_wrDBus -- Master write data bus -- M_wrBurst -- Master burst write transfer indicator -- M_rdBurst -- Master burst read transfer indicator -- PLB_MAddrAck -- PLB reply to master for address acknowledge -- PLB_MSSize -- PLB reply to master for slave data bus size -- PLB_MRearbitrate -- PLB reply to master for bus re-arbitrate indicator -- PLB_MTimeout -- PLB reply to master for bus time out indicator -- PLB_MBusy -- PLB reply to master for slave busy indicator -- PLB_MRdErr -- PLB reply to master for slave read error indicator -- PLB_MWrErr -- PLB reply to master for slave write error indicator -- PLB_MIRQ -- PLB reply to master for slave interrupt indicator -- PLB_MRdDBus -- PLB reply to master for read data bus -- PLB_MRdWdAddr -- PLB reply to master for read word address -- PLB_MRdDAck -- PLB reply to master for read data acknowledge -- PLB_MRdBTerm -- PLB reply to master for terminate read burst indicator -- PLB_MWrDAck -- PLB reply to master for write data acknowledge -- PLB_MWrBTerm -- PLB reply to master for terminate write burst indicator ------------------------------------------------------------------------------ entity plbv46_slave_burst_wrapper is generic ( -- DO NOT EDIT BELOW THIS LINE --------------------- C_SPLB_AWIDTH : integer := 32; C_SPLB_DWIDTH : integer := 128; C_SPLB_NUM_MASTERS : integer := 8; C_SPLB_MID_WIDTH : integer := 3; C_SPLB_NATIVE_DWIDTH : integer := 128; C_SPLB_P2P : integer := 0; C_SPLB_SUPPORT_BURSTS : integer := 1; C_SPLB_SMALLEST_MASTER : integer := 32; C_SPLB_CLK_PERIOD_PS : integer := 10000; C_INCLUDE_DPHASE_TIMER : integer := 1; C_FAMILY : string := "virtex5"; -- DO NOT EDIT ABOVE THIS LINE --------------------- -- ADD USER GENERICS BELOW THIS LINE --------------- C_MEM_BASEADDR : std_logic_vector := X"FFFFFFFF"; C_MEM_HIGHADDR : std_logic_vector := X"00000000" -- ADD USER GENERICS ABOVE THIS LINE --------------- ); port ( -- DO NOT EDIT BELOW THIS LINE --------------------- SPLB_Clk : in std_logic; SPLB_Rst : in std_logic; PLB_ABus : in std_logic_vector(0 to 31); PLB_UABus : in std_logic_vector(0 to 31); PLB_PAValid : in std_logic; PLB_SAValid : in std_logic; PLB_rdPrim : in std_logic; PLB_wrPrim : in std_logic; PLB_masterID : in std_logic_vector(0 to C_SPLB_MID_WIDTH-1); PLB_abort : in std_logic; PLB_busLock : in std_logic; PLB_RNW : in std_logic; PLB_BE : in std_logic_vector(0 to C_SPLB_DWIDTH/8-1); PLB_MSize : in std_logic_vector(0 to 1); PLB_size : in std_logic_vector(0 to 3); PLB_type : in std_logic_vector(0 to 2); PLB_lockErr : in std_logic; PLB_wrDBus : in std_logic_vector(0 to C_SPLB_DWIDTH-1); PLB_wrBurst : in std_logic; PLB_rdBurst : in std_logic; PLB_wrPendReq : in std_logic; PLB_rdPendReq : in std_logic; PLB_wrPendPri : in std_logic_vector(0 to 1); PLB_rdPendPri : in std_logic_vector(0 to 1); PLB_reqPri : in std_logic_vector(0 to 1); PLB_TAttribute : in std_logic_vector(0 to 15); Sl_addrAck : out std_logic; Sl_SSize : out std_logic_vector(0 to 1); Sl_wait : out std_logic; Sl_rearbitrate : out std_logic; Sl_wrDAck : out std_logic; Sl_wrComp : out std_logic; Sl_wrBTerm : out std_logic; Sl_rdDBus : out std_logic_vector(0 to C_SPLB_DWIDTH-1); Sl_rdWdAddr : out std_logic_vector(0 to 3); Sl_rdDAck : out std_logic; Sl_rdComp : out std_logic; Sl_rdBTerm : out std_logic; Sl_MBusy : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1); Sl_MWrErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1); Sl_MRdErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1); Sl_MIRQ : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1); -- DO NOT EDIT ABOVE THIS LINE --------------------- -- ADD USER PORTS BELOW THIS LINE ------------------ Bus2IP_Clk : out std_logic; Bus2IP_Reset : out std_logic; Bus2IP_Addr : out std_logic_vector(0 to 32-1); Bus2IP_CS : out std_logic; Bus2IP_RNW : out std_logic; Bus2IP_Data : out std_logic_vector(0 to 128-1); Bus2IP_BE : out std_logic_vector(0 to 128/8-1); Bus2IP_Burst : out std_logic; Bus2IP_BurstLength : out std_logic_vector(0 to 8); -- 8=log2(16*(128/8)) Bus2IP_RdReq : out std_logic; Bus2IP_WrReq : out std_logic; IP2Bus_AddrAck : in std_logic; IP2Bus_Data : in std_logic_vector(0 to 128-1); IP2Bus_RdAck : in std_logic; IP2Bus_WrAck : in std_logic; IP2Bus_Error : in std_logic -- ADD USER PORTS ABOVE THIS LINE ------------------ ); end entity plbv46_slave_burst_wrapper; ------------------------------------------------------------------------------ -- Architecture section ------------------------------------------------------------------------------ architecture IMP of plbv46_slave_burst_wrapper is ------------------------------------------ -- Array of base/high address pairs for each address range ------------------------------------------ constant PADDING_ZEROS : std_logic_vector(0 to 127) := (others => '0'); constant IPIF_ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE := ( PADDING_ZEROS(0 to 31) & C_MEM_BASEADDR, -- user logic memory space 0 base address PADDING_ZEROS(0 to 31) & C_MEM_HIGHADDR -- user logic memory space 0 high address ); ------------------------------------------ -- Array of desired number of chip enables for each address range ------------------------------------------ constant IPIF_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE := ( 0 => 1 -- number of ce for user logic memory space 0 (always 1 chip enable) ); ------------------------------------------ -- Cache line addressing mode (for cacheline read operations) -- 0 = target word first on reads -- 1 = line word first on reads ------------------------------------------ constant IPIF_CACHLINE_ADDR_MODE : integer := 0; ------------------------------------------ -- Number of storage locations for the write buffer -- Valid depths are 0, 16, 32, or 64 -- 0 = no write buffer implemented ------------------------------------------ constant IPIF_WR_BUFFER_DEPTH : integer := 0; ------------------------------------------ -- The type out of the Bus2IP_BurstLength signal -- 0 = length is in actual byte number -- 1 = length is in data beats - 1 ------------------------------------------ constant IPIF_BURSTLENGTH_TYPE : integer := 0; ------------------------------------------ -- Index for CS/CE ------------------------------------------ constant USER_CS_INDEX : integer := 0; ------------------------------------------ -- IP Interconnect (IPIC) signal declarations ------------------------------------------ -- NOT USED: signal ipif_Bus2IP_Clk : std_logic; -- NOT USED: signal ipif_Bus2IP_Reset : std_logic; -- NOT USED: signal ipif_IP2Bus_WrAck : std_logic; -- NOT USED: signal ipif_IP2Bus_RdAck : std_logic; -- NOT USED: signal ipif_IP2Bus_AddrAck : std_logic; -- NOT USED: signal ipif_IP2Bus_Error : std_logic; -- NOT USED: signal ipif_Bus2IP_Addr : std_logic_vector(0 to C_SPLB_AWIDTH-1); -- NOT USED: signal ipif_Bus2IP_RNW : std_logic; -- NOT USED: signal ipif_Bus2IP_Burst : std_logic; -- NOT USED: signal ipif_Bus2IP_WrReq : std_logic; -- NOT USED: signal ipif_Bus2IP_RdReq : std_logic; -- -- BITWIDTH ADAPTION: -- -- Bitwidth of plbv46_slave_burst is variable depending on the C_SPLB_DWIDTH/C_SPLB_NATIVE_DWIDTH -- Bitwidth of plbv46_slave_burst_wrapper_128 is tuned for 128bit systemc modules -- -- The following signals may have different bitwidth between -- plbv46_slave_burst and plbv46_slave_burst_wrapper_128. And MSBs of them may not be connected -- signal ipif_IP2Bus_Data : std_logic_vector(0 to C_SPLB_NATIVE_DWIDTH-1); signal ipif_Bus2IP_Data : std_logic_vector(0 to C_SPLB_NATIVE_DWIDTH-1); signal ipif_Bus2IP_BE : std_logic_vector(0 to C_SPLB_NATIVE_DWIDTH/8-1); signal ipif_Bus2IP_BurstLength : std_logic_vector(0 to log2(16*(C_SPLB_DWIDTH/8))); signal ipif_Bus2IP_CS : std_logic_vector(0 to ((IPIF_ARD_ADDR_RANGE_ARRAY'length)/2)-1); begin ------------------------------------------ -- instantiate plbv46_slave_burst ------------------------------------------ PLBV46_SLAVE_BURST_I : entity plbv46_slave_burst_v1_01_a.plbv46_slave_burst generic map ( C_ARD_ADDR_RANGE_ARRAY => IPIF_ARD_ADDR_RANGE_ARRAY, C_ARD_NUM_CE_ARRAY => IPIF_ARD_NUM_CE_ARRAY, C_SPLB_P2P => C_SPLB_P2P, C_CACHLINE_ADDR_MODE => IPIF_CACHLINE_ADDR_MODE, C_WR_BUFFER_DEPTH => IPIF_WR_BUFFER_DEPTH, C_BURSTLENGTH_TYPE => IPIF_BURSTLENGTH_TYPE, C_SPLB_MID_WIDTH => C_SPLB_MID_WIDTH, C_SPLB_NUM_MASTERS => C_SPLB_NUM_MASTERS, C_SPLB_SMALLEST_MASTER => C_SPLB_SMALLEST_MASTER, C_SPLB_AWIDTH => C_SPLB_AWIDTH, C_SPLB_DWIDTH => C_SPLB_DWIDTH, C_SIPIF_DWIDTH => C_SPLB_NATIVE_DWIDTH, C_INCLUDE_DPHASE_TIMER => C_INCLUDE_DPHASE_TIMER, C_FAMILY => C_FAMILY ) port map ( SPLB_Clk => SPLB_Clk, SPLB_Rst => SPLB_Rst, PLB_ABus => PLB_ABus, PLB_UABus => PLB_UABus, PLB_PAValid => PLB_PAValid, PLB_SAValid => PLB_SAValid, PLB_rdPrim => PLB_rdPrim, PLB_wrPrim => PLB_wrPrim, PLB_masterID => PLB_masterID, PLB_abort => PLB_abort, PLB_busLock => PLB_busLock, PLB_RNW => PLB_RNW, PLB_BE => PLB_BE, PLB_MSize => PLB_MSize, PLB_size => PLB_size, PLB_type => PLB_type, PLB_lockErr => PLB_lockErr, PLB_wrDBus => PLB_wrDBus, PLB_wrBurst => PLB_wrBurst, PLB_rdBurst => PLB_rdBurst, PLB_wrPendReq => PLB_wrPendReq, PLB_rdPendReq => PLB_rdPendReq, PLB_wrPendPri => PLB_wrPendPri, PLB_rdPendPri => PLB_rdPendPri, PLB_reqPri => PLB_reqPri, PLB_TAttribute => PLB_TAttribute, Sl_addrAck => Sl_addrAck, Sl_SSize => Sl_SSize, Sl_wait => Sl_wait, Sl_rearbitrate => Sl_rearbitrate, Sl_wrDAck => Sl_wrDAck, Sl_wrComp => Sl_wrComp, Sl_wrBTerm => Sl_wrBTerm, Sl_rdDBus => Sl_rdDBus, Sl_rdWdAddr => Sl_rdWdAddr, Sl_rdDAck => Sl_rdDAck, Sl_rdComp => Sl_rdComp, Sl_rdBTerm => Sl_rdBTerm, Sl_MBusy => Sl_MBusy, Sl_MWrErr => Sl_MWrErr, Sl_MRdErr => Sl_MRdErr, Sl_MIRQ => Sl_MIRQ, Bus2IP_Clk => Bus2IP_Clk, Bus2IP_Reset => Bus2IP_Reset, IP2Bus_Data => ipif_IP2Bus_Data, ---- FOR BITWIDTH ADAPTION IP2Bus_WrAck => IP2Bus_WrAck, IP2Bus_RdAck => IP2Bus_RdAck, IP2Bus_AddrAck => IP2Bus_AddrAck, IP2Bus_Error => IP2Bus_Error, Bus2IP_Addr => Bus2IP_Addr, Bus2IP_Data => ipif_Bus2IP_Data, ---- FOR BITWIDTH ADAPTION Bus2IP_RNW => Bus2IP_RNW, Bus2IP_BE => ipif_Bus2IP_BE, ---- FOR BITWIDTH ADAPTION Bus2IP_Burst => Bus2IP_Burst, Bus2IP_BurstLength => ipif_Bus2IP_BurstLength, ---- FOR BITWIDTH ADAPTION Bus2IP_WrReq => Bus2IP_WrReq, Bus2IP_RdReq => Bus2IP_RdReq, Bus2IP_CS => ipif_Bus2IP_CS ---- FOR BITWIDTH ADAPTION ); ipif_IP2Bus_Data <= IP2Bus_Data(128-C_SPLB_NATIVE_DWIDTH to 128-1); Bus2IP_Data <= PADDING_ZEROS(C_SPLB_NATIVE_DWIDTH to 128-1) & ipif_Bus2IP_Data; Bus2IP_BE <= PADDING_ZEROS(C_SPLB_NATIVE_DWIDTH/8 to 16-1) & ipif_Bus2IP_BE; Bus2IP_BurstLength <= PADDING_ZEROS(log2(16*(C_SPLB_DWIDTH/8))+1 to 8) & ipif_Bus2IP_BurstLength; Bus2IP_CS <= ipif_Bus2IP_CS(USER_CS_INDEX); end IMP;
------------------------------------------------------------------------------ -- plbv46_slave_burst_wrapper.vhd - entity/architecture pair ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library proc_common_v3_00_a; use proc_common_v3_00_a.proc_common_pkg.all; use proc_common_v3_00_a.ipif_pkg.all; library plbv46_slave_burst_v1_01_a; use plbv46_slave_burst_v1_01_a.plbv46_slave_burst; ------------------------------------------------------------------------------ -- Entity section ------------------------------------------------------------------------------ -- Definition of Generics: -- C_SPLB_AWIDTH -- PLBv46 slave: address bus width -- C_SPLB_DWIDTH -- PLBv46 slave: data bus width -- C_SPLB_NUM_MASTERS -- PLBv46 slave: Number of masters -- C_SPLB_MID_WIDTH -- PLBv46 slave: master ID bus width -- C_SPLB_NATIVE_DWIDTH -- PLBv46 slave: internal native data bus width -- C_SPLB_P2P -- PLBv46 slave: point to point interconnect scheme -- C_SPLB_SUPPORT_BURSTS -- PLBv46 slave: support bursts -- C_SPLB_SMALLEST_MASTER -- PLBv46 slave: width of the smallest master -- C_SPLB_CLK_PERIOD_PS -- PLBv46 slave: bus clock in picoseconds -- C_INCLUDE_DPHASE_TIMER -- PLBv46 slave: Data Phase Timer configuration; 0 = exclude timer, 1 = include timer -- C_FAMILY -- Xilinx FPGA family -- C_MPLB_AWIDTH -- PLBv46 master: address bus width -- C_MPLB_DWIDTH -- PLBv46 master: data bus width -- C_MPLB_NATIVE_DWIDTH -- PLBv46 master: internal native data width -- C_MPLB_P2P -- PLBv46 master: point to point interconnect scheme -- C_MPLB_SMALLEST_SLAVE -- PLBv46 master: width of the smallest slave -- C_MPLB_CLK_PERIOD_PS -- PLBv46 master: bus clock in picoseconds -- -- Definition of Ports: -- SPLB_Clk -- PLB main bus clock -- SPLB_Rst -- PLB main bus reset -- PLB_ABus -- PLB address bus -- PLB_UABus -- PLB upper address bus -- PLB_PAValid -- PLB primary address valid indicator -- PLB_SAValid -- PLB secondary address valid indicator -- PLB_rdPrim -- PLB secondary to primary read request indicator -- PLB_wrPrim -- PLB secondary to primary write request indicator -- PLB_masterID -- PLB current master identifier -- PLB_abort -- PLB abort request indicator -- PLB_busLock -- PLB bus lock -- PLB_RNW -- PLB read/not write -- PLB_BE -- PLB byte enables -- PLB_MSize -- PLB master data bus size -- PLB_size -- PLB transfer size -- PLB_type -- PLB transfer type -- PLB_lockErr -- PLB lock error indicator -- PLB_wrDBus -- PLB write data bus -- PLB_wrBurst -- PLB burst write transfer indicator -- PLB_rdBurst -- PLB burst read transfer indicator -- PLB_wrPendReq -- PLB write pending bus request indicator -- PLB_rdPendReq -- PLB read pending bus request indicator -- PLB_wrPendPri -- PLB write pending request priority -- PLB_rdPendPri -- PLB read pending request priority -- PLB_reqPri -- PLB current request priority -- PLB_TAttribute -- PLB transfer attribute -- Sl_addrAck -- Slave address acknowledge -- Sl_SSize -- Slave data bus size -- Sl_wait -- Slave wait indicator -- Sl_rearbitrate -- Slave re-arbitrate bus indicator -- Sl_wrDAck -- Slave write data acknowledge -- Sl_wrComp -- Slave write transfer complete indicator -- Sl_wrBTerm -- Slave terminate write burst transfer -- Sl_rdDBus -- Slave read data bus -- Sl_rdWdAddr -- Slave read word address -- Sl_rdDAck -- Slave read data acknowledge -- Sl_rdComp -- Slave read transfer complete indicator -- Sl_rdBTerm -- Slave terminate read burst transfer -- Sl_MBusy -- Slave busy indicator -- Sl_MWrErr -- Slave write error indicator -- Sl_MRdErr -- Slave read error indicator -- Sl_MIRQ -- Slave interrupt indicator -- MPLB_Clk -- PLB main bus Clock -- MPLB_Rst -- PLB main bus Reset -- MD_error -- Master detected error status output -- M_request -- Master request -- M_priority -- Master request priority -- M_busLock -- Master buslock -- M_RNW -- Master read/nor write -- M_BE -- Master byte enables -- M_MSize -- Master data bus size -- M_size -- Master transfer size -- M_type -- Master transfer type -- M_TAttribute -- Master transfer attribute -- M_lockErr -- Master lock error indicator -- M_abort -- Master abort bus request indicator -- M_UABus -- Master upper address bus -- M_ABus -- Master address bus -- M_wrDBus -- Master write data bus -- M_wrBurst -- Master burst write transfer indicator -- M_rdBurst -- Master burst read transfer indicator -- PLB_MAddrAck -- PLB reply to master for address acknowledge -- PLB_MSSize -- PLB reply to master for slave data bus size -- PLB_MRearbitrate -- PLB reply to master for bus re-arbitrate indicator -- PLB_MTimeout -- PLB reply to master for bus time out indicator -- PLB_MBusy -- PLB reply to master for slave busy indicator -- PLB_MRdErr -- PLB reply to master for slave read error indicator -- PLB_MWrErr -- PLB reply to master for slave write error indicator -- PLB_MIRQ -- PLB reply to master for slave interrupt indicator -- PLB_MRdDBus -- PLB reply to master for read data bus -- PLB_MRdWdAddr -- PLB reply to master for read word address -- PLB_MRdDAck -- PLB reply to master for read data acknowledge -- PLB_MRdBTerm -- PLB reply to master for terminate read burst indicator -- PLB_MWrDAck -- PLB reply to master for write data acknowledge -- PLB_MWrBTerm -- PLB reply to master for terminate write burst indicator ------------------------------------------------------------------------------ entity plbv46_slave_burst_wrapper is generic ( -- DO NOT EDIT BELOW THIS LINE --------------------- C_SPLB_AWIDTH : integer := 32; C_SPLB_DWIDTH : integer := 128; C_SPLB_NUM_MASTERS : integer := 8; C_SPLB_MID_WIDTH : integer := 3; C_SPLB_NATIVE_DWIDTH : integer := 128; C_SPLB_P2P : integer := 0; C_SPLB_SUPPORT_BURSTS : integer := 1; C_SPLB_SMALLEST_MASTER : integer := 32; C_SPLB_CLK_PERIOD_PS : integer := 10000; C_INCLUDE_DPHASE_TIMER : integer := 1; C_FAMILY : string := "virtex5"; -- DO NOT EDIT ABOVE THIS LINE --------------------- -- ADD USER GENERICS BELOW THIS LINE --------------- C_MEM_BASEADDR : std_logic_vector := X"FFFFFFFF"; C_MEM_HIGHADDR : std_logic_vector := X"00000000" -- ADD USER GENERICS ABOVE THIS LINE --------------- ); port ( -- DO NOT EDIT BELOW THIS LINE --------------------- SPLB_Clk : in std_logic; SPLB_Rst : in std_logic; PLB_ABus : in std_logic_vector(0 to 31); PLB_UABus : in std_logic_vector(0 to 31); PLB_PAValid : in std_logic; PLB_SAValid : in std_logic; PLB_rdPrim : in std_logic; PLB_wrPrim : in std_logic; PLB_masterID : in std_logic_vector(0 to C_SPLB_MID_WIDTH-1); PLB_abort : in std_logic; PLB_busLock : in std_logic; PLB_RNW : in std_logic; PLB_BE : in std_logic_vector(0 to C_SPLB_DWIDTH/8-1); PLB_MSize : in std_logic_vector(0 to 1); PLB_size : in std_logic_vector(0 to 3); PLB_type : in std_logic_vector(0 to 2); PLB_lockErr : in std_logic; PLB_wrDBus : in std_logic_vector(0 to C_SPLB_DWIDTH-1); PLB_wrBurst : in std_logic; PLB_rdBurst : in std_logic; PLB_wrPendReq : in std_logic; PLB_rdPendReq : in std_logic; PLB_wrPendPri : in std_logic_vector(0 to 1); PLB_rdPendPri : in std_logic_vector(0 to 1); PLB_reqPri : in std_logic_vector(0 to 1); PLB_TAttribute : in std_logic_vector(0 to 15); Sl_addrAck : out std_logic; Sl_SSize : out std_logic_vector(0 to 1); Sl_wait : out std_logic; Sl_rearbitrate : out std_logic; Sl_wrDAck : out std_logic; Sl_wrComp : out std_logic; Sl_wrBTerm : out std_logic; Sl_rdDBus : out std_logic_vector(0 to C_SPLB_DWIDTH-1); Sl_rdWdAddr : out std_logic_vector(0 to 3); Sl_rdDAck : out std_logic; Sl_rdComp : out std_logic; Sl_rdBTerm : out std_logic; Sl_MBusy : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1); Sl_MWrErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1); Sl_MRdErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1); Sl_MIRQ : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1); -- DO NOT EDIT ABOVE THIS LINE --------------------- -- ADD USER PORTS BELOW THIS LINE ------------------ Bus2IP_Clk : out std_logic; Bus2IP_Reset : out std_logic; Bus2IP_Addr : out std_logic_vector(0 to 32-1); Bus2IP_CS : out std_logic; Bus2IP_RNW : out std_logic; Bus2IP_Data : out std_logic_vector(0 to 128-1); Bus2IP_BE : out std_logic_vector(0 to 128/8-1); Bus2IP_Burst : out std_logic; Bus2IP_BurstLength : out std_logic_vector(0 to 8); -- 8=log2(16*(128/8)) Bus2IP_RdReq : out std_logic; Bus2IP_WrReq : out std_logic; IP2Bus_AddrAck : in std_logic; IP2Bus_Data : in std_logic_vector(0 to 128-1); IP2Bus_RdAck : in std_logic; IP2Bus_WrAck : in std_logic; IP2Bus_Error : in std_logic -- ADD USER PORTS ABOVE THIS LINE ------------------ ); end entity plbv46_slave_burst_wrapper; ------------------------------------------------------------------------------ -- Architecture section ------------------------------------------------------------------------------ architecture IMP of plbv46_slave_burst_wrapper is ------------------------------------------ -- Array of base/high address pairs for each address range ------------------------------------------ constant PADDING_ZEROS : std_logic_vector(0 to 127) := (others => '0'); constant IPIF_ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE := ( PADDING_ZEROS(0 to 31) & C_MEM_BASEADDR, -- user logic memory space 0 base address PADDING_ZEROS(0 to 31) & C_MEM_HIGHADDR -- user logic memory space 0 high address ); ------------------------------------------ -- Array of desired number of chip enables for each address range ------------------------------------------ constant IPIF_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE := ( 0 => 1 -- number of ce for user logic memory space 0 (always 1 chip enable) ); ------------------------------------------ -- Cache line addressing mode (for cacheline read operations) -- 0 = target word first on reads -- 1 = line word first on reads ------------------------------------------ constant IPIF_CACHLINE_ADDR_MODE : integer := 0; ------------------------------------------ -- Number of storage locations for the write buffer -- Valid depths are 0, 16, 32, or 64 -- 0 = no write buffer implemented ------------------------------------------ constant IPIF_WR_BUFFER_DEPTH : integer := 0; ------------------------------------------ -- The type out of the Bus2IP_BurstLength signal -- 0 = length is in actual byte number -- 1 = length is in data beats - 1 ------------------------------------------ constant IPIF_BURSTLENGTH_TYPE : integer := 0; ------------------------------------------ -- Index for CS/CE ------------------------------------------ constant USER_CS_INDEX : integer := 0; ------------------------------------------ -- IP Interconnect (IPIC) signal declarations ------------------------------------------ -- NOT USED: signal ipif_Bus2IP_Clk : std_logic; -- NOT USED: signal ipif_Bus2IP_Reset : std_logic; -- NOT USED: signal ipif_IP2Bus_WrAck : std_logic; -- NOT USED: signal ipif_IP2Bus_RdAck : std_logic; -- NOT USED: signal ipif_IP2Bus_AddrAck : std_logic; -- NOT USED: signal ipif_IP2Bus_Error : std_logic; -- NOT USED: signal ipif_Bus2IP_Addr : std_logic_vector(0 to C_SPLB_AWIDTH-1); -- NOT USED: signal ipif_Bus2IP_RNW : std_logic; -- NOT USED: signal ipif_Bus2IP_Burst : std_logic; -- NOT USED: signal ipif_Bus2IP_WrReq : std_logic; -- NOT USED: signal ipif_Bus2IP_RdReq : std_logic; -- -- BITWIDTH ADAPTION: -- -- Bitwidth of plbv46_slave_burst is variable depending on the C_SPLB_DWIDTH/C_SPLB_NATIVE_DWIDTH -- Bitwidth of plbv46_slave_burst_wrapper_128 is tuned for 128bit systemc modules -- -- The following signals may have different bitwidth between -- plbv46_slave_burst and plbv46_slave_burst_wrapper_128. And MSBs of them may not be connected -- signal ipif_IP2Bus_Data : std_logic_vector(0 to C_SPLB_NATIVE_DWIDTH-1); signal ipif_Bus2IP_Data : std_logic_vector(0 to C_SPLB_NATIVE_DWIDTH-1); signal ipif_Bus2IP_BE : std_logic_vector(0 to C_SPLB_NATIVE_DWIDTH/8-1); signal ipif_Bus2IP_BurstLength : std_logic_vector(0 to log2(16*(C_SPLB_DWIDTH/8))); signal ipif_Bus2IP_CS : std_logic_vector(0 to ((IPIF_ARD_ADDR_RANGE_ARRAY'length)/2)-1); begin ------------------------------------------ -- instantiate plbv46_slave_burst ------------------------------------------ PLBV46_SLAVE_BURST_I : entity plbv46_slave_burst_v1_01_a.plbv46_slave_burst generic map ( C_ARD_ADDR_RANGE_ARRAY => IPIF_ARD_ADDR_RANGE_ARRAY, C_ARD_NUM_CE_ARRAY => IPIF_ARD_NUM_CE_ARRAY, C_SPLB_P2P => C_SPLB_P2P, C_CACHLINE_ADDR_MODE => IPIF_CACHLINE_ADDR_MODE, C_WR_BUFFER_DEPTH => IPIF_WR_BUFFER_DEPTH, C_BURSTLENGTH_TYPE => IPIF_BURSTLENGTH_TYPE, C_SPLB_MID_WIDTH => C_SPLB_MID_WIDTH, C_SPLB_NUM_MASTERS => C_SPLB_NUM_MASTERS, C_SPLB_SMALLEST_MASTER => C_SPLB_SMALLEST_MASTER, C_SPLB_AWIDTH => C_SPLB_AWIDTH, C_SPLB_DWIDTH => C_SPLB_DWIDTH, C_SIPIF_DWIDTH => C_SPLB_NATIVE_DWIDTH, C_INCLUDE_DPHASE_TIMER => C_INCLUDE_DPHASE_TIMER, C_FAMILY => C_FAMILY ) port map ( SPLB_Clk => SPLB_Clk, SPLB_Rst => SPLB_Rst, PLB_ABus => PLB_ABus, PLB_UABus => PLB_UABus, PLB_PAValid => PLB_PAValid, PLB_SAValid => PLB_SAValid, PLB_rdPrim => PLB_rdPrim, PLB_wrPrim => PLB_wrPrim, PLB_masterID => PLB_masterID, PLB_abort => PLB_abort, PLB_busLock => PLB_busLock, PLB_RNW => PLB_RNW, PLB_BE => PLB_BE, PLB_MSize => PLB_MSize, PLB_size => PLB_size, PLB_type => PLB_type, PLB_lockErr => PLB_lockErr, PLB_wrDBus => PLB_wrDBus, PLB_wrBurst => PLB_wrBurst, PLB_rdBurst => PLB_rdBurst, PLB_wrPendReq => PLB_wrPendReq, PLB_rdPendReq => PLB_rdPendReq, PLB_wrPendPri => PLB_wrPendPri, PLB_rdPendPri => PLB_rdPendPri, PLB_reqPri => PLB_reqPri, PLB_TAttribute => PLB_TAttribute, Sl_addrAck => Sl_addrAck, Sl_SSize => Sl_SSize, Sl_wait => Sl_wait, Sl_rearbitrate => Sl_rearbitrate, Sl_wrDAck => Sl_wrDAck, Sl_wrComp => Sl_wrComp, Sl_wrBTerm => Sl_wrBTerm, Sl_rdDBus => Sl_rdDBus, Sl_rdWdAddr => Sl_rdWdAddr, Sl_rdDAck => Sl_rdDAck, Sl_rdComp => Sl_rdComp, Sl_rdBTerm => Sl_rdBTerm, Sl_MBusy => Sl_MBusy, Sl_MWrErr => Sl_MWrErr, Sl_MRdErr => Sl_MRdErr, Sl_MIRQ => Sl_MIRQ, Bus2IP_Clk => Bus2IP_Clk, Bus2IP_Reset => Bus2IP_Reset, IP2Bus_Data => ipif_IP2Bus_Data, ---- FOR BITWIDTH ADAPTION IP2Bus_WrAck => IP2Bus_WrAck, IP2Bus_RdAck => IP2Bus_RdAck, IP2Bus_AddrAck => IP2Bus_AddrAck, IP2Bus_Error => IP2Bus_Error, Bus2IP_Addr => Bus2IP_Addr, Bus2IP_Data => ipif_Bus2IP_Data, ---- FOR BITWIDTH ADAPTION Bus2IP_RNW => Bus2IP_RNW, Bus2IP_BE => ipif_Bus2IP_BE, ---- FOR BITWIDTH ADAPTION Bus2IP_Burst => Bus2IP_Burst, Bus2IP_BurstLength => ipif_Bus2IP_BurstLength, ---- FOR BITWIDTH ADAPTION Bus2IP_WrReq => Bus2IP_WrReq, Bus2IP_RdReq => Bus2IP_RdReq, Bus2IP_CS => ipif_Bus2IP_CS ---- FOR BITWIDTH ADAPTION ); ipif_IP2Bus_Data <= IP2Bus_Data(128-C_SPLB_NATIVE_DWIDTH to 128-1); Bus2IP_Data <= PADDING_ZEROS(C_SPLB_NATIVE_DWIDTH to 128-1) & ipif_Bus2IP_Data; Bus2IP_BE <= PADDING_ZEROS(C_SPLB_NATIVE_DWIDTH/8 to 16-1) & ipif_Bus2IP_BE; Bus2IP_BurstLength <= PADDING_ZEROS(log2(16*(C_SPLB_DWIDTH/8))+1 to 8) & ipif_Bus2IP_BurstLength; Bus2IP_CS <= ipif_Bus2IP_CS(USER_CS_INDEX); end IMP;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block NQ8k3pQPdrL34yCx1pQFOj8MfHnlaLZ01eTNIBm4knSZLNRKO6sRoF+f2TlhM+NvOCohHZBnJjLK CoFYCPtE9g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Xzm/ozszinTnotbsHUn7Vb7Wa9/n0g82YawDx4YZ+ab7lvJ4fof1tyBLVKQZS+TC+7cOb8CaTtPS zcB2OcR6MwhPdrCCzwte9O5CyRw9WgKlmfwx2dEmpHspZLRH6vgi7pqhVYsBtOQIlCcgQyDff4kS szgyc9WXweb09dyyjY0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block P1Lxdh48bPQVYnNKmtDrK/XelBif43Xb8W9ZNrS6NzTVr53Y+tdPbJQIjizXz203eV7gcALvHmtv 1uaPHz/Xx+unQ0Vs3MgnGL7+IiCx2e+WJQaQdFuCNo39We2jL+9lZVRdmdYBpRU+MDBhsSUQDXIz 9oS6jyCh5t06UbdMVFvjfhG/z+JA/gmryanpIoJCF1eZ/9Cqv999b7uO/aXhKSL8ft8bzWB8hnkX ceI3izGs1hRGTJ6zMq7RULpucbhnwZ/gOv1WwAITSa6rcs9ii44jSFCN9ht8h1NFXQgAMf2UwGbV 1vNAg+zYUFi8D9y5F/jRfknAOubWOiep8v/3yw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block baCvQCNTfffzlhdM50YYn8mJWRlDGrJzpq8ZRXgXZI3fnadvcx+KwfmDs5jYl84kJrmfLpcfDzPO FnmswnuW6wtlMH7Gf09PmCH++If5tWgkroig3nGdkMDcp0hDu5iN3hm4E8nFt9i7cJAG9Txcb/a7 Qs0CJ5VkBBT16inI8xs= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block b4MQnQgj+osDHlLhBigVVe2mALAMZaRVoDR7C8OveRt7TOnsYtrZSMClaI4viwMSw44GqjsdMDCG THY9DxAIdwF+edUUo90W+t0m1qO8V5wDM8Mup/c8R/MePk2f7uiKDPODoc6zg8kEpLLtc/jyjOVY hNNpxtEPsBvWjpM+sA1lG/HGOamfolk7fJVGw/LWkpfDF79qlCIPEHAxk3wZwcJFtc0jfGTRfkux vd1qRpdKwiV0ESZdf3hCDVg0z5vKrjlhklqY5YUTcVST59Y9J5ZxasD1lnvoi3vd8D68J46rxPJb H6SJHWFT3xlp4lJjEpQNTiHiopJwrx1Wrz8x1A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 62704) `protect data_block WtvrV4o81HXVp5ucNaZ/RCkOIIUCMjqbHgM0fHXwSFhjmmFHbreWoRyO05FaXwkMqgm1IvR4y76O 1FkwaAHEpUhsOCUYeYxXOILA668VKRo9CepxVmgq8dCb6/dGk9lW2R8Q4u5xotWjSa904kaC6xSz K3g2M8+vm5+XgBt5Ei4yC2drdd1UCdUPey8gGwLGAxtw5Ae5jDHieXR3bEjCM8CmOg1I9Nn2euNM 08wclFDy0fZM6oiSV4R224bQtizyRR6J/gCW21flXBE/0/XUqkAGQnOSma3DJt5bymowZXuCqa21 2t1IGtfa0H2MAGYMO3y6qTiAj9h2ScrXPcC7wO6gawl+Y4icvmXXQmKvLo609qARP1aWnPAmqtHW s7TqTC1qZoCrmTl1gLcdIbBPVkMM6kzzKSS6cg2elzCmgIw6klru0zt1ghLy9XDorusRiXmHEVG7 wmzS1dAFCkAqIE6qzlLZQrAz5BQeirTNgUJ8Q9uBU8GjZt7146ALgeXDCY+I8ZrC/VQkLLQzKL70 hV4sCQHrJ7/8YFJNahxOhb1M5mIh2MPIOdanRYRcjLe+kj6f22cBn9425l1z3AmaOrb2BUaSZTYq vMfeiZ4ItrF/QloXAHLNVqSOM5rBmjsOvzx/xSBovhgEP7nx5uKP++Z1MCbKmbqs1/UpY/ANM8DX 7x6Gry2+GngOlCNBeId89HqIJSKTptDK3jILAbolp7B9fn01vqnWzBbawY+mitEbAFRtRNoCtXNO qxn6j8gRD3ESREPiBWGw4paT/vpiXVS+YVlXoTMesJOiSo5BDqot3Tj4sZDTHwIrjq3u+fPucMAt B9i1+GncHJDIvZe/trFZqMUZRVuGqyQyBDqc9HK3OqY2ft79A2FGiCZ+JsS5qr7QJOX9aQRgmQO7 cdD52hgFldYUwdjOIe4GtF3xRa4eHC/o2Epe6/6UtpTl/9at4wuf6R6Rt/4Wfk0xzGNFppDzPkKu rKhjFAWHA1wGjt+sqAqaeod9RP4z4OENUcSqLRA9BSWTjaGezL3hfzauHiBJIizIv7ajDYnzjlOl 0RWCcR/xmS+uNOPs5u2JZ2BDokT7izWat7CxOf9ZprxhnU12g2mnaICL/gHkYfvPN/WkVkJY3/dK Pe54LlIV/fkZ6ny4EkZWNfusOT+UM63Q0xW6VZ2/U7XZD46BfHpgCAC1hAeumbATqBeFHbJy1vIv R1+mmnAdiUDdxyqmcFl6yCsLRqj9z1AH/AohRhdyF3AtSAK47aCblP39wJWSTFH9DyCcIeLJrgGy xBhH0S8WNE3O61g1Ytpdn6EagvsUPivjoKZlrL9GUMfrH2h7AVyT/Q7EUBn/1oCUkuNpAjTtHYrX wy7UZ2yVrXUs3BVjXjAtSwLJEhfkW8IlJKHNNoxQm7FoS9DggmqBJV+cAz14L0JZqBoXvAavkZx0 IXdEpNz+NAsq6KNa11NSC1HllfqaSIYJLYZKTTxZVoNxoUjc8/vew2PBojQi4FJbXiJ4+867URdN po8vK/woyguv3bE4zVHM6lmT6q9CTYNATaWZFuePqsba/WS+vFR1xhaXqpJC9rE4xYr8tEtR9VyR /6iAHL6I80FfjnlTBUG4q+6kM7fTNoR6d4y9yf2Lbmd1quzX5Nx7vwcryBwVhI8VDkjufD72Ynpw lZtxuGTMTynfhjb1qXyvQJBp1o7jyLjRkhOv7URU7WCkLKY2gVx5r1EJC8qgCsDrMtlElUQ660BI 7GBV4mprnj+P3HvgAV0Y996dOF403ux+cPGrPv+hS4Jfi7vgZPx17HbLjoBiMr0zcOY9bxYr9YBb YIA7qjJmdQiuNcGVXnLIFVwpJ0DkQxZi4tJI5Q4ujSO4yv7SpkGO4cwCqvucieGCwNrFgztmUZcE Xz9itoyreD4QX2hU5sUEVu0G6NgIsXfhI12YG3LKMCeqhNCftFRnXA5lDdRN0kzF28pbOZR7OVIy 7cVsnml7uDbpGFkkmyLGipcvIUDPjL9a/5j63/CcjWiJNhUA+sQZe+BJIW2z0ocpfvtrg7dITjDs BkgbD+zFhEiArn4oiX60XRv1Kh16judaFP7k4pAmHSmmp4bvR/UmE5EuMMdeVgBQROXOSovglBuQ pWRYIuCILEy/d3foFT9an4B/iUl0oAP0Pw43Jky0LC2Rwe11p99fcEMXvoxwfo3kRrEQVsElqQbJ aKnSBGJ/BqilIbNaUv7Kq1r+lFNBryVCHNCXKvXWaTtYzWV5SVZCwlNx7cg6ZZ19xl1a9dYNtylb XcM3dDDUHXGyTYNs0+yqCGDteP4+6RFlZN6hW6nE4kgq3FiLXPLdp5AmTzeljJpVyLDCEUsBLUTf vO+Lj/RMK1YFZLNJVi5/znBOBDuhoFTnZn4QTARuOJOyPL8407qiO73L/f9AM7iXPej/8uTyXp70 BUk47u4nvzCnELKjwt9SWvbVJgr+gfRHNI8tjmwRpvGVXyT0+IXzHAT6IQjN0PaZ4RDpT1dz20vc 1DxpDP3XXdc81tkViyTyKjSmEY5sT2Yf0adk8XUAGRGykRSYKS9vAPSPWnyQ4SsMOIQ8JWSQXx5Q y64X2Xm69g63NMd91zSdaCfefBA6MHi7+/v99yNVNZZ3Xe8eMXKkpghD/uamxaU+IiaIx4WpgJrn SgIjt5HhUKMxypf1AIFMbcimtLntv1vtgyjxxDng9KPXaJMGts+B+hIh0j1u8XuTBMFc0f6J15Nc qVm5d6t9vOO6YTFTFufWQwU7SyOPp2ixuLrI4f/VpoVrD6DXtJsDcXGZusyrqhOZhVio5XsLqTeq ECr9V4ju2NvrGCsYcUwuJT6uO8jBHxde/O9vWcF4t3l6P2YG59LSM3NXOsf4gyxKEteisUBfWAG2 Cns4waLZsdi6a67jRoqQGSqlo4/tD5MqcebLyGEcs1J/wOgGFy+qp4cIPpBuCaoKhQkxDdh0X95d l2EjfiNfUMEIB2waig6EKsydgqTjzBVa9SNIPN7y8Rs1n2Y2ue0meNtMseSdzzp2StpT+R/I5g/L 5ejvKsczXWUIAe8oz/AMZNcU/nHvAbg4XzV9rXzQd6AfJwm17KBM0xQFqgK0YH0d3sq7Y7jPpvPR 7dSC30+4YGqlYs6PDarKGFI3R+Og8asWUiEI/6z8IEfVBxvPPi/ZSHWFZsFU4bVkM/ziahiQzMum 8Wtl5TzJxZ45XN786gvSf6yRIgvfQlsedzEICVc5Nv49Qnviy2SbAGg4MI9uuKMG7vN715dCh0CY Cg6Yh13J1WzHtlLB30nfJkstLXgnT4/snW8Y7zyd87N7RhAVq0FNJHz0bn4+8fpnVlwpgFAOxHdX xnHCzvN+Lvqzx5JuAZ8SijP/1epUrveT9KK4n64IkFgSlNCniE3wX8KDl+R7Q8ZFfpe8j9VbeRa6 nYCXbqnsHUZMP6Zohs09rBC5HFLm60J7EFWN3kOQIJk1p0V0K9TS3TJ0Glz0R3IKNnY29RZOJ+AN FGpw+ScKP6p+Zwg+PkOmh226lE1c00S2a5kvn8+kGIVtks34gQAJIjqt2HtwYvDSbw6CVPuS0Eum qtos2ad8WsyMa/ErN3nqeVi/gPKIbPghaJ94ZbKi0rwZIN05ShPlSBl+wq6bP6tLe7imAZ1843ep vPQ9TtD67MJ5gyqsZVIakPwmANE7luXGYPvIapxN0Hz5dEEjO8upya1BlDA7a7tOBMEbNm78bTul RhqsQt0faQoQrbw6bn/9/p9aqWTU2FzOViEpKFSARsVLnchG/+5QQe5ViBzLpDlN1gYr5zfdJOpE 8ougaCmBcqOSPaavYMh8TU+GVnCJhcrzzY0U+bT5f1Ic+mHONW73032ubDfUchkEGA49qzwKgDRq D26ZMJwdwUdAiII8Bfh5Bc91umAFPKp0mms6LfZhrwZTSKBbcHzDsv4UZNKDwtoCbJT+JFL9zLVg iQvn7k4TKKuFQIpENaUnnROp/3JnppzJrkfmE3Z+Zm2OmFm+vM4mgZjqZ8vhHcdfoF8B4aLLzTZB Izy8FSYRMS4Jq+HgMf0yOKGDkb+38kZgsn20qmn0Nf9BbgBS7zOeEbobMIehz/7lGzA1GzlEtXQo dOwRfy8krrr3PMp+vX59cnPMVfw9I+hydcdGCtGWPdZvfhzAAzYVCjzhvPp6NmM2n/5u2YTvrd8R RhGvMWPl6dEfN4yP8O+kL4m4XX/wtICFoqR8AbwxQyMvs5TQ3QYQKFQ2wPkFRxCwOC2XubNEmYQR lxhavT4fyj3BguIEw5zITkURcwJ/YOxIm4+jkBmi54bWn78WHRxYAsZ4BYM8zs5wZEnjRMB/Zxcu HRM5fxagWTUGIc7qMh1Xfo7Qu86H5Y4s2qNhVkBj8ySwEdqo4f5Lg7ms1YiVF2AAbUArgqPyIcHB xLKSeKnlcSXlDOf15NSrNBoqS8QiWEtZeq0sJs8qRH415Ah4xWWZNKtePBYSpOcr0TcWo5Edu959 0vZCeYa6WLFYk8CXj9uTDdRvv5nipizIGNobhR5aB34/hca310lpCj0+GrKiwTRF107x18P8gKDM fdOwgPXU8IoXcnQ3B5g3dH5aCkaZMtQhyFpp37InqwgW5O1kEq8YLo3VTDUCEzyziwBDh0CN7kI7 oSi/swl2JstwZZJ1G5rDPKMkexrCuJWhjf7ZNUb6ujcJngtIiqwuKHzjf+k+lzdsJDIWSwEsDmFz 3BCK0d0sUohXpVRtR00zcHyeOtE3XhUqRCUpZwOOoobVWt8vtvP4jd8edtUGELGk1fFXN3nLmP8z 4Ru/Fn60GLsxCcxhW5FjmR9pmvBKtwNpb0XCP9Co0WYY39bAxONfsMUMLWzGKLzHOs6y/O25Q0CY Nrxgkm4tKHAfSvtXLe+3+mKa5N4pIwi91fxDxzpBV2mPhuil1wNkme6JK2gO74RJUiBUSlurO4Fc ga3OmzLxyNdct2ztVvFbp22TbzTSOzwwKmCcVKT1i+UZ7QYqLjbkTDIPRwD21D0Wa57Opfv1hANI 6PaAt92iFZmDWGisp4vflzk8GrBKQM/H96uX8bx6jIAw2EL7uEszm6iqSsczJZhn6ggHF5n+TvJK Ckkm4AaBHV8H5w0KgCSGDKk9ihnf0KoBXRU04Ii4pHE4UATSIC2wS7oEHfyDwZHGhVufsa4SKfN5 dCUifuAilyA3ixCQBxEblZkbP0k/pvRW2Pymg5geHKtmV77bunnoxCpAfKFI8C+WBdKFSVmsiyJC maQkb+a34kiqmFeOKlAvGXZ619NMre+FhrFEhJynn9TmmfzhefpKv9gv5OB6rJhoWRM6XDVQCU9r NqMK1Cmz3LpG0rPraUqW/ydL2f7Mj4CxQ2GD5pzo6NJ/q176Pm+HA66PqzVo4uVF8JcH48+4ontj jM1Maq9PoaCRiDv5KJ+UneO2LiGeHUwd/W/K5GTQf+IdmtHDB6XWbr8NE+TaFwYvNNbi3I2/pvwZ hTfSteBaUoFLpHZuO+VKXC4jWtpA5UCTf39xx+lOArKcjldQIfOyqrlwFnsDajbIcpRmJ/M8BhK3 CZMiW2pDVfVBWb0U5Ob4GFR5rFYMYP892PgODseTprTPNL3VPaOiGCuuonJtavWfnsajSySOlf9+ iHpxjXzupu9RcNeW4dLf/j9Ve5QKLEUlMIo2PWZfNcBTDjzExkrIgItHKAgfK2GFtqN1dG7HQEg7 p2yB3/24Ld20Gs8tWp0ivbJScJpmXFrJbZd1EvV0aEd8ghIeV5KGO7rMAS0+MLktMSyngp6+6/MA bPj9uIx9t0oWaVz75aadVEQLP3YTZAxJZxR/dolEMF75iymHS7a4s5GUtvqwdxS4ovLbZdcQWDvr KYHTSCRVVqBeZNx1ZhOHSGov0Mn6lswjO3spQi6ocmsWKB7GHH2ZRR18ZTtdHny2QQ++dssagSIJ LIUGutyIzq/SHoooKDdiyKLaeSF3/Fmg/VM1AbRRgPIZ1jUws2qk9sxPedNld6/5nf1uyMY/DV8u SrDaouw8oxwoma09uIepU/6CjPJzx3Is5e5kZf/NhjP2Xw2p4X1Rl8v/tgiXz49Km/dz1czwBLG4 MD1CdOTV0U2OIPre7m2XxwspuH9FaXQY7GH2mDsJWzQY6BmKAqHr4YdsEFxsic0GC1RxInHCM7m9 E254ks/RGJfRCjo+PDqVTbtihTOgW8tnrkay+HTEUVmni6Fy2Ppvg3OnMN/EtAM5NxdKjf9ncrXO IEyaxDtF+CZNOeSdqbt06gzn/sKpMqRlL0Nnj2V41K/jqcMjEBq1juYmj9mt2TqgS5/zYoR+q3nn RxbpU4JYeR5CwvAAfrTR/x1MFXXMknFqy6lryHuzoY5ofPmKGEBNBnEUNSsN/1sTNnnPbOAxMcsE tHb48qhgVVWB6qWyMlaHJI3QDHpWAKkLFlnZXPUprzyc/sl0xeRKa/dVhrIVibUWjNMi9AOx1blx SytWRjjLGzLtkZeG0+xr+84vPI9uPr1N733uUVZwnMC+Yo9VZYURrtllwhVbeXPbIkfrCVyco1wP CwBPu9AEry1cMFcJtAT0ntGoAnP66hm4nuuVOT2/GbE6p3hwmhtra85yMkkLY8r7AhmjaJrdzYF7 jDVQDPAVF2vkb0Fh7F/WHEq6fhztzNmV7DDfF473y+1XDLp8lEgu6SAwa87c1QwaO1si3M4drDuv bAiG6HC1LeFOKuUWfhZaJKVE5TZeuKKHuA+tjJtJZ9Ma0kwMtSoK4WHrwJjmPSbC44rfIHnKS6HZ OopehaQIECVAMXEmHNcCr7WTXmyMUswCJSY8M7z0vsocdz8TlshoJN/vfUgNleRW3WS89+iMJBxE r79b1HKOMaZ70t/4aaG7Nb9mdC/7jfz58wDwIa1ZvBsXhaRYEOtR/wn6LZNJ4n8kJXx3qkHZaPF5 C3zKja2knwwptww1iVgddmpkpTU2NU+mMfXf0LATGo54Xnix2LQHr6RFh4Ulv+fe0z8SEJ0WHACi 8EYbgh2qaNfHj/+5MJh9ZV6HBjnanXgv1zMVxkKuuMewBpuq9m780m0C6Udvi8tRgU9nyG+sqvcX 8ZRUh+nwBdEG6WLgZ3Pdvg/NEAlOf2f0aAHgfVjifEYdxIny7oySUigM4hnywPLKhWHTD9UUwNhq XLr+rTEeYqL+shBU829AA8lgvjX4vXlFGLfmjuFUuG875uq+TBQ+FTpPrWvBCR1Fc70ykHefRJU+ 9Cu7Faf0jSqdH+gpdLO/TZsT8rhTGlklDfkmCtgM6P2oT2fDLHaujrHwoOHxbGt3FwozJoMFdNT0 M7vRcM4T/SLlmyJX/U584/QlNQAtfak7RMI9GbKRp9zjD/8E7be8cILd92VxGstYRPW63vnc8BJZ 7cUeKH9pFw8bVrw7P074PCpr4yhjQxYzzNKhn+6xqlUh7fGa4o9jz4Z0xr3Q78EXJzQKxi5OolwN Cuv2MEvbz/blC5UYDBo7/lavSUJM/YyOfoL42Nq8JNtcelMS33mURssF2lDAIwJRPz5RVf7pmfMk zaa6gvDPGs15LVma4BWqjYBehoDge4nd0WjXSulZzTPRgz5OZWdbdX6mCuIQ1F4LfpifVbOxTCTG lGOVme/AT0zZD4BiV5czxLNBMyT4q/Ik42RFo1B2RUxWQ15VplVe0eEh+PCDiXcsHcPQae1tEu9J AxJSzlqjtoVt7lW+PCDR/sIACtFfYyM30ck6n5V06dv30wan61pxbatmVlSlzoJXAbAdFLKCb2nD Yek0X/osOxRVouULiaCpQZY5yjjxBxTm+L5ArKkrYWgiT8qVuzVCDaSiNbt1SHKKBAYxUMMXpHtw pzsZCWsj/iQl011CeUcuTTyMboKYCpg7muo0SlkwlVDcRPrPUOniEboaZslkudgAs1e5mMdBy6zu XATjEDYO8rGQFJhXvBkT9XYlFS2BZswsG2b5qpTj42QSRkDejST+9VRTFa2uBomiBerLRg8II+ll dvX9ZmV2XTaaHx9blyg2m3c26SsIxkpsZ69vuhf0CZ4vGLp8S5ZxGblt66nFOY2W4Y/1FP7vMNI6 +CjOx1fOB/NmhNyl6Jl/XnoTJz2b9VGHoXwqXDKKA85dStPo4e/mNAySzc1/5qtz0dNB3SJ+aox4 YQSAM2YOu99EvFdYYupHk9WJXngYinzxrirtqeQ4Q0EOQemE9KK+XsSjHftLd6p2ob38nC7A9ZIV MoCml1Mf+gqzPxVdHzshc/2WBSkIQC0m/i3hhi5RKzlu3DryqgqPorqIDmxmgHmtNE8hL7mv8dAw TMB3V0nP2GibDcvdOHJE9J4EqnDzq1n2Nh7haoAKc88mrcOsgDTpRJICGsLPItiS28bBF40EODhM oDMZ+r490iMCXFRnHvu2fZyA3XnHdI5jathfLzYPnf+h1n2BbdNaDpYuZSeC4AGFurXzVaYOhFv6 Cmog3Zrq9FlpsRD1YEbDoSgjCrEOuYYqyOHjNwvBV3Ltn3MoYBEJ3qBAHa+h9RECHQp5o/WQ/T8/ 6iTxGeJgHdgStNMDGdN10jRtNNSdygq3g5yFPaxdrk2C86GyIgywHjY8RzMCn087rkok+cjiPViL qTeBWxE5WPctjaL1Bw9ZgCpJti094ysr1DNW411u1Bq1XZ3yaC51fWyK/B1qJZAuoiGYzRJkYQIS C25+3ZvGHgzncBDp7SUwJv+TTsCzSFvJEGY9yU2pSMJvhrUbgdJzt1MpUpj6nlcMIdDDFhwJyuK7 drEmz/NZZhWsAi090h07DOgi9M5XEotOPrZgcPW1GVGP4qRbunY8Y5PKi9L38gncaHK4VLIcJwOc KesdT3Ivu+pW+NaB1pCaeT/xdbBVu+SPowkkwStWZLTKC7Mb/WFeU/YEhowSMX9S7nJUhptXmRmZ d8E1c5AcePHCwPT9lMLnqCK08aUY7EqLv4J3FlWN4Yc+47A8EXo9CeB3ugNYa7MFc3eE7KYhpMxg mvB6a2JDgCPja+jHaa2qd3NWr+8VyAY2Biyi4D24sZGn2q/fGpGnXDISANwOa786ztM/zrXdOcAz 2ZCZiBCrF7+iUDFRG/aljC12wJNTu2wGDzbThlHWuSGIeK18v07kKEajfWOrWM/x0dbo+hrrLnv6 2MKljtWH7yu1sOHRQ0U+yr6jITzsT8A7g3MRYzTHY9nGIWtEUANDj4EHvwtgkpQv9ZYgTHrsuBQD jFV6KIYZ7V5MkKXYuE18dv8wNC6jxlMf35SL7V2WsEZbNChNFiwpu6Yi8YYUQoxm9S5xRzqUm9Za 02rPq5IuPMvCgHrGANtnq0ydC9fv9P6dNNQnUoWsmM1FEafhh9btIsW6qL4ogKALOzjbXzg4IdfS oh4juE+ExjvZfMFctEyyavAXnzohZ0hbll6UBttrzkFl4UBHwhdoZALQD1vA2hsfBO8BvqyknRCc i4WGBb9XOBraz2Xph0/JUBtHqIr5vdejcszRokpfAP/MXOcK3XxZ+yVbXIadTL936pmceZ5DClxo ly70lWBLuTBpnCwXCeCtQ2OYpVnM/JQ7vopFSRvyT/0V93nvrdk5BbMDESL8arMBXl/iRajuUfRM IhnGF5xxC4b50DNdiXTWATffRoRXKQxpff2mdgxLQ/Q6gGYRtm0yUZMQg+roXII4KbXJmZgwqybY 8+n23AdUGFFYqGSIfKKsQAqRd3Ce8rAD3ULLkonvg85mDHFC7oKyFIx8gHd/c9fBbH3kGMRj6y5b JpB7Wy4zLDPUfjO1vJtgbOSlIq/k1CymSQ53rn+CQSZM3OYzf0GKIndplgFGUdFUJYCQ/waay9hy 73VKU9n+e2McRDgyPOi/Tg+6fMX4Kwxea78AUZg84rmwait3xOZTeY/4g4b2wncVnhXTLmFJF5a8 RCWq4Eo+csiJOPvl8Vh8Wltf48BwxlReSwJ4Vk4cpKq9sqMCNAbmgtmHvyKWjLrVJarKRKDWl2zk okvY7/tpkQTnl+GV9xpJpAGtSz2Qln9ms1SZTiVgUG/0xkufUmVnhEyX9VXdlLk6kpT/UWqJeuCE JVjkZ3jZS7OVzfk2Xm1YiPfBoU+SqFxrwVxjGV0PJuPqJ8OdPCzwkurpViPZ9KeclLrAiOiyB552 UHtc3fXVKQ56KG8kxi5tbes8wOQ5AbldnXE9bsVqZvJ7QeEGQs0+x96nQkJRjv26WcMuJ0lBw4BL qGSAWzolaH8SEAN2DdQU/48xAHh9mZLQ5C+pMhz5+fghlOIOVY1PEYVotQtweUq9JNZfzNJHXK6w rCNRSz79+vLNztcs8QPcqeN1HQrWBJa/obztxxDn9MHeoaAFzcbo9bxZ3mzA6j7I11h3v8OMl5U4 07cWj+jDIsq7SO5afPCbpRZBeXz/EIbtOYWvuFo1R6XMbDvVbpArYhAO0zKs9mJSczcvPux82Xjl voHPjFQARbxzA8XFh9d/KuX5JJsIlPj0oJK/ix5WcaN8QAhZpJmDZeb/NXOPEZRYHK9LtYZpVGxH VXLjqqTpLJEuFUOMppo4V1I7w9ehVqGB5Il7nxBZ9Y9sMCwmFMeroQc5WmLfZsgTrCJxNXOffdJm H9KHOg3R9aUXDeevL4PH8TMY5rs7QRmJ/qmC438Ol9AITm8AxR6Ltndc7mmymEbJC7A50XVu7rvH SsTOteMRKXukJsbUVwCJkgzbayXqixfKbG5E2K1z3cRiCduj1g2T0mnc+VqURa04DNlEML1dngSO 6Zx3Y3sI31NzMgR78Fnxuo1IrMIA8IG387tML5yxplSt7RtIyjGlBY6b0WS8jl55SRpkcruklUM/ p3g8egNFOqHxb6IwtKvUYSnHdFLr5bKD8ViPVn2/BqoouCzNFdk8jfM4zi/XBEzah5jZC2SuVzVt bZrxzSmZViAMYLILDfuy/TAQwDOZ8Yn3GiV7s3kpjeuAW6tqOpCNkeOQhFHQA82dTTrwXFJvkHRX r1mmDKRrTmxxp4J0NJ2N0QghYEbOldvM6WD0BvA2S9BIS7zg1ZoOL27r7zGWiBmVAURTGLws1wVr LdLthWDcDQGSaO6dL9MqmJqm3hPBxqgY2amoBPIII5xUGzxAcbapWuD/MVE2owv4L7r8PK0FYt/H UqLGHKdrJa/M3f+F+HvcOXRqY8Rgfhi1EDR1zitxt8iw5xzFKWfNNuACb15NYLjfUADhWJNTuWeM K/auuLVXUvdx2+ui9EmvipQJgFZzgkv3r2wNObWpGL3WixpUKcEJRIWzOTMxjE+HUM0zR09+Yfuo AIXKgn8WUsncyHn07sotDjScvQj0CFZnwy3drXEPetz1is3Q5WiZJKn7SmLfr5MnG1GCunIvIt1w BpKCIzOpr/uhT8U+o8rR5QxyG4XsgCKy+zKHzm4GEEZ0cGl8ecoGsVCJMBI3G/taC7hXn2LD14lb wJPWMJcO7uWwATTMWAiUjb6yUd+cpLlPfxa9pvNGv6LpU8B1TISg4iqED61uOYp7Ey5q5hg0jrRG 6XlqMCODy1S3aUiA6Q10dgUvGv+CoPKCf0e1mIrmAWR36E7z8Z+R7dbBqjEFElHmcVOxRnWh9EJ7 psoBHKCMa9Al1edlt6W6B12zS5/u9YXMMLUHhioRXGQ1ayaRpf5ZkA1C6Dg/luHjZnY7uhAFbYdZ bgrb7/E/5LSz01X2zsW8h2QPdfuuHOtn/sGCx0+iZhUj7dZtL6z/NbF5njrUVqOG5YPLi+5E1mxv m5TPeexKRt+eq1IJkT7yn6GdOQi3vHCV74dALW/q57rBtmokU9iXK1HQ+7fLla9GMRYRgomYqUT/ 34klXVcRkbLE//2vUA6SxlPrFd+L941vT+TUTAtgrulEVkr55erD63P1XZVVUF5rnITi7M14NQfX LoX7k030Y0MR1lR03fHzdgvOtm9aPOCwgSBvYRVGqsDyAXrk7eN7OWZYEM28c+qNcRozmhgsPxz0 D0kdn2migrAFxlx+6y+j+7chJkNxAayOLzlDx/GWUtNnFxQBdR2nwr2eJ9wwT8nc519qmbNOpfGA WeFi1elzC+1Q0Da/TbJl94KL2dKtdKQ0eXdWEWNEA69+X10pr7/XOhHyQWHhRkJDmtOtsZF2/amv 3fgeYIrqbwSO6z0Kt8d/r/EHZfoKcnHE3wfqc/WUYwzS/236cv4pNAyYxqoDgXR0fC4PoUomnRMc wDsYx5dFaDtcNz5ZZBkoHO6X1yKm989F31SE4UVDTkS8AoWtykFs9uQzg4Vx/0uawwWS/NzQyRaW KmO6JtdgkImjWyi0IvdivLCM1cdT+vThQJnlV30OB+Epm41SQjoXl+r546j2W0ILT/M9ymCyS6YP 7XbYeIVEC803uJHI0dxcaWW8rIPzzY4ltEyjqd5h0Hr3cS79K70WLgU1DyGZJWh/uSrLIgcSiUFJ 8lzIp6Tab83jKSJJ7fPDWxqXu+lRLBOa0moii+B1eV5HoMaKLbKY/Yeny5urYKKxb76lT2fRNCa7 leTaB7OMNuw3eF5bvLx+VjE0fGFELY9KWJxoZlBzLccLZJckblPbYGWGaRgWpvYPDPBpvDhyUttk BXdWceDBXwpjVJhND3yLkQcCyHiDCHksDRh6Uch8H6iMSnd3uyDKnmBWYwKbp1x+Wmd2e+/rEicI Dm60ApbOir8qopXUNkB4+3koqsDKGtpKA0lZSNhqhCTSAY5Mu36TARlI9pJiPI9u78s/tRzPR7On 9CLbYpRWq0voRuoaVtUBHDRFLSECmrqcgyN5Ky+UzT5ykzyTPKiPlCw98m3TL2Hx/5UHt65wfEm1 KQ0zRzdNljLpBwt1Xen7b6Ii5HjOs0dOR/5XwpR/Kp+8/LkM+1XCU8uBu9SDTLtE+x0ysroF21g3 GEDasmnAyF/aJNwQfAC0jOomEz3YbLtVGFH78kAxgs4iJyf7sg6nH6bZvUwj6oYO/+wyHhANJmei ow59rvyXKGPsZveUQQezR/sPwonL3BjevJzoA8tHNVFS+UMDtL2mkgOw27cUK9rpitF4+/VMc/MV CvYeYtCDyV7Gj5sIdlVvMHQ4ZgukitcEx01bbCY0OgpaGgNPC1UDbDghiXOLA6OZPYCU+xYmC4g/ L8HTUKD10KtES+40CLof2jpuQrewhR54EKdBFYPg384h+qh+nuFcnpDfjyaSd35A4e5IpuGz73nx LoOrt4A0XdrlYT0e+uRnndlJ1iEQ27SXaCedlP6NFyXORGQvPNtaWhail6Lp/FSaf3Ym5wpWwoLv ccLxrP4WKsy5n7O07iOlQjqaiK8ZmCX3gDTXHjfBoyD/XwjFPLwff+kEWgfTHswG6jd7hg0cYNeH C4yyDux4U2RkmVZuWHSCCAIO9R4XLJ1Rc9+Za3SbhGlRoisW8HUixeOjAc3Y1W2x/VUnG0BEsGks DfXwuKPq+6q+yxhA3jth1vr2t2kfqVtZbNkCltHfINSk6C5npUXThrtPyjGX9y0ajg49Ix+bJW+R bIuuwdb22z69BuHpsryqePuvySfYOMdoplTgH2xxKOFXNxHrjY4s+k5ChBUSkOuFutPMK0TVpmhN hlQKygvAuVKR9RFws9pM7ROZ6KZ+1r0ri7p5xxytDqib60/pz2xOZYPYDGwIt56U+92MDdF952z+ jZdd+uCnnTfhlY0yzpdlS1c/edX4yU0mxlQAAkc3pgfkCccmT5HR//L+beTpdaLiN+6BAcJvLj41 eniTMwnAg6liL0lX+AifaWlos/nch0shl1XVPEiz+MJjCTcmB7IriyRH5HvJ2pPHHZhpZxQL0TJm bv83NC6L6bkDQ+zTJVsqPFXgFuIUzjlhU7Tlci8+qT1iCxgei5X5x86yWHACEwMzKa21xk83b9W/ z3ifSRwo4q8DmULIdk/qle7AM6mjNymIJTMXen0TUrQSvm8PyeZFJxspMU8XkBjpZoA7ZthJOdVn Y0yHI/3vXMFORuG95byZf7tW55+zCCcZzhYIYm9enmFMprUEm7hh99hTZHBFqtrYN+/q9Nof3uZ/ MPVrURJM0MWBN8OyMuD9TifDG2QJ14/VbG5It8B3sq8Xr0+xXiUcs648zPWmJ4BRAJbUg/6ZwpFP gIOgOn+EbqIsZKM4VH9OZWl24186v/HmA9ln3JRQxkmChQr1y1b/sgnQ3zY+ILudQORBnZr0FM6X a888rb81PmQtOcJ8lebkmmD49A/JPVpF0FspdscQpD2+0iSVZzKNU3J5lvfrQosAg24X7MIrb3CE 6lCKFoXtDXLU3MI2UvlwnYDynaNuoB0/Kjawem6lXfphKDJrW75yzeHbCy89UbFSR9zrXHimdGTM MZTsQLnnhA1FK1ec6howUs70PR0w0NMGmKRKKnQhzgdcua570q/nmcYjv8SlOPpRgn0HwpymWDaD xo6EsXOtZbSq/DpWuVLKnB64gWBwlKISmKxgyI4OxiiEacgimiAesPpocnvSNDKLgQIHfs/cHjFk +Vjk0sgj1ww07r+IU/Yd56Q5X0NCDZDh5zW9aX5I+wph1s/luCG6pO4R4gk1PK8onwPw8BFjedbP zhKIdauTqbxL9KrfliEPYPLzZQrZDQpYtUU9PxVOSh+eVkR6+mME62qNsta1JfanLD95qgm+TCqv bKwNFH7TJhRMuH35V4eJKe6e9ZN2A9+oVKRTVuzB/hFIs5g2jNgW6xD8+ntMlBlO1ad1AGPaLe6H 0q+u1GsbdNRpPI8GOjOx320EZv7lX7A/Az21fyBlhdB4D8/8DuCKCTDZ1ZrmwcJyLxLhXyD8qw6L ilIAcyyt/nrf5Q1YA/FhG6yLOWBnRDc2k6w2po0DzGKgEPdRNTN7DVEr6X8BpqGdggrqlNwC+ae3 gpLNtHDMikh1Z1OOKUNcJKhZH02PBnQAVvxN/goMrwkMpEbZU8X7P62COcuUke9aM8GsVsCNS6uW /OSkhuCGK8wUbf5cV/8uhpVsjE6KAykCtL028YnydJAJTPkZYrxKXLt4kpiX9qfRDWMhgo7X0q7R 4QAzk3Wx0q1ba5qbE0gwq01excdjhd9Eg64v4j70jF2PJCJybPHlV20GzEFsT+ZmamfTmatLQ8Q5 EDDLWjPU9Bh9OPJh5RVKLwJoO+dJS8hljkIBmz8xdZanxadZGXnXYbU06Rbe9wSNGK1p9IRuRfnm ReM1062YZcMl4CmAwT/r4cVoxpq/b7DGoN0q+/gzwH/prnbhbr5lhjFdGxLmiab1CocmrzBOBdDp /aiiu3d+R7Kghb6ryW18LRzOdPbn+WYn13CVbNl1wMjmNe8o5ucQzfHHjeJebogsH8XO6BxDT8G4 7pn1F1bL+wuf0yPyqwUEBKRlW8uP1RENjl7IKyW/AbjSlsYzmxE+VWvA8jL8g/IqScfwVNHzaS63 9T7k3B/gJXBfmGSXxsd2LtdK6XmSjxsTzFwfcaz5IrR7HXf8Nzox1m2PtxOj6pbW/HgfvXyIGuR1 t0gnf7+QvTQEtf6TDqZcj8VJd4ClEac3eulV/Nk80pHGbFfT2KpR0IRcztkhJemVKDJmMTeJruNl k70LHG0+vJQhbJC45P3SF05ydhEMVkDhDp2jZMZ3zp4RE31L2VAyNyyPvqdG9dXlAPh9Gnz+KGvC aATdxcv428UtKI67qXMpsurmpNtADWceO+zj4jRF40DlP8hykwXXFkpIPd7En60tFgeN+wAg+zDr 7fnWqwibwgxM6rDomWN06NB77jyR56ZtXFvDOPZgCu7rBj1lcOqLGjP1FZv6FTz+NkjmRN1nAbmU nHFWCsuqfRGCzNgvDQkeo4JKuqmI4F5K/1Mbiq04bs+yI5fGmF/8GxuHgMUzl0wKI7SLdgM1lnbk xEtoiY2BcB8tB1UbYRVXAKJXo8hSDk4tlJMRJWesBvtGfp0fImwJDlDSomUC0EvFS1vgLDWyH8Mj FVJPhfv8+aGgGq0uAH5rbDsjzAIPt6JhzW7Hwn/q6x2SK/mEXxW8E1fSGNLxdelUEyKHgIQy6wCV PP04lAskVDsAGeUS/TbmqIWV6NoQYF9H0Mdu4arFzp9n4HGBdDIrTk4D4gtNEhm9AA1+JzRRZ/xT Twnd9Ggk8t5/YehCrLjyPbBWlf80Lrl5yKrtrk9mqObP+JrslgRRCnMPtv7NLK0UiCDXoNYUlVV+ pG2ktqMjzRoRf4mg1FTLY27jaSptS8njhkxqfBMjPLAOgfT82iGXrcHZ1IWlZbyhFZFX2RsXTIg2 1FOpJGi76Zlm1mHJw6rKpRdLmwctdkKUddaQDr0SlhfN0pF8X9mFlPcYGTUH1ULcbAW/xMX34rrr LRcuBRNWIkPSUqkevDXSEm7EyzOrhrpqMcBhFAnUYLyzj2JMRFidfdVWkZ3j9aGnC3UWG1FNAMCQ K/pPQiSC3vqGNmI/OieJ2tsCfIc8p+zYnI8ts3vELGtp76idLZk/JjDp4YAawToqEaPIaDACRtfj rqrCQDf/sHEY6SULGEIN8bKfoOis34VJz3IH81cc3GjNYT0gyi2jUthOHcMIM0EMXGsUKrthHS7x +g2YQGPunaB6v3jMI880+8hNzF3SRKr+IGB+N0S49SrQhbrtkJ4sprCwvTGCbsl4wbOQuZQQEDe9 6ofB6K5MNQS91nksLwrqUXphm8MJsLGOUFENPdYNp8XFYSc4h4Ln78kiE5mpzvQfS7z3Q+Nco4tl C9Mwcpbm+zPiJMIjAzJYXqCeELRGOOr8zrsYopJlOkkDzxIO6XITNlvSqZIFjrGUD//K2cUv3SaH 7X9nnJSdDkweRVjc17OsW26+ftfY8VXLTTKHRxGQciFsHK55igv+z/U6+vNk0yTJzI3kQj5EnRSj JWlRb3oh/ukz0wmuXj86sFjBk06pg5eoNo+gcX1ZduQPX4Q4wb+V1+tHBsSuxGZZSh2sJ3QOp8px 9oKyNYPUgERCKCVymZU/zJ/dXdTGvKZx8EWxsMueth9IatZWEMbx6IJ1r2LdkrSuvtbQMpji1hRN txiYdFNW+eHJJzFlGFd4SldlrDx20x88VVEyZUK+3/RH/2thMRUs6vfSNX0TGwA8o/7cs32ABbas RLI2YdoLJBcFwNEUM23eKI1UOUu6Pw1K3f2MJonzOfuLGP7Ujxi3BwHklj8n2PFpfb2MTKfzBXas yP+oOhxkQI4bOjd3H+UAaRZNQeUb+6H91kKWHEjh+pG758xZRUXQyWf3MyK7pg5kTZTnGVSfunHf U/JxtSsGCjp34ms8eAk2Qs3oXMxZstgUfi9dgUcTlZLLniS5OLJwcBU9CFmxogIqECQ+xUmTCi4S J8ysb21nGwWJKdczsgPW+0ZksUCp5EJDMN6AAJRcqFb1Czh83P97TL3DGMy2LEqOfF1Yhv6g9QYl WHxKrCwZ0RLQLsoqXJlmqvFa0sI2htgrOAax3i/NUGckeBcFv2Nt08tXCrNM6wt0dSFMSRipZMqf JFUWJIDX7Di0LfU/kCtzNAKXyoyGB53lECQtZ7RH6+ltK1DIFiUlQ72MFGUi1/YGbfoYoO9cpp1d KmxGDLg+o3LhOQvASyX3xbSDSjTJk47Nimi3weg5za/nGgxDiqsSU/skVkZdpL1tNwQd6u2N5nkk HjKUEX8LklJqXUJ3OFQmE4g9dltK6tcuNRSQyzLAjmMWFz443NVWiPlOp65escnu8f5aPavZ46AC Ody8h9hLgtG7PQEP9BZBAGmajuCYkHiCq3TJw0E4b7iDP9bdk7OqGyv4HOAMEmSwhHQAqJS0IPPU jpoeBcrWu734hjFMYEAYTg9W/kCmvzzm5EIVomgqzdYxSQAPIVAlp3EW6u1PjQ6yg7Osowzal2W+ aBTTBxBOwYhB8qQCbcZzFVvu/TQnzdJHcLwMH1Ypanjj4815EWovukkO3cbgJ4AvELJNWzDrKu7l aMQww9HRTUfGN5o8IEYek6Ooij84SRIncMvXZkwKLPBQBHIvorIYdnVJnRCY4usZQ/KvCQkPaTYI Fmk4R2PtKuCZux4qCo6XEJQyJwFt9vA8yaiKZlrkT+Qx4pgmMdVRzllQMmqLQiBFZlRND/Q7VhTd PAywUkCamDEAy9Rw0fr60rkwXG5vlSW2pahPY3doBxajArG9C5V8ou6Fljh3pU6+RBNE/ihkNpve rWX4X/iRlfos8uFLZ44fIQoy9xtOVrhNEFcMRhzLjpEEvkOB3XMXDK86vlqA+le8A6uZMjCZ75j8 6UWPRrvrPINqa8T58auCqKDgnJW88P3dABc87eD0ncGeLoxJQN6Du2djnGeSPBA2fmmo0dj5BUXa kejT/nvKfmrqkOL07+aVJEdcO3lqntVbO4FPH/LkzxDyF390JGXVsc2+hEEg4vezMJ9OnqI1ba+d kj415UFoMCnh3wq1BGqvwHIBmum77remN3ap1xldyfZIfs93Xf3MszenP/CUg/rE4ny6QareklT4 pixEbPSoBySv2+HTRHQEyR8VHpU7xnAEz1TlEBQ3KvpO7AfkM9uydm/pNPXEUGJIZf2Y+N4w8it1 F6+3dtLhEI1Oe0OezMmK7zjID0qw08Cb7iLP7eoPKm/bLDUv93xFiDDgeNiVTh4XGZFMnEEGSCTM gYn8J0NESUlxpoj9di/mCw5eyHC7NZVr+m8ODEp+Zk/ubfeNLGL0jhZc2u4uy2HM1yyw+ntUOCMu aHtBuYmNF5SjjGeLzl74U5F9e/ivM585M6JhwIrqst1sJybZG1M6LI6pedn3GDwZyecASjPSOMjF u6ScDCrhIJ5cdHUC7PoSVV0ipjiLL+yWc6vsK1/K4tORHNmheDzGGnsIY02TLN3SfnzT6e1JMLeG P+0iRvg8NLKckoL4rNUA8RsKmidSYfsenev7iu+czHGfEzerwLhJtoaHG+b7+8n1vpjQ2kNMVOTM onr+92+uZrEaNttuSZDn23j9l7O4M7t7Q+ZKSJe31FwpVngD+dX/I35cApP4OXttafKHMEEq0zuJ dp0VUAYGhduOYp7p4Gj3NBB9LB5Eif5IVhCr/m4Q3AqHeuNa1awtdxV02OTtdSs1DBAyAe+vet4s bezXa8/kXNJffFDlEZ+FFWmNkH0TQrpVHWC3cfztQSDDf4ug0X9VDSKOJBE3EPJxJJtMUmkDnvdW C78OXDWnqIocvjtXpuwS6+qQ4MBTFnRX7Zjh6QV/Uf4E8pbkeSa1BWK3IPh+2iFO6OMnP9wQv7nV kOHQm1KtRht60gspvJ4n7MvZADy3EDTYaBB9BFkg+PmuyfVb4eoohGHUEyfT+cRqj27Hsc7iodIo oAI29vglF40ahOCEh/mCGL9Va4GDpyuVcFBVvKHaG5fCUnJscr2lg+paKCMa0lBip6NjmGeD7dGl 6bW1Q1f+u9o9u2LaWXSfLR8fl8dmhSMZR6k60RvGAM61flRQXsTtlI/iwmzMwVoV1wmCRT6vwSaf +lVgvydzw/TCe2OpvOFxs+Ty0vMKtg3a0ij3HWEasX+Ab+tYa8oKYlMsyOU0dzqL3aKHaDkkAUTQ UdkV7M3OvneOuaaqP7SC8hxiNaASJ2bWTABGzRYTOSVLVXH05N31H4StBrDfEyBlNsydBu1G56lG wysoicGeokDCedR3Zjbp6S7uloDPRvMEbzYsexE7wu7nTzX+ouS9UhINzryCy/t2jAqGPRyJRNuD R2gYGyERmqAVKY/22QquTB7GSdRaLRCPNPe8FhXMMcaXCFIDojiI6aUsk76dZvRYEmPVX9NvqXh0 5LjL2pKt7KRPB3sCeaFuwnt3dn3prQ6+TPilHOOJj8xsUn/06x6e8wuuNm5ZRvfkJp7PW7kx8OiQ krz9/jeSNjAvWOFr+7GjjwW56qR9ENT2IQRvcGRcxp4D3+hqu0w+qWGFbZQ2UIhHZJGm+8PoHT+R NYDjWsf7QcH3BsjatiuJnjeoGS4LYYDWrTtt965HBwThiwv3pcZkU+ACCH4z8UAjpmoLLiWQHLgk mUY1hk+FS/DUJQabc6LdwIRtxw03X6m8qAin0N8tTiODMUy6h5oKcRGRWFUtDltYiufkoJtebtr+ 9mciWbAl7QzEmuSIt8IK0hQvsEQXqJQqZbkvlEz55y3N+36rlz59biYSkS2Y9g7bIqCZ1tY6EU/T gWAdHr4Hr5N/3GIG8MSThkBZ0rXn7nAKMTWBi+r6TUpbn22+SoIFyiwLz7NgNElRVT87VrJhlW3f qLSnYnaU/1qjj3W2PgaVDX7bL33/IkRT3OA/wrO27o358jW33f2uzfA4vXM4o2F17OBq2V12btSO MdV1ix3CVG48se8AkI5hnuQS6lOwl8zRyaWz8naAUq13DPBRZpJfmFtcKynzgqQ72/ZdI1vCTvKJ D1HwbQ285kfvoQV9JJIlZUSn+PwvXp9RTEWhUTWs7eZ/HrQAbCm7D0esT0XetqE81oMbwqMRxEWa vG9Y2w/KE418Kef6fAnEqIStzbNfUsTghu1j/2w49n+MMW8HaPtQNqhrLKdMnweP7Ve+YwE90h8g hEJLDoXxrZ9viZt1zg5ZYCe4O+j/2Rznb+K/gfiLf9EIPgSYVchJSZ3Ne24peQqZcbLzR1e77YKR pWBdA7iXChQLvbdysSFYZodCfwHkxu7k//LA8aJGSYXrIXI86GgQUHD6gl5O9YCBd15jFHduz7k8 O9jBdyHY5mip9DcdQimLX0h3ETcaWQ3i+K56EWuK2DlA6b86kBTRY/MUU+q/Q1mJkC7+R16hGq5M +9UNcCRdWPNvKiUI2ql1WtBA4Z2DAWLcmnfKyiIHmmK+dEHFC8KfWaBr+tlmw0yAs8clPWQWwZCN nALo3GQolWijqIMMENPh0AqmtPyWcEN6ITQwgtgMLxMbgMrxcleaOx59kC2GnWB33Bt8zPoHGQTg N3lU33VBRXoMAalTnlew710cAZskZHb+3X1Ikl/5zKnf2lFn0Wk2RKoIqzACqAPP54LZ4OtVWwWN CREENsrF4kLUROIMoZt90CABK9hRwb5bJvgilwgbAD8JqbiAFamZXUAox+su2TQlMWG0tgq3WaDU MbF18uGeZ61eKDpEpKHBJazjQpfvwwPWIyJ3+n+sUJtLLYTI4yQwu5xJ4XXARsjq6UjRAineEwE8 eJX7xirUPS1lp0nf8EAl9E+DSO86H4xIVIOLnE17Xz/HxyTOJDxwdnFTlJm1NiDYrPLQtFRZhceu cCMZYMf9PBlR5gh/ALtdlI8d0f89O/6Ni/FWMWoI/Fx0rKQxqsUSU6tPkvx2PgEiZIV1IYulF2fE P43nV2tm+87oSfovbF6g5lyDmueellA3A5/KnXBnO9Go78dJgYeoe7xzV0I4oOWn5qeutPWTlh1v 9jrlSWRJkp3x1BZaNChbwHBEBEHIBjq5f/NhxqBmWLb4l77zXncSKmy1iVwPJHZ232O6GjVzIc+G TaSPfFBhDbPE7aG4REzl8FsuKWnAx6/jymQ2/Bzu0nOLTCujJrskulLWAk2C4LKrIovq9MyLwYfc pTq8XUBAJJpe5TLMBSBHuFq4oPHPJbokDsF7XeWXErF5mNA2QfSTEEV8NA3AB9jXB61hArGUP/k7 HsZS9K1HiECVP5LDBcaq71j7KUPlZ1EQSV6nApHTuQCBxzZd+lMS638VFfAtGL+dJ08EC2rHJbPl ZMipa48yyfH53QBK8qGC5vqcl0aC5SBpCJtruu8vogkeeVvuuK0sGPnBLciNhbQ3/muKiPRdy0a1 EiO1FuxRDQiedcCkrjS+IBYp746aPur352S0Z4LTEvJjq30k4G5GVoLdlCQgNAuBh+4peatKZXuz KpmQEd/6KYKu/ayH0OlMgqjt0Z2DqzDNmtFWblHuMMm05fy1oNAMJMMDk/um1atcWYvx6hYCJ2Or KqQkgMHdDp5pmHV5KVbE7Q0l9CkEuL4pbm+WPZCL/m4X4FwUa/0mJ9nGlgqhM8THKRnscJC5Z2Ig gax9aKCUt/EVqVyoPRWL4aJSDN1HzePx1zD7sv+jAYLb3dCyCNx5NKDRXgWx8U4KMY5dtMY/zxIr xPhPCDAFVXJXeTL6p30on0MSRwOMsKLNzZagwfzDPEXDCAfGC+5ivtD0AxHAbtUNzHWyzT3C9m33 +LH1+XdRytX/f6u2W4d2ZJc9+YZKcw841QUosg7SF6nAwvkffz91WMBMORuovD7fVlkXZoi3MAVl J2R592POddD7k3zl26AUCmneQD6SCMcvTvCjGwdJcqFNTudIZws49mEPGQM6AzkCxSLLi7ci2dhu iQIyRarOb35HuLMIHL7tEV15D1uW3FgG/XrpZJ486IFTFckdtffAnOsrfHNiB8WsrSCpYW5WScYZ tUpWHr806YmYG30DMRpab273nZ0NYsAyq0MOEFsZguECkO38/4akilr6lQDqhI3AvjVPhUT/6PxU +AWVZ6OaWBrbbiUOCEsIKCH1MBKhfrdjAo61oyiDHZ4LbKsBBBjKAudv6ueJXGE+ehBQLwXA0I0J p1tNSP3AP1f74dYiy+sv64TJVKxWkM3geAAyANghKq7I2zNxIGnnbny1oE1b7uOvQs+LEbT7TAKA NPGXJUAmmTKV5g9vjt0J+JG63sZIwpdUDxaKGwtJRjzJG0NVYwPzBzdIgccPvR7t9fOZRS7ryqYa OYsv5Er93vvaOzOziUfB50rRp2fEPOjlU59+FEfcA0+zE364EpXlWD8yElCCXT/LDnSKfriG2EGg Cccbh+EQck1Gqao5GVgIL5LL7y5dJij7T7mDZKW7k3unmesZgOCljSz9dU9WNdQIYpeBVVipOAmA plu1Jy0e89OXJPPBy608F3p2VUZtDMFPhOWEUfNyPJflaZXysk/Tef0SI+aUV8lhsbss1Sj1Zfir ldl0Kgm3vq7em4cXzXiZnxhjo8OfdzCo3NxDAMx+qknXwxNW+lDeHd5d4ZJBko4Bz7LLSELHiRqS w0R1jqTs/IB8QDpQdJNgZfKIeFlk4gxByPe7L97QQIImVza86OrH5YMHe//ZOl5iB/rrH225R9f5 LgY5NCzy5joiv1xO8GnKkeap3G0h+GLr2x9w9aMsujOVsD15c9B7pm6OKz6dqBqeDWKL5/lWMFqJ tzDelnRf8Az+Y2Mxh9Z3sdl/lJg/6kyY1Y869kcX2dJnLEtB3au/E0WciPWGFmg6BtH9mmwhKlpW crO7BqDjWRkc1OMb6FgO8Jo4BvS2+Y88cZjn840p6fvXXS8l+PSnguojbsUd783UkLSnbdQ39nyu bax0VKZCUhaOM98aFLeEIPguF/zSLe5FYjeewtov7mwU0qGcmpgM4q1bEy+8hrauBFbux1qKVnOA iUU/NqwxV73ljoCOoAYJ6a9YpdCt1r+hy3VXjyaU+kvBhBhX+mvkStDRMW3Uq3XGpwPlZq59svKM RGSmuj8+BFhy27YkAEEWmDSQw2v91ERA5BF5GtMwtt491MhEmb1dQT5S7ModvfywlL4PYTep5xPa GDielwAHFU3+ANT5nhJ1onhGi1QuA3MQjD2waiwU06c3mU5tagMVedkSj2dp20h4aVtPbp5xBnwp UlgSoQlr1gBy/qfHQ+S/p3MasJMzKF8Nd183V10tOG1qc0eEUXr32guZe5dS+tXLY0niCjZBxE3m Iz58xnEKQBnZC9aJv+WLy4iZRTpVm0SPcX6NSQ3xxNkepRakJjlIkfk4BbEXVCs19qd5Z/qJ7zg9 PJFVGVWVbtfADMZswTW7/o07QSzekdl67cQUTE8IfxTbc4DyUROlUEoLTSFhc8fkso3L2hMCBHnV yN6tThaHWpIdS0BCtwL7oJFP4SRobfs1B1VMc3xNMq6CBVU97UT839WYOrzSo60I6s1R8DGiApnw Ls04JI/Ff6K4AkdmbTEv5en3sPjAPgVgWrxsdGDsI/NF5WZ4d4bVFMxRwA1P4ycNSlGSxQg9ugdZ B4Bvz8WDHWn0TebdXyT7FLjHPW9vgFYWeadK1lyGzJj+p1v5V+xgocH4JAz/N8Ku0cVfE7HwNyx3 F1A40143H6G31+F2rfkfBpNyOxoBsio6IaiV7yJy4d3HKHfcdrfdqubp+98dxyWJ4zzBI98T0gpF HPahoxKCDb2lCArMrLHP01Vg3HDZjYgg4TRzpCus/87MfyZjs8kuwO3D47K7ueW0Au/sMZunN7Tz REG6yUl8tZibavzbWeoJTA1RGkS+euQfWxrYO+buxsrJVal1j1F+C/rEcIsg+2scJPz4cWnrS1lG Bg9PQXrrW5lNGyap+l3b3KzA5oySZQ+YHfr3MaGbFyhi0kkWp7YpL+oETtvhkcBPQZWZ/r94mJOW XHql/CDImwp9wOHU56oKLto7HYkeNYCpoRfAUAJFeH8mVQh8E9V+yzPwIxkq2VCAY6sGZHaUQoUn BvlZ3db/JsuPzWPA0LMxbNwKjMvD23SJrf+syEpRg19X8xjYp7cs1U4Md3URGBgbhhv8+U859T+s E1nQTnJB/CmuifRSGAML8J8GPGCYfO68yeZvUEFVsIc7YdAVPyUnuYlzq3Drow+HcvTMLV6b88JR vrA0SVQ+mFnW/O4gVjhJsRXcwjsdvkNLquWgiIB5urMAYX6a3KkX6zKYjEVme3oyjSXJu/3g2ZYi mg2pMeS+vJUgfiqywPG/eEQtPAv+g7bqURJs8Xci3pdDKej8O+9b40I/c0Pz8j9dPdIhzoGmTIpS YyQScjYfKmOdBBVXTLj0Zswxbr29b9xCA1/r/SwMF5fByfXw2Sv3g8NQylWiakinS7pkbEm5zQCb HplwzthKBWnq8/DW5fqMk5hpPFFBW4dq7IMJ01/5PMXScmBk0KgtBgT+4CkF9Kvxavf8eUAemcvI s4Y4GXAruXxh8B5TJNJBn6q4G+HgMKAWtNj50bgHf4B6wwY5g5pKeSB9IMVqC2uYmydcP9895AYh HVdjGCGLfQpef1ojpG7KTZRGbirgTfftxXpc7mEwQpGjnEPauZthSGhPoCl9T3EfaPWfAiioiLhR ujKR6JJ5I6otTK7AW0tMhFLGcI3Y9PSnftntS7cgMD2xtQG1Eutju+MdDRXXEoZ/PwWuHYjtIt1A 8NpUAWa06niS3kVNyjJFHHLHbCOXqGBsliEg17TufWkCVMn7DtnlJ6v5TQxn6BUaIYV1E95OlS/K dduDMIg6lhf4WOJxDWwluEjhdC9yE2rcc1up/7ual0m03dR3eSSjGPVFR5JwG8O6PgvJyF3vMKns xOPfAWKMZ+oZrxNnuI5H2Nyk9M4qzGvIwt3TXYqOix198fZ/0Ak5/dJ8mjmMmwy2p7T8qI4Mdlgx sEYI8w6FVFwpnYO8Nq7axbiI+CRlyOLyjhG52oikGoXY5u1Z2T7fe3fdz4dYEKxqiFqJ3YUrrUQD o2gYByuLtlmPv9K6ugCU/pC7QxqzyGunjoDnmd4EfzTxLWrBTHiA5oWoAa4aqfZRBwX5iq09uRUF btp4oF+2DiTWj7+7tIUMQ5xPgw7zdzrEJMED5Uc+uDhEce0JTidcPtUa+oEotN6OudTXADzRiJFf hPLSRndMZ6NBznmqU1bKOqrhIL3m2RYusjhLhOyCwqVrtw52r1UdCgBuvW8uMUb1oBWgGvCykJcB Z8fWHwSHoggg85yFlZXL/whCdhq7fibKC8roYyIbdQt2eEeuuHn4fOqyix8E6nRq7HU/htBfa9ET IHhwe9nSgFvDudoJuxNdAy61vI7a7ipzyzumcXTnwlOKr/1d/NiX0Kus/5AgSimTYLAnKEa1uoiz 8P3i5jIhBK0KlJJrSOEtprLkVKUjHG1whdXcTAXgFCdE96ga8gVy8X12ZTfpeGceLSs4wIUbpXuQ bS4c3N3RTKNiLJ1h8A0WgGvzJxYQotqVUwy1uH+gIYCK3eMqRiIs2D9rPPLRe3A6Hp1ZFnGHhiJ2 GdFMFooFMxYNZf7WF91qTfeewY8kpmTLJF9rRUWHuK/wyxlv34v5snbuAO3BFxr7AXj5PaGYwXo9 qZefmdRwdSNWWNX0XExlT/sOtN06467q5Bwezu2Uh7B2B7OyAa2H1281kLuOiOtbMveVh2Y5W3/b ZP6V0oeVk60nFlddIx0MeQgDR6NYzC2l7ZkqvkgeJxc1GMWY23Zcj2oDuKqvNZayLtv/TWlYDRS4 B1j+G7HtvEhv1+Ah9agfTPFZWS9h5YdVIaXNVG2Hub1ldj0NXKcSKZTNmLsr0fPftitVoMb195a3 ZA21Wlx+kpXbci/ecTX+3UceQlJSqFp867fOlgooKa3dShjBpaK2bonw6sbvXQ58alH8jieKKr/3 TwjDwGEQll08r8nSbLlDcYMTVtOpcxh6rmFlO/5RREfNbdNuHt58SVWFRUyV7vvLw98dhJprngHu Ww8Gy3imMvOVLEaPy+TtjUlSM0c4ktunGlM+ZXj0A3hkfLfNoF+cxfCSDrs3IYtiDJeBkVYdmJbv vR7eRYXNFJsFkXKiOxdPM7WUkp9vbIJt2fTIZYTfap6La8RBwncQk5MezE8dvaftusCDv/u3ujzc 42BpXqOKMOAhRF96J3PR9piUHOwP4eUA1IWronL/QWbOF/5ynsdZxLlfyT19vxAQ9EwutUg8VFso OFmvQtwJg4TjWD33XIwQVTlcqPd8ygoBPDGSDUEQPBU5G8FxrTuTOQCPLQ1kNPPrpfyFj5gXyZSu vFAi+9LNnSf7nXVk1Lv7Msy8n7kr1q7MuBxd1vVe1V3gPDf5d+ibGB9kkZSpyLQPnzpYUDi3boPr PKJrEYsMJIZ22ZvVSsdfcEPeolPLl9VygyAWAdasLvuChg42XN8ROa0S9vMt8oxHqkcxfR9/ZHcr I+4bg77c1lyyYvxWaRYNmt3q13A0ROV6+rmPX2z+V0KgL4VqbiwLGvpWcG3HPYjNNyRpjYQO7bSS M+lHUiTZpk1gPJye108cLBry3FIhbAFqRV7eGQ+NRnq+w339e8/NqRKmVHpkrcl43WodnfnUajGb MNRWtvqZQyQmlpEM1lPfzUcAl/UQfbINo0iy6qzBU/PdlYcM37wsQtt84Wu66eMW5pcMy2qedtUi /YiHBHopJ2UI5I+2TilWbroCOnhJThHFpdgqbyLJqzh8WkUOjJeJq7O756UPPApjRJR1Us5+7Ibq St3+TGOGUtO/h1OOv4nFYEhrD+cqFxhPapwM7AYkjIpZ62e8sIxzgTCVHsKfITlZQf3fvtiQh9QQ I0U7d88q3ROXMWQg0Ctmjl46KYq3r8D8rSwD1O794B2S9mxD+54t31cnewMn+iDpO8uNa885KKOW tw3l6ZZO3n3NnECpDC9lO9oo62mH0RLbS1jV2vSLml1A6KZX/BpzyKUMMfzMzyyXZpsRMBYEgKjy j2h/0TWsZd3viD/6WAHSSCw/H6BhY7HMn+pPHXwdBAH5Y/WIPgScnu+XJY2sC1JSeC6mycyuLRfp 9UmCRSfLIcgquc8tyPoMha3LJ6gVfHcBilkfOBQEriHOHYhL+eZfubxfnsbxn6X48y5LUqRV3xge CaiU6M8mV8SEKcFbxQuZaBLL+PygPoy+szr/2pgAHFqRZHZcskVId2v1Xjym4E5Ab1YwYIz39UpY bHgaeLdOid6nx5Mm1hzsQXingncN7pkoJL5+zgy40DV5RxyXW2Ab0IL6J8lTvuLfIPnzuLmqp6im HQHkeuie3kA1MNG9khZrweuIzM7KuvaBSEbWfy84RecuwoQmNf045ve1ZOoVn3PkqEy88vIoTAHm pARN9u+Q8AbQqgbBhRcfmjOeGNYwGjbMKKYslwL1BGiF2Y9HODcEYbwUUs/XAfto/jEhs6KMqVEV TJTdQoAKL9t8+Uc/zCdZua3eFY49UffQyUKAEvicqkj28ua2AXJfYLm2D+jIG4fIESSaQS7u+VhU qRcG52Jco7Nig1hhupzcUAoK5y3HhiL0e0XfGLd3IHPwlIxA1ucIA+AXGO7AIC9hLLXMf5n1P43s pijNFbvuBEysXz9nzn6GJ848GkXmfk9iCYQe+X3TI3UD+4a9MYzM0Kyg9o84/6rwzZwW5IeT9QPV oLIfmIhf0qkRO14VrVttQ5eNf/c/yiVlTkDaf4lKLG3yiBqElukKWWevTKujSIpGhLITOqRm8MsC mXQ1plG1jSaHi+WHfhZF06s+KACnlmV7qyp+dfTXzYqJKLQpzRQYiMYzNtbirtfAeOcbVAzpPHDx 6fbDyQSkagsa4/hk8eXCIC8sU7JQRJuNmTSGu/e05OI+05axo+h2IXIOqmosiZDvY3bSixdxLc/d JqS57Mf7833kDy4H2kCiqma9rFgDhkokVSrGhEWpxe5lkachE0UWoptyRlyLDa9NVUmM99XklFrB 4ruRJfI5KRALsaVbJGgZ7lKTSyrI7jsKtwAhAEuQ1quoNB/jcRd/WX2MJgTG4y8ERr4qmjj5m+B2 xktHHaXFSEZinwdunru9ilpvpwO2aCu0BE+YkqZeOP9XtNRM1xPP/aHU4eei0krm262uHZUZ6+aC tRF3OBpIjxcn4H9D3fXPph2NKEp5PIwhkcGksZCAQq42ClircN84+YgRuphD0xoYLIhqJUHR8UbO 1kQibTWepySlooTdJaGaFnhy4dRsV2p6YS5+Fglnphe57qc4MHFhV8Am0GELiZrvQJYdatdL4EYK wFmkp/OzEuZ1elQHEiyCCdPMkcPkNei/zTAWmVCpR7fULJ1KYRvn3m5FpjWnQEo1bYysYAmrEDhP D+V1BuGHe2JA2aznJX7pEU7SDg6w6CJtVVOpeY5LUc0fXErEYSqhmcFf2idGEZPtNhDLpHr4PRQQ gIuY3Ej8ukTfAHP8ZEQ3kY/w2lGX7sIfghUIxE+w7+PW6uaIIX4ZtwtVRp3vOnuB88/ncrCz0VXw pCRjlKfd3OMULZFAgxWkK0r4w8EY3hGaAiGE9EEEa3GRdaO0rDCtEmZDz+K1SyBMeUFfFB+E/3Sq dg5L6zyTkXDIg0kZZfD8K4yIifS5pS6CNDxQ/QjOWPN1ZyONhXYZmOri3Zt35vIAOyTWzIzjCMVn qiGUZhC6GTtefIaVMjgbzJicpx/J0MKMriLIWZu883vIzoJErpAMM08IkgBBZ9Nf0nwjZai6fNZ5 6/DUoUDIWJwXESXxXobUNEVP5ZhWQiGEWgYDRPz11W4U5JuSjgpPsAWgLxsG5JXi0qMWfSbcmwjd dThWdYNkBxZ4BxMPAYr9Bic69YRBcF6EACaDLBCcTsAh+gWy234x3nmR8Md9ultkaZjEDxNtbURb Wiz6CQQwkL2VkgDMLC5GY2h3EZh+8BOqXU6A78IsSEkgYXN3lz8SJaAlLhRUMUfssX0VdFWZWzzF HLkPpkPyBKT7/QXf1BMVzn1sooW4+vXAeZhVB/S7boD1LQc4yRL+SuFTfDQXFALmysQ5WFtOkB5s Cj0567NL2d8Lfr4hoeq6+wtk2u21LtnCrjlPCPRn1NEGlPavLUFLJp8j/odwjVsi9hYWTJS67Say DLhtq+MzeN/6Ap55ffzTU9KbKy87Eb2WbQyyAzMeolYO0cUi1OnJrjkIckT5jgauosPxSAf+3Znj YQ9uUDKZHE5q8jFx+uLMfnyo8Sm+ZyolNxIxRSZy/RLwpIYGQvzJXVMFNjG63kaa5xjH+apVihfS ejyTNQHyPooGX8wL9Az/3CF6erv+Z9eXnD2JdYAjarwWu6w7WmEVR3PU8Y3wGwQ7hu+K1udSJ9Wm v/Q9MtaNCicZ4AK5z3myPtiK5eFmHngIAWo0bc31QAVaZsNAWv4ZXcBdrqbQk7yPqDl/MG/U5D6e +NH5o6dr9ucRxU+LYuFRzOpkzAdtcywcWnxyVeI+qzNIpuSUKQrNCpzcc+Xhq0a13a1iPh9m3XQO XNZSsZ2JGP5fL9gkBB3ZB4LWwGst/8MNQ4SJfXXrMwP/JFDwaAvB1GiFeWMSlzjxA/mxTTUUy+sg NAKlM/DeXOi6g1y2xL1luJM5HCOi+hmG8iZl/ypt1uF669Mg+7cBfcYHt+45tSxKwv1a0JvsOhyG DBv0a8SW4oTfcfzCvuzJ9/HwtzvhOa+7MooQDpr8IuLam7E4G0PfobEDNPELKfRirFH+N+khpj1f o2kag53/RHjNXFizr4cdi9SENwFZ8H+gibFHWrEpgGQ81upnV7myarRWLpQmGOWE7MvOBdYuOQYH SO4Bgq0o3mMOm/9RCn2Oe9+23UVOpEOX3gR8ZVub/yuA5cpwt+NkJ6WY3NyIT9Bqo6Oqn6wMjqkA hjNzW/MfP/hnxuRKGT1CGvatAsSbAgKnZzbxLk8R/wWqVC3QSahQNpsk5NRKrFAISUSwQklSho0G Ov3IdVsjDH9I8inefqwOhmSWzK2L7pvrhcEV+cx6RkMlbAx3exmHSPuxFHd4kkgSa7S72lycPib3 z1O2JnvNIDcveiHd+gBBEykxPesAQIsJL7usekYbi5ZfdJ6N1o9sLBgxuU3UY3ohBWzTJqdyoWIN SBWeSCcuV43JCkfPfCs7MX1va7F2PSqZPgFtqHBQNxbaPjmn245iLCecE8amruokWZGJ46rDpGm+ t3xhy3uD7/ODwZ/yh2GOrnJRED1dGJnQx4MuRXBdI3JTFeUBEcrDdUcIZILhkPqfX6R0jP8EVDuM SsnYGgJmzHJFHMC+A9uMXAE4UC23FonIKg56tpqqGWdWSbUqp4LcnHhWELwmAEZIObmTy9Mq8LzG P+Aq9TXrl3XysZdTRmEJo7xgmvrP8mEMSBQZw5Q+g1lPfzjPvCZKgd+9WVKdOwohYIueJTMGonSI YUg8vMLoOhR0HfICGnoSZzKjCwwJ+TXMT/X1E0qn4EY9Ub1nqLIb3RzBgktog1Qw7+qR7L4Gz4r6 6OyadGlXGaBw3PztKr4MGZmHAGDdS0StMcTV5tOKBx4j3U3ckshZiemYNea2/oSXvw1iasatwZL4 f9/A6DjhfxUliBxSKxyry6schMiMUGIq+/1ahjsm3UYaGU+8XwHCZTq08c2OBBc5/0IUyK5BC7uN tKSNTCZnBPi1wJG9BrUIJferNzDcEewBjPdEpUP3Had0b9dA7bKUxLOH228EZWsnt3LODlC9c4uV YGNChPc/CWp6gmhGXDWTnwDnMzc13jF64EHBtdy48YIJ1ooFoUJU/FxXQ/J14eflv4Bgs/4w47LO rgSw2OjwdI9HtBBCGnFhHDxVt/BtbSdQOKmUKdk+bmkdf8iea2FwSt/ZbEEBAHyPFOsQpAy5K7YQ D3gDSvcjt2IQUssVmfEqM0/i89NhmjqVQ/PCe3JXTlxpzUr88gxXCuu2ZgZHDNJPHrG4htLRmYir dNT2CPSg+CetzybCM1R4wgITrWa9nfD/uAc1HY41GU8yrZvl5TC28wLbCEF4DrMyDzZGkH7LFnK8 RM7awFFYUbWHecU4M2Hqe9vKRYYEkWgm+AuFtgNAsWhjIF7eIRou4Z1AYDpZMZ0BKvYCM4ghhDqm h0g6dpOkJ3trj7BAsgdems5UYeijha0gsD0Bvh5/+DGieh7oTQHIyijenrKGwf07ZEacNDDT51Ao zY8wWid0jHbr+MgcyxbEuNHwCOoTfAhGdnitP0jdDoAh6V0TFvD7rQ/zsHJbnx5dVb9Dx98HYkEK FYdsN34gAlTca/QWTl9ZzuF7KLSGyFO2Vvg4KCxwC67+g5u8Gz8WvwA4Z1PCyb8t/VHKD7RyCn6n v8rBezftpbf5aCfGfsSjCaMSKgSXzuhSefTx6R2v0bZjQPvRwnga6FI+qrhky0Jaj67F/pKCDUVw Bvb07z6FG4unenkcZhb2yDb/8FnB6grtRQHtpYS68ctM0hJ+m/Y77qsKKtkpK+ELv2C8dodsyfZ+ hOyXUq9K3U16rDdohCDMZg+uZSRmQlEXtsuNHgxn8SaJTRWbhKrxe84dHsMJHnQ5u1QVP+2kKNhw gZLnXHRXC8tiL+urtWX96DMdjXwzQuJhOINRVqjo7VO5f+L7l+MFTG4XT7OfwrzPMu3pbIL24QKq W0or5RFHdjMwxsgeQ0ywEYXb6O5h16IsZchZ+OhBWnWdLbArQnGkAFZ2OzdRFGo1MmJ+YufwdteV Nlhy+avethkEulh6kbXLzFVy+SDUs5gbulatLbPdK9Z7SM/wCNuljLPI4sT10/e715wvo9wNuybb AfhyVuvZpn5Tf0go+PWGOVaDIiHbWk3u63PD3ZnNvhm7oRC6oqI/zRTk6Gs5gCoApCEsbYlfK/ts XaHJGnz7ZvO1qimsmI34zFrWh0vZpKsEVE1BsclMvi/dW795KdnwlkjjhtGznHQ9jr/oy/IeIkOG Sl2m/1xvgwalzesKBiXsQPsnlvy+RqsFH/sukvPyXKW6qptvTdc6FzrCh+g858zxKJCEhQEEF1Z6 qVYWN0QKwxopD3coved9AJ7Q+ZQWKAXch3R/nNiCuHjYBI1GBfKYEiiyVCaNbG5tXzwjEqr5Kpq5 xuOG9x0gjuIRVuKfuAWW/51fS7J6+0kI6anAQG6uhJ7iET8jDD7etHZRqgZSr0DHg5gHv1YtWUyD 5eS7BM4EWCGcBMjP/tVTReD09CbK7eHcwSllALRxxpKDiy5rKMPD/RGhFjneHqpEr+866I+KjJKX p+obGPYN/zLKhFqyoYsObmJ2CKw3ckDNWFV6JrolKo1FS2AvnoVSn8toTKYqtmRCazBrhK7IxeGd 1abo1x+RJLGfDnnVW60j1M4pLXbsMTXVPe0jCVdns2EZGW7VLOHCKsxYi1a1LehoNAVz31Mchqhw ytyUi9Fw4RljBH+GCIsjLqgdTHMChk/yAiXXK6LmJaFipOR+9a7vJHnM08Z+Q9566Vdjv9axASkp 7p2C0OoUYM+6MGZZNMEknmbNmbdYhi/x4WpB/siOhfmkVgRA7idgtZyQmQJSz6QjVO7rVFtoF7tg JsHbpY5Pd18icQQ109PABBmxFdF5eAFDqCvczZf8FaL2GBzR8s6Q+81N+UiUtJgsTmuCKgVi7gFX QerMDh9cn3nGQre7ik8FsdRhmooPflGEB3jEvoxmttwTHA6a7diz5Be57be6XDYPnfo/ee9Oesxn haXeRxR3+AeBNYtTQUUfgHGM7wrhtJlE5D1h2ScP9ByEqtcAxGWRpDLKIsw1EpZDjxKTQLGB6JMP Nv/y55Wxjxht7oJEPa9cPPYYb3ekhmCXfY9l6HqFoyJN4HBH9NdwWAuqxmzFaUs9iIwj1UF0M619 wNCpthZk5uE7dMuT2zRFRq/6RhkanLURZammdkYvaeueVASRnpOL/xFbxNrxyPp3Bkp/qDCjGXyj /tQqltiIn/iPd8oO7gs+BfChbysmGlPPOffl/GMxkW4Mlze+aqBLGWmgfGlFpvnMQQTTPlJ1wlZh KJuOOFRW/MljN7zzmGTLUXV5sIqisdZmxIKFSa3sewnkVmG30deII673J6sU7S513hZ0AiUN/lNB ltBSwuMAgRR8Aqf+4ptamAfAEUjfbCnSuzZXEwbnqNGzELonGcCPdiruJlGhUh6q76bMUtNMCi8D 7uuFtu5nYxoR0Fb8nNxKMYNCRa9Dh6nznMCE2lDGar8gMzkhblY1sWX34+ZrRxYsinkK0Kf1AKG/ 1bDfaOE/1STZRQnoFtTiNsufiZjV928k+YbXkWcTxIkuxyx+bCA3BMqzbGY3PbmMw+wJIszDH/6U 5RHoHhgPT4WXa34n6iIDZwEI6NGsoctVFgr8wg4ZKbVbWpKkVgiBqJDxwZnFqE3eEV8oqs+QkfvK RedfU8rk6N353Tb49ycuPYDX6FPgZeThktG3FyKvcRNJkypDO6NCGRw+DE9eBK17Q+GQJDbNwDTh 0fPJ8AX6nCm8Mk1AbJpuNqa/0Hvs+J7MRh7+FILzmubgvMa/WI8mG5jiJxGjSMpcFwr7zf1NVacQ P7IPwo/ABnk/mPkqgag71bMv49B1a15N9zAZqZZXmJ7wVgKY6SZVAu+3++wAo8vSug7aXCfq/PYk ElucK7u/vX4RsEVc6cZ6nTwfNiVVyjqvpVRclx6m97TIZJuwo69AkpEzN/LjD8WrOWQC8isYx91/ 5LO6zhebYE+LXU86UYE5AvCQqi0amu5eV6tW6otQ1YEKWfhOCItBzcliA4GgYBuHFjYW7JgHvStv eB5QPjqqkjEal7ycZvxA9fj5pgODzXS3M4sVzC3wZkMs5UnJDArreXRKJBftAPDDSgXkdYxfLB4j LcnRBfkNVjRcZPCwQ793ciAY1Pco7MjzOEhhOnET3b4bJbRlfA0ixeHw8MUZ6X4ijAAkiAkKP5Kf 7Jh+wvEOA//7SS/iNn2aTpafRUzz1M5Xmchusl/RdJc99FpTRaUnaUJkS6tGwcYxK/9ARRNSDo1P Cfh01wHq0KI+2VIkLA12KPAIQH2Y21oulwz+ZtbbxbdqBvmzMysC51F6arLDOobPoJZuWAlHganl pZUNrzYT5EJnfa6zzQNigsWA5VMpgdS0Q7suXm+q9s+JlF3M0QQ1eq3sg9Wuy7NalvlzPhbzE7US L1A9VxZRvP/iE8SiPpza6+nSsMPTjMRMm++QRl7AE9z+MRPA6GJDW4HQFeCIQAIcFVS/4Gzg1wru wk/uIOQNaA+XFxDMjJBu4nHqd6PBezbnRocF/lohRumZk8WZ5LVZLBDU7iS61ks/+YptKMYwbvIt X4WQJ0ZY2v6StWfTeGw4LVl3IGCLzBa8vuhurlfyTxj5MV6E7YJsbdUNXSqIAMH4czXesov++cli mrdc/qcXaOcn1L5Ce1OY2gepPCICiX7E1F4TzO+DUo5lotDpbdzm67/EkTbpzI3keUxL6fIE01V6 yo5kryeifaKn0SnEizong0NTRQ1E1dEWnHYOUV+Xyw0lmYecwDKrIvkTel1rh+hxaeKX1qBTo09N oUpTcynUC11671t7SSSVuZlYo0OInRH+aNSRcExM59yOU8g2KBHN960eRQyAVd1uZx1Dpf4OBxRX nahzC+LB7zJ7Rp/FiUWSJaYrq0+AlRFjjtiEI2ejEUftP2QIzthPgsSkfg32+SgdjeK5Y9vKu3Ze nG2jyWFr4pBNPDqituAzg+HQhPZ57fvbc4kASCOavLdFTai2v4hMFPOd9zPm8JiI0rL1olHY2N+l myWqt8DdHNvcCB8y/C/6ycAA+EDKOruFG7Yg7KVb9TqJJZGHGuzCtk8HlXk/OzBG7rVGoi+7hSSc 9W5tUVoAOkWPWYZhMcbChbqYXVPpFq3k6ScgcHm3RUp28V6t3JCXRylKtJ9EwQvHbCgG+0/iFLu9 d9CGvrWUicaRGUyHDYRENnVI7ln2eRtnynTErT8v5lofoIc7pdu11DklOut+4bsAiloj9f+9rUiS Cm6vQD3VxTikUEbKAUVKebWOuUBK9sqKVtmWbGwBiSX9ARAy+x+pO18kJ/YTa3dfejuXrLTglrI/ ON71kzT4NKXxoQVf+tYodqcEqQ52J0ZvyFHV6D2jxRnXj1jq+V9rreA3l1qhSH9XVfWI9ojjYD+N fcVB1YbIvn+K19qI36MPbuR46BemBS7YWSCL4LSAuxoFjjAiye4ax3V6Q1pXgfkwugzfM1o3KH/z y9A5SzEZgOWLGp8SQOh/pDE6QJ4tGdsZmGSRot1Kvigskx1YWWtp9jsTMIVGAzi+zoDBLwiVX1Fv gr1+pV7VfnHLqSYj7DBPybccmb23lr3QarpdjBsUFFJ0JHum2oqpi0FISAx+8i8nzMtlE4NSvazT nQUQvnYV2OymrYnY/ttyIFHpKjS6qvAd0FqpSwWnz9RlhQ2zmjJhl74u3NDnGvQktenzAUSzaemR RI0Cv1vZ4/wfOSFYmUOcc6MQk7Bh7VGqhwvyY5e0xV7l5RzPS9uTuYtpJyArvVmBjEaHSR0h0Dq/ ClrO6ya5XnSanjvsDs6dBDLOAHtY52xMzYH5Rrv58JhzxwmqXXNhjEgt7w6R3fXoD8ucIT9M+vf3 i12ZlSV+q+I6fSZvrA3ORxxIZz6VyYL/zmFmQUdSevoI2dSFZEIgO0n5Vly1cRVPHgwxGXr/qnb4 1W9ArlVNRWditYETRjIEE9H+dMLwO2K7W0bkByjKG8KKUD+Jbx+FiCu5zAwOA0jhc49BNLNHN9di wgrZvwUDXpSEfrFuTahR0YiWGZc+rK8dEGgk/dz+v/FTTVryqfJtu/Jh08VZQBW4ant67bq0RE/A SkpF6XNNN6ISQ6rVBdfH1/s8WbiSbcvjH8HYSkd/qBmBNgpjo3b5KpOlphaVNa74+uUQbYBx9Cnt bw7GMqhSnzjlMEN1PLq3HmtqKmYDrh7TOGmldFATT5qQ3jfAfi6CjSk59xYvYPBBFudzWzbDHOEt wNtv+C4+Uu4weOX4tvS00kmzxaYgs0aIoVV8YDhwTUlfAQhyBwRB8OXnQ9xekrKGwMepef7aR/Us g84TrAc+y8Jf4VGgnKw8SMFL6YdblefVh2kYKDS/luVmyoNJwIJt6J3SIjWTEoAjecegi7qMlfO8 +zG8Cd9hVyHKuD7noj4/rDG7iBPW9EeF7Ol17WfH0pKUBMFNxfwv5XoimJf1F16t0C7A9kRu7TtJ Ceils/rHcMYmxb9ffSvCHNp4KkC0ZuFl9Y9QJhgEeHt3kzJ/pcsjz9kvEFwusKXNp5KRKKBd62R4 o5TMBFdLKnGh5sxW+b+S2JHtYegCN0/LxfCVJAR2hJBhe4T+J2wYU31/CTih9SlIPJmG8F9da9ZK 96/l8LRq70V93/P52n99ZV5ap3EidIwt1sHcz4aCD0JJ8jUUDcuqq6ip8Fqvjl8SB+6sv3JB1l+K fyS0rqyUOFcqUf0ihp3k3YccI2670KT5NU5o1UsUHlupo0rdWAjdUae1i+r/0cQA8uhxR0Sj9wzP LW9//hAeJgpRavshD2p6+kWkNaor6zPiVzFTIy57cLM9YCsNy7kTzqzOQWrDelwebOqvhSYf1MwQ FJcVf7A8vzt0rFzoXLo0E7frXfBtAR4JxbQU76FOF7JckM4WsIM9YdiVqsI2rW+7Vo5KLYxu2C4j H2kFHQF2Bjfxyla5SDIHW7ikz92LsCTDEfe+qdg+6iV6GedDiv3UvXFZEBb608hFoBXgwOl3biws V5EtPyZEyf0ta/j/J6w76LE+em7Z3GvQJNuVm1ae22AqgHWTY8wmjvpZz+T3hBA0H17670citkM6 UwwkXNkmCrHT/OHDColRa74EDrIRMbs5GsDsXo3Ns7TvlQN0faTUDECjro2wRCsXq5eOVGgdug8r 8+DYZG8xgJjWOJhk6HV+yI0Yc69p5A4/CXjA3OQpccR2h+Dt7ml9Oo8K/DFZkWv5VeHPAmhgTCkC YGar4l45NMjmZT77V85gyUqAPguT65yEHH4eVed4sxDjdZF39hIdCcDJ6d0cwaQTKj9aHtnZmTNR NzIjJJoRu1SOvGOUfQ4K5sXsZG0jqJbdErNolvpo2EiM4h3POEMD8Ms9A6YHUFTTuaBKdpU/QzFn iQ87sIE7Z0+UJozXzA6PT4tZPGEr/mVLlBdXzard0fFy5K/8t2X0RkPzN2iHGyBIkFNx0XPYH4cP OaF0C1HAEjtz4+e+7WAFlK/TKvr6sB9CTrssYfLVyNIuZ0IYpH/RQ/4y6QVgI74VgA6z5w+quO0X 7aviQkiNwU1lnc2la5vwyhuKrBIAqTnDdGzCXr++DW0tHfdWMWuElrKVDFbnKvoOJsRj3YF4QbOn TrbWthipIUTNEC9e1eUUkDZRiPiSArFZBJzY/7CIpoLuwLPTiN+5T2FKpOIPIQ+b6iRZ/3ZRh4dE 2w0lNMsOH/udGXflJBVz+4VDDwwjNOTB/vX8lV9Qr4SWJFu6so1bMZqKbD3zHuNSXyotNbRjyFn+ q46Q8B10WDYkdsLXZJkSplf3Y2sg9uoCCKws/DFptYRBR5FDVchCQ8ZBkkrIJqaY/3ya22q2DTag aoCPbe07FP9i5lY3E00erbGP68EuDazJ7zvxHd7mpmaiCuhRtzj/IZLyQFC6J8BoBVLLoG4Dp21e sRDnzXljGgKX736kvs85U1cuPsVwCnGZOcy/Jn7PvEWmeJEHirNmGkKJX/v3kRvqcTKIvVPVDYBU RGj1WfpnrpysxtZTbr2q1hcPW9LUZ6TGD/zHIfN83tZ+wSfaVNvuRXEEYilhm4VOTOVShql8NspH 1MIH7bhrscy0y5O9ZdqK+T2cxe0xAbv+P1b/oVVC27+DgW4qMIXlrrI6vhicrXeGnO7Q7mM2HmzF U7Kh6CwMRk8GzQVYjtKIomuZ8WIGkTYpdqtXfZauHps/B7xG9AufUI0fg0pohrhsmUa9rkgk3wyc nhYkFRU7xFz8w5xHiYBdWRicMs+S9XmOxZBQ7L+p/bbeAvfKDEY3OX07znspDwr9LFCd3/2KnXDD XHaBh19qEmViSbJjB0uQQ0QRi5crHSk0cnJOGcZSlIkkNfbO512BKE2dUjHVoJxGMHoo8zBDuoJA bmp2m/WVtfyTu5Njgy+8EXtkiscb/xUFjdlNDsECfoVFZTgU7K6ecCgr2eAcA3paBiEU4hKR03TZ ZEUWSNSXWfYT0k6P6AUzrzw5ZYNCEEjE+uah5eIfvPzP8vpzXYrCuRosYCeSjfevRxBniGYxn5TA bltRFLhlAK7UsiGUKvKFP5MFG6cFOXRebnTZxY0RWlKcyxHtN2ewlrWpqt2gFFrfuHZ23PW5xWzB GHd2CGck50T8/5IyxfRBitgduc46Pj03BzNu/ps27gS89F6AY/62Zt2PnhvHdIlC8fe5QESdRh4F 4lvYE0XwXTi14OfuIkctELC8qxPoM+s1O5YMx32eucAMJvLaJT7sukFJ8ZO/RYbD++M/cVwHSeLE Uwmks5IGdwCKPAS0fquC5v5Hu+eYqbChixTK23gLwIAQ42YTq4eT0tKBz/VOd+10lW4fQbZOL9DU T2SG2xbpc2IeYLkgnWrxwVeUp5Y3xd0TUUE2AxGyFDDQFTt8WUQEjaLOXgmPPAK7wg1PfF7/d4lS 0gmpXv950f8JNeN8g5py+AG6wJ6lhCz0rVz1XR9zX7mpgrQyJmq1mX8Gwf5onl5ch1nQixRRLK6c VOuQK09bBzXgOxSxd550e4HiwwAWUmScr4UdZN3al+Vvf1/sHFJjFiOphT/937Nj8jNfDgth2F/+ fvNKQR2vVjgTnDCqEflcyOluLyfE1yzfvQ+6p4utNsKCbXgktv1LOzdUnBvlCFoBE3yQCm3ABpKW Ohx/9uZ4kBLPJ7q9HMQknullw5i4mzJHy+JunqhNOHUFNt0ZP4nr9chlqXyODw6L4OtRpMHHeSKc rEmCT0ZS7yZ2kFJDjzlIo6NzAJA87g9rurEqPJ1b0Vm5sXffqNL4F7nXUxAQ9nSPMzQo0r8kSL9D P7+Q554p5czh8hBbRm4mdbE/gQCQbhqAdqz5kU3A/dmJarcjWWEbNP91Ja95nrJhZNl5iwH8ncoq IasKWXZMDqakhCTyAU1qvx3OgRJ9CEF5Qk99CYlXuSX6yRFm1GZpDNqfq/73NLp0duxpLG75tZiL I8l4KWVUX9cVp57U2WC9fyo2Io0BTaoverlhpqsmsXiWOY5Q9jF8KjxVY5AeAqM8q6/ByfLyvBsZ 4xcG+f5HEtvDbJMwuN1lS16yICtEpRwztJqPI2RAXLaG6E4xwy6S0w9jIBdTAaucMCUJwKvPTB1f +7N2g7ne3wnLrvjbnbKFDdnHS3FKlUTmiOjJVwbSQDq5+OyvB2jdRNaFiOQs7/o0zDDRKF4vyMsG qMOj+F57nFEuRWUzE+cRtLtDku8ZiBmKeoY/w9fP6ys/h4yDtIJvfxHVwm7otiZNbAZGSmdOX92A RFtaNnEW4IRiJzgJC6ynDUSoyy3xLw4zI5yvJRRBgF09kg1luqDiMfXCEeRDU80CAhgOpNde/WEY 0MLavaeW5omxhFIz+bpuwzQNfW75ttCj3R3dz3XHqQAWvfGJP0qvz0llQEtfR099JdLNbdDKEptc NhAw0h/yroFhwQgNI3Bm+bsUR9E1AQsShWwt8N3Z94aubUImUlkYyamIot/2d+MMGhcUiuufXFjH pEFoV8+B0KFlOCVYUXT3EcKydl1Le5jm90bFI61a13NmPnU+BhcUrEGEAzHP3mqcD6LvAdNCQ/QC oUFPnZ8Qs8uWWf/1SylHpiD7WxJ6gtRSCXW1SkkrfXpHVguJV+/acFV2HC8BfQZg3jNZsNjC7Tc1 uf0nZBqnciRjbSLMkZCemx0p2th2nzeUUGBsv00+2sujwb9HhYkWQaxVYcNjjPH7O4zrgu+Uf/7g ZjEqoLbxR7QY1svXU5m5GczqC3wewN9bfU17Z0nUPYShBovGlGEXGpuppIxEYs3mocwVmcjyv0xC RUzwzKWjJvgHwwAzs5ZMyMlajk0ltdm5UTjqPNchuuJ7bR0F8hQpDDsRZGzmEFEV8DqE3jS4tfuq Agv6rYByiBZjVJYTPabi7ipkb43aVfQRQPDCXzaIpHqXcp20Lxb5F4wlgGHVyOWV+bkt7J4Wau+q NFbZnypMzslWZP6ENiuavO0CrnbrDKHuoU7mRI8yum2fuwdr7wvEeyTnJNo0SCio22+TYCC/+5qW kXKaehSXecEHGya0titdeCfp9OcAh9Yw7KnkLge5SQ/yOuaJPxqqieUWfrwy2gIfio87qJLZpM8m gSDte4tEcAy+KtHA3xmgp++kaxyTE4QW5yjz5om8KAtT0EYG4CGY79tPXomYbepOx7t6XJ/vSr1H aOEHXMw44ZWxlhdfo7T/YtCD8N3bcZhcxdP/dV6Ft8F/KQUbmIqkZUYH2129c4ETSwhe8fKm9uqH L66Hj8RdlDnT4sLFo6ICXjXd8YNH7lvTw/IPoKGBa/Aq1F4QXhxc7D3zqOBh3oQULX/M0qHtpPSa AYp3EZx7BZC3srVYvv5Yvm5USi0pcs+J8BUPl88DoJKotkqurtP2UUUFCd+Z9fKoVImUgPneMS8T OcNnaINhz0BpKXw5/FwC/6m1hNR2NsCZya39IYTDFO7Tgy3pB8QaH2ofnPQRfVGAnEJBTT3plONe zH49o/s1Sa48Ulwb/mx1VTc11Nii1KlE/Jk3QIt74+S2S/Wr+6TX8DmjGikq9bnLdCtv9LK7BH0B DlRPpcR63MiUFUhKxOgEC8mluO65qpJlj4IfUFFg00+djaQKWQuk3FEniUtm46E7+fZpMjekW1hC at6cM0+wYbJJ8Bb2eAqlw/pLlD9xd9yDAJ3rD95B43Bpka1Iu1IW4zVMqzo2EXQFyZlxfDIuHjRV ibJntepGEVf1FQN4x3UL96AtLb7tkbuXU8KG+zCf9CxCIYDn6ASgaA108nbLlkma6mTjSgZZFAQs hKA3qV6UllcvlHPoUXWy+Xe1KpgqA4hiL1fGdr7dMAVX6/faZJ6bbTcsJBdvEyd8apvl6SVrS5Vc H/xkrJQOQBxovhT0wR+f4kYNh0bsENpxC90ya11n6/KVCot0wp8aqZorHOEq4NW7bmuxw2Z5SlRU gEVexZdmqLrfFpxe41bDbetF2azW9qZaiCjz0xLsk5mJGdkzli0tEihEbvwzy2hQxeS/ONXModA7 P8VwlZvPuEDg9xINmK1M08+qN11bHzIce/fbGslK5KPk8fKixBCCuY7So1aqPerVzKr4r9wCCzzG BjJAc7Lhn2IUCUkOsUPSuYpdyBNo/aEj5qeo0H9jCDiWVl/SDrbJCpt1VO5GME9pjHRvO6VbQkmR 52bdO6SY3xyTiHR3b0+uoB5kRmaFdMyXA5wFNKwnbPcHyMYgTMvrfjOD6SxbYXbcSgawj24+bBs4 i0E2oRKrc1JHJxbp2F6fK6Vi3KJwMcdC1326OHcGIxY1fCC2z2HufyoDMe0K4KCmBkPjI+4NJUhe HHGx/hYy4UaT8DSXHiy9VO+pdypO7tzC3Xj/R5IjwPUhH4b4l6P/IaXZu8ajGLwBbo7q2ydFe/EL /kiPsGG8JJTAFJcIRCjonJUkCdeACtP8jAcGG3NIgUZhqfhU7SPMPCuawoWCZR3rZ35IXGF5F8Cy dPWuoYta96BVQnrQxyGF2uzH+JK5mtI1vKn//C3wR8fkt8A/WQYZo2e0DOVsFecs+TZZ1WdlDdKq JCgnA2oRMTlfcR5eJ/uwpj758w2TbEVZ1Ql75d41oNREcKqZoc3iV60Q/JFw54Tg5be1OCXXd8ok m+J5db+pzXnewcMsBt91m2qrm70R0jRgMB4PwHj3Fpq0ZPLoYmsTd9HwsN3w+AJ9wJbmHKLzJ6Ys Ib7J0b06l5T10fMta5mSh2ik4KgfpmwW5QcVUjaqlmDAtcUmHRDTbmI7fXGklKLEGyfOpZmP7sGz Q+pQT08fevKM7DJXxpsIMOTic1v6ODEb3xalkoINvGeGYafjGN3Xz38bpZy5mC2t68htGVlT0yk/ Ja2xrbYI6o3vaw+jrbyMsUe1L0vYJ2qDhOCzxkI3BPP/jkRQLtBXe+Vx7Yid2l69XW12WVpbFtbC jk4X0NbXuagaYA+taBHq5jgvYqd0/gYY9yC8jmm4lZWd2zVGsWccqJcDEdc5MV9MXRGtggjhj9gC iMk1aGjz3BOubhUcbL7SxEyuSOXPBBxH/FV+Kb9qK8qprBJVDI4cNqcDfjNEOHPA93Df8BvrXDBc vb/K9gkFqbsHj68ErFd/28yckmDwd/Gj5ngm6Y0F8k1G9Gu33DKIlYuWdOHjA4NCPP8KLAlyllh9 EfHjE6sNAPinJcP9vMbK5HsFe8kikEPhJFk46GLCaYQanVPv16K0zFq5I2fPkoYK9WGuQnCD5bSn beG9qBzWxHE6x1Fn6C+kWfqcuQDFO0f1zrKclQ+0E+ZUyDs4TiIxORmU2f50XCM3wXrl2NgWqxCF V3k5mvhRp0PhLS3pMh7LoWvhB2vqrrL+73QPAfnEVzoevGmRLYvBZ/QLzUOAAYbDXis7iV70gV8E wgkCskRckcimxnR8WCuIF5e9m/wdYdgcguR0V0LfIsskwLV7Li6Eh9X9oYA4FV6OEeotAV8zicMo yB3UtqIVqWfw/2zVz5wnl0NvBnIOPnHDvB1cqvvO4fXr6tDZ7NI5b3N0sGbcUbXWeqglcZrePbTK E4/V8ExyH+TlZPQ/LABm7Q2NkTn8sb+18mtwrQDEDQxOGfYay5N8SeMAVfcK9DkrdpHwz6jU+On1 o6zUWiBQnYtD/d/MJDa/BPERTVuZrPrhGx3zvtdTqRDZy7/YVKALcH8oEzwK5obUDUSnbin09fm7 ocWMRSS0SGl0g9VZrFwhy6e4KKapoPQiSv1LIcpq/I2GUG21R5KEHedSmTtAdEyBDFnDf7Z/3MIL 66eV7uSKa3zDXpXp5dNrseNzVaC6slL7ygVpkLx9flvSfk75/JWvRHSh+cGSBzggaQpSs4QR+cSd Qx4DmFTMk1+GX121LcN7wJa58pz0Pl+qheHGVEGJROHMXdG3f928km+6waFhf+0VfjUPBttnSppy KC0c+ZHlCzQ8SG24CNbTSiQCS9cl9NuzynWrsBFhIVEJs3c2JqmLEEl4hsjpUUQVGK7+hQuatNZM FODib/3Xvfkeff0BQyVwBP2mSa/kxEDh6vVVxja6UG+ki2zX4veLi4VTdbBTyVMVONfxIC3y/vbb HKFYNa+i43AKDsuTH8bVt5lDk8/8nBjAqZskN5avC48eMmxKjHPEgdiNCAbdVGGzqoaUGqzOlaXM sFd6ItRseCI1Pn4fEy0Q7RKZ0Of4aL64OxCYSzv3aooTQcN0Cw5muzY2y8HnDXI4R70kFYzOLCN9 UNNmnVqM+YqqotJvO9mrRl6A846EHdOXKHOXe6gEPL7mw3EndZnYtY41kc7SvGYTfvofvhMT7rD0 /GsHmBo1v0lHgIOymRYdMTZ5khzGOBec4uFGbrAHBTUNYPDSKtK7rqtUk6/UBxjr5rbkc3t8ZzxV kOEKCILZAzmitiFGkyVyn3a/kEERqeSfMULHAolICIzg0Hhvmcox45ShyDfGaKNS01ciVS/zGIzg 8yWkDTiFh3SV6G1CCrnbqv4Yr9mAUsOIGBg4rJ4IYtDCfa9vh7zRSudHxszZAYl4PqIXNswUui1B XvHvsWhxbcmgGOVqaP8l7JyAvXJS8YkXH15zE+j07Mvz+LpHXd9c2TjtvbTcC3b3E3+RFQwRYwxB NHTZ3gnUr3Z1lW6kynT3KDFH7pK0bP3pbMcLnwXO2jLZAYWk5qIht6j5WHKbMiQ/KegpVBb6SOTt vavtcM/Jh3IilTiu2zNmaPEaYRnYqKzvxDyAo0irqU5whgokoFRKppwi0mTfSnayArRWObFoIhFV fMhOzXntQAe7cGn+QskVpF3OdFU7A2REVTUGovL3TrSD+VUjBr5C4cZ0YuzEMGTy3P1H6vNE2Akv 33BTqa/P5dHAM+FdLK/y1n8hHZJAYnpfHzoFppAgJ3w4rT0Q0TH4Myu2qSHWOnZk0YWWT6bkxW6z v1ZnCerw4kUhy4HS1n66HVDS2wB3HCENH/y+VtjNUuxMEBnsojKvpXzKEoIdKlcPRYkFEO7ZqnEt 9Oj6h63pxBdGwnRhw3h9BQfeEPcxK4jAUutK3D8K2XygIxw0VFT8MSVuhPmL+9TpI91dv1v89ziV I3ZjDTnDHrviKizfll3mrMPGBz422iQw8dNCIOF3mSPbZ6GAL0jn2JTkyiPlgEUgOlar/lusvn5D qL3kV5yJWEMHpScw6q7G9npbYRjWOMz///KQG2F9KF+TH2iqCIbqvdLOzI27o4t3M2Jb2Hg4jlks ENLzIvJkOQb/It8vKJfbVfG4xaV0d8tZez2KgglrrbbGnrf82ko1o55cx/gY/uGVbI++vnAehWof 8UlZAwkA9K3t39XheWjCHtNU/5qirbwXeBu2b0587CFYx7/JWzlA65kxrg8AgDWiVM74d1XhqwOF 2HowzE3qJNpKXBkJnuyjhtwLKO4AYHwyLJf/L28L7xXhNxtqgep4CqrkfUaCCH5jm2zvRNI8Qywe fHWrcvrAyf3AEJPyqlKyR1UKiGuZWXBBSSITS68Rzfcj0JgUYUdI9GCnEs7L8L2o6lCN6bqBoZF7 WJydRVRZyaLe4IQBVNj3qpyat0BwivMokVxiaE40As8y6sjhqbsmgTFnt+MCyYWjRCxX+FfOCoAW kxvKw9w9EziQ263NLpMRAbdi0hsuKHQRexb4oUtU+Wp69r6DXs0pm4AV0aAnC31p0OgGTgCL7O3t w23Jfvce/j6U5SdLyF71Stbb4XzaXWRqqDmoPx7yfVhEz37IYi4+z7s53UOk/Kabt2eOg1+9wPiV cmSQGUGESb2SysH+knHZnYToVrLnA87XOO8VV6n2OYVyutTxEN0ga1suhlGCDf6i97dWhyz1h9FA 0VGttAyIwZ7YZNwVYQuF2O/yp0taOIaRzascfLlLViN1xtV+A+aWsJU8rKn4sfxJQ7mnlzN4tSIF rEbRtAyfcwoeLbemPfe+u/XQfc6n2DP4Mw2fGVajtAjQjx50Bcu79jgoPHrAHjKPgt+zE8y/qFF2 xj52Hmegbr0d0xPy+bzyrqxmBJMsLmeSHP5FiMumI3fF9FX2QVe8mQNpLJxfRcNQShXCyFhXyosN SdWOK0Ptu7z1ttKUIX2Pcn84XT/a9Z+DaanH8sn4qGTJXfvVS6Sn0KwLEnYBsVMUzua8xMSEulXB BjQOJvKlGdTD8fuPQNqvwMJrYwm+ce+Vrac3RUtltPkquWuOLXzkv9iPjDy7cLJralcHISmDOBum RZuvEezkDIWyJs49DQug58Q6zqvyBEw3svked0XDtJXECQnM/Sfs4MycH69jGu6GgMvS/fY10Vxi 2MHgRdYxgs4SAnbYAUuqTQ8frt8eYaslexwnqa9XXy47tRgsAtymbV0Pwjf5xYPwI9gi/Ega2lun BzpNSNT7viUWGA/KLhj4khNko1K9Gk7OD4xOAgCFsIIKesnOvcOkY/W1lI5Hof05uXvhnjSGvt+s FW5tpmBLGrNFRHzRpX9vPn6V1aWh5XSC/cF5pMPNQhTZCkV5Jhewq1IH08Rk+Ak6MmtuxylX234/ jhKGPc89Y1+oy7+aR6Szm0y8Q4OGXFbNMDgJi92sm2n7MskIuzFnWLdC2vVvw9RaDVL7hWqe3fS1 PS+8PcOyW/8E/lz2ft7WpyRPyNWoTtGTOR6yAA1hlCbURrCFtFW7aWvBqIOU+E1IXCVp3QD38P/5 4TlBX4wY/j/G5BLEBRdeK6Kngro5Wy1cg8qtZUw2lDaIhAkjJngnPL3+ABQe3eFtWt0mdoL9zyXF Tmu/eFNGQnlwAx87qLmleEAze+mBs/ahHDY0rFNB0UebMtC1u0fxpGPTq1h9f47deEIBzRrTZyGF NCVVamvBxF8UDiTk6lR6l2918GQBcDKdenEyye2p2v1sr7O+HrOaqJTH13KHkyEICJNC1NC4IK59 gJ41zAjNaH0aUMc41l5tnNyPmFSiZpaOeAc34pElRJRiQoPOXjZebYGRmaaDd/VJBZufLjGqCJy3 7CUU/nvgQsXL0+ZmWCavPdTCcwn3rANpc6kUilGUnDG7xyKGvzYmbYpIVY7YggajYOsG0ZumY8Eq 3TXeSpVYtAXlTUb9jQBl4UKlgN7VYIQycTTJCXDLYrKPNtNcrj9ZwDZJwWyv3L26OodzYH7EZqP8 Jk245Tfa7HrQoKznLJeaXxGMlnLEnd7+j7uvc3b2fq4uFJOk1EOtViT2p7g3NuRdC4AO/hoo90A9 mncKy5i4mbfrd0Uw/5PjoOxSfvJHn0CYDznxRHsjuno6vlEE6FBA9LAjyxGDRwffHjArDYs2JVPv 67G87tWV2eNFjIzPWrukmxFtSntbS9CB8VGTgNDojv5rRV+q2GIST2KBPrmAR7WgrYzPJke1eb2D eLjYzsmYOn9y2D/dKqUndRDDUJbQJ9ScxKbjfZrBJsYLgXw1lQB4fKZIAmLbX79FfoiQFJ5FEoYy fxkSK4cFkRHSwMgeAPHjJWUWHpxx+1Q4SjLkgtgQNyh2IJFFJTFs38ey3Rs70topkSANXDoUe7fQ pdlJukQ65k69ZpwOPBQcXW05lZkF2fyKIaLxJcm42UI9iiRfLmw6mH+G4hxeyPiAbxzHnkdbVi68 eCBVtVcgpdY2wrsND80Rjes1/IRVe78j1AXl6CboBMYtfdmGP5LyQMzLOeazFPOG9y39EUsvmkOG kjlKFOClK+u5jkz22LJMAg3n5jW/xoMvkUZltkivyQpSei5Ih5YLpktd8MOkMjV6rIH+oZThLwYQ BxGxbuz43r8+oqnUScnrAlVxNw8UKtoCCbovvQdn/k2PK5dsuPMgFd/qla+idpJFjZN+lVTymBkA /w2O5VhX1cDSHIIl068J8Lpii7v61d+spNr3uvmBb0+uE+5oJNdeWTYftcinj7rGfRXK/8H4/Cnx yvM0b8vEHKavw5ptWGWJAIWgR/AWQaQrBDXtGhFhORoAHGxpbxLTjac8NuydsASQ5mOc90qmfs0c +iMDWo6A2xb6jocIqaumdQZ7ANK5bxw3Z944HWsrIle7DtUC4mrxyxWplKrMouOlkv3gUl0QWist 6C30/ei5ot4Lce1d6V8DFECDMhN9gNcn9A86yKDFBZLCqadpx3AisyO2TzQ0G2WQJe0emcGT+1fF E7ROcD4rGxrP+F74xv7sGEpsT7ENGKepY/g9BZLSt+5YjAt3n6OFIa50FW/tTwXge5QNoHPTswG1 Bn9F4ZiZQqDa0b/toqq1c3KhD7ckNDte0d3dlaKyclUXBEc3ydvGXjFJ9H26MUSZbrrvVh/W5rQ6 V+m4RxlxWo86dvOjFUJJbz+t+skOVBcKa8YNZjb5Y7y4swyYbklDfWJI6hqDrLU0+laLa4vdRMRb M2hUDZ4uT49CNwn56pJE/6+ey5zTm7Rl7aMi07nFdrNTO7hZwEoo/tyBAvEGPWNht4WEQju6T1yk mUZXeElGEZHZWS6dkGdVkclzVmOvyfAYwfC3cVgGRvs72DAE7CZsLTC+5cDtKlEQ0RDddA5mU5c3 5AiH0qDkjYs87hFBcZuFWqQzy6FFaB6Gd+iNkF7RpwHIAJKKF9KTLd6LmMoVTRu22zAlkevo2HuU 5gWE8FRvXiNhzcUR4Y+66bfxlLTRDknLKYcKXPkXkwdT44EvqIX90H6+br7X+1wQW0GP47QRmkiB j3UCyX5sHG5akPCynbk4kPGoc4ZiTuEyRWE65MOk6wjHiB/jx5tFvWfn3xBuJJxG4X0hcVUT2yDA GSHHb5h8X4zi1Q+oOYYuBKnre65q+1cPA3fADFy1vmtfQkwv9lIMULMKXWQ1y5ZaHm5e4AWMWAQZ NL1Jtlwd/SMqp7SYw5WCITTNdU34wIL5pkAlN81+ySYcyObJlqeNykimofJHuoSq6Nkqr3kZCb3J gC+jzs26BK0AtsAoqdPLyg+1DRREV4XZx5gclcFjcPEP69lhcHtQGKS7tQqpiDFsY97gXS4YtXrg cNxpikRllG9jRZQSZ/TylN3EVSiho/QwPuvxFF1zYuJC5Z5/a1/7KHaY9/utP4PqUrfDx3iGvunS hlekLpfv1b0I1u0RAPs66TPdSwzzh1xgWAi4jTJ1s/soqC4Q18kELPP/3XWBzEQv/rQtHJw+s/yi Iwh7tA+KpXY18PAXfux2Yn+LxOTEFptBOcTu95xBrvKVX1gFJQB8ZvEGzuCqPP89qYw1mxm0Q5mZ 65SkNcxLfJA0+u9MhYnfHuvgLSE4jGxF+TM73t7Cv6RfG3xIvWiGvssVubHMtfEOGsO+rjHTg5J4 VpdqXx/CqZ8T7KElJRNvvh4AHzYG2IM/glpAB4Th0DZJrb4NSAxkTk9h5ujU1CKuBelPYqLFcSIS NsIqaqzLDJSv0ANbYJIob1s2KEb0vVSG/tx3MivIEXxYmep2wVpeVo/ZzNAD16Eb7sKtCtTKubpd n91OBSIBbp4AsBJEdaT1O3puohS1nNS1RQpBBCK8uzG2TkD7MS4/AsfpmRdwPOmOk076lhG+xh0H YDkBo8wzKdg9eD39EXrW1IrMptWqPqVNqTdabRmFXSlpVLmjmUw9fQ3USrsQtNfsAOAwNYfm0Rnc Feyepv/Wp4eF4VpjMB2HgopuzwovUrpZmGASYkucqTl5ZO2NZtDY5SvR+lmU3YS4fJM5JU7KKff5 V1PzSQW0OkCI115Itn+JmgHX8ggSQqF/OGyjkmObSrUOgfgOSAVGSXRnom0Zlang0Kno/M5c19ue 3FXwNJI46DPqsKwa7cch8hDHLa61Yycz9dPswJiTblEg4EdFwNG43eygWSiKZelTkoipbbW9GGEP KIncsr0GGZHg6HEkSUGJq9p1FoDOy9o/g3u2CCwZr4UnxPEgaAx1vsL/MajBeQxev8GAPMbbm3cG rKd24iYVMTtXIX8iN8eYi7vCbcBDLGhZJLhyUjdjv3+uUyXH71oFQdHec25RwYXr8TdK5VEuAfH4 A18C3lRBoHU1XXPXKorgSQ0c+0cwYsWC82bke96ZPLFv0F1FYqcWHHJ9fcb57xpNBnhVQBddh8Ea lt/adsbp3AWZuoqoY0pEWPQCSibjpKvfjYzBBelaPQN/W8LuUNjmDlGyonomgP7wnASbwseOE1FB EDxHEsDXd30xI5uSkp/dmT87BlBoD+L7iudi2mZfWJSgheJ3fSL7Ke5Kd/9+8pDmUJebWtcA6ks+ H45x7gj/cN/mleTa+58g3iI56l5whDBiZ3SDLwCxEQZXGwj3q3TeM6oe8hHYZUiz06s/YfluwvpW jsfxK1hqmEBPTgXaAbySYhvt1CjHM3Kz9kMaUF/Ub4OKcET84hZu0S93XfPL0QeTLgzmjgtBePwb x0BXCjzSPrYFNrsOJ/jIp7nV2RPQuyRC+urnpUKgjhwZs2YLi5z5GIN5MU9vSfaSMqO49sYhFFD6 37ZOMRKaItrCfsbDSuZMKVJ963oi1xr/lAV1+j3u3hrjNsUryuXvyZVmVkzPzkavPrLZC22GsagS H/bsXFc+Tev/Xg+lBPHlrYsEHj7uNqrw3WGgwsD/ZdZdwW0BH4nOnZRH/XCRdjxYqKSGkEG8bbKK nqVyR50dOca1kdmX/nG9oXre87j0U9ZILkJ55mOydOFq8fFhkREe2RlAlgu12aWMdUSz5w76CLl0 LP+93VAE84aRASTTkKe2m0jkFjAuhFfl43Jw/9aEZyWK6FJ1Wz8hDR+eDS5Cea0vww7m7DO2Xa29 +VqE66qSiHg+o4/6SDJ0ZD1QX5V/rMz5chpQlzKY/wXsVZ6MfUDAHpc/lfnX0SrTKUEPh1fFpQMj 4ju+4UEqZixTUIuXCnpkYh/Ki2yZIAjuRt0OR1q0jZJbqRBBRzvJpKHqW1RDODxne0wb3reILS5r 5qad0OcyHs/mg6WUdRx+W+t6JHsccfueY4PX1QdeEiIyfju+N/01XzBlRQeu+2eUrPKQs6uESepP /lnLpFVdDx6Ly0394VDdAepmLNWHJLd30KeZl7OIu9Kr01leQ72y0mU57ODpCYhbOIV0WH8mz06y rse032kLcFeyE3hi0hM2sAJgx9Ltbn/NhHvNMK0WFi6tbK7NuCybIj9ymurOklOYtCUwqFi5N/l2 VSoLetHU7vPdxfkYJiBVsz7KxWdlKR9laJ7N37dmdwW431hki2LXNKNcqIcWyAV8xJzkTlfDIkda TcxH9VFhMhEhsYH/u2n+8xgfHSWpuMg48GOccRzjpUwlWeEVmuT/FVyJtcqDbRuC+GAfrh1cVJuZ m1RtcbZMaTKLbWYV34QVVRsr0lApcKSuJcrlHMvGjsmvXgrvcse2UUE4Dhssrv69nR20vWe3UoJ1 Eh+ZfKnFKN5lJoBpHegcYQrzXYt2GgW6KLOT63Hvx2S0TidUpa8ATh2JKC0zGfx0xmIoEuhreQsl rPvoh/ivB3ISHcmVcFeyKeX6FZHEVlCWuiUT3siTs3zQjxFffZTXwMZOTcydyMzHjwcQsiGX8wpj mHDt+udEbX5EbrJ/SzNuNaUH6CVL0d28H0CzeihuGkxWBs9aYjnJTNbTVnZ+wEUzIcvIM5kzpZPO h3sOFwWE1HkLvUmrjOz1h31gIfS0swd/GWt8o41D/PwI53uSQkxA0zdZKlLZXX6twOmr2OjRiumN 4Zqsc8IHuebPOgefEyJXdVDWBkVKkvfGCqVuZqsHgoxJQbpLqYfURkIbPwHr3vJno/mVXv+2WkNU IIJC9C10AodmU1pmxRLLnbYRvsovlxPOo5jcexpQ7UV8GJFLKgCFNYCz03zQcrbZYjNnYW7HV4vC G1Lv1qjbMhD+lzN6SPDlSwhQAabzSGf7NdnIWT/SKUx5KPvwMoFU0O8keWSkRCPRUlEni4ynYELx iRp1ALMuXPgheZgrjknIYOFPrpGCwj4fbYQtsbEsoJ5bKXV7Huy5atL0D2oonuCMlBTai0z62E+s 3HraEsYjd8OQ7tNIlDHCibXhDBGTZz1shGWKxUXJzzl78SbF6kdH5RJFLJo4srQ90glY8T6sptH7 NpNem8PrxtEIsDV45jHqHGvgGGolkAdhBGUmW43KYxLQK6v+3Cci20OedxEDcCsqv82VUN3ha4Tt Cx+uenYxa8Vf4KdAhzuYyUIV+7mhx1HJkjxeU0cilCuXorHntGEqCjQCqQYSlhJGiq4fvUZyvdnQ /cr3P/i1cAId8p0SNJNoSwq4H6hwjXKjxb4SNSpCa/SamJSuaR7SctL3XXUyBUSohU6kQs+Iia3+ k0CEWiFeqzrRZLSw7d5fJ2Selp9UsPFtu9gGoQqgoCZBbLwIosX16HFXsMM+E3jQZ93CHsb8T6zX fIpq3yfIY29qMFkazsKkE6TD6U6ZN9jHwK/O3pIMpw5dgTM3+YGGmS/KKtllS5ySuK/gzWAHQizo 6w/zVyjZvvtVckeELLpvIey97wof+XBdyRWJoXK6EnXUYfK0bsjiffp7Qu25J3AZ/SwiCoz5MtQW 9zbc45NF6hy57OkgGePevefJrNmCgJnO36mkGGNa0Bo/Fs4YmTezJLeSzNgvSeLo+X2YCapWEjdg GHBPE7YQDDYlCKmaDPJ+DYDiWTuH9m6yCjRNwtgFUgz1BGdnWFI8bYet9FWCk1uZ+mErMDT2x/zW CHemOgY0dwrnoTqb4NR53gja1HDpx0QFAaxF7IlsJWKKdjbNGOXpOXTgQwssdIV8+vRrUF/zzCIy ZssorUWI1LZvQRNR06RG4MMQrLRH+mYrUwCKFvbyV49vIh9WX9l7eju+qagtKRAduD7taeCNAFyS 87NuqD6FhRgD2mDGvx4FOfdzeld330qMImNJETcLBP178b/AmhFmjVrA7cKvXUz8CJiX0PFAwS++ 3YF+2E3l4dVpi/KAM5aWcH16aguazE65qxe8DccHIcEV/+d/ngKp0mZLsOE8Wzyt+zdPd0fWrWq1 DYsK87hLXI9La2pah1mo1rLFpouR7gz+S0tRIKrnCPW/06MYVvMFkiLaSkKxLdJprB/CwByPB00G hT3YSQywo1vLqNpsl34/kOO38RpWRvbTzKbgrb4sqJsiAGAZa6Cp454R/6trcBOCEWhfPKep1hiW wi2BULP7fBfZsvkYoIj1IkOaYEzUqdKlSLL/A9xqJRhlU2YFnPn4Tgd3hZBBa1JYg/fdD5bagjcp A+4IKb3wRhklry73sV20IkSWrXHdQkJf7dr5a7NLDf8sJwOuBbwIUff+E1ZGh375hDZCDuddpYfS 9GygyacSPd5+2lQrDubtY1nSiL1H3D4hmWqgq6FEs4QT1347b4EM/UTxJKVgU6s/HGJIN7AT5jEW Qgo4n592a0e+/1tJ9WyepglknuVx0smup+fSx8mnhgQzmzTaAmJ4dW4sEphTNumCc79jLtaefubx alhsNdj1WCQmG+joSZltXoDrNlVE5fhRKaanhceV09TSbTfoKh6X2JJaTiO7zTOGyO3S+eDYzqbX +dGsrNOwht1Rja/AkXEU92zGKqyGC/nV+8FgAP28GtY9vZu6oSZ9l2IGvOizw4JfaekJuXqvb+qA lp8BEBLcPA+L1gZ6UJSJrbjjwJlYPWU6oXob1UztK1i8ZxwJPAVg7AKUS7g9ptzF8HYnxaOzN6yQ crntjH4eSLcLplvXl5HOg5ndC3kv5/k6Ufgo6OupUgkBJbMRNn865pgWUI7cKjZoc25zOPmlLplM IQk4BPBr4/Lv4UaX8H24aR8wO3gshJl24qqiIXG34vmOTSB08UGU1y4mC77/ky4ansbcMRnwtBLu h2PhYYfRDsbV0T/jkDOrgrwemHWW9W4UhStcnF5iqVu/Yrnqaa3YuV4g7SdsmRM9CS8U6gAT4ek9 1LAfl6EVPFqpdniUYQMXP7nVFMnv124EwEP5s91pEwMCUo5mzdAz8CLp0lGQkOaNe9aRDG1m8gQj +1eaxhEWfwvX3/EV4jV5ysX1jm/xlDH9sMYRCn0CgQG8is6Osn5Amf4Tgr4623TWO6b2R5A55myu 8iwor3fVIenmSo+JG5YnUlZejZqg0XTeBgxyJYkxD0iqOdts9M5zmzZUqjJiPw5iR74Hd6TbuD7Y g6hzAZa1jW96plNQ1MxmqyIQWA8Koi905GqhYKJEsh1awDiju0Nk8kprMO4Lem7Y0bTmbgcFDtkI w9Vu2KGT/If9w4ibTLxVpWZ7N+qFSN5LfJ+aXTMKyODblE7BUByjdzFAgRGOWr/QKEWaLiRxNZlL eSIsD29fefpxCV73ZIXCx0434/6M0H9oBTqH7tf3JuY+6R2Uvtuc+FoQ5yHneOfUZRh41O6FwVkx fuVC0hHRmNB9pxoHPofNeMyMwG3Lx1Pipjyy56CFsYwQwLQegYUmOxrFmdwFU3cK4GIa4HpDRF0i ekNkEvVlQ78k0uFy24cLRlzhAzAG8CTbjjtqssrj2XZx1pkrI4l8vruYFKoM07+FbvHc+q3yxoH1 nHTMdwv5tt4lIuLY4c24TO0R4MDvvKP5hcOn/M/vkFZLKJJOi+s59QmoIN5cfKTF6oJZIHrYcO8v yp/d1WwCfIL1sxgUnlhGhQ03xVmanxCSDzpq/U5VUinEzIGiK8eluW2v+rjWy1t14My1+3DmfOPA fHvopte5bTSUiqfv6jDAFGEvk4EQIzyOBqbiJBuk36quj17u4EoSnU9SBNYd4r2qb5mLHGTD44fi cjM7hvOGWvumqHzARkufTvtaY1FGg7inoJF0QmvG4T0cWxMKJTdTG/aP3iuSfs+i59qS0Y4ebUOO px9xbe2e4Wi4ltnqB28q1xDhWxo4tSW7D3Z9jQ7Rj8EUOO7AwFsVN2w4wCyQrF28shV4kyPMo3JY hynrNKGVDZsbmnnzymz9PasZRkcPSkHxKFo+JOieawH2KCh6LMyfUpZ2F9pPjIErJHFZcHkqKWNU TIzTGYc/pkX6E53ctfuAGA4/zfb/DjTo2IHkShZeitreKifefmKiTHqr+m/OQ/L5I7O2yRh4uHoA wwgop5wZzETbk1SVNi1t6GNCF7msp3xbDM0GLh4MXgfkjRJa24ysC1pW0EiaNNsF8g7+wLaHOzJO hR8Oh3fA4+PQd/swzHEujwhbeyZOmfo1W4QQHqGfVRFD06WMp877IkUZ+O0XqfxhbtdYJmKMPh0X w39LJD6xQaSv00Wrtp3Fn7OocnSU28n6FfMAPIpT/PU2k3XT7i8FjnGcHDaoQvGJGKQ+8uvnWNYZ Wbsx38hWpYxc6BtciIdJDAWXMTE4syVqsF5ZbLXF8Yf8nZl8ro2WMARJw9S1ThwoZcBLOClISenJ dyt6QieF+u2l1nDVsXrbbS5FGk43wPHONW+ogfSsf3kATXOQjFPXB0GrKbKoNvG1SGHVzESd0Fo2 4KSLSqAk1LY6gG4vJ+3JG3k8Vr+7Texx0PCOJejTbys7rjDvlp8EVosvK9GxyemcW43BRg0CjwyI bSAQUlU1XoaubAPtW0pOv3jqk7cpEp4TTDn7mp72/9aQ596ABfSkbF8hLtTH/LDMSeQ9Y/euMhSp D/80yV2AU7T/P++QyAYFuua14dH2BXACKNgidEB1YzDUHuF5n41g4SfJ97wdQ+jOB6L6W6IFA7Wq coZd33z6HA+wuo/5vXoCAvMuSBmNZjxki8PD6lEXnQu7+VyY+Zx3jzrT6jZU2f3D7VL91a4fb7d2 +NwtR3dIyIE0HVrm98EDZ76jy28mdt+t/5J0o6Yjwbx4ksVF0y2n+ZpJoFhz2ORTeIW/V9NjXUec eFx547zXAqW3N1cZrmPPhFTz5dML3MV/9R0pldQVbLB+O1XiUzjXdHMOtwS7P27TN2GYciFfz36h 96U2E1b6chkVih+zy2bYxKxmDPDxtV57lB5CAGqWEJ4+5VBFUZdPK11J2lj7Gep7YR4qCfzbENVo v9OW7jKGV3BjuwoUc+J8nAX0VUkQmt368ck/TxGbY47Vw1rXXxAeCulQMWTdevnC4D0aWbngfrgA A1IlYj3+PN1BhhsKu6XO7x03DbfB9prZ6dijvnRtfeK7Gte7XBLkN12reEqL/1XZLeBnNL1wdnwM ms0pHQcrbXJC7Cu0aB1aU53kMZ4eiXcfe1goj4UKrOlx5A++OtePfiQAQyp2qZaAJpXlNvhS9Ko4 E2UqnIThfXfY9yoKuGxorcRESYus7h1SRNg2qKqU2cttJm2PipyMFFmnQ4CDoO6IpH0xhu1utZNi fvr9gW6KCKtrLst6S7bMxDSBgQynroGvIOkzR3aGoDg5s0EIJEYYSAwMM5dt0L9JG1aYcO2ndISp X4fewTWRNQXCkM8J3jJiN1bSr39DI8JTsmUwCo07pts5Ns/N5PIt8U63WCMZALy9Bm00bX/6s6Vp i12v9OVocc0HrTdfQUkm99SrklXX+Z1TTadNO37w0QCyhcAUYkUCcQMTsW7av9/1SNpc/M2Zbvdf Hz2LwBz/fCMGQFaW/mlGhfZmgUTvtrVDxMVeIYNz5wzFlvWZZ4VoECo6uSz7iyojOC5bp3W8dxzE 8WoubZm9AtcT9EygXIIS0P6cw/VgbNLL5xuWuEl7WfpgFlRL2ND4JPMc5lQYhqiqZknGtrfJHfe7 RXmXRIxYnB1GN1Uz5r82Qy8X6mhFHTSMJluEMgeTEr1m/5SMl0u95Ajk1enBm7x+uySCxZmW6fyw LySvF4byVWG7XJX5zwCvn6zZyk84rgH3wUaLyUP8E+6tJDjICvKa6K9wx4MW4Dg00qG6xyKYmIwm 4SpIJm/U76/UKxM+pLg9stU+Vclb1EMyS2tiOlZ/unZwtgCOmso+4pRAOQRKQAmfbiMmKzXDsN4h /YMAJXvN+6wJ1RArGfBcfU81ATUOD55QZf3FWIHlOJz6ZNare5peo5VqBmzAyUcQISWIRvpddix9 gHKk10lAw5aHYw6Gw19fGW3xZmoUrDQoleR3W6M3vSYRIaEAaOIqrlP6o158RzBGem32h4HNL0IB 6R4ZTZqaKQWrR3r+28cVJ4gjJnCgPLO6Rhcti+vkW3gPDSDHUmapLEH7zWVIWTeATqs1RynawqrW Kw/p3n0V1dbTwPbf4rsmkhiNkZc3/+AwjIAJ5TWrllT6Ze52fmIIbZdy7wSDeovj4hh3CsXiAKlC sDC9AciAir90OuCADuYhMa7wP6rtJydAklL+ruwH/9Nj2A/tHVT3F0IXMw2ASCZ1i4Udb+sI35Qt jomyLCyu6dt54rnhx+bz6WwzuHdshQlEWEhYCjaE0SIiX7e2KZos0iUUOXA0aJ700GiWErBFm9cD TvGXgePt/iLHfAFLZSyxsHZj+DOZou8HH0bQY3GicSnH/+W+tlMmaGV718HoKMbU5r8bpcp173nM IiBS5jk0OOHolfJutvDKGolPDP7z5A+CvlzMN7uMbumy80gxa1bqlsPl12cMs3wC378Eu4+nLhWv 4v6AAFU1jw2Q4pMBNl0JvCXrIYJ/rTZP638/+ALeRWju2of3kK4nLGQwMyIZ6PLZZ3lISWlAnB1B wEL+YGaJAe4XSLPVKxU1+0T/XzM0XTyktb/YrzF3KXPvNiK8uv1YB+kJbMgetoJ6SPn49Fxw4vUt 3tzJX1iGbYY2wxM7aNLma7hTTVU0GxI4Po7QXdgMwlRUjI2gQNMrGYOOtrxWOJ6T7xx4V6Xv9O0d 0ZOucx49I39yITR5JrXJ2ldmQdmM5q3VFvJLto1nLbnVu6GCzvcEF6bhbVHJA8R5oCggVPgAboXL T2zDxwthBMLzZIq0QTRShODwv4EzHmIGklM0ABGtJl6StwvCE0LUnh3hz4oC12bNYH+tUFQAF5pz 3vo6yZDsOA7/64Ib0g8z0LUrWrMsDDQ2SYF1sLE2Enbab/+/LzMuENkEmQZqlMHO9nXrfP/FYqyP ABPfQw9T83PMhr3lsCK58SqBzS64EvOX1t0dUJVoXJi9S6UtWS/II/K4n8RKIhkQwzm+iU/OFDSY TiMNrvQxXlmRorXDmvUXN52A8IfkpSDyDzckMk5i6iXO7IcLBIYr7rIXTgVBFsZL57wLwWTzOS5t 2BtSIFCt1Bg7o68qx5dtVTX6YwU83LCX/Q0g94GWOel7m8PYnuL6+Dy2X49XPryi0LXXoR1CG2/z bxZGK1hYb2m2TtJGIv1sKMHelpBkzCpbZVJzjVftn24Bu8nmKPIa6KvjIU88bpzkvUtoaFjj0Uw9 +vltaQCllYxuD4E4D0bUZgMoRRZf7P6x381OOMvQ3IL828apG2ClDs1i91EqUYDNVW1p2kqsSW4H oZBTGhTlJXDOcg8LpGNUgZziFALNhI4amN9SSSiDpzThiOBEN3YGuLwnl8KmJHhL6lFmspdiYgGC cDiRzesZ+Ec4Jbm3Kf539r2VLI4Z4wyMiCY3K82pgGt5DuREiGjzBumuielnG8peavOplPyxo3Ax BdCFcfAkKs33JOKWhQNxfQUKSkvVmkjzcBjyUcPhBDkBbia6OAWixiyHIHovjvLLMz1qHan0vUxz jYnHphV83VPzyQxHPrmQPDy4RUjRit0S1OzBZnWcBiyzqQ6OwjnsegufORxcijdP/+D24bhOkc7o qw52zDjIQeZmBrHl9yi7AcBXEjWupPyzgFZx6orqyI34+e4MJtrIw9jrHfvzLifFgUGiNPJ/59i8 Qq4KE+cXoKLrssSOsM5vLd+2lzUbCc0lTdL5g5vog1+o0RqwEZ218+aDNwAuZX3ybMCDBfVp179R QR4bSX/O0pLgBa9oR7QYdCuMnyx4TqONFf+cL/vUQ0braQcPNfrqCnhwp2TIUQkY/Pzr07ebcgj2 nssYGnBlm2HhxHoOQC9lt35z03WgKwBQ2WXobMuNCohhnF+dFNeTYS3u3sDpsqs3vo0REnGxpz+4 zxF49gW/s9/+SmelyGXzAA06dQSe0u7h9bMbANKuuU9kYO3aVEX6jxT2yvv4xmmvK/CrlLWWg/9U sMoHJ9y1nG03hLa4McSPdN551pr8ejmldyMPgeCJQ1tDE1lXqbH7+h/DcepNISoIyp3PfgvhTawa LI9LC1THJyRWqs9Wx2hR+oJan5EdSxlGNxRmnhqNkqH1deWeK5QpSL1nxRD2GEkdn4sakFeq/7wV qxVn5UhED5KtZ5Bw8s9b6i3tWO4G2ChhimmmJgA8SBGj2A2kimFxntZLohcomYpE3MIg6ihoG7Qy kHQxWkflE/vyWnfZsTDBLCFHISGjiJKBYPAqOZodfdagX2lXs/Wny0rVT5PV0DGDUCFgZsJEJGev Ti5DB86k5pysz5X4IETlb18PM/LnPzX3MfNA7YbQvzn0c5S7jnMrIrHG3qEmpA8eOEw+hgU8tVqO EgfAnbCciatner4Hce2V2dD2nhFSgVAoSezjxfHfFpahpMCSIzVHHLsud/s0tB+iSYTRgsEOzaRA NxaZYEGXZYkaiX4CN6THSXrKsU/09mZT52JsuLzchVU2du0uxWJWEKh4QBvUR/+t95VO+6VxursO 8qBES6GPFD0l7yoOjVK2b4aLE9sVrs6NafFROT3rCRLuh1tLBsxd/5fHFpIncbaY3bAQfqTAIikf XsjOlZAfzyKB8sqdrmq9ia79ybT+3yUGTm1HPSHmcliNzuRVCidDVtpQTpKIAZqZIJYlIF/nkdxK BUMNXhYQB9kKQ3Y/HbR7GaA8lMq0OrK5LlpTgoIVAykfIsYY4TkyzdqRLkqVoIF8EqbQqOS51yKj MgEZ5VerMDbHpHLKfI7kTXFwOtrrsufdWdNuKOlSNqQbDWMFtpexWEFXPFcbwyW4UAVlnOSRlW84 COxymM9kGBgNlt15cPjGszs4DOLtdb2X3kX2cGrCPK9K6KD00CWB/r48zpxxP6ga2qKisMDrw98m IB4JcmAK07rMAaiKT1VhzANWnnSavjiyWcumUPOJTcTvnQO/GOSCabwhtRVZovpwozSY/Nacir0g StF408/zZ3XK222mkMV2MVvPIeZQEWLLl6Z1uwvImF17elNGMU/OXVTIuu4tnadzs7eenG4kAkas hvEg2+em8BVHkcAOLwfgH5Rg62yhcSYqIPhSNqvdSsBh5DN401gagdgypwOAX+ZigqBl6LGFRfwA Lq0Fi9dGqhrV02z+vrKWbYaN432ZPau3F+kCjIprJEmekgHBznjiJEbIJGaJQHANSslPp8w2w6+L nA4GW276uBK4n8kzAY6i++DZpxSfhRG3hb+ztIFUDPWGyfBJHusnUSBlFWxGNLEk+0n7t/gPE6E0 Ma4sCCY3J1FYKStd+ofFOOCanhsWWTiIvaDSGu/OpbN7WV56bN+G3mJsYaXj4YOO2ATzjUs4F6UM yHhSUYy/D/K5LxpUJvI9Ko7qFBLhPilwAi3hxVcEc3u/S2o8MmklRjuE2RYLgUWonWuRyKoPzHeK 9XtJ+Pcn4T6pwXwQly5dP24EpPwHbLn/LZq2d98+EZi1B0Zq/BFAtsq0sqtlapAYPyBAASPtIZ25 qkHLLE28pCHA5lmbCsY5zetEk8RjQk3TW9dDwdn+V4HKuoML0U7XDRnN1M2IYzwHByWoUSCA5Xsd nTcHeM2MHYXo1AjKNnfE1hRO4uEAR3P+pFlz8XhKzFmMydJn4Jetc6anFzuiU849O6wrt/0yb9xL pHR02e38QuPmbsDhj9eVAALu1tQeNAULidXMt6hG3NrrXxzDEnmU7Zjz2Qv3U2I20GE9e0pLu98W B57e15F/q3E1esxrW2PU5Y2J26DVeztMSrWSr48cONvZXyCJQg4FZ6ah5SjAMdV7XhHM6EE774BL pe5sRzfldG4byTym+MyRFYorFft0hIxkCVl/LKgp1wuCw10GUF2fuOOFHQPeNAX7CG4F1iUYUKPf GSy+fw3mCcH0ODgNdivwPMx+2OUWSTWPjvmfM7uE2xaQytu1EHmnhq5nSwhi1LydUqwJP65bxJh6 RVdHJ4IfRpGn0PfoFh2AqIE002KmneetBllm0k4uq/QW2uoYD2BbDi24KFGlACpm2UOoKUfwBJdg GOHzAYuYQYfhe3DbJLD4O6egvMJuZBx04QAdghfsAhTl/y1k9IaNuC4HQw/ft2DRNN8YFtrZxPdQ OusoyZ6Pbov+Pswx1QlLnYHgoCDEUtT9+ckofBa6IfXGMove6TsyLI4d6fbfCp1L7mei3up8f5/g SBSvpF0/gCQ+IeqPQQfVTd3LhP41SXG1tCsXF1nrMRnO4h88ftafn6F5QU4/f/zSviTBHM5s76KT jQl3O1Xc/N4CRItplaRLgcICgWWhThQO5KLE8xJeZElz5jfY0KfJFPtXTMbqPtGdQnhhBPXUz8x7 RzJhVTZwMUywILvnbUpbPSJyiQt9NHt+v/HtidZFCVdIT137VA1Dcv/AArUzc93VK01wwYs1sx4V pNJXy965e22VuJA8CV+qE6Dduu1eKApqVPqPYS63XO+4FzA3iy8fNz6neel38hlvI6aQAz/qVlcQ wmi6OqRb21ING9b8uxSsKDHm+udUtsoo5fwnxpeg1zthDb6uDD+db9WUMYJr4M4soXWHTtaG3jpk x2V9GKnI9sEjm2OusH0Nw5VgJAPxCz9gsR5YovCMp2/rqcWoKVQjBCJJH8NpPlL+DtdprnTZ+ucb a8WAhqZDJTIT4bxvXlJKOEb9x/9woHQlVo8iOcBk8eZxb57D+zwuCW7cIUDUhhCet9599eviaXHQ SRQhKrqCxTYjwKbH6oREwoArwZjVKxCeBA1HMXUY0qnn9zKhxWzipohcWk7+GivOTA/8RPjwQpn0 qJ+c6gvegLVyTtOnP0gG986NK876clesOuDmozQJ1GVBiSWeoRkZ5Lew7o9/UTKt5lxO4ZIBzFUT a5bd+i07AOygv2oaYYy+YKS0+5mq+EjhImzsXRgywluc0xZ2ObPcgBhhfkNz7//0H0J19icHPnmf vhtRD/KYbMq49Q35kgCoMio22WppXH6hFLlMpcCPnr0NWetOhThW0Nm5tEaUY5F8P0Nmgcck1e5G 55sP0QP11XEJ9kzNdO+teMtygJiTlxJTRX5bfZOlKiR6AojLwWCiGXQs94L5sOEN3emJDATUulMR sa45o95NLhAOBh72qwG6VL83q2Xj4DFthrf5rv+bRTy2KcwVNbRDKATZiZ9n1IR94pvC+9vvsYXt vsGiWvjBDgMUq8V6zl8EhwCWXW2sVIEe9QASwA4dwI6y50gqvnsA8q41LRm8ZDjbzZdPyib4lfs3 AP+Oxy9gbm1LPWahjiNbdn9hHBSfKyDkNMavQQpyaawArfcPPqBsVNNPKLDygNcfjt0x08Zy+vwW cwMwqXN6na7E4tCzeP3gsApPI0FEgeX2svIqg2W9VVOQuvPm5Az21hLO/iCBG8oltSzQhyVOrBO6 ZfCJAV5AYeeGeJYJyLTKwNziLJxyzxz+KRkT3ury6mgj915H8df2Air+/9iuBKKgDxmucOov7huz QQM+T0nCnvp21wtd6QkmxEhDn76uV3gtSgkpR3ifpQ4t/+b5zqZZ1hfn6gqFQKbPs4BKbq5l+mBM ScUHqrMLC1n2RuN/V25wHZJnut3nSupcgja6dCXD2ZGrEUijrzJcIqyaolPR/RgA89Yr/QegWNIh fXRWrQrjrdAgAviu9uESx2i1OEW7pHTHE7u8TlIeeUazMJMYZMm9+yKCEy9/0c98GUsanI27fmng /wulIjLqTgPGC5MiOIaE67+KGxvvRWg2lMuKmUAQ3JvEP5FmwYjYDSHYAqzbR4CvwnIaWHHv/GzA snlFZZs/29B+xKHT9f0wsowZe3Y5Re3Q4kWLMIrDLNEq6wCKBa2NZljD0FDuA6giOyKsqoJx18WW Unx4mgtTvtg55efaFi1Lf81KmG6TLhNS66CmwNoVwi3xr21IgGxZwrD32R+gEli+ngp0ZRnvCsS7 Dr1f1gc06YUFk5GX7gYXIi1I8LHyJl7w31PQR5FydsrRHThz2/OjIYK+aRXkE1E3lGzds+aboxgY bRRNWcYAo5nw/mI4MAObqer9Z8ij3U4Z4VZ7dD71rLcVu/b67eoalkzN5wQH/sMhjmh5hLpTfs4R xVQMvn5ienswZgvMBHAmTweMP30C5LgiVm+P5En9AsWxH+NiSOzFV8EI918SxqKfH/AastGJRhSz 08TZ/YIzE2Kxt5eWMd1lXkAzk7znLNYrgjVk3VAJenbuptmQq526qR06PskvLGdam3Z7t+L7h8eX 5lnYEDMQBFkt9j9FKDzNNdGui/P4YIED34DJDiE/OjCXDH6Mv9bEUzcz0Zs9hPmjv7NbLFmeypeM HC096nrMjWmy0f8sDi2bB3MBAVyAmCcjvAZdKmRqsE41Dz1fQouK+iaoHR+vl5GqrcZwE+63FO7w 2CnBcvnsmsLOMmXO2QeqRz2vNsHLDOBWeAvSAJH2XVON3HWSuv3uf6j4hEXXBKnlroHZfUb6rK/e yzGr62DPFHYS8suMYhsH+rzvmo5+MQECKH6Rojv9uVKvvOnJnAqUgoEzoWmt+/ifKfjfMKqqYb7n mJekh6LWHgHER6B7eQa4gPoUX6yuYiSBbXNrDn8P+/LwxPyWudQYVYMM4BRr5tQ6D+CWgI4Egtr4 kpiW4FwwrYHTZynCINrPlROezRLMt3ZhhTgiSFLC4yJ77mMwI3+l8PAULnanhXzAvRuDoZAP1FhT TUT5mKUY0qQpLM0Cigit4b4z0ZBvGJd43pU7cWeoP2GNywiw/GBa9jAtedlwzcLGUiO9ojOA9Wxz bASeqguz30IoDBWaJ23G8Q8fpd9bZtgsnrvmVRGDPd9Krs/pG8MGqMHzbmdJoNZy6sl980lgEDJm tKr5+iUGNRo79/ymHmlxpDTknqD9QLeSOx0/Npyto5uGuvDCMMUJFVh+/UL/m1aqT2vaQuaglt5X 4E2sRPE3VuqX+RLNmacHQdpWCrSxSNbXoYCGx+t+/U5xGqWIjdjvkgs7Y7ALARwDzmx8VlwS/6SY GYuia7yurHFclCOK+gjDwQK0XLUzKVo+r/XlwcGU0etj1n9clzB+clzUnWmO+R7qcuTknc6euZ1e 31LNdxkQaaR+SqdrvmGBbZEClH6BG7Fg+8dggVLa/YekkIFQfwfXQThrCne+GNvLJZjFHsdV15sV JITXHv5OjM8XGwicbfus1NVKBrfHoVB3+yJXndOPfmOeaTgOr/swSkq/Wb6YgwyQAv6PwLUSc6+l 2vn9A+28BAJmcl/K8PRaMwjTKZfAw5pyNbzW6v2FajGIcZ2YYL1eES7JYYzgJbudgSb/Py81f3F2 Z5uAbbKDo44sxNKm/f6Ll28SFCZMw05Aif4PCQIau7fV64DOxQXox/J6LgLSdBp+xSpy7xf6aCtL BZfALohZYIUOYaxBBWtmdfciYGiT3KIExHzz/a8WzobJpSIWMZRsQYx+0CaSeCjt4P8TlsJuAjMu kYMHj0KD3EqQw963vFr8C9jS797eXDtBpcHFbVAQkJj+s/NdheB0T5upZ0CT95wP8ss/FLqsBMIB I28YdG1QUxUjgrDy9q5Qr0825oamDlnqgHwZgmpI6XP5JKd2qOluuplAs0YImb6ZI5Z10a5f5mgm hN3nyxZKvguXx1DVs5LekSXd9LJTxx8d4I8DOM7uzPqkYKgZesjCOzIgVHh77nlFKDPSZtvaf5d5 /JfLvxk5kmV/Y+8b2+vhyw4/yX5OA/iHsX/hvZAqpGzbHtux+evctQLJmMvY3ZS0Z+7QS4N8sztp YA/ZAI+4weePyA3YKu0NtJiPClagcn4sjRfml1VT7IkRf+9ZLFixnPOcOjqNGPUEdevPrZTjb5Xw Jqyeh9nCCOjIpb2zQ5LVAAVUiRHThWOhOTWd6Lj60ZZWKgTaOiSKnIjpilt/4jWrXNwkZaVDFpUw D98H4RPPrfIqHh4N6qvapZbEpij+8sYOAgvfyydyCNbbKTLWcmZq4LCSjZyqQmFs4nU+RkXHhPzQ v7l3FviWqt1AsHGpjRh0BljavemF7u6tyyujyXSJOYv21hDB6vaHHSEo+eg21TPuA5LS8BxCTWaK Xvv67JohqdwDlb0QPKRB0jOod6HC2MGQr5/EHjh6mjqIhXB97wVR3h7sSaa8tLp0XlNyFDELUiBb K+dfrhJDIFPOZldiSXT3CEVF2qdEbGsLQN5mVthH8prOGe+Iiusb7xO7TPMevIN6uZ5GDTFC80uB b0DCvQePAaAio2wm/BOqMClX6As5EqnvCePoa0ahmw4mwluzQj83/NzGVcZnedMybcDnL9tOJmSk N4Q5chl993voYsK6FFcNtvvNXHMreXrEqTlh82ualSuEezenhPmAxa6XmjBo9P1zbBEo1VWoDfpA IJVQrgDMMWdju+9LBpwe9wLXiY/CQN/GQl4YiR9NbMUu2Enw7iu3YNHLRKbIgizROSzApBaiqfXS Id0RrMkB+ivvs1xOpYakhdlP5854oaGR0PprtaqIdlCjsDFflsYJvwFfV2q5ICMHn+CIEwBNN7zN 3VEzMv9UJmcEWhsj07KnxdygpiN1BUni1gjcVn1VzHuJcR8b6FJIPAtkS6Y10nOYTnNHoVe77af9 gnD7J/BVqwuUl3Hq4pk4dUCakm/yxDT4vEK6sA5Tl+aB06a2C0PdVkLDISgZIMgYpPEUU+qg1fD0 0CWJRDxTdduy7qMTS6+4mtqJs3pBPEHRbYNz49ZZg1oRAZuGIpl1ZKLNa5dAOJJQDPeqj16vBrMz emqSwktX7/TNcNxQRbeV1Heyqu4qpEiCjQsFjQ9NV+ZhwNn9M/g+VbYWZxLaEfMDso06nzbPihKn InuloysJZj688F31PCoae1pMEpmVhPhK/bck2SfF43aH+T+fY1tfVJ70LLJZ91KChe2wA8Nv9mKc rsmJyQ4ruuZoIv0KVVs3j7I97g7aH9kwTCrR/+5Ub8E3j+6HPBg9GuKmeeeZOkWpMkl7O2SiQhdF KXZtZpwCaZLzg3KZ9Au+wfwArLJyH5EhJH+4JNGlzi7HxEmeikh0ZrL6+lLAJ4LGu+oOHttoF3RC zJGUXwVTxML159w5AOEIUhcR7I+xQpR3SCX/W0mnCgORJqoOCuNw7ovhMNJLlQ+aQn0OaSE0BfF2 5394j1RAsu7k+6waEDJ684Za3rbCdz558u/F/gYlWGI9HO6GPwjgwaqGjGYoMP76whAZJb8ofNSr lP0x3HrpvnfmFUV56wK5pAfadQuMNi1ItQRBFNqX7u87ahu/FtmTDae5ksW0weQtWOiG9fYRrUCk IiOB9K/Uht9GhsCQTYII5n/SU9qeOwIGBrLE5nZgJoLTCP9SkKPMZ5B69V0xp6/i0Ett4R1SmjZh nLtbIZkHL6Q4f+gxYvPak1/5JRhG85JvQHc6suqtcWfwX2Cv8keXUOMvGLPQ6nOLXPtaucx/yv2G oWXcYvKO8giXvENVr0wgtjo3D3tZ2FThz9B96yIUmJR2ucQxJdZXi5GljUH0GTBa2J5/Sx6PS7J8 OpeIgKSdxYSKnVSn6y3nAyCy2vfcUO5a3AcxyhG1VEo80Z9xSe/Nimeo6bc4Axvegtd9vzSBngg0 y2ORfg1UoIiI+l/gCjB6CyZUf7/Z5qNbfRTdi3dyEMrtMWHiQE3TIs3Xh1BdZy4LdMp2K2s48gHH eCMXdkLzD7s5Zoy7r0NVSVcE6eYEenHFK2OGZUlm2BNf9SdIxxjDkq6pXWd0/JGLz+aI9D0zS0AT oIjJqVpApt4SSfstn8CbJNXwJrjRDSZFp7XyDREk12XzUf5n4O8FhgPoPcA+PUoVd4tdZciihRA/ nW2ungoz/C0jhvMFfCInSMeyGM5DsIhVwZ2dTGmEMZqqUWHlUpCMrGqA5FloVv9QQqU4IDXoP1/t PK6qF6uvX2aQTfEL0zpO2VAmlYAgLFjLPB9W+pIc0ztsD9VVXcrXK3itE96GbUv+OA/S8dHtjZ9u e8ujv8mw2zhEaLYF2YfU+SpN/U1YnOsCIbhum3cjNpwvDq0x4ZjEhqhVs14I8zc0ALQGlUkN9ZiL iaBYvxHf4fHC2dhrmtg7ZtXfcf3J53GrlK/Yf78ftFWavQNDS8qHR116FP1h0EUsBYMsGLalNaKH Bouf1oetLgklvXUkt7ukcrHLg5U5Uvr/Df50i4ogDHtACJU10njFDTZ+poZjwlV/a4gSzNEdzurD RpENMHhQ79qppTK/vHCeBd7no77CxbFi4B5sPgZGp13Zmy1h/Nb21EVzvVwtdB2LmlYd/nCzpt+N DxYJ5bj4QF5XtDQUHJS+hiMgFqfCZ+0ZkN3Nohzpsnf4Sob7ES05tn1kPUDgzApf08lSrw0sW0Ck cQrkYFxvdKdueI+25/cYCC9Cm6lJMpCcx9S7LU2zZL4jUZ4JhwT0nf0Rx8iGcNOHg5VTD0Q4Vidb 1FN9ihFfgZigGa236EqLmAORKtrHpEa756knuaWlfWfFaykGtXhIwRikzHNoGOAjr1q1gbce9mHW mJgIC/T2o4ELN7bKnzLK4DCr89PqhdqDqO9lxDy1sg0GMebs5WKPwI/AhQnexVWrTkrTXiRU7MQV Axot1zUs/bluqxtLA42H5Ld2hTtcB+UHZE3IK9e8wgh97sqGdFX8ZvMF/NtdrkBd3L77162wkDyv /B+xyaepGwnyXND9Z4Fz+xYUxIdpMWpb+Y0qMbE9Dp6q6VsTPRGAjdJxczcjVPxTDs4qA1E7+Nwa JipyT71mSTuPH5xbtzsq6lksY6XEd6F3z5yC2I0y2xffUwHN2BD/xUu3CJhm+zRU1LWFHcUUVwJX hqsn2HOx9Nr58afqWArI9/NeI/q1eeRyyvMhfUe7IyTIzCT6daFDLaMNcSuz8TMTptAd13Lb6y73 pvKNrcCx1S6ZnTHGEgZgOnSF6DgZVfLQQ2tUMUO/E8MSdVApUuFy0fdlmJbir1K3V6jPFmoTq7Dr 4MWMw6SU0GUd1x5Vrywr4Sj68e5lCni2L8mmTszuKN92pJJMhW7hQDdmR2/rsKK8bePbOj/veGQ1 HhZ92vJMg2Vgc+6GzlKndK6bXO7QsNjTxb2bHyVcZrpGDhpjUP1mJ7M5H1sNg17vf1l1Kkby6gWg NoPeYSmFe3PeHWV57IKaF3RRft1z2FM4TQUh5C0FoY6raSjN2ZzbI5LD24EIm5Sjlzzlh+SNEmv9 SJV485T9KHL3l0lF5K3IVkjtAW0IudVEGCrjDxOg+B0E+9mNEidrCLdQ58BXkjY+v+Aq55/c2Cu1 ZC/GAIwMo1b8Z/p7UKI6zBUG3YF0Ssnbz1yjGhWc4FKpljzF64ReZB3ZWGolFOUzFcNHxH5TJ0rw G8mnP7MJWyI15weccPqM6bV0G8bVhcniXoZYsFXTGtabmUDisf4/7IAFJ+kl2o/mPr5wmuPvVZ22 ZArYtzrNpxzTg6juHq2XEGENQnDbAnRqIHYx//P5D0swf+5MlL/+Ncmmx6Fuxmj7yihJh5vIXBPF JrvWz1rwN/WbIO+9n55djjaPef9fiGPMltWNMbrgfuChzwjjGPn32/yb4egOPyojs5o2Zd0n5aqo kRpXxVVJxTTKiYaTc/1E5W9CrL/LQGtSpK3u/9x31EUBB7PTK+8ES7r6utGFHxdjH1/HvyBrKgxr iZRfC3Zy7qHfuqkQHwwpMjqHxZKNyCgaYr7ktM3mZ881C9poaQXwn6y0hdGS7YVRial9/r36adO1 LE80endEAizjsUlPvMSrTx2U4v413XiTK47xxl6tl2NGoN4QxAZXIUwPcnRe/WfceBoXOtMLXWvX WJIhW1Z4x1gt0ifIgdh+ZXjqOACG27sy5/GAIOV7SMgkDCOgWkUV8kTwtslChp+4/5USK9P3gMyG snI3/Eu7e9JwxmlusMKlwYrYrebsfCZcyzi4BWYsK9y43b+oZgcsVHmxRyD/zm7OmHMsOKYuFEuk 8H2VKakUk/8Fkt+xKr24tbeKn4Eb6wCInUWggcWFPspRsO+2XaU1aU9CvkUmCkVYpoJUJT40fXuS iAlIM83MDJ21AvUhIVsdLICmjGvGpXbuyLuuTSGAtA3vXbPOjCTPAeRxOejviBtOF+jReC+KsdIG 9mrCwOeHNdNg7HgBjtQoZL3kWX8CFBsWL7ZdSKmi0hprhbCNCilAN6va+N/aXZnmZhcvxxkBMtqN f4aiHo02TmPk5zTPh01haYwbr0VWv/XEysHbS76zcwrd5bapKSA9TrEt7E9Jm3Nz0uaKr6IFl9Ry lcUDFXSoHPxk3nrT4FMc4tBO13YZwJmx+By+hVY9TS+BDRdMe+9UMduEtTrlojakKxO0Y2n5kPIa r9wFtF1hlwriS4nMN2yu1SB+ueyQ3/kz/5hziknYk31tQIZHtD1ekhnDjULT4956JQYTF47sUkCI r6WxxCDNwM3nTl2VU8C7lSWh6fLea53ns6e+WjL0UF4PHPXEYv4bMZ7OnVFq4hN3uuSvVuw4nXk6 vWlSFZeJXic5B/HLyY8HeaMcaPv03sVQB7kQV1MfrTHpfjQ93x/S0jblKRY0Hqe1AAj3WLMud7Xp GEnqp54waf/iZV4iGLhZIQ9P8kPiVszfoJkuSAxOmca/dZsNA/Rv40o1Woo+9kaUZT9ovhayY7L5 rxGI2XCTRrzv3mva4cdSfzqxxORaCavnEdxkuAZoynD2n5G8LZi5ajrir3Apfk+OkUSUFtBepuAl WEPRPkj2r7LUkNqYfePf5ibKVXdAVLU024CTPL16DNxaH7PnRLhEdMwHwb/V27nzLSPdOm4uRgle hIWHM7rybBQlJKfmSEX/P3/Vt8scREcc0/V/0uj/+LN2KGA7DvyXHuofvq6Gye9p8B5vfEa9YiKd pxhBE2V3MdYGJwmuyCqfbLYBU3Pw+mdm/mhlMzv1N36s8NK5E1+eII7euZbMsJIyWb26vT3/z9gE dSdiNJlZd/YcZUjITE/n4mbSg94/MKsS+LXVA5EVf7SwVzFg+9Wvh+dZlsGSY7///uUBlZ624mRR 6TaDEJ/uMP1zoDT+SN3VmiJF6wy18E0twbfSjwVgWiIIVX1BH0HBLqAIDA85FKlNL8H6jnaZ0kCQ rvX+H2hMq8BnPplgSdTI/9WGnXnZ7kSFmRwg7Q18M33K8ThdJb8cMpEw663W8QwrFT2yA3rWYeWk RG8JVC4MCIX7LL3B3JTlpyprhP9S1QcTnARxHSoBgoTgEfPa6Nhs2gGW1xB/9mDHUXajA1XCM82M j8e6zzgSMEPBmqyK25Glh/H2VXsPwNeHooeeqgNU8sB1uZdbd4HPM+OXbKduNAgOuA0C/cczcJMx Sofiq5eZ8N3JGVTROyWgoOFVk7NmKla7rQ2ADcT98A4JUf7BvRdZ4ymVr+wlIoaNUeDJJIPzXOlK cl/UPSur3koOSewT/agsY940Wzn7IP7LJAeer81xVTXpK+HRxcL95ggIxV5evKxaC0mJ+R4FQUgz RylEXqFzgNYyBn7g8unolk/gx/eqGRM34LAaOcR9NUV7gCl/oKJ3In47cpiISzMm3Qf/QEyKl/RT ff0hLGXMrqDklOcXPOix2UzpJ4hrbdkyzuPCeBs3+g989lzt84SBaxBa+i6s6hpRipCVh6YTzq7a o0r5RbsM1S0P8ajPdS1hND+UvNOUAPJaMhhZpFhzbEySuiCI2pbGCbQ98EhVa990SsB61bao4gOf U1prq5qukQxZSNWjYQb/ShcbWqjkt9VsKEQPykro7rhAyTpiU9Wp9g6fVmhPIAUWTicssh6Z5mDz 7HRT8DIQAzoMVkAl1sbu38dDfyn9Y+9LT/vIPYM5m0JHZSAOdvfcJxjf2UadwdYtKKSU6POtsS/W 3f7/3qAeDqPNsSiQrefkDjenO4dEci5yQuslHzoaIatRcgT/KkJ9KYkyG/x+AfZIrU0ySQpdgz8H tENd+Ies6vkyKHRwfXIMM/rNJKDUZ/ZgxB3/7iUQU1OBUjuqgnK+sJnDDJPziRuP+Llq2r8SkC6S 1NVIE/piM+yGfHSYFzX3F3euGLXS7R+CHn4GjwZCxA9Gptd+A8Q6eIOqDvnDJ5JKCNR7Ywr2HR+Z +g/E5C94uKcHWvaXbvykQSfCs1Xs493Dy7v6UkJPHjGDlOU8Ou90NdCPPjz0/WiDxzTxpAP+48qb RPI2H5FChdpmIWplSVTPUkcz5T5ez0FttjOVrCXznNjfeRgLxzNOGR0eBdtewTv9uIyCnVZMCRcj bLGSobF2YdrGzBWD5NxB/iLIAE2XDYvtk138WBXJV5k6i0fDABZ6rgzGJm7SaQZlrYKh0WQ8quXS Z5qcfw3AaNuTs7J9khtCzPvySdJ9/9NWs+Lj8Q8nJuXAkWa7AtfmUznbDr4QojfgK1NsCvqdFMsT rtc1M04hLQm0xchyHbHehIblhUorxLjOP80KEr5hpu6IXwaXfsOmpgn5VdedrJ/NQ19btCXVe9/B V7ILxfemQIe8Wq6OcUHFbyERuinyJYpE5fRI8XekYbNRJ3xVEwRBuhWCCjjvfexENmJaZiPFxVdu o5KRoGe5FfmwI/9AI7qiae4wkEEdeig5a9k3/NxG8diJoiMrNuzNm6WY4qvyre3LGqbpc1c9El8O 9v7Hg+lZPVJSmng4SAosszG4MFtYP9A0I0JTQgf8Gz2IYq46riP1rZXJGhkQghUNXelNyT0Velut WuLaJxm0Cr73nb/WcCLIalXZ3K3j5SVUMbNi0xFrKKa4yu/CrDOpsjKL3J+8PsGW9OspFoII/87+ jjB3Sr2zMI3fLCdsXIZ63Ac1LIHaafTqeHvtZ6JM3PPtLEQmZdzNe2ZC278IXaCQoitVrBKVmCUU VK2AvLRMz9hAlDjH6/0faGkz1Ip6hrxbmRKP6B5CjCv3KgFpq5idqZO59s8Vtf99pQ3ecE0KA0uB 9ZccLSRIUQBNJMTqBQ4vZ/6j4q5Z7kSpSApJUUOeB/ZpNxJv1zFcVW6gDl4JRVWsHg8afyKDImLg ZW2LqeF1+1efCBbe8DZej21eoVD3McwBA0Fe7HYkR/cd8rjdEzgBwpRuVjYlF4hde0U1eHFrvnS6 OwvLDhK52kSxSwxvygTNsKQNHLvPwdruTcyWp9Wk4tqWcNieCdWYTJ74VPkungpGiEydBpZT1JtC VWGLFaX8Q2T84MYkFz5qXJ8REDQXs2rYEDzmuPkYo82v3DZcSSw/R0b13cOBtFx8/c4AU6UGq5Xk fZ9rEiYDCrSxL/pTsQcPVT3ySZc/PjsZZ0uZx4dFUOpWVj/btVrF523c7nMh8HLuf4tjH7dPvxW9 qIrJfoiNqb1TNXCv0QNLCblaqCsWlCcjIn+/hh4euMrOeqmnEs0iCEBhAtcqXV8VW+AiTRGwreBm 7FfJIv/Y8iZQqtvmgy8Zm99BP/jo6hGMyQd+wYGkQ+g9AeVz/gG8tqHn+F8hHEVws7FhHhj6LXLs QVttfKqcIyaibGBVkbVCuLWJP9aNk54PW1ql2ikJXZMQkoJ1W+kDxuzs0ScGi0lHwHGgK/hhrkJ0 sD9RUjwZw6vLRr2j5noKDAuQtkw2LUXV5U3Jr5wf6QN+EO/20P7sHiUlMStvAeuwRGWs77M1n68K TfW/GvtAe07smrftwvVT/SbNpMfsxg/DzF03UP3gt1HCcuDaPXJRVPsnxbEz/dnx2yAqc2wPN3SX NE6HWV5XYa5giSck5896gySRIHLjEFev1jtVuhJOwQkof1e0FbfkRK8mFGWM18vCw5aryVJ14njB +G99Sr+JDfRVq+3uBcSTB1iREWzdbRrZGBGwTMhWjvFLktG1Tpe5Xm+8eDI31EAD1IORr7WazQq8 HlR1matfy83syK3o0A3lTPDzAsT62nBOhO876LmbilZo4oQu9QL3RQxxjYgz1YJTK84pN3gXqT2A +CEcw1fXSJFvVj0x+16B9MMsnwOOcnXv/MZphsOUYivRd8DWkDJ0aMvA1O20aXEoElaPV5qsna6h boDIQv5hL8pqZ2XgT6crMng7qnVaihg7XlJ9VNYAllD+OROeret/ZRlcVJY9wrFoInUesLArSXMP G19KMI+xWoE3Q34sCRImEResrvzi2TuWcOj8sPnfaQ/evLlmnSiYDdl1+kbIhq9oDJ3GYBohCnTC wtBNcx0PAnjHI82Uysu9wgClb5V0AE+eLU/QYyMee3rbgN5zNQDbyIQ211y1AlQtSFRPWO5XdgDz u13aZtwCVL23yaV0vCTPGSG8GFvd81MOSVbrGNN2SLQS+m/Rq0aEvvxxgdgaYZknhcgu/Y6dvymC SUnfFcSkYw4n1nYNc88HP7YSlx15gSS6d/8708fa+/H2pkbflCX9pzBuie6IFG87tGrMyi1hKdYZ OPVFBySzI+PNHdulqaNsyhpP6pScPT2FlA0De/6nlUoKYUTIKmjbCMInIQt7DTfhJ/hI7ZAwL13x NW8JCxj+p2vbKMaCdtx+n3YiUWxQYhuLE8yA08iWYmFqfNJ/IA05WugjNgJzMwph/UhPoQaj+KLZ TSCc8d1VGIJDK30A9Of9zSkBHf2sWco8K5TMXMsovvlB23fOoeIW7rv1WuTIenepkJHXV9QLWgcp IRmfWQnyfbfirPPN/4pz7JEbYuCtyaqUb6ipZViZ5i+uBEjUYR7X8z070nyCoP1MCTx0OleXh/ij OscMlrGZ2qjVOYrcUARGFG80TX0llM8rLLRFyhbGH2gh2RmtS6GXRZQOb9tIiB0RIbQL/vMdeYKL QchR+TAa9WCIyfF2DHX6esVl+X3mE40ASsc3JBsc7+Oo3iXEfF+UDuPhN4fsdK1LAQEVjJznvJPv Ix658ilixQJHBpOFmO1rmXXgPNw1RoYgm75+fwJ5kPm4zhUAAlwPLPkRbaxpE1D0CT1dZr9r80oM HZm8lhhw674utro/reflb6B5qEOPW1P+U8djOzdYdZAsfEw8c+wzPjYFJFLYoqfR/A2B09crOAHF 5jlhPZsOSLotRMb1xrMy6WriTjnoTXSjL1e9WiXIQmp8usJYA5wtMpwlHhWCJ59gIgbTZt25CM75 32LB8NE9biLpCegE7E1O8GdNjmHWksGIo4IJZcKbSDFB1I+F0+9YRqtvH/CxZqsWMdOjlPHDvKCY /6KEfPbuz2v/yPNa3LrRGoXQSyFNeIGS4vynvIcKUeLuVvhsdzmF2NdJVo82moGBv4+Ttb3iuVMX 49rpTm6BW7lRuMSlD6NvTwihoMslkEP+nfLrh/v3bk3cCco05m5yJ6SK8pvVhaOkqqFLihyW+uX2 zLKO/9TQ1AgWZV7vEABXVXPgkjqUjXIqkbea64Jw9gptxOIus/5zzfJeI32xKx6QNCYpI0KRWU3F +hVJQ98Hw5PKzTXQZl7HIECc0ZB9RH7OrIrhpVqoGITJBvzrICXafvvOCKmW8cx7gTE0cJ7mSFIM 0/AGxCKt2BxtTnUR2Z2mKW/L8O/qYgfh8Lf+pQjdOKpTrdHOz/jf+X92qDQjDQ81y/oqzBD4dtq2 +KlGbfE0Y3ZixTd6wwwfzzjjUwDGeb8+ZX+Jyjmmu309SaIx2d1omLuys3TpFaBOgEiWrNoJkCjw oZXE+DVJjwwDYPSEe3TfJ+dWenxJg3c9lNzXMiiSKBw/kZEGZianmOHRcc4G+OOVmWCPlV3qtsj4 l37FrcFoNAZO09Kw5vpK0cTVkcWKb13FS7STd+encF3zX9yQbzIGAhyLB6Tjxs6sMbVkYf2gUqde i6zuGBvPXYg/6oIWC7L7u10q5RrRqCuCuTQrmtnj5+sDTv07FRM4h0qDGsG+/9MPkhdEo2gNo0QQ KAY8Mc7PAmhFmbtJDlpQ40HSNe2VBDwpM3n+AjqoWSwFxQb4DSZ2IBz4vO0Eb+o6Z4Bq7LPU/ZAW IeXGwlOZwMmJstanSP23vRdWYa3etnBYIgDwdpWXs4m0q5g25QgDvNDZEkWDuL3TUGFhSwAiJHAq bPIHeSWFmxgPJKNf3A5eXxJsyk6Zv+PDPDomCFxHfeRHWX+8cOM06FQBmTRYubFlPeEratYR6tf9 FtHvO+UGYA5Ub4JMG+M3MDWc2T3VUduF66wUL6giPYJ4HnFitHP3mJhYxo3BIaE7QqEGX7RL7Kq/ TdxGZ+SLnfsEib0aT5C+133UuT4Zh/xedzkS8gDNdak7kJVslS/muBaGYlXtC1vy30hOyhQ8OAMY +Fao8VRif3X/3Cn8FS6UdE0Jv+kC3zCC/zabvayXAiD9Lkmk66pHpiArIgnUseBbSu8ku3KvJOks 4arrQhUtW3mQ5nFHOOkkv0TyXFiljda4/7xFAvJxSkUAtcl/CM7kDfrpsDbLneGav8VpN6o/vd67 +lu/1/h7Tq854I16CkM9bq/69Mp6LCCIzWkRb324ffkCpJz8cd7XPipHhHS9UlUhZS6+tvbA9kTx zzdLVsYpv63tXfCtKwChYFHuyvmfrO38hZ1649idQm0MJ4UqkvSO3HIARvRIwfeVqi9yQWEIp/gq EH0t591Fkg397Hb4jhtYakebEuypnpqJKDWVS3el3gnO1tOZ2pKqLgl7P7XBVtlrPgVS7YUGoxwb /UJsI1pohNW6p8vLiClABQzJHzMMq3O0xrmt5OFZTGlhd9/oAwW8VMMo4OhoOxzE44rFaiHXUstV LKqC8EYmI48M1aReRnQn7PwT0Ov6KkVMgiCih2kt3p8A3EQ7Pwdg2/M1nR6y2wIGGJPuEOXZ24HR Op4FKWHLc5ufYdB7bBBu+iMR5Ypy8mJGJhR4qZ0S2as4ibsUvDoc8nKJBEFhMso/jAGob27BTt2F LCeodXp8FFvDqsljQNPU/7NhQUb03GfgdbSCociztKa7UZGbqN9l/9r9yY7bBEqJzhURrL/TehQh Uu41ZdboYmnIQ/Adkm6JLBbhcThfhKRQa7izIzvwICkJH9PDJPlvH+dhg0jre4LjvT/QPCuGNQXS QUSKB+y8uzfHa7L7zGn8rqhufqqStTTbTmpIIt6CwPqPJK+p1eZssfBn6vB4B+aoK9SZ1+qZw8t9 YU7dH0ICxRRn7Q1CXwxcZ+kPwp7AZK3cyDU2lyJb74U6p61MHaJwp21LQZHMR0mG9UkFBXu/8HDq aYI593nVjkh1T2fYsBk6QmWGJqA+hUAxEfYHphnx0HsxVbLYr11XuxSyNIm9XNZFw3GGq4bjRA0S 6/6Laz/pae/zskqIejx3lH68sl83BIMwSkxeZxbFim6cb54mamstCRB7CqROtsl0OSBw6UiUcHw3 SmiT8HxQP+Ys5IY2qI+VIEnJ8QsHqC9RvwC3ywUOjPpzPdy9S6Wm7Vh7S3KsMCyRn41dHlmrtkaA dk8tisHmG56QdW10zijYWsfrR/As+Qh3lcg8XqY9F+wAc+fEMwsbkbMEr0TSCeIWC/4zeWfKEx3F JVem7mYS15l1c6fU6wHLJnGit7y80HJIVhua8zq9p4M66q5/PWkfdZVJ5pI92wyC8rSboJbr7uCU APZpkVXv6FhLRPep6RZt3IMtiwOH3z4TkwhyzwupsNUNrpTk+/FuvF0nQM9J3ySqVxnsYZ0yb8I3 zQCd2JQ4ZX7qfVb+IkNoXA3knbJEc+BQMMJ6sCbEigPB9QyUnlCsH5QCiG5k4fQ+pWN4MfuBznDp 5JR1Bli6kyfBrkO+Jz4pg5QSX+sZUPPM4R8xtyX3O/FSAQYgiY5eJxMUlhax6cvTktH9vypZFsQF HcmDWNx7ZHsZojTarWCSW7nGSsdfewdglEBWH6E8GPMn6fXFHFRFRBZUZkjIP2sRLgimD18zv6No iuWNpbh9PV/F2XzJjNT5a87YGIWWVXu4sQOzGLoR41tKeDTkE9tF+RSVRPNGHW938M4imFqmwODC 81hzR+sC081MG1c3N6bWlP7ncBNp9aE62db/79edst6StPXd24Q0NFSLpwMh1yMsFP7mEKoiD9UD LubdcmnM52iD/369tQrX45OpU9QXO5SadD9rke8tP1cCzg1ZYd8gbpSrc05PhK+UDkWtqQYNYGMw 0FJyi576bgYHKsUBLF9IrjlHg2N03MJjVbsNdIetcUeHML+5MJUGFoaaVS47hmkQtzv1XGYnmEs1 Lczg71rwLl7/eD7Uz+w1XMthxTLnLTwFY23Cofn5I3EmOsiN/fpPPGD7Hfuv6nd9u0psy7fLoAsC cSnA1jvjZn5eKq2laTRW6qSs9NISgsCLB029ktaAd5xKv/j/F0i2C5ak6aP5Jrdv/lRR8fayAsOO pmtMtyj73HGN7QQ/0lFAClNrbEtm8+GI8v8FzRFf14fWYJTA9LgVkEnhDlX49CbX7Ebbx9ohNJEK JsNkUVPjWaQY6c4X3Nr3QckC8jyo2GcZWCBgW46EFNljxtaHyXWm0u7OKUgoTvQaMkX7ibrV/avP vdOdqgJtK+Ue4//tOT3lG1CKw3GFfr2XhNJAxbjFzfBa/7ESiYfZ3zlRXrS0v77Ypl8+04mgxYCx c4Ylr1PKiF+Ud4i+78JtuD3QR/RNc/dz1D3/tztAuu2xTGMRL7ObSQUnf2mE8GdOx3BmI4BPsNUR MaYskTR75/bMEdBk1dOVx3uVuXxgNWkAKWyLExitlDzcofLR1gCpv4DAtK3Bq09e1o4ZzvJVMkxS LsQDpfjLU20qx1ccCTIRZ8dVDBKWECOT+LikknKWlOWzI7VIUCwLfOGpTo7miUr+u1Ia1EmGviUK C6sIwHmu2lR+l7XEAxO37hxKhjK9/hGTbeW+yPZsquvS8FryTkrY+scXXzptg4eD9ykUDkrvCk8Z f11pH5ikVYXJ0HVYkvd0sSPM3Q20YlWSLRYPJo5I8fAed9hNp8N1bRsUGrfv0E2jeJf9sFjdoU6A Gi7VMHj0MbMcfmhm+Ax3wX5Z4CXO7vU/sUhoyjxeWQhiirMhFmgxHIKXlU+7wvdolk2wKAgissYf 5GMS9xBUxZ0zIrW1bUwpkyDEDjJ8+EDJ3l5UBnzhvObbR7uclS3/GcUwF+Z2LLelkCSaHo+7yOD/ uXUlJLknYFKlSk37iK1NB2L14pJYLTb4aCs/+BhmMSC7w7Vlg53G78XXfkT90ckF6b+1/CJIydaA t2mXNUhZ/ymY5ADgJhEw95hs/GtA6nui6DkbgMt0tVmkODtWQ+0N1/Oek1+KZaikedtjIE1vk40l 6DlzGAnctLAzPdIFo9z2+omKAnjbKXUmbZlOk9zZyv2iTVTNvbeSLpLdCVEWwAhP5le8N/WkWp+h fVxR9u49sx5ATWeteOmN9xCtif+bhto9I0lpqTvf0OoBW12cIbmCmbirbRznxRw6meomnGrPzfJq nEcy94LooBUaWn2XHZgEH+lsf9sjMd1K0aCvgfhzvtwUAsM+n2ARygBau3SYKMSaoJYHbNj+P9Uf b5vs2HF6Qi3cjI/wWLd3lZmW0UoCuYQgTOZJP/hN383tcsQq3ZleEfEKalFhEO4n9gvhB/G6YA5W L8s4MFFUmOzL/2D3Niskfyh8BichxbHqvmOcTZCjkzvQqWXkDqQiKn6idsebBRlmuBhAcOdS4E/y WrYTHwaOlDOax84FO2rrr0+kyEVOfZlUau1FkHmvGIWDqHre2za5YhmWnON+8qv91Q6EbMRICPSe +ZchAxNE/az8ZSjXaPNhQuUVZ5v2tcgHqIfQEMqILHuOQl+WVqdNFsMam8/1K0gA3cywyr0adwPL xImoMfiFKtz3+TAAFp8ci+0DanFxftJn2hy5hrNir/9rGDZc3moVZLqTYLaCeK332UmQGngLqIHL hoAnInHxHQ+eeWMXONQ86RjxRQS2/++fpwiAUo/94WNkuhGhHMQE/puGykO7P88Fje5gjdqWee18 bIwiQEBgGTP3cS+dkBTYXFZhx4XKoM2aO7Il7W5sD5MI+M6Xitf07Q4Pj3HIR/tLpGv8UAwHStJW LEpHO4y00G2iZ+M9FRew2iwxSkiFEuyL/V54VG/8R8iVCHZSkMdxe7SILxV4kjOy1Pjrhg2aqyIg hZb/MJj2ZhwGrKr5g10tl16kF9kYGDzbDGKOy18TSzeLZjvJYmpNrRvK0vdXZf6IY7Y5LAvMueKn r4M1c9XIsFuT94SSdxZY0nQ9iJMv2AG3978lnN+BDGxxmDnEd+LUaWJbcqknbcq3jY4dAX9WW6FH CSH+OhHh8dUn0kczytAcjQi3OaZ99+i40GsgEX+kHFTC2qazOWG4/UZkzu2yulyZmhPIef5PW8wF 0/Uwr/Z0EFZs0AfdqB+yqQLSls35bXIcXgaaNk7kDkwXi8qOwE//2GK6YAqqsAuiYVpPtGn2p11h wxrPV/VuivvdwK53hQYgmIVlI5gCmfuUpJYsQprmwkgNOcfB0LUduEuMlkoEorr5yKHhr7HE+jtC IdsjLj7VMdBbPKVTVwLoNTAtU80fqv+2Jb/sqU2ey24aSVKzGLDrOlqySJ3TwDW1AeKga3G/O/BF ts8jyucukXvKgq2O9GivQHms9zyddEAxikejObT54HY3qoLdl29kPPYvjxCbOU7+uFEjBDtp3zHv +U431cdFKub/JolvEQu+dymuAfoPXuoE/uYdhfStxoY7MlGq5q6tCOMCc9RLNhUMUqbHpZyqBSKy O8cISiJ/ELtysqzNrtteT7nM4zzUFgSEGR2DvZkrna1j4myHJD+0/ARENHFzmPJUEbR8cVKAb+Zu 1hJ1bAK/fC5TssqTP7JLA576L6x5Q4JwguKcwJd75ElDugzb/97OhxsZk9zIWc2qKl45Z8Vmfbqc 0URKqimQmv4D4+LZOtN8HmD2vUMdI53LI1uHs+shNEuokEFZwqt+88JulqikIDRdq5KVEFRSqd4P g1kCyE7k3mjEX02EG9XWEpL2ZPKne0K6MA+aMnkTrZx+nOw6kNrLmP5YacLuNzInHpcfb5CaPW6X AZd1aTjnuB+RTFxkDNkFqZqqiZnUBVxDlQPVynqEm41go8o0Tgz9ZJr9CZO6UxHPEwZGFENefD7o mVEcoCjtAdCyTIvqvHchDDA1U4fCPWT8Vrp7KDhkUKCmYAm3zirSuLSMxggQpQQD+2rIARP7i3yG 3SZ84QiBObfeLcsG1v4huZQJk3+s767MTObqk+Q0OfGD7xFG4tMRnaC6tOVzvHrdWouyB2rjp0KX CW3ZIaH99Ap96jw6OcDB3oeVSI6kCKGd731v2Ib40SESbz3IM1hS5gTIDv33x3mRxjV5/3b+MfnI g26v7O/Bo3iTGEC8hpf9fW7JKH72Y9Lpo9ILrZyiMwMjfOwi7+yxzdLQFg1cNCCNrmHeX+p6Y2cQ OjuWoQXUC9m3I3//DKfIIP4eX/dzvwypYH/G34vs3qMMNyAB0a0UNtfxMObKxq6AYwoqd9KtkjCu 7RVxCN174ul7zxJ9GC4GYHzWQvMJFFKOzjEwgF/KzhgfkpLZLOttcqRxbpE1RSRCZ+AXRdFzZCDf IEbFf2pKobE2/6DJQLckO4v+CRpr9hAFaxvUPcaNNkh9gpdBoSG/xxvsfkVb1pKK27tcNjG5d0d8 kDjrQT/V4DVWIwwDB3E98ogT8189sqJ1Pn8qVIEQVJ7gPFRxo0SysU1PLHF174/cuv13uchOgBEq BbkwQErozNKjCZKwk/l0VSmxa3j0o9ASlaPjIgbrFPqK326EHDwMsj68h1TX4ZnzYto02DjDyZ4/ kvn3mglDtQIaJe0s1D5DhMVv8T410iMNw0m9uydSGHFnrxbl22FnH1pgd4jd7/YGkJ6kf2lznI7S EF/66v4Em/tmQC8hGWLayGWjhZT2L6poHK8AxnwHIfGmWLAZU3Dz7PpgYbI8K5iYQ4io5ukfrt0Y U/VYjtI66GrYKdToc3CProlY2RaQipfI6oNB7v0dzELWEI5m/glacuY4uXZ5QVQgwwt7S+dpOazf 6+IBQ+X8+zvzgC1RgZN1KIxkAQD6kN4GBchEwDc+HKhpNYM1YPvB9aP7mIznF00ga0zZuKlyEFdo Fwts8JlDda4dy13y1uYIoSgjhfKr8CiQc4pNiX1MSKtdZU86C4j/L9jUK4f02g3DHstYF3pvpKJr y54ndOHX0X2dD1Z/Cdv/QFUKXER1zO/sQuLYovxuUUK6m1t2Pm3entV8kW6xxJaWQl7DYKwyauCQ 8Ntmg/eaEfUVGaOK4Q6T10BoAukdiJzUUwd7VG/8IgUu6yNclu3vd1Tc6Gm6G18bWVud3mucG3my swbWHEtUzieTacltjPkq07QqiOfDt3mJT74h3PUqRr1Bde9mXjs9Df7b7HTAbx5YCUbrd4hxyM5i CcIkX2BuS3i2TbVRGABpu+1zSnXJoss8J/GYgKFqwkV7umCcaxhiuNICHjzzAgqjvGvHywpiqNYF 1U39SU/1P6IB2tE5Pjdzd5bSpkQpKPhrITtiqMNc/kCnFMwPoJGnX5BmCTKxrc5m7YlgqCVeGHZ2 hODtb7cxJYDblFljftvHFQ5UtaNLF8AkxAMQ6eMHSIUqvBKm/D+4JUbJN9dYvwCQUwwEEZdKId/7 az+p4PvUqw7p1vqhc84BAnu8SpYPBEW2YbgfsenlRjt41WbZO77bki518l4DxaMipz7gwiT9s7Wg waMV86Kmt/nxBhTkTQRLr8/8lp1+AoEXxLuQ/4lCOmrJNHrmu3Otq6TvYec5gy7QZ1sLokBAXnWq JBP5ojbt+KHY7ma9uvkTmShea0ZRCz/JcqJ2mjVXECsRu5M9D+App6SvVdP7FiOMCR53byDdn6wK cy+6WVKsfPBWNRN3TDCIjWQf8wqTFJnFb74bRIJp4Q1p488wJXo01H6japeR4sk+G/TQVksicRvO pmBmzLeu6QcmJJSNW2k7gfWwd6BjmDA+jEq5nvAuIx0EOnszAyzZlYtecZJJSVUzwvkF2Po8tS6j 6XvBC2i0d3B348oapEeUYPwSj/RUImE9ynn2t90mYfyN2LSXrTiOGgjmBSaNH/FU9FsjTEJ+A9qD fGPBMrLPZRQLxtazDQmk8bGE7AT7jQ/glcv11Fegb70frgqDS4JQjjEE5vYOXrKxPJVJ5x3Ol0lI aFg1BY61rhmn6tR3DC26DW56QeyEmQck+6D3KnH6zRAK3sRQo9iGmlZyPYmV4h8qRx8dqEweSsqo V2TxkmO4QJSdFmr2j9ZHfx3qLOUWW7tX0KB2nnmMgDka2PDNTo80GRw5n30nO1Z0J4eC4/oa9I2b rxGI+O8RqzdGUfl6u+L95PJiuR46hg/2e1GMnCPRVWTAi29XcTKCaPljGzekQctBYAdVpfG+/57o viLY2QTTulbGEeQdxwwS9wROe6lY/uaEoEunuUEvuU0/iWz6C6yB1LfL33X2c5y4mua2efuCXFAW 0YleS2wsN6XccItzAseApMH02O/s7Zi8g/oICsBkJnfR6koQQVGsSflvFVUT9awgMEDQukaWYZso HKIMWXpjHU9ghMLRaNouNEqGZJYTSReq5MrCxe83BxsUqLMKCVwb859zrdujkdxIiJc0PgFc7bdT CJiuWNkIQ0TVW6TjZ6ENpzH1pVS8rVhXgWQ5zgAuYK92lWiCNGJJ2SLhonvhbPlWI+5Pwrt5/ACR Qyi2PO6uWtuSFsvUmiTAvGVtMvUX8DdVbhwn12+0KFss+GRMX4BNkiYP1xvm0ym7WpuhxHQHFqqs L7FUD2o9EpXDlRa5c3yBJcTVvvFuI45W9TzaUqA6wcsjBXc2Yr1/HRw9Kf+P/LKq4kU63bX/ckeH 0uN8iNkc8TkDc1uu2q5/acB+V/FVsfDfRYtCAEtapf7Q8C3okgaUYOhlwyF8fIncAjAAZEpLMvno WY6FkvOsrVm013GZN5cNA2dMtVgzT6mANcWnVBjcNXUXAmkJIJMbtFe7CdDqYxkE5MyGEWotuKJ1 ISG2DpUpD69KSekeB8Orw5wqK8MiVgChAA6iQwbHN1GJ9X8+UHWLWRzsBxKxzP3QCdR2L69dK10P P8lVSDGbk/xcXvcOkyCQb4TlM01Ze1ZDy9PA91eBaz51xVYc3iMyxPHiAypNHWzre2w2FgWc6caC 0TbV4LMqwa1o1qKHa1Eu7r4dub6M1KRYhxWu7c7owlDpGDSzEp5FYgdj1ch9MZktXD4zBoGHzBjS BDKQks0Y6ZjXH4puqGTGANduvMo/72ek6ctkHIzlR/9afWiOiXq3wDWYC9oyKbnR9+FTPAo9dNt/ FosDvgVQqnkG4+QETUrKwJWFTZTjlXcDqrxq/1Gjy1G9DU1Wd8WMyrewonjqyu4dfJCaCOLwxPRm mEN5D0em8Ub7nO66bfy4QPtqDinL6PVdHPRNa2UXGYl9dVUtrEIZN/5u4ykCEJtQxMvMreLCIuEh w5gSceMDshJnYTZVBPd7LzNTzf7u5uPJU5BvsjAfF8Kk4GIpQY8U61ameLtawbmyWYLoPgDDPWWB svyzQVkS+hG1pERhb3rC0WBGNVRXg7CY0J9EhUYKwQiujYEja8dJGHHfj6XyCA8JHj/OHj8jbZkm Ybi9TWLjtKMaPACZN9GjECcK/mQGe4KoukTxzCgCvI8iCZvM78EdfVVsitMG/37IZhOG40gh6NbD v59VU2HvoajV8UP775/G06z2kwQbGsA/Fekd9pbVLqXOEK0rJZJJwDW67AlfRYwszmk/XE3LDTOZ Tbycr+GT0frxabjhg0Y3EjankUGB/N32Ft09HGXjIwYh8n2R+Zn2azWt9jCioj56EsupQqeu7R+P wJhg7pJAVm4RmVnrMtuj/A5Mz21sS+qf8Pop3dfORp7q/EnhHZZRWyYcVICU4WF7T/n5ufANu5mE 8DPwfAVh7vf95g4lVhjVKZW+i2ExyfsnF+ZglFkGA6ba0uOqO5ctZN3wM53OnioFh5NRgnQgttix qWKXsUKGxHWvqAUEhra2EllRzSbxaWLRYv4b/95BHZPfxeGfJGeDbkQRXdUxN8rOUTX2sT1YBb+0 qAEdyOJBPVlhlXsKUYmfmEVWKFYeqStFDi6v5GITz8Zo0XEHTRgc+RB/JmcD5Of2J8shJOPEl7y5 9Hdm0yPaMy411HB6CBruyX8TSWbPJI2+di6w7C4wtUejMztpG0fxQ+pkJBF0H+pN77Rjjmddhztd iEQldtp4Acj7wMKDhpTSxZKmqz2xdEQGuWOHIVqPD0RVVkkdLYArsQ67EJM3GQWU8NzOQaV5F5Tb cjQPh1FFNVmfNeUyvOLGNnS9GAhEe6sY0QrA1EwgCxTR+vfdwUjGTZEw0v3tnQAGngNcetMMRviZ spnQpA== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block NQ8k3pQPdrL34yCx1pQFOj8MfHnlaLZ01eTNIBm4knSZLNRKO6sRoF+f2TlhM+NvOCohHZBnJjLK CoFYCPtE9g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Xzm/ozszinTnotbsHUn7Vb7Wa9/n0g82YawDx4YZ+ab7lvJ4fof1tyBLVKQZS+TC+7cOb8CaTtPS zcB2OcR6MwhPdrCCzwte9O5CyRw9WgKlmfwx2dEmpHspZLRH6vgi7pqhVYsBtOQIlCcgQyDff4kS szgyc9WXweb09dyyjY0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block P1Lxdh48bPQVYnNKmtDrK/XelBif43Xb8W9ZNrS6NzTVr53Y+tdPbJQIjizXz203eV7gcALvHmtv 1uaPHz/Xx+unQ0Vs3MgnGL7+IiCx2e+WJQaQdFuCNo39We2jL+9lZVRdmdYBpRU+MDBhsSUQDXIz 9oS6jyCh5t06UbdMVFvjfhG/z+JA/gmryanpIoJCF1eZ/9Cqv999b7uO/aXhKSL8ft8bzWB8hnkX ceI3izGs1hRGTJ6zMq7RULpucbhnwZ/gOv1WwAITSa6rcs9ii44jSFCN9ht8h1NFXQgAMf2UwGbV 1vNAg+zYUFi8D9y5F/jRfknAOubWOiep8v/3yw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block baCvQCNTfffzlhdM50YYn8mJWRlDGrJzpq8ZRXgXZI3fnadvcx+KwfmDs5jYl84kJrmfLpcfDzPO FnmswnuW6wtlMH7Gf09PmCH++If5tWgkroig3nGdkMDcp0hDu5iN3hm4E8nFt9i7cJAG9Txcb/a7 Qs0CJ5VkBBT16inI8xs= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block b4MQnQgj+osDHlLhBigVVe2mALAMZaRVoDR7C8OveRt7TOnsYtrZSMClaI4viwMSw44GqjsdMDCG THY9DxAIdwF+edUUo90W+t0m1qO8V5wDM8Mup/c8R/MePk2f7uiKDPODoc6zg8kEpLLtc/jyjOVY hNNpxtEPsBvWjpM+sA1lG/HGOamfolk7fJVGw/LWkpfDF79qlCIPEHAxk3wZwcJFtc0jfGTRfkux vd1qRpdKwiV0ESZdf3hCDVg0z5vKrjlhklqY5YUTcVST59Y9J5ZxasD1lnvoi3vd8D68J46rxPJb H6SJHWFT3xlp4lJjEpQNTiHiopJwrx1Wrz8x1A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 62704) `protect data_block WtvrV4o81HXVp5ucNaZ/RCkOIIUCMjqbHgM0fHXwSFhjmmFHbreWoRyO05FaXwkMqgm1IvR4y76O 1FkwaAHEpUhsOCUYeYxXOILA668VKRo9CepxVmgq8dCb6/dGk9lW2R8Q4u5xotWjSa904kaC6xSz K3g2M8+vm5+XgBt5Ei4yC2drdd1UCdUPey8gGwLGAxtw5Ae5jDHieXR3bEjCM8CmOg1I9Nn2euNM 08wclFDy0fZM6oiSV4R224bQtizyRR6J/gCW21flXBE/0/XUqkAGQnOSma3DJt5bymowZXuCqa21 2t1IGtfa0H2MAGYMO3y6qTiAj9h2ScrXPcC7wO6gawl+Y4icvmXXQmKvLo609qARP1aWnPAmqtHW s7TqTC1qZoCrmTl1gLcdIbBPVkMM6kzzKSS6cg2elzCmgIw6klru0zt1ghLy9XDorusRiXmHEVG7 wmzS1dAFCkAqIE6qzlLZQrAz5BQeirTNgUJ8Q9uBU8GjZt7146ALgeXDCY+I8ZrC/VQkLLQzKL70 hV4sCQHrJ7/8YFJNahxOhb1M5mIh2MPIOdanRYRcjLe+kj6f22cBn9425l1z3AmaOrb2BUaSZTYq vMfeiZ4ItrF/QloXAHLNVqSOM5rBmjsOvzx/xSBovhgEP7nx5uKP++Z1MCbKmbqs1/UpY/ANM8DX 7x6Gry2+GngOlCNBeId89HqIJSKTptDK3jILAbolp7B9fn01vqnWzBbawY+mitEbAFRtRNoCtXNO qxn6j8gRD3ESREPiBWGw4paT/vpiXVS+YVlXoTMesJOiSo5BDqot3Tj4sZDTHwIrjq3u+fPucMAt B9i1+GncHJDIvZe/trFZqMUZRVuGqyQyBDqc9HK3OqY2ft79A2FGiCZ+JsS5qr7QJOX9aQRgmQO7 cdD52hgFldYUwdjOIe4GtF3xRa4eHC/o2Epe6/6UtpTl/9at4wuf6R6Rt/4Wfk0xzGNFppDzPkKu rKhjFAWHA1wGjt+sqAqaeod9RP4z4OENUcSqLRA9BSWTjaGezL3hfzauHiBJIizIv7ajDYnzjlOl 0RWCcR/xmS+uNOPs5u2JZ2BDokT7izWat7CxOf9ZprxhnU12g2mnaICL/gHkYfvPN/WkVkJY3/dK Pe54LlIV/fkZ6ny4EkZWNfusOT+UM63Q0xW6VZ2/U7XZD46BfHpgCAC1hAeumbATqBeFHbJy1vIv R1+mmnAdiUDdxyqmcFl6yCsLRqj9z1AH/AohRhdyF3AtSAK47aCblP39wJWSTFH9DyCcIeLJrgGy xBhH0S8WNE3O61g1Ytpdn6EagvsUPivjoKZlrL9GUMfrH2h7AVyT/Q7EUBn/1oCUkuNpAjTtHYrX wy7UZ2yVrXUs3BVjXjAtSwLJEhfkW8IlJKHNNoxQm7FoS9DggmqBJV+cAz14L0JZqBoXvAavkZx0 IXdEpNz+NAsq6KNa11NSC1HllfqaSIYJLYZKTTxZVoNxoUjc8/vew2PBojQi4FJbXiJ4+867URdN po8vK/woyguv3bE4zVHM6lmT6q9CTYNATaWZFuePqsba/WS+vFR1xhaXqpJC9rE4xYr8tEtR9VyR /6iAHL6I80FfjnlTBUG4q+6kM7fTNoR6d4y9yf2Lbmd1quzX5Nx7vwcryBwVhI8VDkjufD72Ynpw lZtxuGTMTynfhjb1qXyvQJBp1o7jyLjRkhOv7URU7WCkLKY2gVx5r1EJC8qgCsDrMtlElUQ660BI 7GBV4mprnj+P3HvgAV0Y996dOF403ux+cPGrPv+hS4Jfi7vgZPx17HbLjoBiMr0zcOY9bxYr9YBb YIA7qjJmdQiuNcGVXnLIFVwpJ0DkQxZi4tJI5Q4ujSO4yv7SpkGO4cwCqvucieGCwNrFgztmUZcE Xz9itoyreD4QX2hU5sUEVu0G6NgIsXfhI12YG3LKMCeqhNCftFRnXA5lDdRN0kzF28pbOZR7OVIy 7cVsnml7uDbpGFkkmyLGipcvIUDPjL9a/5j63/CcjWiJNhUA+sQZe+BJIW2z0ocpfvtrg7dITjDs BkgbD+zFhEiArn4oiX60XRv1Kh16judaFP7k4pAmHSmmp4bvR/UmE5EuMMdeVgBQROXOSovglBuQ pWRYIuCILEy/d3foFT9an4B/iUl0oAP0Pw43Jky0LC2Rwe11p99fcEMXvoxwfo3kRrEQVsElqQbJ aKnSBGJ/BqilIbNaUv7Kq1r+lFNBryVCHNCXKvXWaTtYzWV5SVZCwlNx7cg6ZZ19xl1a9dYNtylb XcM3dDDUHXGyTYNs0+yqCGDteP4+6RFlZN6hW6nE4kgq3FiLXPLdp5AmTzeljJpVyLDCEUsBLUTf vO+Lj/RMK1YFZLNJVi5/znBOBDuhoFTnZn4QTARuOJOyPL8407qiO73L/f9AM7iXPej/8uTyXp70 BUk47u4nvzCnELKjwt9SWvbVJgr+gfRHNI8tjmwRpvGVXyT0+IXzHAT6IQjN0PaZ4RDpT1dz20vc 1DxpDP3XXdc81tkViyTyKjSmEY5sT2Yf0adk8XUAGRGykRSYKS9vAPSPWnyQ4SsMOIQ8JWSQXx5Q y64X2Xm69g63NMd91zSdaCfefBA6MHi7+/v99yNVNZZ3Xe8eMXKkpghD/uamxaU+IiaIx4WpgJrn SgIjt5HhUKMxypf1AIFMbcimtLntv1vtgyjxxDng9KPXaJMGts+B+hIh0j1u8XuTBMFc0f6J15Nc qVm5d6t9vOO6YTFTFufWQwU7SyOPp2ixuLrI4f/VpoVrD6DXtJsDcXGZusyrqhOZhVio5XsLqTeq ECr9V4ju2NvrGCsYcUwuJT6uO8jBHxde/O9vWcF4t3l6P2YG59LSM3NXOsf4gyxKEteisUBfWAG2 Cns4waLZsdi6a67jRoqQGSqlo4/tD5MqcebLyGEcs1J/wOgGFy+qp4cIPpBuCaoKhQkxDdh0X95d l2EjfiNfUMEIB2waig6EKsydgqTjzBVa9SNIPN7y8Rs1n2Y2ue0meNtMseSdzzp2StpT+R/I5g/L 5ejvKsczXWUIAe8oz/AMZNcU/nHvAbg4XzV9rXzQd6AfJwm17KBM0xQFqgK0YH0d3sq7Y7jPpvPR 7dSC30+4YGqlYs6PDarKGFI3R+Og8asWUiEI/6z8IEfVBxvPPi/ZSHWFZsFU4bVkM/ziahiQzMum 8Wtl5TzJxZ45XN786gvSf6yRIgvfQlsedzEICVc5Nv49Qnviy2SbAGg4MI9uuKMG7vN715dCh0CY Cg6Yh13J1WzHtlLB30nfJkstLXgnT4/snW8Y7zyd87N7RhAVq0FNJHz0bn4+8fpnVlwpgFAOxHdX xnHCzvN+Lvqzx5JuAZ8SijP/1epUrveT9KK4n64IkFgSlNCniE3wX8KDl+R7Q8ZFfpe8j9VbeRa6 nYCXbqnsHUZMP6Zohs09rBC5HFLm60J7EFWN3kOQIJk1p0V0K9TS3TJ0Glz0R3IKNnY29RZOJ+AN FGpw+ScKP6p+Zwg+PkOmh226lE1c00S2a5kvn8+kGIVtks34gQAJIjqt2HtwYvDSbw6CVPuS0Eum qtos2ad8WsyMa/ErN3nqeVi/gPKIbPghaJ94ZbKi0rwZIN05ShPlSBl+wq6bP6tLe7imAZ1843ep vPQ9TtD67MJ5gyqsZVIakPwmANE7luXGYPvIapxN0Hz5dEEjO8upya1BlDA7a7tOBMEbNm78bTul RhqsQt0faQoQrbw6bn/9/p9aqWTU2FzOViEpKFSARsVLnchG/+5QQe5ViBzLpDlN1gYr5zfdJOpE 8ougaCmBcqOSPaavYMh8TU+GVnCJhcrzzY0U+bT5f1Ic+mHONW73032ubDfUchkEGA49qzwKgDRq D26ZMJwdwUdAiII8Bfh5Bc91umAFPKp0mms6LfZhrwZTSKBbcHzDsv4UZNKDwtoCbJT+JFL9zLVg iQvn7k4TKKuFQIpENaUnnROp/3JnppzJrkfmE3Z+Zm2OmFm+vM4mgZjqZ8vhHcdfoF8B4aLLzTZB Izy8FSYRMS4Jq+HgMf0yOKGDkb+38kZgsn20qmn0Nf9BbgBS7zOeEbobMIehz/7lGzA1GzlEtXQo dOwRfy8krrr3PMp+vX59cnPMVfw9I+hydcdGCtGWPdZvfhzAAzYVCjzhvPp6NmM2n/5u2YTvrd8R RhGvMWPl6dEfN4yP8O+kL4m4XX/wtICFoqR8AbwxQyMvs5TQ3QYQKFQ2wPkFRxCwOC2XubNEmYQR lxhavT4fyj3BguIEw5zITkURcwJ/YOxIm4+jkBmi54bWn78WHRxYAsZ4BYM8zs5wZEnjRMB/Zxcu HRM5fxagWTUGIc7qMh1Xfo7Qu86H5Y4s2qNhVkBj8ySwEdqo4f5Lg7ms1YiVF2AAbUArgqPyIcHB xLKSeKnlcSXlDOf15NSrNBoqS8QiWEtZeq0sJs8qRH415Ah4xWWZNKtePBYSpOcr0TcWo5Edu959 0vZCeYa6WLFYk8CXj9uTDdRvv5nipizIGNobhR5aB34/hca310lpCj0+GrKiwTRF107x18P8gKDM fdOwgPXU8IoXcnQ3B5g3dH5aCkaZMtQhyFpp37InqwgW5O1kEq8YLo3VTDUCEzyziwBDh0CN7kI7 oSi/swl2JstwZZJ1G5rDPKMkexrCuJWhjf7ZNUb6ujcJngtIiqwuKHzjf+k+lzdsJDIWSwEsDmFz 3BCK0d0sUohXpVRtR00zcHyeOtE3XhUqRCUpZwOOoobVWt8vtvP4jd8edtUGELGk1fFXN3nLmP8z 4Ru/Fn60GLsxCcxhW5FjmR9pmvBKtwNpb0XCP9Co0WYY39bAxONfsMUMLWzGKLzHOs6y/O25Q0CY Nrxgkm4tKHAfSvtXLe+3+mKa5N4pIwi91fxDxzpBV2mPhuil1wNkme6JK2gO74RJUiBUSlurO4Fc ga3OmzLxyNdct2ztVvFbp22TbzTSOzwwKmCcVKT1i+UZ7QYqLjbkTDIPRwD21D0Wa57Opfv1hANI 6PaAt92iFZmDWGisp4vflzk8GrBKQM/H96uX8bx6jIAw2EL7uEszm6iqSsczJZhn6ggHF5n+TvJK Ckkm4AaBHV8H5w0KgCSGDKk9ihnf0KoBXRU04Ii4pHE4UATSIC2wS7oEHfyDwZHGhVufsa4SKfN5 dCUifuAilyA3ixCQBxEblZkbP0k/pvRW2Pymg5geHKtmV77bunnoxCpAfKFI8C+WBdKFSVmsiyJC maQkb+a34kiqmFeOKlAvGXZ619NMre+FhrFEhJynn9TmmfzhefpKv9gv5OB6rJhoWRM6XDVQCU9r NqMK1Cmz3LpG0rPraUqW/ydL2f7Mj4CxQ2GD5pzo6NJ/q176Pm+HA66PqzVo4uVF8JcH48+4ontj jM1Maq9PoaCRiDv5KJ+UneO2LiGeHUwd/W/K5GTQf+IdmtHDB6XWbr8NE+TaFwYvNNbi3I2/pvwZ hTfSteBaUoFLpHZuO+VKXC4jWtpA5UCTf39xx+lOArKcjldQIfOyqrlwFnsDajbIcpRmJ/M8BhK3 CZMiW2pDVfVBWb0U5Ob4GFR5rFYMYP892PgODseTprTPNL3VPaOiGCuuonJtavWfnsajSySOlf9+ iHpxjXzupu9RcNeW4dLf/j9Ve5QKLEUlMIo2PWZfNcBTDjzExkrIgItHKAgfK2GFtqN1dG7HQEg7 p2yB3/24Ld20Gs8tWp0ivbJScJpmXFrJbZd1EvV0aEd8ghIeV5KGO7rMAS0+MLktMSyngp6+6/MA bPj9uIx9t0oWaVz75aadVEQLP3YTZAxJZxR/dolEMF75iymHS7a4s5GUtvqwdxS4ovLbZdcQWDvr KYHTSCRVVqBeZNx1ZhOHSGov0Mn6lswjO3spQi6ocmsWKB7GHH2ZRR18ZTtdHny2QQ++dssagSIJ LIUGutyIzq/SHoooKDdiyKLaeSF3/Fmg/VM1AbRRgPIZ1jUws2qk9sxPedNld6/5nf1uyMY/DV8u SrDaouw8oxwoma09uIepU/6CjPJzx3Is5e5kZf/NhjP2Xw2p4X1Rl8v/tgiXz49Km/dz1czwBLG4 MD1CdOTV0U2OIPre7m2XxwspuH9FaXQY7GH2mDsJWzQY6BmKAqHr4YdsEFxsic0GC1RxInHCM7m9 E254ks/RGJfRCjo+PDqVTbtihTOgW8tnrkay+HTEUVmni6Fy2Ppvg3OnMN/EtAM5NxdKjf9ncrXO IEyaxDtF+CZNOeSdqbt06gzn/sKpMqRlL0Nnj2V41K/jqcMjEBq1juYmj9mt2TqgS5/zYoR+q3nn RxbpU4JYeR5CwvAAfrTR/x1MFXXMknFqy6lryHuzoY5ofPmKGEBNBnEUNSsN/1sTNnnPbOAxMcsE tHb48qhgVVWB6qWyMlaHJI3QDHpWAKkLFlnZXPUprzyc/sl0xeRKa/dVhrIVibUWjNMi9AOx1blx SytWRjjLGzLtkZeG0+xr+84vPI9uPr1N733uUVZwnMC+Yo9VZYURrtllwhVbeXPbIkfrCVyco1wP CwBPu9AEry1cMFcJtAT0ntGoAnP66hm4nuuVOT2/GbE6p3hwmhtra85yMkkLY8r7AhmjaJrdzYF7 jDVQDPAVF2vkb0Fh7F/WHEq6fhztzNmV7DDfF473y+1XDLp8lEgu6SAwa87c1QwaO1si3M4drDuv bAiG6HC1LeFOKuUWfhZaJKVE5TZeuKKHuA+tjJtJZ9Ma0kwMtSoK4WHrwJjmPSbC44rfIHnKS6HZ OopehaQIECVAMXEmHNcCr7WTXmyMUswCJSY8M7z0vsocdz8TlshoJN/vfUgNleRW3WS89+iMJBxE r79b1HKOMaZ70t/4aaG7Nb9mdC/7jfz58wDwIa1ZvBsXhaRYEOtR/wn6LZNJ4n8kJXx3qkHZaPF5 C3zKja2knwwptww1iVgddmpkpTU2NU+mMfXf0LATGo54Xnix2LQHr6RFh4Ulv+fe0z8SEJ0WHACi 8EYbgh2qaNfHj/+5MJh9ZV6HBjnanXgv1zMVxkKuuMewBpuq9m780m0C6Udvi8tRgU9nyG+sqvcX 8ZRUh+nwBdEG6WLgZ3Pdvg/NEAlOf2f0aAHgfVjifEYdxIny7oySUigM4hnywPLKhWHTD9UUwNhq XLr+rTEeYqL+shBU829AA8lgvjX4vXlFGLfmjuFUuG875uq+TBQ+FTpPrWvBCR1Fc70ykHefRJU+ 9Cu7Faf0jSqdH+gpdLO/TZsT8rhTGlklDfkmCtgM6P2oT2fDLHaujrHwoOHxbGt3FwozJoMFdNT0 M7vRcM4T/SLlmyJX/U584/QlNQAtfak7RMI9GbKRp9zjD/8E7be8cILd92VxGstYRPW63vnc8BJZ 7cUeKH9pFw8bVrw7P074PCpr4yhjQxYzzNKhn+6xqlUh7fGa4o9jz4Z0xr3Q78EXJzQKxi5OolwN Cuv2MEvbz/blC5UYDBo7/lavSUJM/YyOfoL42Nq8JNtcelMS33mURssF2lDAIwJRPz5RVf7pmfMk zaa6gvDPGs15LVma4BWqjYBehoDge4nd0WjXSulZzTPRgz5OZWdbdX6mCuIQ1F4LfpifVbOxTCTG lGOVme/AT0zZD4BiV5czxLNBMyT4q/Ik42RFo1B2RUxWQ15VplVe0eEh+PCDiXcsHcPQae1tEu9J AxJSzlqjtoVt7lW+PCDR/sIACtFfYyM30ck6n5V06dv30wan61pxbatmVlSlzoJXAbAdFLKCb2nD Yek0X/osOxRVouULiaCpQZY5yjjxBxTm+L5ArKkrYWgiT8qVuzVCDaSiNbt1SHKKBAYxUMMXpHtw pzsZCWsj/iQl011CeUcuTTyMboKYCpg7muo0SlkwlVDcRPrPUOniEboaZslkudgAs1e5mMdBy6zu XATjEDYO8rGQFJhXvBkT9XYlFS2BZswsG2b5qpTj42QSRkDejST+9VRTFa2uBomiBerLRg8II+ll dvX9ZmV2XTaaHx9blyg2m3c26SsIxkpsZ69vuhf0CZ4vGLp8S5ZxGblt66nFOY2W4Y/1FP7vMNI6 +CjOx1fOB/NmhNyl6Jl/XnoTJz2b9VGHoXwqXDKKA85dStPo4e/mNAySzc1/5qtz0dNB3SJ+aox4 YQSAM2YOu99EvFdYYupHk9WJXngYinzxrirtqeQ4Q0EOQemE9KK+XsSjHftLd6p2ob38nC7A9ZIV MoCml1Mf+gqzPxVdHzshc/2WBSkIQC0m/i3hhi5RKzlu3DryqgqPorqIDmxmgHmtNE8hL7mv8dAw TMB3V0nP2GibDcvdOHJE9J4EqnDzq1n2Nh7haoAKc88mrcOsgDTpRJICGsLPItiS28bBF40EODhM oDMZ+r490iMCXFRnHvu2fZyA3XnHdI5jathfLzYPnf+h1n2BbdNaDpYuZSeC4AGFurXzVaYOhFv6 Cmog3Zrq9FlpsRD1YEbDoSgjCrEOuYYqyOHjNwvBV3Ltn3MoYBEJ3qBAHa+h9RECHQp5o/WQ/T8/ 6iTxGeJgHdgStNMDGdN10jRtNNSdygq3g5yFPaxdrk2C86GyIgywHjY8RzMCn087rkok+cjiPViL qTeBWxE5WPctjaL1Bw9ZgCpJti094ysr1DNW411u1Bq1XZ3yaC51fWyK/B1qJZAuoiGYzRJkYQIS C25+3ZvGHgzncBDp7SUwJv+TTsCzSFvJEGY9yU2pSMJvhrUbgdJzt1MpUpj6nlcMIdDDFhwJyuK7 drEmz/NZZhWsAi090h07DOgi9M5XEotOPrZgcPW1GVGP4qRbunY8Y5PKi9L38gncaHK4VLIcJwOc KesdT3Ivu+pW+NaB1pCaeT/xdbBVu+SPowkkwStWZLTKC7Mb/WFeU/YEhowSMX9S7nJUhptXmRmZ d8E1c5AcePHCwPT9lMLnqCK08aUY7EqLv4J3FlWN4Yc+47A8EXo9CeB3ugNYa7MFc3eE7KYhpMxg mvB6a2JDgCPja+jHaa2qd3NWr+8VyAY2Biyi4D24sZGn2q/fGpGnXDISANwOa786ztM/zrXdOcAz 2ZCZiBCrF7+iUDFRG/aljC12wJNTu2wGDzbThlHWuSGIeK18v07kKEajfWOrWM/x0dbo+hrrLnv6 2MKljtWH7yu1sOHRQ0U+yr6jITzsT8A7g3MRYzTHY9nGIWtEUANDj4EHvwtgkpQv9ZYgTHrsuBQD jFV6KIYZ7V5MkKXYuE18dv8wNC6jxlMf35SL7V2WsEZbNChNFiwpu6Yi8YYUQoxm9S5xRzqUm9Za 02rPq5IuPMvCgHrGANtnq0ydC9fv9P6dNNQnUoWsmM1FEafhh9btIsW6qL4ogKALOzjbXzg4IdfS oh4juE+ExjvZfMFctEyyavAXnzohZ0hbll6UBttrzkFl4UBHwhdoZALQD1vA2hsfBO8BvqyknRCc i4WGBb9XOBraz2Xph0/JUBtHqIr5vdejcszRokpfAP/MXOcK3XxZ+yVbXIadTL936pmceZ5DClxo ly70lWBLuTBpnCwXCeCtQ2OYpVnM/JQ7vopFSRvyT/0V93nvrdk5BbMDESL8arMBXl/iRajuUfRM IhnGF5xxC4b50DNdiXTWATffRoRXKQxpff2mdgxLQ/Q6gGYRtm0yUZMQg+roXII4KbXJmZgwqybY 8+n23AdUGFFYqGSIfKKsQAqRd3Ce8rAD3ULLkonvg85mDHFC7oKyFIx8gHd/c9fBbH3kGMRj6y5b JpB7Wy4zLDPUfjO1vJtgbOSlIq/k1CymSQ53rn+CQSZM3OYzf0GKIndplgFGUdFUJYCQ/waay9hy 73VKU9n+e2McRDgyPOi/Tg+6fMX4Kwxea78AUZg84rmwait3xOZTeY/4g4b2wncVnhXTLmFJF5a8 RCWq4Eo+csiJOPvl8Vh8Wltf48BwxlReSwJ4Vk4cpKq9sqMCNAbmgtmHvyKWjLrVJarKRKDWl2zk okvY7/tpkQTnl+GV9xpJpAGtSz2Qln9ms1SZTiVgUG/0xkufUmVnhEyX9VXdlLk6kpT/UWqJeuCE JVjkZ3jZS7OVzfk2Xm1YiPfBoU+SqFxrwVxjGV0PJuPqJ8OdPCzwkurpViPZ9KeclLrAiOiyB552 UHtc3fXVKQ56KG8kxi5tbes8wOQ5AbldnXE9bsVqZvJ7QeEGQs0+x96nQkJRjv26WcMuJ0lBw4BL qGSAWzolaH8SEAN2DdQU/48xAHh9mZLQ5C+pMhz5+fghlOIOVY1PEYVotQtweUq9JNZfzNJHXK6w rCNRSz79+vLNztcs8QPcqeN1HQrWBJa/obztxxDn9MHeoaAFzcbo9bxZ3mzA6j7I11h3v8OMl5U4 07cWj+jDIsq7SO5afPCbpRZBeXz/EIbtOYWvuFo1R6XMbDvVbpArYhAO0zKs9mJSczcvPux82Xjl voHPjFQARbxzA8XFh9d/KuX5JJsIlPj0oJK/ix5WcaN8QAhZpJmDZeb/NXOPEZRYHK9LtYZpVGxH VXLjqqTpLJEuFUOMppo4V1I7w9ehVqGB5Il7nxBZ9Y9sMCwmFMeroQc5WmLfZsgTrCJxNXOffdJm H9KHOg3R9aUXDeevL4PH8TMY5rs7QRmJ/qmC438Ol9AITm8AxR6Ltndc7mmymEbJC7A50XVu7rvH SsTOteMRKXukJsbUVwCJkgzbayXqixfKbG5E2K1z3cRiCduj1g2T0mnc+VqURa04DNlEML1dngSO 6Zx3Y3sI31NzMgR78Fnxuo1IrMIA8IG387tML5yxplSt7RtIyjGlBY6b0WS8jl55SRpkcruklUM/ p3g8egNFOqHxb6IwtKvUYSnHdFLr5bKD8ViPVn2/BqoouCzNFdk8jfM4zi/XBEzah5jZC2SuVzVt bZrxzSmZViAMYLILDfuy/TAQwDOZ8Yn3GiV7s3kpjeuAW6tqOpCNkeOQhFHQA82dTTrwXFJvkHRX r1mmDKRrTmxxp4J0NJ2N0QghYEbOldvM6WD0BvA2S9BIS7zg1ZoOL27r7zGWiBmVAURTGLws1wVr LdLthWDcDQGSaO6dL9MqmJqm3hPBxqgY2amoBPIII5xUGzxAcbapWuD/MVE2owv4L7r8PK0FYt/H UqLGHKdrJa/M3f+F+HvcOXRqY8Rgfhi1EDR1zitxt8iw5xzFKWfNNuACb15NYLjfUADhWJNTuWeM K/auuLVXUvdx2+ui9EmvipQJgFZzgkv3r2wNObWpGL3WixpUKcEJRIWzOTMxjE+HUM0zR09+Yfuo AIXKgn8WUsncyHn07sotDjScvQj0CFZnwy3drXEPetz1is3Q5WiZJKn7SmLfr5MnG1GCunIvIt1w BpKCIzOpr/uhT8U+o8rR5QxyG4XsgCKy+zKHzm4GEEZ0cGl8ecoGsVCJMBI3G/taC7hXn2LD14lb wJPWMJcO7uWwATTMWAiUjb6yUd+cpLlPfxa9pvNGv6LpU8B1TISg4iqED61uOYp7Ey5q5hg0jrRG 6XlqMCODy1S3aUiA6Q10dgUvGv+CoPKCf0e1mIrmAWR36E7z8Z+R7dbBqjEFElHmcVOxRnWh9EJ7 psoBHKCMa9Al1edlt6W6B12zS5/u9YXMMLUHhioRXGQ1ayaRpf5ZkA1C6Dg/luHjZnY7uhAFbYdZ bgrb7/E/5LSz01X2zsW8h2QPdfuuHOtn/sGCx0+iZhUj7dZtL6z/NbF5njrUVqOG5YPLi+5E1mxv m5TPeexKRt+eq1IJkT7yn6GdOQi3vHCV74dALW/q57rBtmokU9iXK1HQ+7fLla9GMRYRgomYqUT/ 34klXVcRkbLE//2vUA6SxlPrFd+L941vT+TUTAtgrulEVkr55erD63P1XZVVUF5rnITi7M14NQfX LoX7k030Y0MR1lR03fHzdgvOtm9aPOCwgSBvYRVGqsDyAXrk7eN7OWZYEM28c+qNcRozmhgsPxz0 D0kdn2migrAFxlx+6y+j+7chJkNxAayOLzlDx/GWUtNnFxQBdR2nwr2eJ9wwT8nc519qmbNOpfGA WeFi1elzC+1Q0Da/TbJl94KL2dKtdKQ0eXdWEWNEA69+X10pr7/XOhHyQWHhRkJDmtOtsZF2/amv 3fgeYIrqbwSO6z0Kt8d/r/EHZfoKcnHE3wfqc/WUYwzS/236cv4pNAyYxqoDgXR0fC4PoUomnRMc wDsYx5dFaDtcNz5ZZBkoHO6X1yKm989F31SE4UVDTkS8AoWtykFs9uQzg4Vx/0uawwWS/NzQyRaW KmO6JtdgkImjWyi0IvdivLCM1cdT+vThQJnlV30OB+Epm41SQjoXl+r546j2W0ILT/M9ymCyS6YP 7XbYeIVEC803uJHI0dxcaWW8rIPzzY4ltEyjqd5h0Hr3cS79K70WLgU1DyGZJWh/uSrLIgcSiUFJ 8lzIp6Tab83jKSJJ7fPDWxqXu+lRLBOa0moii+B1eV5HoMaKLbKY/Yeny5urYKKxb76lT2fRNCa7 leTaB7OMNuw3eF5bvLx+VjE0fGFELY9KWJxoZlBzLccLZJckblPbYGWGaRgWpvYPDPBpvDhyUttk BXdWceDBXwpjVJhND3yLkQcCyHiDCHksDRh6Uch8H6iMSnd3uyDKnmBWYwKbp1x+Wmd2e+/rEicI Dm60ApbOir8qopXUNkB4+3koqsDKGtpKA0lZSNhqhCTSAY5Mu36TARlI9pJiPI9u78s/tRzPR7On 9CLbYpRWq0voRuoaVtUBHDRFLSECmrqcgyN5Ky+UzT5ykzyTPKiPlCw98m3TL2Hx/5UHt65wfEm1 KQ0zRzdNljLpBwt1Xen7b6Ii5HjOs0dOR/5XwpR/Kp+8/LkM+1XCU8uBu9SDTLtE+x0ysroF21g3 GEDasmnAyF/aJNwQfAC0jOomEz3YbLtVGFH78kAxgs4iJyf7sg6nH6bZvUwj6oYO/+wyHhANJmei ow59rvyXKGPsZveUQQezR/sPwonL3BjevJzoA8tHNVFS+UMDtL2mkgOw27cUK9rpitF4+/VMc/MV CvYeYtCDyV7Gj5sIdlVvMHQ4ZgukitcEx01bbCY0OgpaGgNPC1UDbDghiXOLA6OZPYCU+xYmC4g/ L8HTUKD10KtES+40CLof2jpuQrewhR54EKdBFYPg384h+qh+nuFcnpDfjyaSd35A4e5IpuGz73nx LoOrt4A0XdrlYT0e+uRnndlJ1iEQ27SXaCedlP6NFyXORGQvPNtaWhail6Lp/FSaf3Ym5wpWwoLv ccLxrP4WKsy5n7O07iOlQjqaiK8ZmCX3gDTXHjfBoyD/XwjFPLwff+kEWgfTHswG6jd7hg0cYNeH C4yyDux4U2RkmVZuWHSCCAIO9R4XLJ1Rc9+Za3SbhGlRoisW8HUixeOjAc3Y1W2x/VUnG0BEsGks DfXwuKPq+6q+yxhA3jth1vr2t2kfqVtZbNkCltHfINSk6C5npUXThrtPyjGX9y0ajg49Ix+bJW+R bIuuwdb22z69BuHpsryqePuvySfYOMdoplTgH2xxKOFXNxHrjY4s+k5ChBUSkOuFutPMK0TVpmhN hlQKygvAuVKR9RFws9pM7ROZ6KZ+1r0ri7p5xxytDqib60/pz2xOZYPYDGwIt56U+92MDdF952z+ jZdd+uCnnTfhlY0yzpdlS1c/edX4yU0mxlQAAkc3pgfkCccmT5HR//L+beTpdaLiN+6BAcJvLj41 eniTMwnAg6liL0lX+AifaWlos/nch0shl1XVPEiz+MJjCTcmB7IriyRH5HvJ2pPHHZhpZxQL0TJm bv83NC6L6bkDQ+zTJVsqPFXgFuIUzjlhU7Tlci8+qT1iCxgei5X5x86yWHACEwMzKa21xk83b9W/ z3ifSRwo4q8DmULIdk/qle7AM6mjNymIJTMXen0TUrQSvm8PyeZFJxspMU8XkBjpZoA7ZthJOdVn Y0yHI/3vXMFORuG95byZf7tW55+zCCcZzhYIYm9enmFMprUEm7hh99hTZHBFqtrYN+/q9Nof3uZ/ MPVrURJM0MWBN8OyMuD9TifDG2QJ14/VbG5It8B3sq8Xr0+xXiUcs648zPWmJ4BRAJbUg/6ZwpFP gIOgOn+EbqIsZKM4VH9OZWl24186v/HmA9ln3JRQxkmChQr1y1b/sgnQ3zY+ILudQORBnZr0FM6X a888rb81PmQtOcJ8lebkmmD49A/JPVpF0FspdscQpD2+0iSVZzKNU3J5lvfrQosAg24X7MIrb3CE 6lCKFoXtDXLU3MI2UvlwnYDynaNuoB0/Kjawem6lXfphKDJrW75yzeHbCy89UbFSR9zrXHimdGTM MZTsQLnnhA1FK1ec6howUs70PR0w0NMGmKRKKnQhzgdcua570q/nmcYjv8SlOPpRgn0HwpymWDaD xo6EsXOtZbSq/DpWuVLKnB64gWBwlKISmKxgyI4OxiiEacgimiAesPpocnvSNDKLgQIHfs/cHjFk +Vjk0sgj1ww07r+IU/Yd56Q5X0NCDZDh5zW9aX5I+wph1s/luCG6pO4R4gk1PK8onwPw8BFjedbP zhKIdauTqbxL9KrfliEPYPLzZQrZDQpYtUU9PxVOSh+eVkR6+mME62qNsta1JfanLD95qgm+TCqv bKwNFH7TJhRMuH35V4eJKe6e9ZN2A9+oVKRTVuzB/hFIs5g2jNgW6xD8+ntMlBlO1ad1AGPaLe6H 0q+u1GsbdNRpPI8GOjOx320EZv7lX7A/Az21fyBlhdB4D8/8DuCKCTDZ1ZrmwcJyLxLhXyD8qw6L ilIAcyyt/nrf5Q1YA/FhG6yLOWBnRDc2k6w2po0DzGKgEPdRNTN7DVEr6X8BpqGdggrqlNwC+ae3 gpLNtHDMikh1Z1OOKUNcJKhZH02PBnQAVvxN/goMrwkMpEbZU8X7P62COcuUke9aM8GsVsCNS6uW /OSkhuCGK8wUbf5cV/8uhpVsjE6KAykCtL028YnydJAJTPkZYrxKXLt4kpiX9qfRDWMhgo7X0q7R 4QAzk3Wx0q1ba5qbE0gwq01excdjhd9Eg64v4j70jF2PJCJybPHlV20GzEFsT+ZmamfTmatLQ8Q5 EDDLWjPU9Bh9OPJh5RVKLwJoO+dJS8hljkIBmz8xdZanxadZGXnXYbU06Rbe9wSNGK1p9IRuRfnm ReM1062YZcMl4CmAwT/r4cVoxpq/b7DGoN0q+/gzwH/prnbhbr5lhjFdGxLmiab1CocmrzBOBdDp /aiiu3d+R7Kghb6ryW18LRzOdPbn+WYn13CVbNl1wMjmNe8o5ucQzfHHjeJebogsH8XO6BxDT8G4 7pn1F1bL+wuf0yPyqwUEBKRlW8uP1RENjl7IKyW/AbjSlsYzmxE+VWvA8jL8g/IqScfwVNHzaS63 9T7k3B/gJXBfmGSXxsd2LtdK6XmSjxsTzFwfcaz5IrR7HXf8Nzox1m2PtxOj6pbW/HgfvXyIGuR1 t0gnf7+QvTQEtf6TDqZcj8VJd4ClEac3eulV/Nk80pHGbFfT2KpR0IRcztkhJemVKDJmMTeJruNl k70LHG0+vJQhbJC45P3SF05ydhEMVkDhDp2jZMZ3zp4RE31L2VAyNyyPvqdG9dXlAPh9Gnz+KGvC aATdxcv428UtKI67qXMpsurmpNtADWceO+zj4jRF40DlP8hykwXXFkpIPd7En60tFgeN+wAg+zDr 7fnWqwibwgxM6rDomWN06NB77jyR56ZtXFvDOPZgCu7rBj1lcOqLGjP1FZv6FTz+NkjmRN1nAbmU nHFWCsuqfRGCzNgvDQkeo4JKuqmI4F5K/1Mbiq04bs+yI5fGmF/8GxuHgMUzl0wKI7SLdgM1lnbk xEtoiY2BcB8tB1UbYRVXAKJXo8hSDk4tlJMRJWesBvtGfp0fImwJDlDSomUC0EvFS1vgLDWyH8Mj FVJPhfv8+aGgGq0uAH5rbDsjzAIPt6JhzW7Hwn/q6x2SK/mEXxW8E1fSGNLxdelUEyKHgIQy6wCV PP04lAskVDsAGeUS/TbmqIWV6NoQYF9H0Mdu4arFzp9n4HGBdDIrTk4D4gtNEhm9AA1+JzRRZ/xT Twnd9Ggk8t5/YehCrLjyPbBWlf80Lrl5yKrtrk9mqObP+JrslgRRCnMPtv7NLK0UiCDXoNYUlVV+ pG2ktqMjzRoRf4mg1FTLY27jaSptS8njhkxqfBMjPLAOgfT82iGXrcHZ1IWlZbyhFZFX2RsXTIg2 1FOpJGi76Zlm1mHJw6rKpRdLmwctdkKUddaQDr0SlhfN0pF8X9mFlPcYGTUH1ULcbAW/xMX34rrr LRcuBRNWIkPSUqkevDXSEm7EyzOrhrpqMcBhFAnUYLyzj2JMRFidfdVWkZ3j9aGnC3UWG1FNAMCQ K/pPQiSC3vqGNmI/OieJ2tsCfIc8p+zYnI8ts3vELGtp76idLZk/JjDp4YAawToqEaPIaDACRtfj rqrCQDf/sHEY6SULGEIN8bKfoOis34VJz3IH81cc3GjNYT0gyi2jUthOHcMIM0EMXGsUKrthHS7x +g2YQGPunaB6v3jMI880+8hNzF3SRKr+IGB+N0S49SrQhbrtkJ4sprCwvTGCbsl4wbOQuZQQEDe9 6ofB6K5MNQS91nksLwrqUXphm8MJsLGOUFENPdYNp8XFYSc4h4Ln78kiE5mpzvQfS7z3Q+Nco4tl C9Mwcpbm+zPiJMIjAzJYXqCeELRGOOr8zrsYopJlOkkDzxIO6XITNlvSqZIFjrGUD//K2cUv3SaH 7X9nnJSdDkweRVjc17OsW26+ftfY8VXLTTKHRxGQciFsHK55igv+z/U6+vNk0yTJzI3kQj5EnRSj JWlRb3oh/ukz0wmuXj86sFjBk06pg5eoNo+gcX1ZduQPX4Q4wb+V1+tHBsSuxGZZSh2sJ3QOp8px 9oKyNYPUgERCKCVymZU/zJ/dXdTGvKZx8EWxsMueth9IatZWEMbx6IJ1r2LdkrSuvtbQMpji1hRN txiYdFNW+eHJJzFlGFd4SldlrDx20x88VVEyZUK+3/RH/2thMRUs6vfSNX0TGwA8o/7cs32ABbas RLI2YdoLJBcFwNEUM23eKI1UOUu6Pw1K3f2MJonzOfuLGP7Ujxi3BwHklj8n2PFpfb2MTKfzBXas yP+oOhxkQI4bOjd3H+UAaRZNQeUb+6H91kKWHEjh+pG758xZRUXQyWf3MyK7pg5kTZTnGVSfunHf U/JxtSsGCjp34ms8eAk2Qs3oXMxZstgUfi9dgUcTlZLLniS5OLJwcBU9CFmxogIqECQ+xUmTCi4S J8ysb21nGwWJKdczsgPW+0ZksUCp5EJDMN6AAJRcqFb1Czh83P97TL3DGMy2LEqOfF1Yhv6g9QYl WHxKrCwZ0RLQLsoqXJlmqvFa0sI2htgrOAax3i/NUGckeBcFv2Nt08tXCrNM6wt0dSFMSRipZMqf JFUWJIDX7Di0LfU/kCtzNAKXyoyGB53lECQtZ7RH6+ltK1DIFiUlQ72MFGUi1/YGbfoYoO9cpp1d KmxGDLg+o3LhOQvASyX3xbSDSjTJk47Nimi3weg5za/nGgxDiqsSU/skVkZdpL1tNwQd6u2N5nkk HjKUEX8LklJqXUJ3OFQmE4g9dltK6tcuNRSQyzLAjmMWFz443NVWiPlOp65escnu8f5aPavZ46AC Ody8h9hLgtG7PQEP9BZBAGmajuCYkHiCq3TJw0E4b7iDP9bdk7OqGyv4HOAMEmSwhHQAqJS0IPPU jpoeBcrWu734hjFMYEAYTg9W/kCmvzzm5EIVomgqzdYxSQAPIVAlp3EW6u1PjQ6yg7Osowzal2W+ aBTTBxBOwYhB8qQCbcZzFVvu/TQnzdJHcLwMH1Ypanjj4815EWovukkO3cbgJ4AvELJNWzDrKu7l aMQww9HRTUfGN5o8IEYek6Ooij84SRIncMvXZkwKLPBQBHIvorIYdnVJnRCY4usZQ/KvCQkPaTYI Fmk4R2PtKuCZux4qCo6XEJQyJwFt9vA8yaiKZlrkT+Qx4pgmMdVRzllQMmqLQiBFZlRND/Q7VhTd PAywUkCamDEAy9Rw0fr60rkwXG5vlSW2pahPY3doBxajArG9C5V8ou6Fljh3pU6+RBNE/ihkNpve rWX4X/iRlfos8uFLZ44fIQoy9xtOVrhNEFcMRhzLjpEEvkOB3XMXDK86vlqA+le8A6uZMjCZ75j8 6UWPRrvrPINqa8T58auCqKDgnJW88P3dABc87eD0ncGeLoxJQN6Du2djnGeSPBA2fmmo0dj5BUXa kejT/nvKfmrqkOL07+aVJEdcO3lqntVbO4FPH/LkzxDyF390JGXVsc2+hEEg4vezMJ9OnqI1ba+d kj415UFoMCnh3wq1BGqvwHIBmum77remN3ap1xldyfZIfs93Xf3MszenP/CUg/rE4ny6QareklT4 pixEbPSoBySv2+HTRHQEyR8VHpU7xnAEz1TlEBQ3KvpO7AfkM9uydm/pNPXEUGJIZf2Y+N4w8it1 F6+3dtLhEI1Oe0OezMmK7zjID0qw08Cb7iLP7eoPKm/bLDUv93xFiDDgeNiVTh4XGZFMnEEGSCTM gYn8J0NESUlxpoj9di/mCw5eyHC7NZVr+m8ODEp+Zk/ubfeNLGL0jhZc2u4uy2HM1yyw+ntUOCMu aHtBuYmNF5SjjGeLzl74U5F9e/ivM585M6JhwIrqst1sJybZG1M6LI6pedn3GDwZyecASjPSOMjF u6ScDCrhIJ5cdHUC7PoSVV0ipjiLL+yWc6vsK1/K4tORHNmheDzGGnsIY02TLN3SfnzT6e1JMLeG P+0iRvg8NLKckoL4rNUA8RsKmidSYfsenev7iu+czHGfEzerwLhJtoaHG+b7+8n1vpjQ2kNMVOTM onr+92+uZrEaNttuSZDn23j9l7O4M7t7Q+ZKSJe31FwpVngD+dX/I35cApP4OXttafKHMEEq0zuJ dp0VUAYGhduOYp7p4Gj3NBB9LB5Eif5IVhCr/m4Q3AqHeuNa1awtdxV02OTtdSs1DBAyAe+vet4s bezXa8/kXNJffFDlEZ+FFWmNkH0TQrpVHWC3cfztQSDDf4ug0X9VDSKOJBE3EPJxJJtMUmkDnvdW C78OXDWnqIocvjtXpuwS6+qQ4MBTFnRX7Zjh6QV/Uf4E8pbkeSa1BWK3IPh+2iFO6OMnP9wQv7nV kOHQm1KtRht60gspvJ4n7MvZADy3EDTYaBB9BFkg+PmuyfVb4eoohGHUEyfT+cRqj27Hsc7iodIo oAI29vglF40ahOCEh/mCGL9Va4GDpyuVcFBVvKHaG5fCUnJscr2lg+paKCMa0lBip6NjmGeD7dGl 6bW1Q1f+u9o9u2LaWXSfLR8fl8dmhSMZR6k60RvGAM61flRQXsTtlI/iwmzMwVoV1wmCRT6vwSaf +lVgvydzw/TCe2OpvOFxs+Ty0vMKtg3a0ij3HWEasX+Ab+tYa8oKYlMsyOU0dzqL3aKHaDkkAUTQ UdkV7M3OvneOuaaqP7SC8hxiNaASJ2bWTABGzRYTOSVLVXH05N31H4StBrDfEyBlNsydBu1G56lG wysoicGeokDCedR3Zjbp6S7uloDPRvMEbzYsexE7wu7nTzX+ouS9UhINzryCy/t2jAqGPRyJRNuD R2gYGyERmqAVKY/22QquTB7GSdRaLRCPNPe8FhXMMcaXCFIDojiI6aUsk76dZvRYEmPVX9NvqXh0 5LjL2pKt7KRPB3sCeaFuwnt3dn3prQ6+TPilHOOJj8xsUn/06x6e8wuuNm5ZRvfkJp7PW7kx8OiQ krz9/jeSNjAvWOFr+7GjjwW56qR9ENT2IQRvcGRcxp4D3+hqu0w+qWGFbZQ2UIhHZJGm+8PoHT+R NYDjWsf7QcH3BsjatiuJnjeoGS4LYYDWrTtt965HBwThiwv3pcZkU+ACCH4z8UAjpmoLLiWQHLgk mUY1hk+FS/DUJQabc6LdwIRtxw03X6m8qAin0N8tTiODMUy6h5oKcRGRWFUtDltYiufkoJtebtr+ 9mciWbAl7QzEmuSIt8IK0hQvsEQXqJQqZbkvlEz55y3N+36rlz59biYSkS2Y9g7bIqCZ1tY6EU/T gWAdHr4Hr5N/3GIG8MSThkBZ0rXn7nAKMTWBi+r6TUpbn22+SoIFyiwLz7NgNElRVT87VrJhlW3f qLSnYnaU/1qjj3W2PgaVDX7bL33/IkRT3OA/wrO27o358jW33f2uzfA4vXM4o2F17OBq2V12btSO MdV1ix3CVG48se8AkI5hnuQS6lOwl8zRyaWz8naAUq13DPBRZpJfmFtcKynzgqQ72/ZdI1vCTvKJ D1HwbQ285kfvoQV9JJIlZUSn+PwvXp9RTEWhUTWs7eZ/HrQAbCm7D0esT0XetqE81oMbwqMRxEWa vG9Y2w/KE418Kef6fAnEqIStzbNfUsTghu1j/2w49n+MMW8HaPtQNqhrLKdMnweP7Ve+YwE90h8g hEJLDoXxrZ9viZt1zg5ZYCe4O+j/2Rznb+K/gfiLf9EIPgSYVchJSZ3Ne24peQqZcbLzR1e77YKR pWBdA7iXChQLvbdysSFYZodCfwHkxu7k//LA8aJGSYXrIXI86GgQUHD6gl5O9YCBd15jFHduz7k8 O9jBdyHY5mip9DcdQimLX0h3ETcaWQ3i+K56EWuK2DlA6b86kBTRY/MUU+q/Q1mJkC7+R16hGq5M +9UNcCRdWPNvKiUI2ql1WtBA4Z2DAWLcmnfKyiIHmmK+dEHFC8KfWaBr+tlmw0yAs8clPWQWwZCN nALo3GQolWijqIMMENPh0AqmtPyWcEN6ITQwgtgMLxMbgMrxcleaOx59kC2GnWB33Bt8zPoHGQTg N3lU33VBRXoMAalTnlew710cAZskZHb+3X1Ikl/5zKnf2lFn0Wk2RKoIqzACqAPP54LZ4OtVWwWN CREENsrF4kLUROIMoZt90CABK9hRwb5bJvgilwgbAD8JqbiAFamZXUAox+su2TQlMWG0tgq3WaDU MbF18uGeZ61eKDpEpKHBJazjQpfvwwPWIyJ3+n+sUJtLLYTI4yQwu5xJ4XXARsjq6UjRAineEwE8 eJX7xirUPS1lp0nf8EAl9E+DSO86H4xIVIOLnE17Xz/HxyTOJDxwdnFTlJm1NiDYrPLQtFRZhceu cCMZYMf9PBlR5gh/ALtdlI8d0f89O/6Ni/FWMWoI/Fx0rKQxqsUSU6tPkvx2PgEiZIV1IYulF2fE P43nV2tm+87oSfovbF6g5lyDmueellA3A5/KnXBnO9Go78dJgYeoe7xzV0I4oOWn5qeutPWTlh1v 9jrlSWRJkp3x1BZaNChbwHBEBEHIBjq5f/NhxqBmWLb4l77zXncSKmy1iVwPJHZ232O6GjVzIc+G TaSPfFBhDbPE7aG4REzl8FsuKWnAx6/jymQ2/Bzu0nOLTCujJrskulLWAk2C4LKrIovq9MyLwYfc pTq8XUBAJJpe5TLMBSBHuFq4oPHPJbokDsF7XeWXErF5mNA2QfSTEEV8NA3AB9jXB61hArGUP/k7 HsZS9K1HiECVP5LDBcaq71j7KUPlZ1EQSV6nApHTuQCBxzZd+lMS638VFfAtGL+dJ08EC2rHJbPl ZMipa48yyfH53QBK8qGC5vqcl0aC5SBpCJtruu8vogkeeVvuuK0sGPnBLciNhbQ3/muKiPRdy0a1 EiO1FuxRDQiedcCkrjS+IBYp746aPur352S0Z4LTEvJjq30k4G5GVoLdlCQgNAuBh+4peatKZXuz KpmQEd/6KYKu/ayH0OlMgqjt0Z2DqzDNmtFWblHuMMm05fy1oNAMJMMDk/um1atcWYvx6hYCJ2Or KqQkgMHdDp5pmHV5KVbE7Q0l9CkEuL4pbm+WPZCL/m4X4FwUa/0mJ9nGlgqhM8THKRnscJC5Z2Ig gax9aKCUt/EVqVyoPRWL4aJSDN1HzePx1zD7sv+jAYLb3dCyCNx5NKDRXgWx8U4KMY5dtMY/zxIr xPhPCDAFVXJXeTL6p30on0MSRwOMsKLNzZagwfzDPEXDCAfGC+5ivtD0AxHAbtUNzHWyzT3C9m33 +LH1+XdRytX/f6u2W4d2ZJc9+YZKcw841QUosg7SF6nAwvkffz91WMBMORuovD7fVlkXZoi3MAVl J2R592POddD7k3zl26AUCmneQD6SCMcvTvCjGwdJcqFNTudIZws49mEPGQM6AzkCxSLLi7ci2dhu iQIyRarOb35HuLMIHL7tEV15D1uW3FgG/XrpZJ486IFTFckdtffAnOsrfHNiB8WsrSCpYW5WScYZ tUpWHr806YmYG30DMRpab273nZ0NYsAyq0MOEFsZguECkO38/4akilr6lQDqhI3AvjVPhUT/6PxU +AWVZ6OaWBrbbiUOCEsIKCH1MBKhfrdjAo61oyiDHZ4LbKsBBBjKAudv6ueJXGE+ehBQLwXA0I0J p1tNSP3AP1f74dYiy+sv64TJVKxWkM3geAAyANghKq7I2zNxIGnnbny1oE1b7uOvQs+LEbT7TAKA NPGXJUAmmTKV5g9vjt0J+JG63sZIwpdUDxaKGwtJRjzJG0NVYwPzBzdIgccPvR7t9fOZRS7ryqYa OYsv5Er93vvaOzOziUfB50rRp2fEPOjlU59+FEfcA0+zE364EpXlWD8yElCCXT/LDnSKfriG2EGg Cccbh+EQck1Gqao5GVgIL5LL7y5dJij7T7mDZKW7k3unmesZgOCljSz9dU9WNdQIYpeBVVipOAmA plu1Jy0e89OXJPPBy608F3p2VUZtDMFPhOWEUfNyPJflaZXysk/Tef0SI+aUV8lhsbss1Sj1Zfir ldl0Kgm3vq7em4cXzXiZnxhjo8OfdzCo3NxDAMx+qknXwxNW+lDeHd5d4ZJBko4Bz7LLSELHiRqS w0R1jqTs/IB8QDpQdJNgZfKIeFlk4gxByPe7L97QQIImVza86OrH5YMHe//ZOl5iB/rrH225R9f5 LgY5NCzy5joiv1xO8GnKkeap3G0h+GLr2x9w9aMsujOVsD15c9B7pm6OKz6dqBqeDWKL5/lWMFqJ tzDelnRf8Az+Y2Mxh9Z3sdl/lJg/6kyY1Y869kcX2dJnLEtB3au/E0WciPWGFmg6BtH9mmwhKlpW crO7BqDjWRkc1OMb6FgO8Jo4BvS2+Y88cZjn840p6fvXXS8l+PSnguojbsUd783UkLSnbdQ39nyu bax0VKZCUhaOM98aFLeEIPguF/zSLe5FYjeewtov7mwU0qGcmpgM4q1bEy+8hrauBFbux1qKVnOA iUU/NqwxV73ljoCOoAYJ6a9YpdCt1r+hy3VXjyaU+kvBhBhX+mvkStDRMW3Uq3XGpwPlZq59svKM RGSmuj8+BFhy27YkAEEWmDSQw2v91ERA5BF5GtMwtt491MhEmb1dQT5S7ModvfywlL4PYTep5xPa GDielwAHFU3+ANT5nhJ1onhGi1QuA3MQjD2waiwU06c3mU5tagMVedkSj2dp20h4aVtPbp5xBnwp UlgSoQlr1gBy/qfHQ+S/p3MasJMzKF8Nd183V10tOG1qc0eEUXr32guZe5dS+tXLY0niCjZBxE3m Iz58xnEKQBnZC9aJv+WLy4iZRTpVm0SPcX6NSQ3xxNkepRakJjlIkfk4BbEXVCs19qd5Z/qJ7zg9 PJFVGVWVbtfADMZswTW7/o07QSzekdl67cQUTE8IfxTbc4DyUROlUEoLTSFhc8fkso3L2hMCBHnV yN6tThaHWpIdS0BCtwL7oJFP4SRobfs1B1VMc3xNMq6CBVU97UT839WYOrzSo60I6s1R8DGiApnw Ls04JI/Ff6K4AkdmbTEv5en3sPjAPgVgWrxsdGDsI/NF5WZ4d4bVFMxRwA1P4ycNSlGSxQg9ugdZ B4Bvz8WDHWn0TebdXyT7FLjHPW9vgFYWeadK1lyGzJj+p1v5V+xgocH4JAz/N8Ku0cVfE7HwNyx3 F1A40143H6G31+F2rfkfBpNyOxoBsio6IaiV7yJy4d3HKHfcdrfdqubp+98dxyWJ4zzBI98T0gpF HPahoxKCDb2lCArMrLHP01Vg3HDZjYgg4TRzpCus/87MfyZjs8kuwO3D47K7ueW0Au/sMZunN7Tz REG6yUl8tZibavzbWeoJTA1RGkS+euQfWxrYO+buxsrJVal1j1F+C/rEcIsg+2scJPz4cWnrS1lG Bg9PQXrrW5lNGyap+l3b3KzA5oySZQ+YHfr3MaGbFyhi0kkWp7YpL+oETtvhkcBPQZWZ/r94mJOW XHql/CDImwp9wOHU56oKLto7HYkeNYCpoRfAUAJFeH8mVQh8E9V+yzPwIxkq2VCAY6sGZHaUQoUn BvlZ3db/JsuPzWPA0LMxbNwKjMvD23SJrf+syEpRg19X8xjYp7cs1U4Md3URGBgbhhv8+U859T+s E1nQTnJB/CmuifRSGAML8J8GPGCYfO68yeZvUEFVsIc7YdAVPyUnuYlzq3Drow+HcvTMLV6b88JR vrA0SVQ+mFnW/O4gVjhJsRXcwjsdvkNLquWgiIB5urMAYX6a3KkX6zKYjEVme3oyjSXJu/3g2ZYi mg2pMeS+vJUgfiqywPG/eEQtPAv+g7bqURJs8Xci3pdDKej8O+9b40I/c0Pz8j9dPdIhzoGmTIpS YyQScjYfKmOdBBVXTLj0Zswxbr29b9xCA1/r/SwMF5fByfXw2Sv3g8NQylWiakinS7pkbEm5zQCb HplwzthKBWnq8/DW5fqMk5hpPFFBW4dq7IMJ01/5PMXScmBk0KgtBgT+4CkF9Kvxavf8eUAemcvI s4Y4GXAruXxh8B5TJNJBn6q4G+HgMKAWtNj50bgHf4B6wwY5g5pKeSB9IMVqC2uYmydcP9895AYh HVdjGCGLfQpef1ojpG7KTZRGbirgTfftxXpc7mEwQpGjnEPauZthSGhPoCl9T3EfaPWfAiioiLhR ujKR6JJ5I6otTK7AW0tMhFLGcI3Y9PSnftntS7cgMD2xtQG1Eutju+MdDRXXEoZ/PwWuHYjtIt1A 8NpUAWa06niS3kVNyjJFHHLHbCOXqGBsliEg17TufWkCVMn7DtnlJ6v5TQxn6BUaIYV1E95OlS/K dduDMIg6lhf4WOJxDWwluEjhdC9yE2rcc1up/7ual0m03dR3eSSjGPVFR5JwG8O6PgvJyF3vMKns xOPfAWKMZ+oZrxNnuI5H2Nyk9M4qzGvIwt3TXYqOix198fZ/0Ak5/dJ8mjmMmwy2p7T8qI4Mdlgx sEYI8w6FVFwpnYO8Nq7axbiI+CRlyOLyjhG52oikGoXY5u1Z2T7fe3fdz4dYEKxqiFqJ3YUrrUQD o2gYByuLtlmPv9K6ugCU/pC7QxqzyGunjoDnmd4EfzTxLWrBTHiA5oWoAa4aqfZRBwX5iq09uRUF btp4oF+2DiTWj7+7tIUMQ5xPgw7zdzrEJMED5Uc+uDhEce0JTidcPtUa+oEotN6OudTXADzRiJFf hPLSRndMZ6NBznmqU1bKOqrhIL3m2RYusjhLhOyCwqVrtw52r1UdCgBuvW8uMUb1oBWgGvCykJcB Z8fWHwSHoggg85yFlZXL/whCdhq7fibKC8roYyIbdQt2eEeuuHn4fOqyix8E6nRq7HU/htBfa9ET IHhwe9nSgFvDudoJuxNdAy61vI7a7ipzyzumcXTnwlOKr/1d/NiX0Kus/5AgSimTYLAnKEa1uoiz 8P3i5jIhBK0KlJJrSOEtprLkVKUjHG1whdXcTAXgFCdE96ga8gVy8X12ZTfpeGceLSs4wIUbpXuQ bS4c3N3RTKNiLJ1h8A0WgGvzJxYQotqVUwy1uH+gIYCK3eMqRiIs2D9rPPLRe3A6Hp1ZFnGHhiJ2 GdFMFooFMxYNZf7WF91qTfeewY8kpmTLJF9rRUWHuK/wyxlv34v5snbuAO3BFxr7AXj5PaGYwXo9 qZefmdRwdSNWWNX0XExlT/sOtN06467q5Bwezu2Uh7B2B7OyAa2H1281kLuOiOtbMveVh2Y5W3/b ZP6V0oeVk60nFlddIx0MeQgDR6NYzC2l7ZkqvkgeJxc1GMWY23Zcj2oDuKqvNZayLtv/TWlYDRS4 B1j+G7HtvEhv1+Ah9agfTPFZWS9h5YdVIaXNVG2Hub1ldj0NXKcSKZTNmLsr0fPftitVoMb195a3 ZA21Wlx+kpXbci/ecTX+3UceQlJSqFp867fOlgooKa3dShjBpaK2bonw6sbvXQ58alH8jieKKr/3 TwjDwGEQll08r8nSbLlDcYMTVtOpcxh6rmFlO/5RREfNbdNuHt58SVWFRUyV7vvLw98dhJprngHu Ww8Gy3imMvOVLEaPy+TtjUlSM0c4ktunGlM+ZXj0A3hkfLfNoF+cxfCSDrs3IYtiDJeBkVYdmJbv vR7eRYXNFJsFkXKiOxdPM7WUkp9vbIJt2fTIZYTfap6La8RBwncQk5MezE8dvaftusCDv/u3ujzc 42BpXqOKMOAhRF96J3PR9piUHOwP4eUA1IWronL/QWbOF/5ynsdZxLlfyT19vxAQ9EwutUg8VFso OFmvQtwJg4TjWD33XIwQVTlcqPd8ygoBPDGSDUEQPBU5G8FxrTuTOQCPLQ1kNPPrpfyFj5gXyZSu vFAi+9LNnSf7nXVk1Lv7Msy8n7kr1q7MuBxd1vVe1V3gPDf5d+ibGB9kkZSpyLQPnzpYUDi3boPr PKJrEYsMJIZ22ZvVSsdfcEPeolPLl9VygyAWAdasLvuChg42XN8ROa0S9vMt8oxHqkcxfR9/ZHcr I+4bg77c1lyyYvxWaRYNmt3q13A0ROV6+rmPX2z+V0KgL4VqbiwLGvpWcG3HPYjNNyRpjYQO7bSS M+lHUiTZpk1gPJye108cLBry3FIhbAFqRV7eGQ+NRnq+w339e8/NqRKmVHpkrcl43WodnfnUajGb MNRWtvqZQyQmlpEM1lPfzUcAl/UQfbINo0iy6qzBU/PdlYcM37wsQtt84Wu66eMW5pcMy2qedtUi /YiHBHopJ2UI5I+2TilWbroCOnhJThHFpdgqbyLJqzh8WkUOjJeJq7O756UPPApjRJR1Us5+7Ibq St3+TGOGUtO/h1OOv4nFYEhrD+cqFxhPapwM7AYkjIpZ62e8sIxzgTCVHsKfITlZQf3fvtiQh9QQ I0U7d88q3ROXMWQg0Ctmjl46KYq3r8D8rSwD1O794B2S9mxD+54t31cnewMn+iDpO8uNa885KKOW tw3l6ZZO3n3NnECpDC9lO9oo62mH0RLbS1jV2vSLml1A6KZX/BpzyKUMMfzMzyyXZpsRMBYEgKjy j2h/0TWsZd3viD/6WAHSSCw/H6BhY7HMn+pPHXwdBAH5Y/WIPgScnu+XJY2sC1JSeC6mycyuLRfp 9UmCRSfLIcgquc8tyPoMha3LJ6gVfHcBilkfOBQEriHOHYhL+eZfubxfnsbxn6X48y5LUqRV3xge CaiU6M8mV8SEKcFbxQuZaBLL+PygPoy+szr/2pgAHFqRZHZcskVId2v1Xjym4E5Ab1YwYIz39UpY bHgaeLdOid6nx5Mm1hzsQXingncN7pkoJL5+zgy40DV5RxyXW2Ab0IL6J8lTvuLfIPnzuLmqp6im HQHkeuie3kA1MNG9khZrweuIzM7KuvaBSEbWfy84RecuwoQmNf045ve1ZOoVn3PkqEy88vIoTAHm pARN9u+Q8AbQqgbBhRcfmjOeGNYwGjbMKKYslwL1BGiF2Y9HODcEYbwUUs/XAfto/jEhs6KMqVEV TJTdQoAKL9t8+Uc/zCdZua3eFY49UffQyUKAEvicqkj28ua2AXJfYLm2D+jIG4fIESSaQS7u+VhU qRcG52Jco7Nig1hhupzcUAoK5y3HhiL0e0XfGLd3IHPwlIxA1ucIA+AXGO7AIC9hLLXMf5n1P43s pijNFbvuBEysXz9nzn6GJ848GkXmfk9iCYQe+X3TI3UD+4a9MYzM0Kyg9o84/6rwzZwW5IeT9QPV oLIfmIhf0qkRO14VrVttQ5eNf/c/yiVlTkDaf4lKLG3yiBqElukKWWevTKujSIpGhLITOqRm8MsC mXQ1plG1jSaHi+WHfhZF06s+KACnlmV7qyp+dfTXzYqJKLQpzRQYiMYzNtbirtfAeOcbVAzpPHDx 6fbDyQSkagsa4/hk8eXCIC8sU7JQRJuNmTSGu/e05OI+05axo+h2IXIOqmosiZDvY3bSixdxLc/d JqS57Mf7833kDy4H2kCiqma9rFgDhkokVSrGhEWpxe5lkachE0UWoptyRlyLDa9NVUmM99XklFrB 4ruRJfI5KRALsaVbJGgZ7lKTSyrI7jsKtwAhAEuQ1quoNB/jcRd/WX2MJgTG4y8ERr4qmjj5m+B2 xktHHaXFSEZinwdunru9ilpvpwO2aCu0BE+YkqZeOP9XtNRM1xPP/aHU4eei0krm262uHZUZ6+aC tRF3OBpIjxcn4H9D3fXPph2NKEp5PIwhkcGksZCAQq42ClircN84+YgRuphD0xoYLIhqJUHR8UbO 1kQibTWepySlooTdJaGaFnhy4dRsV2p6YS5+Fglnphe57qc4MHFhV8Am0GELiZrvQJYdatdL4EYK wFmkp/OzEuZ1elQHEiyCCdPMkcPkNei/zTAWmVCpR7fULJ1KYRvn3m5FpjWnQEo1bYysYAmrEDhP D+V1BuGHe2JA2aznJX7pEU7SDg6w6CJtVVOpeY5LUc0fXErEYSqhmcFf2idGEZPtNhDLpHr4PRQQ gIuY3Ej8ukTfAHP8ZEQ3kY/w2lGX7sIfghUIxE+w7+PW6uaIIX4ZtwtVRp3vOnuB88/ncrCz0VXw pCRjlKfd3OMULZFAgxWkK0r4w8EY3hGaAiGE9EEEa3GRdaO0rDCtEmZDz+K1SyBMeUFfFB+E/3Sq dg5L6zyTkXDIg0kZZfD8K4yIifS5pS6CNDxQ/QjOWPN1ZyONhXYZmOri3Zt35vIAOyTWzIzjCMVn qiGUZhC6GTtefIaVMjgbzJicpx/J0MKMriLIWZu883vIzoJErpAMM08IkgBBZ9Nf0nwjZai6fNZ5 6/DUoUDIWJwXESXxXobUNEVP5ZhWQiGEWgYDRPz11W4U5JuSjgpPsAWgLxsG5JXi0qMWfSbcmwjd dThWdYNkBxZ4BxMPAYr9Bic69YRBcF6EACaDLBCcTsAh+gWy234x3nmR8Md9ultkaZjEDxNtbURb Wiz6CQQwkL2VkgDMLC5GY2h3EZh+8BOqXU6A78IsSEkgYXN3lz8SJaAlLhRUMUfssX0VdFWZWzzF HLkPpkPyBKT7/QXf1BMVzn1sooW4+vXAeZhVB/S7boD1LQc4yRL+SuFTfDQXFALmysQ5WFtOkB5s Cj0567NL2d8Lfr4hoeq6+wtk2u21LtnCrjlPCPRn1NEGlPavLUFLJp8j/odwjVsi9hYWTJS67Say DLhtq+MzeN/6Ap55ffzTU9KbKy87Eb2WbQyyAzMeolYO0cUi1OnJrjkIckT5jgauosPxSAf+3Znj YQ9uUDKZHE5q8jFx+uLMfnyo8Sm+ZyolNxIxRSZy/RLwpIYGQvzJXVMFNjG63kaa5xjH+apVihfS ejyTNQHyPooGX8wL9Az/3CF6erv+Z9eXnD2JdYAjarwWu6w7WmEVR3PU8Y3wGwQ7hu+K1udSJ9Wm v/Q9MtaNCicZ4AK5z3myPtiK5eFmHngIAWo0bc31QAVaZsNAWv4ZXcBdrqbQk7yPqDl/MG/U5D6e +NH5o6dr9ucRxU+LYuFRzOpkzAdtcywcWnxyVeI+qzNIpuSUKQrNCpzcc+Xhq0a13a1iPh9m3XQO XNZSsZ2JGP5fL9gkBB3ZB4LWwGst/8MNQ4SJfXXrMwP/JFDwaAvB1GiFeWMSlzjxA/mxTTUUy+sg NAKlM/DeXOi6g1y2xL1luJM5HCOi+hmG8iZl/ypt1uF669Mg+7cBfcYHt+45tSxKwv1a0JvsOhyG DBv0a8SW4oTfcfzCvuzJ9/HwtzvhOa+7MooQDpr8IuLam7E4G0PfobEDNPELKfRirFH+N+khpj1f o2kag53/RHjNXFizr4cdi9SENwFZ8H+gibFHWrEpgGQ81upnV7myarRWLpQmGOWE7MvOBdYuOQYH SO4Bgq0o3mMOm/9RCn2Oe9+23UVOpEOX3gR8ZVub/yuA5cpwt+NkJ6WY3NyIT9Bqo6Oqn6wMjqkA hjNzW/MfP/hnxuRKGT1CGvatAsSbAgKnZzbxLk8R/wWqVC3QSahQNpsk5NRKrFAISUSwQklSho0G Ov3IdVsjDH9I8inefqwOhmSWzK2L7pvrhcEV+cx6RkMlbAx3exmHSPuxFHd4kkgSa7S72lycPib3 z1O2JnvNIDcveiHd+gBBEykxPesAQIsJL7usekYbi5ZfdJ6N1o9sLBgxuU3UY3ohBWzTJqdyoWIN SBWeSCcuV43JCkfPfCs7MX1va7F2PSqZPgFtqHBQNxbaPjmn245iLCecE8amruokWZGJ46rDpGm+ t3xhy3uD7/ODwZ/yh2GOrnJRED1dGJnQx4MuRXBdI3JTFeUBEcrDdUcIZILhkPqfX6R0jP8EVDuM SsnYGgJmzHJFHMC+A9uMXAE4UC23FonIKg56tpqqGWdWSbUqp4LcnHhWELwmAEZIObmTy9Mq8LzG P+Aq9TXrl3XysZdTRmEJo7xgmvrP8mEMSBQZw5Q+g1lPfzjPvCZKgd+9WVKdOwohYIueJTMGonSI YUg8vMLoOhR0HfICGnoSZzKjCwwJ+TXMT/X1E0qn4EY9Ub1nqLIb3RzBgktog1Qw7+qR7L4Gz4r6 6OyadGlXGaBw3PztKr4MGZmHAGDdS0StMcTV5tOKBx4j3U3ckshZiemYNea2/oSXvw1iasatwZL4 f9/A6DjhfxUliBxSKxyry6schMiMUGIq+/1ahjsm3UYaGU+8XwHCZTq08c2OBBc5/0IUyK5BC7uN tKSNTCZnBPi1wJG9BrUIJferNzDcEewBjPdEpUP3Had0b9dA7bKUxLOH228EZWsnt3LODlC9c4uV YGNChPc/CWp6gmhGXDWTnwDnMzc13jF64EHBtdy48YIJ1ooFoUJU/FxXQ/J14eflv4Bgs/4w47LO rgSw2OjwdI9HtBBCGnFhHDxVt/BtbSdQOKmUKdk+bmkdf8iea2FwSt/ZbEEBAHyPFOsQpAy5K7YQ D3gDSvcjt2IQUssVmfEqM0/i89NhmjqVQ/PCe3JXTlxpzUr88gxXCuu2ZgZHDNJPHrG4htLRmYir dNT2CPSg+CetzybCM1R4wgITrWa9nfD/uAc1HY41GU8yrZvl5TC28wLbCEF4DrMyDzZGkH7LFnK8 RM7awFFYUbWHecU4M2Hqe9vKRYYEkWgm+AuFtgNAsWhjIF7eIRou4Z1AYDpZMZ0BKvYCM4ghhDqm h0g6dpOkJ3trj7BAsgdems5UYeijha0gsD0Bvh5/+DGieh7oTQHIyijenrKGwf07ZEacNDDT51Ao zY8wWid0jHbr+MgcyxbEuNHwCOoTfAhGdnitP0jdDoAh6V0TFvD7rQ/zsHJbnx5dVb9Dx98HYkEK FYdsN34gAlTca/QWTl9ZzuF7KLSGyFO2Vvg4KCxwC67+g5u8Gz8WvwA4Z1PCyb8t/VHKD7RyCn6n v8rBezftpbf5aCfGfsSjCaMSKgSXzuhSefTx6R2v0bZjQPvRwnga6FI+qrhky0Jaj67F/pKCDUVw Bvb07z6FG4unenkcZhb2yDb/8FnB6grtRQHtpYS68ctM0hJ+m/Y77qsKKtkpK+ELv2C8dodsyfZ+ hOyXUq9K3U16rDdohCDMZg+uZSRmQlEXtsuNHgxn8SaJTRWbhKrxe84dHsMJHnQ5u1QVP+2kKNhw gZLnXHRXC8tiL+urtWX96DMdjXwzQuJhOINRVqjo7VO5f+L7l+MFTG4XT7OfwrzPMu3pbIL24QKq W0or5RFHdjMwxsgeQ0ywEYXb6O5h16IsZchZ+OhBWnWdLbArQnGkAFZ2OzdRFGo1MmJ+YufwdteV Nlhy+avethkEulh6kbXLzFVy+SDUs5gbulatLbPdK9Z7SM/wCNuljLPI4sT10/e715wvo9wNuybb AfhyVuvZpn5Tf0go+PWGOVaDIiHbWk3u63PD3ZnNvhm7oRC6oqI/zRTk6Gs5gCoApCEsbYlfK/ts XaHJGnz7ZvO1qimsmI34zFrWh0vZpKsEVE1BsclMvi/dW795KdnwlkjjhtGznHQ9jr/oy/IeIkOG Sl2m/1xvgwalzesKBiXsQPsnlvy+RqsFH/sukvPyXKW6qptvTdc6FzrCh+g858zxKJCEhQEEF1Z6 qVYWN0QKwxopD3coved9AJ7Q+ZQWKAXch3R/nNiCuHjYBI1GBfKYEiiyVCaNbG5tXzwjEqr5Kpq5 xuOG9x0gjuIRVuKfuAWW/51fS7J6+0kI6anAQG6uhJ7iET8jDD7etHZRqgZSr0DHg5gHv1YtWUyD 5eS7BM4EWCGcBMjP/tVTReD09CbK7eHcwSllALRxxpKDiy5rKMPD/RGhFjneHqpEr+866I+KjJKX p+obGPYN/zLKhFqyoYsObmJ2CKw3ckDNWFV6JrolKo1FS2AvnoVSn8toTKYqtmRCazBrhK7IxeGd 1abo1x+RJLGfDnnVW60j1M4pLXbsMTXVPe0jCVdns2EZGW7VLOHCKsxYi1a1LehoNAVz31Mchqhw ytyUi9Fw4RljBH+GCIsjLqgdTHMChk/yAiXXK6LmJaFipOR+9a7vJHnM08Z+Q9566Vdjv9axASkp 7p2C0OoUYM+6MGZZNMEknmbNmbdYhi/x4WpB/siOhfmkVgRA7idgtZyQmQJSz6QjVO7rVFtoF7tg JsHbpY5Pd18icQQ109PABBmxFdF5eAFDqCvczZf8FaL2GBzR8s6Q+81N+UiUtJgsTmuCKgVi7gFX QerMDh9cn3nGQre7ik8FsdRhmooPflGEB3jEvoxmttwTHA6a7diz5Be57be6XDYPnfo/ee9Oesxn haXeRxR3+AeBNYtTQUUfgHGM7wrhtJlE5D1h2ScP9ByEqtcAxGWRpDLKIsw1EpZDjxKTQLGB6JMP Nv/y55Wxjxht7oJEPa9cPPYYb3ekhmCXfY9l6HqFoyJN4HBH9NdwWAuqxmzFaUs9iIwj1UF0M619 wNCpthZk5uE7dMuT2zRFRq/6RhkanLURZammdkYvaeueVASRnpOL/xFbxNrxyPp3Bkp/qDCjGXyj /tQqltiIn/iPd8oO7gs+BfChbysmGlPPOffl/GMxkW4Mlze+aqBLGWmgfGlFpvnMQQTTPlJ1wlZh KJuOOFRW/MljN7zzmGTLUXV5sIqisdZmxIKFSa3sewnkVmG30deII673J6sU7S513hZ0AiUN/lNB ltBSwuMAgRR8Aqf+4ptamAfAEUjfbCnSuzZXEwbnqNGzELonGcCPdiruJlGhUh6q76bMUtNMCi8D 7uuFtu5nYxoR0Fb8nNxKMYNCRa9Dh6nznMCE2lDGar8gMzkhblY1sWX34+ZrRxYsinkK0Kf1AKG/ 1bDfaOE/1STZRQnoFtTiNsufiZjV928k+YbXkWcTxIkuxyx+bCA3BMqzbGY3PbmMw+wJIszDH/6U 5RHoHhgPT4WXa34n6iIDZwEI6NGsoctVFgr8wg4ZKbVbWpKkVgiBqJDxwZnFqE3eEV8oqs+QkfvK RedfU8rk6N353Tb49ycuPYDX6FPgZeThktG3FyKvcRNJkypDO6NCGRw+DE9eBK17Q+GQJDbNwDTh 0fPJ8AX6nCm8Mk1AbJpuNqa/0Hvs+J7MRh7+FILzmubgvMa/WI8mG5jiJxGjSMpcFwr7zf1NVacQ P7IPwo/ABnk/mPkqgag71bMv49B1a15N9zAZqZZXmJ7wVgKY6SZVAu+3++wAo8vSug7aXCfq/PYk ElucK7u/vX4RsEVc6cZ6nTwfNiVVyjqvpVRclx6m97TIZJuwo69AkpEzN/LjD8WrOWQC8isYx91/ 5LO6zhebYE+LXU86UYE5AvCQqi0amu5eV6tW6otQ1YEKWfhOCItBzcliA4GgYBuHFjYW7JgHvStv eB5QPjqqkjEal7ycZvxA9fj5pgODzXS3M4sVzC3wZkMs5UnJDArreXRKJBftAPDDSgXkdYxfLB4j LcnRBfkNVjRcZPCwQ793ciAY1Pco7MjzOEhhOnET3b4bJbRlfA0ixeHw8MUZ6X4ijAAkiAkKP5Kf 7Jh+wvEOA//7SS/iNn2aTpafRUzz1M5Xmchusl/RdJc99FpTRaUnaUJkS6tGwcYxK/9ARRNSDo1P Cfh01wHq0KI+2VIkLA12KPAIQH2Y21oulwz+ZtbbxbdqBvmzMysC51F6arLDOobPoJZuWAlHganl pZUNrzYT5EJnfa6zzQNigsWA5VMpgdS0Q7suXm+q9s+JlF3M0QQ1eq3sg9Wuy7NalvlzPhbzE7US L1A9VxZRvP/iE8SiPpza6+nSsMPTjMRMm++QRl7AE9z+MRPA6GJDW4HQFeCIQAIcFVS/4Gzg1wru wk/uIOQNaA+XFxDMjJBu4nHqd6PBezbnRocF/lohRumZk8WZ5LVZLBDU7iS61ks/+YptKMYwbvIt X4WQJ0ZY2v6StWfTeGw4LVl3IGCLzBa8vuhurlfyTxj5MV6E7YJsbdUNXSqIAMH4czXesov++cli mrdc/qcXaOcn1L5Ce1OY2gepPCICiX7E1F4TzO+DUo5lotDpbdzm67/EkTbpzI3keUxL6fIE01V6 yo5kryeifaKn0SnEizong0NTRQ1E1dEWnHYOUV+Xyw0lmYecwDKrIvkTel1rh+hxaeKX1qBTo09N oUpTcynUC11671t7SSSVuZlYo0OInRH+aNSRcExM59yOU8g2KBHN960eRQyAVd1uZx1Dpf4OBxRX nahzC+LB7zJ7Rp/FiUWSJaYrq0+AlRFjjtiEI2ejEUftP2QIzthPgsSkfg32+SgdjeK5Y9vKu3Ze nG2jyWFr4pBNPDqituAzg+HQhPZ57fvbc4kASCOavLdFTai2v4hMFPOd9zPm8JiI0rL1olHY2N+l myWqt8DdHNvcCB8y/C/6ycAA+EDKOruFG7Yg7KVb9TqJJZGHGuzCtk8HlXk/OzBG7rVGoi+7hSSc 9W5tUVoAOkWPWYZhMcbChbqYXVPpFq3k6ScgcHm3RUp28V6t3JCXRylKtJ9EwQvHbCgG+0/iFLu9 d9CGvrWUicaRGUyHDYRENnVI7ln2eRtnynTErT8v5lofoIc7pdu11DklOut+4bsAiloj9f+9rUiS Cm6vQD3VxTikUEbKAUVKebWOuUBK9sqKVtmWbGwBiSX9ARAy+x+pO18kJ/YTa3dfejuXrLTglrI/ ON71kzT4NKXxoQVf+tYodqcEqQ52J0ZvyFHV6D2jxRnXj1jq+V9rreA3l1qhSH9XVfWI9ojjYD+N fcVB1YbIvn+K19qI36MPbuR46BemBS7YWSCL4LSAuxoFjjAiye4ax3V6Q1pXgfkwugzfM1o3KH/z y9A5SzEZgOWLGp8SQOh/pDE6QJ4tGdsZmGSRot1Kvigskx1YWWtp9jsTMIVGAzi+zoDBLwiVX1Fv gr1+pV7VfnHLqSYj7DBPybccmb23lr3QarpdjBsUFFJ0JHum2oqpi0FISAx+8i8nzMtlE4NSvazT nQUQvnYV2OymrYnY/ttyIFHpKjS6qvAd0FqpSwWnz9RlhQ2zmjJhl74u3NDnGvQktenzAUSzaemR RI0Cv1vZ4/wfOSFYmUOcc6MQk7Bh7VGqhwvyY5e0xV7l5RzPS9uTuYtpJyArvVmBjEaHSR0h0Dq/ ClrO6ya5XnSanjvsDs6dBDLOAHtY52xMzYH5Rrv58JhzxwmqXXNhjEgt7w6R3fXoD8ucIT9M+vf3 i12ZlSV+q+I6fSZvrA3ORxxIZz6VyYL/zmFmQUdSevoI2dSFZEIgO0n5Vly1cRVPHgwxGXr/qnb4 1W9ArlVNRWditYETRjIEE9H+dMLwO2K7W0bkByjKG8KKUD+Jbx+FiCu5zAwOA0jhc49BNLNHN9di wgrZvwUDXpSEfrFuTahR0YiWGZc+rK8dEGgk/dz+v/FTTVryqfJtu/Jh08VZQBW4ant67bq0RE/A SkpF6XNNN6ISQ6rVBdfH1/s8WbiSbcvjH8HYSkd/qBmBNgpjo3b5KpOlphaVNa74+uUQbYBx9Cnt bw7GMqhSnzjlMEN1PLq3HmtqKmYDrh7TOGmldFATT5qQ3jfAfi6CjSk59xYvYPBBFudzWzbDHOEt wNtv+C4+Uu4weOX4tvS00kmzxaYgs0aIoVV8YDhwTUlfAQhyBwRB8OXnQ9xekrKGwMepef7aR/Us g84TrAc+y8Jf4VGgnKw8SMFL6YdblefVh2kYKDS/luVmyoNJwIJt6J3SIjWTEoAjecegi7qMlfO8 +zG8Cd9hVyHKuD7noj4/rDG7iBPW9EeF7Ol17WfH0pKUBMFNxfwv5XoimJf1F16t0C7A9kRu7TtJ Ceils/rHcMYmxb9ffSvCHNp4KkC0ZuFl9Y9QJhgEeHt3kzJ/pcsjz9kvEFwusKXNp5KRKKBd62R4 o5TMBFdLKnGh5sxW+b+S2JHtYegCN0/LxfCVJAR2hJBhe4T+J2wYU31/CTih9SlIPJmG8F9da9ZK 96/l8LRq70V93/P52n99ZV5ap3EidIwt1sHcz4aCD0JJ8jUUDcuqq6ip8Fqvjl8SB+6sv3JB1l+K fyS0rqyUOFcqUf0ihp3k3YccI2670KT5NU5o1UsUHlupo0rdWAjdUae1i+r/0cQA8uhxR0Sj9wzP LW9//hAeJgpRavshD2p6+kWkNaor6zPiVzFTIy57cLM9YCsNy7kTzqzOQWrDelwebOqvhSYf1MwQ FJcVf7A8vzt0rFzoXLo0E7frXfBtAR4JxbQU76FOF7JckM4WsIM9YdiVqsI2rW+7Vo5KLYxu2C4j H2kFHQF2Bjfxyla5SDIHW7ikz92LsCTDEfe+qdg+6iV6GedDiv3UvXFZEBb608hFoBXgwOl3biws V5EtPyZEyf0ta/j/J6w76LE+em7Z3GvQJNuVm1ae22AqgHWTY8wmjvpZz+T3hBA0H17670citkM6 UwwkXNkmCrHT/OHDColRa74EDrIRMbs5GsDsXo3Ns7TvlQN0faTUDECjro2wRCsXq5eOVGgdug8r 8+DYZG8xgJjWOJhk6HV+yI0Yc69p5A4/CXjA3OQpccR2h+Dt7ml9Oo8K/DFZkWv5VeHPAmhgTCkC YGar4l45NMjmZT77V85gyUqAPguT65yEHH4eVed4sxDjdZF39hIdCcDJ6d0cwaQTKj9aHtnZmTNR NzIjJJoRu1SOvGOUfQ4K5sXsZG0jqJbdErNolvpo2EiM4h3POEMD8Ms9A6YHUFTTuaBKdpU/QzFn iQ87sIE7Z0+UJozXzA6PT4tZPGEr/mVLlBdXzard0fFy5K/8t2X0RkPzN2iHGyBIkFNx0XPYH4cP OaF0C1HAEjtz4+e+7WAFlK/TKvr6sB9CTrssYfLVyNIuZ0IYpH/RQ/4y6QVgI74VgA6z5w+quO0X 7aviQkiNwU1lnc2la5vwyhuKrBIAqTnDdGzCXr++DW0tHfdWMWuElrKVDFbnKvoOJsRj3YF4QbOn TrbWthipIUTNEC9e1eUUkDZRiPiSArFZBJzY/7CIpoLuwLPTiN+5T2FKpOIPIQ+b6iRZ/3ZRh4dE 2w0lNMsOH/udGXflJBVz+4VDDwwjNOTB/vX8lV9Qr4SWJFu6so1bMZqKbD3zHuNSXyotNbRjyFn+ q46Q8B10WDYkdsLXZJkSplf3Y2sg9uoCCKws/DFptYRBR5FDVchCQ8ZBkkrIJqaY/3ya22q2DTag aoCPbe07FP9i5lY3E00erbGP68EuDazJ7zvxHd7mpmaiCuhRtzj/IZLyQFC6J8BoBVLLoG4Dp21e sRDnzXljGgKX736kvs85U1cuPsVwCnGZOcy/Jn7PvEWmeJEHirNmGkKJX/v3kRvqcTKIvVPVDYBU RGj1WfpnrpysxtZTbr2q1hcPW9LUZ6TGD/zHIfN83tZ+wSfaVNvuRXEEYilhm4VOTOVShql8NspH 1MIH7bhrscy0y5O9ZdqK+T2cxe0xAbv+P1b/oVVC27+DgW4qMIXlrrI6vhicrXeGnO7Q7mM2HmzF U7Kh6CwMRk8GzQVYjtKIomuZ8WIGkTYpdqtXfZauHps/B7xG9AufUI0fg0pohrhsmUa9rkgk3wyc nhYkFRU7xFz8w5xHiYBdWRicMs+S9XmOxZBQ7L+p/bbeAvfKDEY3OX07znspDwr9LFCd3/2KnXDD XHaBh19qEmViSbJjB0uQQ0QRi5crHSk0cnJOGcZSlIkkNfbO512BKE2dUjHVoJxGMHoo8zBDuoJA bmp2m/WVtfyTu5Njgy+8EXtkiscb/xUFjdlNDsECfoVFZTgU7K6ecCgr2eAcA3paBiEU4hKR03TZ ZEUWSNSXWfYT0k6P6AUzrzw5ZYNCEEjE+uah5eIfvPzP8vpzXYrCuRosYCeSjfevRxBniGYxn5TA bltRFLhlAK7UsiGUKvKFP5MFG6cFOXRebnTZxY0RWlKcyxHtN2ewlrWpqt2gFFrfuHZ23PW5xWzB GHd2CGck50T8/5IyxfRBitgduc46Pj03BzNu/ps27gS89F6AY/62Zt2PnhvHdIlC8fe5QESdRh4F 4lvYE0XwXTi14OfuIkctELC8qxPoM+s1O5YMx32eucAMJvLaJT7sukFJ8ZO/RYbD++M/cVwHSeLE Uwmks5IGdwCKPAS0fquC5v5Hu+eYqbChixTK23gLwIAQ42YTq4eT0tKBz/VOd+10lW4fQbZOL9DU T2SG2xbpc2IeYLkgnWrxwVeUp5Y3xd0TUUE2AxGyFDDQFTt8WUQEjaLOXgmPPAK7wg1PfF7/d4lS 0gmpXv950f8JNeN8g5py+AG6wJ6lhCz0rVz1XR9zX7mpgrQyJmq1mX8Gwf5onl5ch1nQixRRLK6c VOuQK09bBzXgOxSxd550e4HiwwAWUmScr4UdZN3al+Vvf1/sHFJjFiOphT/937Nj8jNfDgth2F/+ fvNKQR2vVjgTnDCqEflcyOluLyfE1yzfvQ+6p4utNsKCbXgktv1LOzdUnBvlCFoBE3yQCm3ABpKW Ohx/9uZ4kBLPJ7q9HMQknullw5i4mzJHy+JunqhNOHUFNt0ZP4nr9chlqXyODw6L4OtRpMHHeSKc rEmCT0ZS7yZ2kFJDjzlIo6NzAJA87g9rurEqPJ1b0Vm5sXffqNL4F7nXUxAQ9nSPMzQo0r8kSL9D P7+Q554p5czh8hBbRm4mdbE/gQCQbhqAdqz5kU3A/dmJarcjWWEbNP91Ja95nrJhZNl5iwH8ncoq IasKWXZMDqakhCTyAU1qvx3OgRJ9CEF5Qk99CYlXuSX6yRFm1GZpDNqfq/73NLp0duxpLG75tZiL I8l4KWVUX9cVp57U2WC9fyo2Io0BTaoverlhpqsmsXiWOY5Q9jF8KjxVY5AeAqM8q6/ByfLyvBsZ 4xcG+f5HEtvDbJMwuN1lS16yICtEpRwztJqPI2RAXLaG6E4xwy6S0w9jIBdTAaucMCUJwKvPTB1f +7N2g7ne3wnLrvjbnbKFDdnHS3FKlUTmiOjJVwbSQDq5+OyvB2jdRNaFiOQs7/o0zDDRKF4vyMsG qMOj+F57nFEuRWUzE+cRtLtDku8ZiBmKeoY/w9fP6ys/h4yDtIJvfxHVwm7otiZNbAZGSmdOX92A RFtaNnEW4IRiJzgJC6ynDUSoyy3xLw4zI5yvJRRBgF09kg1luqDiMfXCEeRDU80CAhgOpNde/WEY 0MLavaeW5omxhFIz+bpuwzQNfW75ttCj3R3dz3XHqQAWvfGJP0qvz0llQEtfR099JdLNbdDKEptc NhAw0h/yroFhwQgNI3Bm+bsUR9E1AQsShWwt8N3Z94aubUImUlkYyamIot/2d+MMGhcUiuufXFjH pEFoV8+B0KFlOCVYUXT3EcKydl1Le5jm90bFI61a13NmPnU+BhcUrEGEAzHP3mqcD6LvAdNCQ/QC oUFPnZ8Qs8uWWf/1SylHpiD7WxJ6gtRSCXW1SkkrfXpHVguJV+/acFV2HC8BfQZg3jNZsNjC7Tc1 uf0nZBqnciRjbSLMkZCemx0p2th2nzeUUGBsv00+2sujwb9HhYkWQaxVYcNjjPH7O4zrgu+Uf/7g ZjEqoLbxR7QY1svXU5m5GczqC3wewN9bfU17Z0nUPYShBovGlGEXGpuppIxEYs3mocwVmcjyv0xC RUzwzKWjJvgHwwAzs5ZMyMlajk0ltdm5UTjqPNchuuJ7bR0F8hQpDDsRZGzmEFEV8DqE3jS4tfuq Agv6rYByiBZjVJYTPabi7ipkb43aVfQRQPDCXzaIpHqXcp20Lxb5F4wlgGHVyOWV+bkt7J4Wau+q NFbZnypMzslWZP6ENiuavO0CrnbrDKHuoU7mRI8yum2fuwdr7wvEeyTnJNo0SCio22+TYCC/+5qW kXKaehSXecEHGya0titdeCfp9OcAh9Yw7KnkLge5SQ/yOuaJPxqqieUWfrwy2gIfio87qJLZpM8m gSDte4tEcAy+KtHA3xmgp++kaxyTE4QW5yjz5om8KAtT0EYG4CGY79tPXomYbepOx7t6XJ/vSr1H aOEHXMw44ZWxlhdfo7T/YtCD8N3bcZhcxdP/dV6Ft8F/KQUbmIqkZUYH2129c4ETSwhe8fKm9uqH L66Hj8RdlDnT4sLFo6ICXjXd8YNH7lvTw/IPoKGBa/Aq1F4QXhxc7D3zqOBh3oQULX/M0qHtpPSa AYp3EZx7BZC3srVYvv5Yvm5USi0pcs+J8BUPl88DoJKotkqurtP2UUUFCd+Z9fKoVImUgPneMS8T OcNnaINhz0BpKXw5/FwC/6m1hNR2NsCZya39IYTDFO7Tgy3pB8QaH2ofnPQRfVGAnEJBTT3plONe zH49o/s1Sa48Ulwb/mx1VTc11Nii1KlE/Jk3QIt74+S2S/Wr+6TX8DmjGikq9bnLdCtv9LK7BH0B DlRPpcR63MiUFUhKxOgEC8mluO65qpJlj4IfUFFg00+djaQKWQuk3FEniUtm46E7+fZpMjekW1hC at6cM0+wYbJJ8Bb2eAqlw/pLlD9xd9yDAJ3rD95B43Bpka1Iu1IW4zVMqzo2EXQFyZlxfDIuHjRV ibJntepGEVf1FQN4x3UL96AtLb7tkbuXU8KG+zCf9CxCIYDn6ASgaA108nbLlkma6mTjSgZZFAQs hKA3qV6UllcvlHPoUXWy+Xe1KpgqA4hiL1fGdr7dMAVX6/faZJ6bbTcsJBdvEyd8apvl6SVrS5Vc H/xkrJQOQBxovhT0wR+f4kYNh0bsENpxC90ya11n6/KVCot0wp8aqZorHOEq4NW7bmuxw2Z5SlRU gEVexZdmqLrfFpxe41bDbetF2azW9qZaiCjz0xLsk5mJGdkzli0tEihEbvwzy2hQxeS/ONXModA7 P8VwlZvPuEDg9xINmK1M08+qN11bHzIce/fbGslK5KPk8fKixBCCuY7So1aqPerVzKr4r9wCCzzG BjJAc7Lhn2IUCUkOsUPSuYpdyBNo/aEj5qeo0H9jCDiWVl/SDrbJCpt1VO5GME9pjHRvO6VbQkmR 52bdO6SY3xyTiHR3b0+uoB5kRmaFdMyXA5wFNKwnbPcHyMYgTMvrfjOD6SxbYXbcSgawj24+bBs4 i0E2oRKrc1JHJxbp2F6fK6Vi3KJwMcdC1326OHcGIxY1fCC2z2HufyoDMe0K4KCmBkPjI+4NJUhe HHGx/hYy4UaT8DSXHiy9VO+pdypO7tzC3Xj/R5IjwPUhH4b4l6P/IaXZu8ajGLwBbo7q2ydFe/EL /kiPsGG8JJTAFJcIRCjonJUkCdeACtP8jAcGG3NIgUZhqfhU7SPMPCuawoWCZR3rZ35IXGF5F8Cy dPWuoYta96BVQnrQxyGF2uzH+JK5mtI1vKn//C3wR8fkt8A/WQYZo2e0DOVsFecs+TZZ1WdlDdKq JCgnA2oRMTlfcR5eJ/uwpj758w2TbEVZ1Ql75d41oNREcKqZoc3iV60Q/JFw54Tg5be1OCXXd8ok m+J5db+pzXnewcMsBt91m2qrm70R0jRgMB4PwHj3Fpq0ZPLoYmsTd9HwsN3w+AJ9wJbmHKLzJ6Ys Ib7J0b06l5T10fMta5mSh2ik4KgfpmwW5QcVUjaqlmDAtcUmHRDTbmI7fXGklKLEGyfOpZmP7sGz Q+pQT08fevKM7DJXxpsIMOTic1v6ODEb3xalkoINvGeGYafjGN3Xz38bpZy5mC2t68htGVlT0yk/ Ja2xrbYI6o3vaw+jrbyMsUe1L0vYJ2qDhOCzxkI3BPP/jkRQLtBXe+Vx7Yid2l69XW12WVpbFtbC jk4X0NbXuagaYA+taBHq5jgvYqd0/gYY9yC8jmm4lZWd2zVGsWccqJcDEdc5MV9MXRGtggjhj9gC iMk1aGjz3BOubhUcbL7SxEyuSOXPBBxH/FV+Kb9qK8qprBJVDI4cNqcDfjNEOHPA93Df8BvrXDBc vb/K9gkFqbsHj68ErFd/28yckmDwd/Gj5ngm6Y0F8k1G9Gu33DKIlYuWdOHjA4NCPP8KLAlyllh9 EfHjE6sNAPinJcP9vMbK5HsFe8kikEPhJFk46GLCaYQanVPv16K0zFq5I2fPkoYK9WGuQnCD5bSn beG9qBzWxHE6x1Fn6C+kWfqcuQDFO0f1zrKclQ+0E+ZUyDs4TiIxORmU2f50XCM3wXrl2NgWqxCF V3k5mvhRp0PhLS3pMh7LoWvhB2vqrrL+73QPAfnEVzoevGmRLYvBZ/QLzUOAAYbDXis7iV70gV8E wgkCskRckcimxnR8WCuIF5e9m/wdYdgcguR0V0LfIsskwLV7Li6Eh9X9oYA4FV6OEeotAV8zicMo yB3UtqIVqWfw/2zVz5wnl0NvBnIOPnHDvB1cqvvO4fXr6tDZ7NI5b3N0sGbcUbXWeqglcZrePbTK E4/V8ExyH+TlZPQ/LABm7Q2NkTn8sb+18mtwrQDEDQxOGfYay5N8SeMAVfcK9DkrdpHwz6jU+On1 o6zUWiBQnYtD/d/MJDa/BPERTVuZrPrhGx3zvtdTqRDZy7/YVKALcH8oEzwK5obUDUSnbin09fm7 ocWMRSS0SGl0g9VZrFwhy6e4KKapoPQiSv1LIcpq/I2GUG21R5KEHedSmTtAdEyBDFnDf7Z/3MIL 66eV7uSKa3zDXpXp5dNrseNzVaC6slL7ygVpkLx9flvSfk75/JWvRHSh+cGSBzggaQpSs4QR+cSd Qx4DmFTMk1+GX121LcN7wJa58pz0Pl+qheHGVEGJROHMXdG3f928km+6waFhf+0VfjUPBttnSppy KC0c+ZHlCzQ8SG24CNbTSiQCS9cl9NuzynWrsBFhIVEJs3c2JqmLEEl4hsjpUUQVGK7+hQuatNZM FODib/3Xvfkeff0BQyVwBP2mSa/kxEDh6vVVxja6UG+ki2zX4veLi4VTdbBTyVMVONfxIC3y/vbb HKFYNa+i43AKDsuTH8bVt5lDk8/8nBjAqZskN5avC48eMmxKjHPEgdiNCAbdVGGzqoaUGqzOlaXM sFd6ItRseCI1Pn4fEy0Q7RKZ0Of4aL64OxCYSzv3aooTQcN0Cw5muzY2y8HnDXI4R70kFYzOLCN9 UNNmnVqM+YqqotJvO9mrRl6A846EHdOXKHOXe6gEPL7mw3EndZnYtY41kc7SvGYTfvofvhMT7rD0 /GsHmBo1v0lHgIOymRYdMTZ5khzGOBec4uFGbrAHBTUNYPDSKtK7rqtUk6/UBxjr5rbkc3t8ZzxV kOEKCILZAzmitiFGkyVyn3a/kEERqeSfMULHAolICIzg0Hhvmcox45ShyDfGaKNS01ciVS/zGIzg 8yWkDTiFh3SV6G1CCrnbqv4Yr9mAUsOIGBg4rJ4IYtDCfa9vh7zRSudHxszZAYl4PqIXNswUui1B XvHvsWhxbcmgGOVqaP8l7JyAvXJS8YkXH15zE+j07Mvz+LpHXd9c2TjtvbTcC3b3E3+RFQwRYwxB NHTZ3gnUr3Z1lW6kynT3KDFH7pK0bP3pbMcLnwXO2jLZAYWk5qIht6j5WHKbMiQ/KegpVBb6SOTt vavtcM/Jh3IilTiu2zNmaPEaYRnYqKzvxDyAo0irqU5whgokoFRKppwi0mTfSnayArRWObFoIhFV fMhOzXntQAe7cGn+QskVpF3OdFU7A2REVTUGovL3TrSD+VUjBr5C4cZ0YuzEMGTy3P1H6vNE2Akv 33BTqa/P5dHAM+FdLK/y1n8hHZJAYnpfHzoFppAgJ3w4rT0Q0TH4Myu2qSHWOnZk0YWWT6bkxW6z v1ZnCerw4kUhy4HS1n66HVDS2wB3HCENH/y+VtjNUuxMEBnsojKvpXzKEoIdKlcPRYkFEO7ZqnEt 9Oj6h63pxBdGwnRhw3h9BQfeEPcxK4jAUutK3D8K2XygIxw0VFT8MSVuhPmL+9TpI91dv1v89ziV I3ZjDTnDHrviKizfll3mrMPGBz422iQw8dNCIOF3mSPbZ6GAL0jn2JTkyiPlgEUgOlar/lusvn5D qL3kV5yJWEMHpScw6q7G9npbYRjWOMz///KQG2F9KF+TH2iqCIbqvdLOzI27o4t3M2Jb2Hg4jlks ENLzIvJkOQb/It8vKJfbVfG4xaV0d8tZez2KgglrrbbGnrf82ko1o55cx/gY/uGVbI++vnAehWof 8UlZAwkA9K3t39XheWjCHtNU/5qirbwXeBu2b0587CFYx7/JWzlA65kxrg8AgDWiVM74d1XhqwOF 2HowzE3qJNpKXBkJnuyjhtwLKO4AYHwyLJf/L28L7xXhNxtqgep4CqrkfUaCCH5jm2zvRNI8Qywe fHWrcvrAyf3AEJPyqlKyR1UKiGuZWXBBSSITS68Rzfcj0JgUYUdI9GCnEs7L8L2o6lCN6bqBoZF7 WJydRVRZyaLe4IQBVNj3qpyat0BwivMokVxiaE40As8y6sjhqbsmgTFnt+MCyYWjRCxX+FfOCoAW kxvKw9w9EziQ263NLpMRAbdi0hsuKHQRexb4oUtU+Wp69r6DXs0pm4AV0aAnC31p0OgGTgCL7O3t w23Jfvce/j6U5SdLyF71Stbb4XzaXWRqqDmoPx7yfVhEz37IYi4+z7s53UOk/Kabt2eOg1+9wPiV cmSQGUGESb2SysH+knHZnYToVrLnA87XOO8VV6n2OYVyutTxEN0ga1suhlGCDf6i97dWhyz1h9FA 0VGttAyIwZ7YZNwVYQuF2O/yp0taOIaRzascfLlLViN1xtV+A+aWsJU8rKn4sfxJQ7mnlzN4tSIF rEbRtAyfcwoeLbemPfe+u/XQfc6n2DP4Mw2fGVajtAjQjx50Bcu79jgoPHrAHjKPgt+zE8y/qFF2 xj52Hmegbr0d0xPy+bzyrqxmBJMsLmeSHP5FiMumI3fF9FX2QVe8mQNpLJxfRcNQShXCyFhXyosN SdWOK0Ptu7z1ttKUIX2Pcn84XT/a9Z+DaanH8sn4qGTJXfvVS6Sn0KwLEnYBsVMUzua8xMSEulXB BjQOJvKlGdTD8fuPQNqvwMJrYwm+ce+Vrac3RUtltPkquWuOLXzkv9iPjDy7cLJralcHISmDOBum RZuvEezkDIWyJs49DQug58Q6zqvyBEw3svked0XDtJXECQnM/Sfs4MycH69jGu6GgMvS/fY10Vxi 2MHgRdYxgs4SAnbYAUuqTQ8frt8eYaslexwnqa9XXy47tRgsAtymbV0Pwjf5xYPwI9gi/Ega2lun BzpNSNT7viUWGA/KLhj4khNko1K9Gk7OD4xOAgCFsIIKesnOvcOkY/W1lI5Hof05uXvhnjSGvt+s FW5tpmBLGrNFRHzRpX9vPn6V1aWh5XSC/cF5pMPNQhTZCkV5Jhewq1IH08Rk+Ak6MmtuxylX234/ jhKGPc89Y1+oy7+aR6Szm0y8Q4OGXFbNMDgJi92sm2n7MskIuzFnWLdC2vVvw9RaDVL7hWqe3fS1 PS+8PcOyW/8E/lz2ft7WpyRPyNWoTtGTOR6yAA1hlCbURrCFtFW7aWvBqIOU+E1IXCVp3QD38P/5 4TlBX4wY/j/G5BLEBRdeK6Kngro5Wy1cg8qtZUw2lDaIhAkjJngnPL3+ABQe3eFtWt0mdoL9zyXF Tmu/eFNGQnlwAx87qLmleEAze+mBs/ahHDY0rFNB0UebMtC1u0fxpGPTq1h9f47deEIBzRrTZyGF NCVVamvBxF8UDiTk6lR6l2918GQBcDKdenEyye2p2v1sr7O+HrOaqJTH13KHkyEICJNC1NC4IK59 gJ41zAjNaH0aUMc41l5tnNyPmFSiZpaOeAc34pElRJRiQoPOXjZebYGRmaaDd/VJBZufLjGqCJy3 7CUU/nvgQsXL0+ZmWCavPdTCcwn3rANpc6kUilGUnDG7xyKGvzYmbYpIVY7YggajYOsG0ZumY8Eq 3TXeSpVYtAXlTUb9jQBl4UKlgN7VYIQycTTJCXDLYrKPNtNcrj9ZwDZJwWyv3L26OodzYH7EZqP8 Jk245Tfa7HrQoKznLJeaXxGMlnLEnd7+j7uvc3b2fq4uFJOk1EOtViT2p7g3NuRdC4AO/hoo90A9 mncKy5i4mbfrd0Uw/5PjoOxSfvJHn0CYDznxRHsjuno6vlEE6FBA9LAjyxGDRwffHjArDYs2JVPv 67G87tWV2eNFjIzPWrukmxFtSntbS9CB8VGTgNDojv5rRV+q2GIST2KBPrmAR7WgrYzPJke1eb2D eLjYzsmYOn9y2D/dKqUndRDDUJbQJ9ScxKbjfZrBJsYLgXw1lQB4fKZIAmLbX79FfoiQFJ5FEoYy fxkSK4cFkRHSwMgeAPHjJWUWHpxx+1Q4SjLkgtgQNyh2IJFFJTFs38ey3Rs70topkSANXDoUe7fQ pdlJukQ65k69ZpwOPBQcXW05lZkF2fyKIaLxJcm42UI9iiRfLmw6mH+G4hxeyPiAbxzHnkdbVi68 eCBVtVcgpdY2wrsND80Rjes1/IRVe78j1AXl6CboBMYtfdmGP5LyQMzLOeazFPOG9y39EUsvmkOG kjlKFOClK+u5jkz22LJMAg3n5jW/xoMvkUZltkivyQpSei5Ih5YLpktd8MOkMjV6rIH+oZThLwYQ BxGxbuz43r8+oqnUScnrAlVxNw8UKtoCCbovvQdn/k2PK5dsuPMgFd/qla+idpJFjZN+lVTymBkA /w2O5VhX1cDSHIIl068J8Lpii7v61d+spNr3uvmBb0+uE+5oJNdeWTYftcinj7rGfRXK/8H4/Cnx yvM0b8vEHKavw5ptWGWJAIWgR/AWQaQrBDXtGhFhORoAHGxpbxLTjac8NuydsASQ5mOc90qmfs0c +iMDWo6A2xb6jocIqaumdQZ7ANK5bxw3Z944HWsrIle7DtUC4mrxyxWplKrMouOlkv3gUl0QWist 6C30/ei5ot4Lce1d6V8DFECDMhN9gNcn9A86yKDFBZLCqadpx3AisyO2TzQ0G2WQJe0emcGT+1fF E7ROcD4rGxrP+F74xv7sGEpsT7ENGKepY/g9BZLSt+5YjAt3n6OFIa50FW/tTwXge5QNoHPTswG1 Bn9F4ZiZQqDa0b/toqq1c3KhD7ckNDte0d3dlaKyclUXBEc3ydvGXjFJ9H26MUSZbrrvVh/W5rQ6 V+m4RxlxWo86dvOjFUJJbz+t+skOVBcKa8YNZjb5Y7y4swyYbklDfWJI6hqDrLU0+laLa4vdRMRb M2hUDZ4uT49CNwn56pJE/6+ey5zTm7Rl7aMi07nFdrNTO7hZwEoo/tyBAvEGPWNht4WEQju6T1yk mUZXeElGEZHZWS6dkGdVkclzVmOvyfAYwfC3cVgGRvs72DAE7CZsLTC+5cDtKlEQ0RDddA5mU5c3 5AiH0qDkjYs87hFBcZuFWqQzy6FFaB6Gd+iNkF7RpwHIAJKKF9KTLd6LmMoVTRu22zAlkevo2HuU 5gWE8FRvXiNhzcUR4Y+66bfxlLTRDknLKYcKXPkXkwdT44EvqIX90H6+br7X+1wQW0GP47QRmkiB j3UCyX5sHG5akPCynbk4kPGoc4ZiTuEyRWE65MOk6wjHiB/jx5tFvWfn3xBuJJxG4X0hcVUT2yDA GSHHb5h8X4zi1Q+oOYYuBKnre65q+1cPA3fADFy1vmtfQkwv9lIMULMKXWQ1y5ZaHm5e4AWMWAQZ NL1Jtlwd/SMqp7SYw5WCITTNdU34wIL5pkAlN81+ySYcyObJlqeNykimofJHuoSq6Nkqr3kZCb3J gC+jzs26BK0AtsAoqdPLyg+1DRREV4XZx5gclcFjcPEP69lhcHtQGKS7tQqpiDFsY97gXS4YtXrg cNxpikRllG9jRZQSZ/TylN3EVSiho/QwPuvxFF1zYuJC5Z5/a1/7KHaY9/utP4PqUrfDx3iGvunS hlekLpfv1b0I1u0RAPs66TPdSwzzh1xgWAi4jTJ1s/soqC4Q18kELPP/3XWBzEQv/rQtHJw+s/yi Iwh7tA+KpXY18PAXfux2Yn+LxOTEFptBOcTu95xBrvKVX1gFJQB8ZvEGzuCqPP89qYw1mxm0Q5mZ 65SkNcxLfJA0+u9MhYnfHuvgLSE4jGxF+TM73t7Cv6RfG3xIvWiGvssVubHMtfEOGsO+rjHTg5J4 VpdqXx/CqZ8T7KElJRNvvh4AHzYG2IM/glpAB4Th0DZJrb4NSAxkTk9h5ujU1CKuBelPYqLFcSIS NsIqaqzLDJSv0ANbYJIob1s2KEb0vVSG/tx3MivIEXxYmep2wVpeVo/ZzNAD16Eb7sKtCtTKubpd n91OBSIBbp4AsBJEdaT1O3puohS1nNS1RQpBBCK8uzG2TkD7MS4/AsfpmRdwPOmOk076lhG+xh0H YDkBo8wzKdg9eD39EXrW1IrMptWqPqVNqTdabRmFXSlpVLmjmUw9fQ3USrsQtNfsAOAwNYfm0Rnc Feyepv/Wp4eF4VpjMB2HgopuzwovUrpZmGASYkucqTl5ZO2NZtDY5SvR+lmU3YS4fJM5JU7KKff5 V1PzSQW0OkCI115Itn+JmgHX8ggSQqF/OGyjkmObSrUOgfgOSAVGSXRnom0Zlang0Kno/M5c19ue 3FXwNJI46DPqsKwa7cch8hDHLa61Yycz9dPswJiTblEg4EdFwNG43eygWSiKZelTkoipbbW9GGEP KIncsr0GGZHg6HEkSUGJq9p1FoDOy9o/g3u2CCwZr4UnxPEgaAx1vsL/MajBeQxev8GAPMbbm3cG rKd24iYVMTtXIX8iN8eYi7vCbcBDLGhZJLhyUjdjv3+uUyXH71oFQdHec25RwYXr8TdK5VEuAfH4 A18C3lRBoHU1XXPXKorgSQ0c+0cwYsWC82bke96ZPLFv0F1FYqcWHHJ9fcb57xpNBnhVQBddh8Ea lt/adsbp3AWZuoqoY0pEWPQCSibjpKvfjYzBBelaPQN/W8LuUNjmDlGyonomgP7wnASbwseOE1FB EDxHEsDXd30xI5uSkp/dmT87BlBoD+L7iudi2mZfWJSgheJ3fSL7Ke5Kd/9+8pDmUJebWtcA6ks+ H45x7gj/cN/mleTa+58g3iI56l5whDBiZ3SDLwCxEQZXGwj3q3TeM6oe8hHYZUiz06s/YfluwvpW jsfxK1hqmEBPTgXaAbySYhvt1CjHM3Kz9kMaUF/Ub4OKcET84hZu0S93XfPL0QeTLgzmjgtBePwb x0BXCjzSPrYFNrsOJ/jIp7nV2RPQuyRC+urnpUKgjhwZs2YLi5z5GIN5MU9vSfaSMqO49sYhFFD6 37ZOMRKaItrCfsbDSuZMKVJ963oi1xr/lAV1+j3u3hrjNsUryuXvyZVmVkzPzkavPrLZC22GsagS H/bsXFc+Tev/Xg+lBPHlrYsEHj7uNqrw3WGgwsD/ZdZdwW0BH4nOnZRH/XCRdjxYqKSGkEG8bbKK nqVyR50dOca1kdmX/nG9oXre87j0U9ZILkJ55mOydOFq8fFhkREe2RlAlgu12aWMdUSz5w76CLl0 LP+93VAE84aRASTTkKe2m0jkFjAuhFfl43Jw/9aEZyWK6FJ1Wz8hDR+eDS5Cea0vww7m7DO2Xa29 +VqE66qSiHg+o4/6SDJ0ZD1QX5V/rMz5chpQlzKY/wXsVZ6MfUDAHpc/lfnX0SrTKUEPh1fFpQMj 4ju+4UEqZixTUIuXCnpkYh/Ki2yZIAjuRt0OR1q0jZJbqRBBRzvJpKHqW1RDODxne0wb3reILS5r 5qad0OcyHs/mg6WUdRx+W+t6JHsccfueY4PX1QdeEiIyfju+N/01XzBlRQeu+2eUrPKQs6uESepP /lnLpFVdDx6Ly0394VDdAepmLNWHJLd30KeZl7OIu9Kr01leQ72y0mU57ODpCYhbOIV0WH8mz06y rse032kLcFeyE3hi0hM2sAJgx9Ltbn/NhHvNMK0WFi6tbK7NuCybIj9ymurOklOYtCUwqFi5N/l2 VSoLetHU7vPdxfkYJiBVsz7KxWdlKR9laJ7N37dmdwW431hki2LXNKNcqIcWyAV8xJzkTlfDIkda TcxH9VFhMhEhsYH/u2n+8xgfHSWpuMg48GOccRzjpUwlWeEVmuT/FVyJtcqDbRuC+GAfrh1cVJuZ m1RtcbZMaTKLbWYV34QVVRsr0lApcKSuJcrlHMvGjsmvXgrvcse2UUE4Dhssrv69nR20vWe3UoJ1 Eh+ZfKnFKN5lJoBpHegcYQrzXYt2GgW6KLOT63Hvx2S0TidUpa8ATh2JKC0zGfx0xmIoEuhreQsl rPvoh/ivB3ISHcmVcFeyKeX6FZHEVlCWuiUT3siTs3zQjxFffZTXwMZOTcydyMzHjwcQsiGX8wpj mHDt+udEbX5EbrJ/SzNuNaUH6CVL0d28H0CzeihuGkxWBs9aYjnJTNbTVnZ+wEUzIcvIM5kzpZPO h3sOFwWE1HkLvUmrjOz1h31gIfS0swd/GWt8o41D/PwI53uSQkxA0zdZKlLZXX6twOmr2OjRiumN 4Zqsc8IHuebPOgefEyJXdVDWBkVKkvfGCqVuZqsHgoxJQbpLqYfURkIbPwHr3vJno/mVXv+2WkNU IIJC9C10AodmU1pmxRLLnbYRvsovlxPOo5jcexpQ7UV8GJFLKgCFNYCz03zQcrbZYjNnYW7HV4vC G1Lv1qjbMhD+lzN6SPDlSwhQAabzSGf7NdnIWT/SKUx5KPvwMoFU0O8keWSkRCPRUlEni4ynYELx iRp1ALMuXPgheZgrjknIYOFPrpGCwj4fbYQtsbEsoJ5bKXV7Huy5atL0D2oonuCMlBTai0z62E+s 3HraEsYjd8OQ7tNIlDHCibXhDBGTZz1shGWKxUXJzzl78SbF6kdH5RJFLJo4srQ90glY8T6sptH7 NpNem8PrxtEIsDV45jHqHGvgGGolkAdhBGUmW43KYxLQK6v+3Cci20OedxEDcCsqv82VUN3ha4Tt Cx+uenYxa8Vf4KdAhzuYyUIV+7mhx1HJkjxeU0cilCuXorHntGEqCjQCqQYSlhJGiq4fvUZyvdnQ /cr3P/i1cAId8p0SNJNoSwq4H6hwjXKjxb4SNSpCa/SamJSuaR7SctL3XXUyBUSohU6kQs+Iia3+ k0CEWiFeqzrRZLSw7d5fJ2Selp9UsPFtu9gGoQqgoCZBbLwIosX16HFXsMM+E3jQZ93CHsb8T6zX fIpq3yfIY29qMFkazsKkE6TD6U6ZN9jHwK/O3pIMpw5dgTM3+YGGmS/KKtllS5ySuK/gzWAHQizo 6w/zVyjZvvtVckeELLpvIey97wof+XBdyRWJoXK6EnXUYfK0bsjiffp7Qu25J3AZ/SwiCoz5MtQW 9zbc45NF6hy57OkgGePevefJrNmCgJnO36mkGGNa0Bo/Fs4YmTezJLeSzNgvSeLo+X2YCapWEjdg GHBPE7YQDDYlCKmaDPJ+DYDiWTuH9m6yCjRNwtgFUgz1BGdnWFI8bYet9FWCk1uZ+mErMDT2x/zW CHemOgY0dwrnoTqb4NR53gja1HDpx0QFAaxF7IlsJWKKdjbNGOXpOXTgQwssdIV8+vRrUF/zzCIy ZssorUWI1LZvQRNR06RG4MMQrLRH+mYrUwCKFvbyV49vIh9WX9l7eju+qagtKRAduD7taeCNAFyS 87NuqD6FhRgD2mDGvx4FOfdzeld330qMImNJETcLBP178b/AmhFmjVrA7cKvXUz8CJiX0PFAwS++ 3YF+2E3l4dVpi/KAM5aWcH16aguazE65qxe8DccHIcEV/+d/ngKp0mZLsOE8Wzyt+zdPd0fWrWq1 DYsK87hLXI9La2pah1mo1rLFpouR7gz+S0tRIKrnCPW/06MYVvMFkiLaSkKxLdJprB/CwByPB00G hT3YSQywo1vLqNpsl34/kOO38RpWRvbTzKbgrb4sqJsiAGAZa6Cp454R/6trcBOCEWhfPKep1hiW wi2BULP7fBfZsvkYoIj1IkOaYEzUqdKlSLL/A9xqJRhlU2YFnPn4Tgd3hZBBa1JYg/fdD5bagjcp A+4IKb3wRhklry73sV20IkSWrXHdQkJf7dr5a7NLDf8sJwOuBbwIUff+E1ZGh375hDZCDuddpYfS 9GygyacSPd5+2lQrDubtY1nSiL1H3D4hmWqgq6FEs4QT1347b4EM/UTxJKVgU6s/HGJIN7AT5jEW Qgo4n592a0e+/1tJ9WyepglknuVx0smup+fSx8mnhgQzmzTaAmJ4dW4sEphTNumCc79jLtaefubx alhsNdj1WCQmG+joSZltXoDrNlVE5fhRKaanhceV09TSbTfoKh6X2JJaTiO7zTOGyO3S+eDYzqbX +dGsrNOwht1Rja/AkXEU92zGKqyGC/nV+8FgAP28GtY9vZu6oSZ9l2IGvOizw4JfaekJuXqvb+qA lp8BEBLcPA+L1gZ6UJSJrbjjwJlYPWU6oXob1UztK1i8ZxwJPAVg7AKUS7g9ptzF8HYnxaOzN6yQ crntjH4eSLcLplvXl5HOg5ndC3kv5/k6Ufgo6OupUgkBJbMRNn865pgWUI7cKjZoc25zOPmlLplM IQk4BPBr4/Lv4UaX8H24aR8wO3gshJl24qqiIXG34vmOTSB08UGU1y4mC77/ky4ansbcMRnwtBLu h2PhYYfRDsbV0T/jkDOrgrwemHWW9W4UhStcnF5iqVu/Yrnqaa3YuV4g7SdsmRM9CS8U6gAT4ek9 1LAfl6EVPFqpdniUYQMXP7nVFMnv124EwEP5s91pEwMCUo5mzdAz8CLp0lGQkOaNe9aRDG1m8gQj +1eaxhEWfwvX3/EV4jV5ysX1jm/xlDH9sMYRCn0CgQG8is6Osn5Amf4Tgr4623TWO6b2R5A55myu 8iwor3fVIenmSo+JG5YnUlZejZqg0XTeBgxyJYkxD0iqOdts9M5zmzZUqjJiPw5iR74Hd6TbuD7Y g6hzAZa1jW96plNQ1MxmqyIQWA8Koi905GqhYKJEsh1awDiju0Nk8kprMO4Lem7Y0bTmbgcFDtkI w9Vu2KGT/If9w4ibTLxVpWZ7N+qFSN5LfJ+aXTMKyODblE7BUByjdzFAgRGOWr/QKEWaLiRxNZlL eSIsD29fefpxCV73ZIXCx0434/6M0H9oBTqH7tf3JuY+6R2Uvtuc+FoQ5yHneOfUZRh41O6FwVkx fuVC0hHRmNB9pxoHPofNeMyMwG3Lx1Pipjyy56CFsYwQwLQegYUmOxrFmdwFU3cK4GIa4HpDRF0i ekNkEvVlQ78k0uFy24cLRlzhAzAG8CTbjjtqssrj2XZx1pkrI4l8vruYFKoM07+FbvHc+q3yxoH1 nHTMdwv5tt4lIuLY4c24TO0R4MDvvKP5hcOn/M/vkFZLKJJOi+s59QmoIN5cfKTF6oJZIHrYcO8v yp/d1WwCfIL1sxgUnlhGhQ03xVmanxCSDzpq/U5VUinEzIGiK8eluW2v+rjWy1t14My1+3DmfOPA fHvopte5bTSUiqfv6jDAFGEvk4EQIzyOBqbiJBuk36quj17u4EoSnU9SBNYd4r2qb5mLHGTD44fi cjM7hvOGWvumqHzARkufTvtaY1FGg7inoJF0QmvG4T0cWxMKJTdTG/aP3iuSfs+i59qS0Y4ebUOO px9xbe2e4Wi4ltnqB28q1xDhWxo4tSW7D3Z9jQ7Rj8EUOO7AwFsVN2w4wCyQrF28shV4kyPMo3JY hynrNKGVDZsbmnnzymz9PasZRkcPSkHxKFo+JOieawH2KCh6LMyfUpZ2F9pPjIErJHFZcHkqKWNU TIzTGYc/pkX6E53ctfuAGA4/zfb/DjTo2IHkShZeitreKifefmKiTHqr+m/OQ/L5I7O2yRh4uHoA wwgop5wZzETbk1SVNi1t6GNCF7msp3xbDM0GLh4MXgfkjRJa24ysC1pW0EiaNNsF8g7+wLaHOzJO hR8Oh3fA4+PQd/swzHEujwhbeyZOmfo1W4QQHqGfVRFD06WMp877IkUZ+O0XqfxhbtdYJmKMPh0X w39LJD6xQaSv00Wrtp3Fn7OocnSU28n6FfMAPIpT/PU2k3XT7i8FjnGcHDaoQvGJGKQ+8uvnWNYZ Wbsx38hWpYxc6BtciIdJDAWXMTE4syVqsF5ZbLXF8Yf8nZl8ro2WMARJw9S1ThwoZcBLOClISenJ dyt6QieF+u2l1nDVsXrbbS5FGk43wPHONW+ogfSsf3kATXOQjFPXB0GrKbKoNvG1SGHVzESd0Fo2 4KSLSqAk1LY6gG4vJ+3JG3k8Vr+7Texx0PCOJejTbys7rjDvlp8EVosvK9GxyemcW43BRg0CjwyI bSAQUlU1XoaubAPtW0pOv3jqk7cpEp4TTDn7mp72/9aQ596ABfSkbF8hLtTH/LDMSeQ9Y/euMhSp D/80yV2AU7T/P++QyAYFuua14dH2BXACKNgidEB1YzDUHuF5n41g4SfJ97wdQ+jOB6L6W6IFA7Wq coZd33z6HA+wuo/5vXoCAvMuSBmNZjxki8PD6lEXnQu7+VyY+Zx3jzrT6jZU2f3D7VL91a4fb7d2 +NwtR3dIyIE0HVrm98EDZ76jy28mdt+t/5J0o6Yjwbx4ksVF0y2n+ZpJoFhz2ORTeIW/V9NjXUec eFx547zXAqW3N1cZrmPPhFTz5dML3MV/9R0pldQVbLB+O1XiUzjXdHMOtwS7P27TN2GYciFfz36h 96U2E1b6chkVih+zy2bYxKxmDPDxtV57lB5CAGqWEJ4+5VBFUZdPK11J2lj7Gep7YR4qCfzbENVo v9OW7jKGV3BjuwoUc+J8nAX0VUkQmt368ck/TxGbY47Vw1rXXxAeCulQMWTdevnC4D0aWbngfrgA A1IlYj3+PN1BhhsKu6XO7x03DbfB9prZ6dijvnRtfeK7Gte7XBLkN12reEqL/1XZLeBnNL1wdnwM ms0pHQcrbXJC7Cu0aB1aU53kMZ4eiXcfe1goj4UKrOlx5A++OtePfiQAQyp2qZaAJpXlNvhS9Ko4 E2UqnIThfXfY9yoKuGxorcRESYus7h1SRNg2qKqU2cttJm2PipyMFFmnQ4CDoO6IpH0xhu1utZNi fvr9gW6KCKtrLst6S7bMxDSBgQynroGvIOkzR3aGoDg5s0EIJEYYSAwMM5dt0L9JG1aYcO2ndISp X4fewTWRNQXCkM8J3jJiN1bSr39DI8JTsmUwCo07pts5Ns/N5PIt8U63WCMZALy9Bm00bX/6s6Vp i12v9OVocc0HrTdfQUkm99SrklXX+Z1TTadNO37w0QCyhcAUYkUCcQMTsW7av9/1SNpc/M2Zbvdf Hz2LwBz/fCMGQFaW/mlGhfZmgUTvtrVDxMVeIYNz5wzFlvWZZ4VoECo6uSz7iyojOC5bp3W8dxzE 8WoubZm9AtcT9EygXIIS0P6cw/VgbNLL5xuWuEl7WfpgFlRL2ND4JPMc5lQYhqiqZknGtrfJHfe7 RXmXRIxYnB1GN1Uz5r82Qy8X6mhFHTSMJluEMgeTEr1m/5SMl0u95Ajk1enBm7x+uySCxZmW6fyw LySvF4byVWG7XJX5zwCvn6zZyk84rgH3wUaLyUP8E+6tJDjICvKa6K9wx4MW4Dg00qG6xyKYmIwm 4SpIJm/U76/UKxM+pLg9stU+Vclb1EMyS2tiOlZ/unZwtgCOmso+4pRAOQRKQAmfbiMmKzXDsN4h /YMAJXvN+6wJ1RArGfBcfU81ATUOD55QZf3FWIHlOJz6ZNare5peo5VqBmzAyUcQISWIRvpddix9 gHKk10lAw5aHYw6Gw19fGW3xZmoUrDQoleR3W6M3vSYRIaEAaOIqrlP6o158RzBGem32h4HNL0IB 6R4ZTZqaKQWrR3r+28cVJ4gjJnCgPLO6Rhcti+vkW3gPDSDHUmapLEH7zWVIWTeATqs1RynawqrW Kw/p3n0V1dbTwPbf4rsmkhiNkZc3/+AwjIAJ5TWrllT6Ze52fmIIbZdy7wSDeovj4hh3CsXiAKlC sDC9AciAir90OuCADuYhMa7wP6rtJydAklL+ruwH/9Nj2A/tHVT3F0IXMw2ASCZ1i4Udb+sI35Qt jomyLCyu6dt54rnhx+bz6WwzuHdshQlEWEhYCjaE0SIiX7e2KZos0iUUOXA0aJ700GiWErBFm9cD TvGXgePt/iLHfAFLZSyxsHZj+DOZou8HH0bQY3GicSnH/+W+tlMmaGV718HoKMbU5r8bpcp173nM IiBS5jk0OOHolfJutvDKGolPDP7z5A+CvlzMN7uMbumy80gxa1bqlsPl12cMs3wC378Eu4+nLhWv 4v6AAFU1jw2Q4pMBNl0JvCXrIYJ/rTZP638/+ALeRWju2of3kK4nLGQwMyIZ6PLZZ3lISWlAnB1B wEL+YGaJAe4XSLPVKxU1+0T/XzM0XTyktb/YrzF3KXPvNiK8uv1YB+kJbMgetoJ6SPn49Fxw4vUt 3tzJX1iGbYY2wxM7aNLma7hTTVU0GxI4Po7QXdgMwlRUjI2gQNMrGYOOtrxWOJ6T7xx4V6Xv9O0d 0ZOucx49I39yITR5JrXJ2ldmQdmM5q3VFvJLto1nLbnVu6GCzvcEF6bhbVHJA8R5oCggVPgAboXL T2zDxwthBMLzZIq0QTRShODwv4EzHmIGklM0ABGtJl6StwvCE0LUnh3hz4oC12bNYH+tUFQAF5pz 3vo6yZDsOA7/64Ib0g8z0LUrWrMsDDQ2SYF1sLE2Enbab/+/LzMuENkEmQZqlMHO9nXrfP/FYqyP ABPfQw9T83PMhr3lsCK58SqBzS64EvOX1t0dUJVoXJi9S6UtWS/II/K4n8RKIhkQwzm+iU/OFDSY TiMNrvQxXlmRorXDmvUXN52A8IfkpSDyDzckMk5i6iXO7IcLBIYr7rIXTgVBFsZL57wLwWTzOS5t 2BtSIFCt1Bg7o68qx5dtVTX6YwU83LCX/Q0g94GWOel7m8PYnuL6+Dy2X49XPryi0LXXoR1CG2/z bxZGK1hYb2m2TtJGIv1sKMHelpBkzCpbZVJzjVftn24Bu8nmKPIa6KvjIU88bpzkvUtoaFjj0Uw9 +vltaQCllYxuD4E4D0bUZgMoRRZf7P6x381OOMvQ3IL828apG2ClDs1i91EqUYDNVW1p2kqsSW4H oZBTGhTlJXDOcg8LpGNUgZziFALNhI4amN9SSSiDpzThiOBEN3YGuLwnl8KmJHhL6lFmspdiYgGC cDiRzesZ+Ec4Jbm3Kf539r2VLI4Z4wyMiCY3K82pgGt5DuREiGjzBumuielnG8peavOplPyxo3Ax BdCFcfAkKs33JOKWhQNxfQUKSkvVmkjzcBjyUcPhBDkBbia6OAWixiyHIHovjvLLMz1qHan0vUxz jYnHphV83VPzyQxHPrmQPDy4RUjRit0S1OzBZnWcBiyzqQ6OwjnsegufORxcijdP/+D24bhOkc7o qw52zDjIQeZmBrHl9yi7AcBXEjWupPyzgFZx6orqyI34+e4MJtrIw9jrHfvzLifFgUGiNPJ/59i8 Qq4KE+cXoKLrssSOsM5vLd+2lzUbCc0lTdL5g5vog1+o0RqwEZ218+aDNwAuZX3ybMCDBfVp179R QR4bSX/O0pLgBa9oR7QYdCuMnyx4TqONFf+cL/vUQ0braQcPNfrqCnhwp2TIUQkY/Pzr07ebcgj2 nssYGnBlm2HhxHoOQC9lt35z03WgKwBQ2WXobMuNCohhnF+dFNeTYS3u3sDpsqs3vo0REnGxpz+4 zxF49gW/s9/+SmelyGXzAA06dQSe0u7h9bMbANKuuU9kYO3aVEX6jxT2yvv4xmmvK/CrlLWWg/9U sMoHJ9y1nG03hLa4McSPdN551pr8ejmldyMPgeCJQ1tDE1lXqbH7+h/DcepNISoIyp3PfgvhTawa LI9LC1THJyRWqs9Wx2hR+oJan5EdSxlGNxRmnhqNkqH1deWeK5QpSL1nxRD2GEkdn4sakFeq/7wV qxVn5UhED5KtZ5Bw8s9b6i3tWO4G2ChhimmmJgA8SBGj2A2kimFxntZLohcomYpE3MIg6ihoG7Qy kHQxWkflE/vyWnfZsTDBLCFHISGjiJKBYPAqOZodfdagX2lXs/Wny0rVT5PV0DGDUCFgZsJEJGev Ti5DB86k5pysz5X4IETlb18PM/LnPzX3MfNA7YbQvzn0c5S7jnMrIrHG3qEmpA8eOEw+hgU8tVqO EgfAnbCciatner4Hce2V2dD2nhFSgVAoSezjxfHfFpahpMCSIzVHHLsud/s0tB+iSYTRgsEOzaRA NxaZYEGXZYkaiX4CN6THSXrKsU/09mZT52JsuLzchVU2du0uxWJWEKh4QBvUR/+t95VO+6VxursO 8qBES6GPFD0l7yoOjVK2b4aLE9sVrs6NafFROT3rCRLuh1tLBsxd/5fHFpIncbaY3bAQfqTAIikf XsjOlZAfzyKB8sqdrmq9ia79ybT+3yUGTm1HPSHmcliNzuRVCidDVtpQTpKIAZqZIJYlIF/nkdxK BUMNXhYQB9kKQ3Y/HbR7GaA8lMq0OrK5LlpTgoIVAykfIsYY4TkyzdqRLkqVoIF8EqbQqOS51yKj MgEZ5VerMDbHpHLKfI7kTXFwOtrrsufdWdNuKOlSNqQbDWMFtpexWEFXPFcbwyW4UAVlnOSRlW84 COxymM9kGBgNlt15cPjGszs4DOLtdb2X3kX2cGrCPK9K6KD00CWB/r48zpxxP6ga2qKisMDrw98m IB4JcmAK07rMAaiKT1VhzANWnnSavjiyWcumUPOJTcTvnQO/GOSCabwhtRVZovpwozSY/Nacir0g StF408/zZ3XK222mkMV2MVvPIeZQEWLLl6Z1uwvImF17elNGMU/OXVTIuu4tnadzs7eenG4kAkas hvEg2+em8BVHkcAOLwfgH5Rg62yhcSYqIPhSNqvdSsBh5DN401gagdgypwOAX+ZigqBl6LGFRfwA Lq0Fi9dGqhrV02z+vrKWbYaN432ZPau3F+kCjIprJEmekgHBznjiJEbIJGaJQHANSslPp8w2w6+L nA4GW276uBK4n8kzAY6i++DZpxSfhRG3hb+ztIFUDPWGyfBJHusnUSBlFWxGNLEk+0n7t/gPE6E0 Ma4sCCY3J1FYKStd+ofFOOCanhsWWTiIvaDSGu/OpbN7WV56bN+G3mJsYaXj4YOO2ATzjUs4F6UM yHhSUYy/D/K5LxpUJvI9Ko7qFBLhPilwAi3hxVcEc3u/S2o8MmklRjuE2RYLgUWonWuRyKoPzHeK 9XtJ+Pcn4T6pwXwQly5dP24EpPwHbLn/LZq2d98+EZi1B0Zq/BFAtsq0sqtlapAYPyBAASPtIZ25 qkHLLE28pCHA5lmbCsY5zetEk8RjQk3TW9dDwdn+V4HKuoML0U7XDRnN1M2IYzwHByWoUSCA5Xsd nTcHeM2MHYXo1AjKNnfE1hRO4uEAR3P+pFlz8XhKzFmMydJn4Jetc6anFzuiU849O6wrt/0yb9xL pHR02e38QuPmbsDhj9eVAALu1tQeNAULidXMt6hG3NrrXxzDEnmU7Zjz2Qv3U2I20GE9e0pLu98W B57e15F/q3E1esxrW2PU5Y2J26DVeztMSrWSr48cONvZXyCJQg4FZ6ah5SjAMdV7XhHM6EE774BL pe5sRzfldG4byTym+MyRFYorFft0hIxkCVl/LKgp1wuCw10GUF2fuOOFHQPeNAX7CG4F1iUYUKPf GSy+fw3mCcH0ODgNdivwPMx+2OUWSTWPjvmfM7uE2xaQytu1EHmnhq5nSwhi1LydUqwJP65bxJh6 RVdHJ4IfRpGn0PfoFh2AqIE002KmneetBllm0k4uq/QW2uoYD2BbDi24KFGlACpm2UOoKUfwBJdg GOHzAYuYQYfhe3DbJLD4O6egvMJuZBx04QAdghfsAhTl/y1k9IaNuC4HQw/ft2DRNN8YFtrZxPdQ OusoyZ6Pbov+Pswx1QlLnYHgoCDEUtT9+ckofBa6IfXGMove6TsyLI4d6fbfCp1L7mei3up8f5/g SBSvpF0/gCQ+IeqPQQfVTd3LhP41SXG1tCsXF1nrMRnO4h88ftafn6F5QU4/f/zSviTBHM5s76KT jQl3O1Xc/N4CRItplaRLgcICgWWhThQO5KLE8xJeZElz5jfY0KfJFPtXTMbqPtGdQnhhBPXUz8x7 RzJhVTZwMUywILvnbUpbPSJyiQt9NHt+v/HtidZFCVdIT137VA1Dcv/AArUzc93VK01wwYs1sx4V pNJXy965e22VuJA8CV+qE6Dduu1eKApqVPqPYS63XO+4FzA3iy8fNz6neel38hlvI6aQAz/qVlcQ wmi6OqRb21ING9b8uxSsKDHm+udUtsoo5fwnxpeg1zthDb6uDD+db9WUMYJr4M4soXWHTtaG3jpk x2V9GKnI9sEjm2OusH0Nw5VgJAPxCz9gsR5YovCMp2/rqcWoKVQjBCJJH8NpPlL+DtdprnTZ+ucb a8WAhqZDJTIT4bxvXlJKOEb9x/9woHQlVo8iOcBk8eZxb57D+zwuCW7cIUDUhhCet9599eviaXHQ SRQhKrqCxTYjwKbH6oREwoArwZjVKxCeBA1HMXUY0qnn9zKhxWzipohcWk7+GivOTA/8RPjwQpn0 qJ+c6gvegLVyTtOnP0gG986NK876clesOuDmozQJ1GVBiSWeoRkZ5Lew7o9/UTKt5lxO4ZIBzFUT a5bd+i07AOygv2oaYYy+YKS0+5mq+EjhImzsXRgywluc0xZ2ObPcgBhhfkNz7//0H0J19icHPnmf vhtRD/KYbMq49Q35kgCoMio22WppXH6hFLlMpcCPnr0NWetOhThW0Nm5tEaUY5F8P0Nmgcck1e5G 55sP0QP11XEJ9kzNdO+teMtygJiTlxJTRX5bfZOlKiR6AojLwWCiGXQs94L5sOEN3emJDATUulMR sa45o95NLhAOBh72qwG6VL83q2Xj4DFthrf5rv+bRTy2KcwVNbRDKATZiZ9n1IR94pvC+9vvsYXt vsGiWvjBDgMUq8V6zl8EhwCWXW2sVIEe9QASwA4dwI6y50gqvnsA8q41LRm8ZDjbzZdPyib4lfs3 AP+Oxy9gbm1LPWahjiNbdn9hHBSfKyDkNMavQQpyaawArfcPPqBsVNNPKLDygNcfjt0x08Zy+vwW cwMwqXN6na7E4tCzeP3gsApPI0FEgeX2svIqg2W9VVOQuvPm5Az21hLO/iCBG8oltSzQhyVOrBO6 ZfCJAV5AYeeGeJYJyLTKwNziLJxyzxz+KRkT3ury6mgj915H8df2Air+/9iuBKKgDxmucOov7huz QQM+T0nCnvp21wtd6QkmxEhDn76uV3gtSgkpR3ifpQ4t/+b5zqZZ1hfn6gqFQKbPs4BKbq5l+mBM ScUHqrMLC1n2RuN/V25wHZJnut3nSupcgja6dCXD2ZGrEUijrzJcIqyaolPR/RgA89Yr/QegWNIh fXRWrQrjrdAgAviu9uESx2i1OEW7pHTHE7u8TlIeeUazMJMYZMm9+yKCEy9/0c98GUsanI27fmng /wulIjLqTgPGC5MiOIaE67+KGxvvRWg2lMuKmUAQ3JvEP5FmwYjYDSHYAqzbR4CvwnIaWHHv/GzA snlFZZs/29B+xKHT9f0wsowZe3Y5Re3Q4kWLMIrDLNEq6wCKBa2NZljD0FDuA6giOyKsqoJx18WW Unx4mgtTvtg55efaFi1Lf81KmG6TLhNS66CmwNoVwi3xr21IgGxZwrD32R+gEli+ngp0ZRnvCsS7 Dr1f1gc06YUFk5GX7gYXIi1I8LHyJl7w31PQR5FydsrRHThz2/OjIYK+aRXkE1E3lGzds+aboxgY bRRNWcYAo5nw/mI4MAObqer9Z8ij3U4Z4VZ7dD71rLcVu/b67eoalkzN5wQH/sMhjmh5hLpTfs4R xVQMvn5ienswZgvMBHAmTweMP30C5LgiVm+P5En9AsWxH+NiSOzFV8EI918SxqKfH/AastGJRhSz 08TZ/YIzE2Kxt5eWMd1lXkAzk7znLNYrgjVk3VAJenbuptmQq526qR06PskvLGdam3Z7t+L7h8eX 5lnYEDMQBFkt9j9FKDzNNdGui/P4YIED34DJDiE/OjCXDH6Mv9bEUzcz0Zs9hPmjv7NbLFmeypeM HC096nrMjWmy0f8sDi2bB3MBAVyAmCcjvAZdKmRqsE41Dz1fQouK+iaoHR+vl5GqrcZwE+63FO7w 2CnBcvnsmsLOMmXO2QeqRz2vNsHLDOBWeAvSAJH2XVON3HWSuv3uf6j4hEXXBKnlroHZfUb6rK/e yzGr62DPFHYS8suMYhsH+rzvmo5+MQECKH6Rojv9uVKvvOnJnAqUgoEzoWmt+/ifKfjfMKqqYb7n mJekh6LWHgHER6B7eQa4gPoUX6yuYiSBbXNrDn8P+/LwxPyWudQYVYMM4BRr5tQ6D+CWgI4Egtr4 kpiW4FwwrYHTZynCINrPlROezRLMt3ZhhTgiSFLC4yJ77mMwI3+l8PAULnanhXzAvRuDoZAP1FhT TUT5mKUY0qQpLM0Cigit4b4z0ZBvGJd43pU7cWeoP2GNywiw/GBa9jAtedlwzcLGUiO9ojOA9Wxz bASeqguz30IoDBWaJ23G8Q8fpd9bZtgsnrvmVRGDPd9Krs/pG8MGqMHzbmdJoNZy6sl980lgEDJm tKr5+iUGNRo79/ymHmlxpDTknqD9QLeSOx0/Npyto5uGuvDCMMUJFVh+/UL/m1aqT2vaQuaglt5X 4E2sRPE3VuqX+RLNmacHQdpWCrSxSNbXoYCGx+t+/U5xGqWIjdjvkgs7Y7ALARwDzmx8VlwS/6SY GYuia7yurHFclCOK+gjDwQK0XLUzKVo+r/XlwcGU0etj1n9clzB+clzUnWmO+R7qcuTknc6euZ1e 31LNdxkQaaR+SqdrvmGBbZEClH6BG7Fg+8dggVLa/YekkIFQfwfXQThrCne+GNvLJZjFHsdV15sV JITXHv5OjM8XGwicbfus1NVKBrfHoVB3+yJXndOPfmOeaTgOr/swSkq/Wb6YgwyQAv6PwLUSc6+l 2vn9A+28BAJmcl/K8PRaMwjTKZfAw5pyNbzW6v2FajGIcZ2YYL1eES7JYYzgJbudgSb/Py81f3F2 Z5uAbbKDo44sxNKm/f6Ll28SFCZMw05Aif4PCQIau7fV64DOxQXox/J6LgLSdBp+xSpy7xf6aCtL BZfALohZYIUOYaxBBWtmdfciYGiT3KIExHzz/a8WzobJpSIWMZRsQYx+0CaSeCjt4P8TlsJuAjMu kYMHj0KD3EqQw963vFr8C9jS797eXDtBpcHFbVAQkJj+s/NdheB0T5upZ0CT95wP8ss/FLqsBMIB I28YdG1QUxUjgrDy9q5Qr0825oamDlnqgHwZgmpI6XP5JKd2qOluuplAs0YImb6ZI5Z10a5f5mgm hN3nyxZKvguXx1DVs5LekSXd9LJTxx8d4I8DOM7uzPqkYKgZesjCOzIgVHh77nlFKDPSZtvaf5d5 /JfLvxk5kmV/Y+8b2+vhyw4/yX5OA/iHsX/hvZAqpGzbHtux+evctQLJmMvY3ZS0Z+7QS4N8sztp YA/ZAI+4weePyA3YKu0NtJiPClagcn4sjRfml1VT7IkRf+9ZLFixnPOcOjqNGPUEdevPrZTjb5Xw Jqyeh9nCCOjIpb2zQ5LVAAVUiRHThWOhOTWd6Lj60ZZWKgTaOiSKnIjpilt/4jWrXNwkZaVDFpUw D98H4RPPrfIqHh4N6qvapZbEpij+8sYOAgvfyydyCNbbKTLWcmZq4LCSjZyqQmFs4nU+RkXHhPzQ v7l3FviWqt1AsHGpjRh0BljavemF7u6tyyujyXSJOYv21hDB6vaHHSEo+eg21TPuA5LS8BxCTWaK Xvv67JohqdwDlb0QPKRB0jOod6HC2MGQr5/EHjh6mjqIhXB97wVR3h7sSaa8tLp0XlNyFDELUiBb K+dfrhJDIFPOZldiSXT3CEVF2qdEbGsLQN5mVthH8prOGe+Iiusb7xO7TPMevIN6uZ5GDTFC80uB b0DCvQePAaAio2wm/BOqMClX6As5EqnvCePoa0ahmw4mwluzQj83/NzGVcZnedMybcDnL9tOJmSk N4Q5chl993voYsK6FFcNtvvNXHMreXrEqTlh82ualSuEezenhPmAxa6XmjBo9P1zbBEo1VWoDfpA IJVQrgDMMWdju+9LBpwe9wLXiY/CQN/GQl4YiR9NbMUu2Enw7iu3YNHLRKbIgizROSzApBaiqfXS Id0RrMkB+ivvs1xOpYakhdlP5854oaGR0PprtaqIdlCjsDFflsYJvwFfV2q5ICMHn+CIEwBNN7zN 3VEzMv9UJmcEWhsj07KnxdygpiN1BUni1gjcVn1VzHuJcR8b6FJIPAtkS6Y10nOYTnNHoVe77af9 gnD7J/BVqwuUl3Hq4pk4dUCakm/yxDT4vEK6sA5Tl+aB06a2C0PdVkLDISgZIMgYpPEUU+qg1fD0 0CWJRDxTdduy7qMTS6+4mtqJs3pBPEHRbYNz49ZZg1oRAZuGIpl1ZKLNa5dAOJJQDPeqj16vBrMz emqSwktX7/TNcNxQRbeV1Heyqu4qpEiCjQsFjQ9NV+ZhwNn9M/g+VbYWZxLaEfMDso06nzbPihKn InuloysJZj688F31PCoae1pMEpmVhPhK/bck2SfF43aH+T+fY1tfVJ70LLJZ91KChe2wA8Nv9mKc rsmJyQ4ruuZoIv0KVVs3j7I97g7aH9kwTCrR/+5Ub8E3j+6HPBg9GuKmeeeZOkWpMkl7O2SiQhdF KXZtZpwCaZLzg3KZ9Au+wfwArLJyH5EhJH+4JNGlzi7HxEmeikh0ZrL6+lLAJ4LGu+oOHttoF3RC zJGUXwVTxML159w5AOEIUhcR7I+xQpR3SCX/W0mnCgORJqoOCuNw7ovhMNJLlQ+aQn0OaSE0BfF2 5394j1RAsu7k+6waEDJ684Za3rbCdz558u/F/gYlWGI9HO6GPwjgwaqGjGYoMP76whAZJb8ofNSr lP0x3HrpvnfmFUV56wK5pAfadQuMNi1ItQRBFNqX7u87ahu/FtmTDae5ksW0weQtWOiG9fYRrUCk IiOB9K/Uht9GhsCQTYII5n/SU9qeOwIGBrLE5nZgJoLTCP9SkKPMZ5B69V0xp6/i0Ett4R1SmjZh nLtbIZkHL6Q4f+gxYvPak1/5JRhG85JvQHc6suqtcWfwX2Cv8keXUOMvGLPQ6nOLXPtaucx/yv2G oWXcYvKO8giXvENVr0wgtjo3D3tZ2FThz9B96yIUmJR2ucQxJdZXi5GljUH0GTBa2J5/Sx6PS7J8 OpeIgKSdxYSKnVSn6y3nAyCy2vfcUO5a3AcxyhG1VEo80Z9xSe/Nimeo6bc4Axvegtd9vzSBngg0 y2ORfg1UoIiI+l/gCjB6CyZUf7/Z5qNbfRTdi3dyEMrtMWHiQE3TIs3Xh1BdZy4LdMp2K2s48gHH eCMXdkLzD7s5Zoy7r0NVSVcE6eYEenHFK2OGZUlm2BNf9SdIxxjDkq6pXWd0/JGLz+aI9D0zS0AT oIjJqVpApt4SSfstn8CbJNXwJrjRDSZFp7XyDREk12XzUf5n4O8FhgPoPcA+PUoVd4tdZciihRA/ nW2ungoz/C0jhvMFfCInSMeyGM5DsIhVwZ2dTGmEMZqqUWHlUpCMrGqA5FloVv9QQqU4IDXoP1/t PK6qF6uvX2aQTfEL0zpO2VAmlYAgLFjLPB9W+pIc0ztsD9VVXcrXK3itE96GbUv+OA/S8dHtjZ9u e8ujv8mw2zhEaLYF2YfU+SpN/U1YnOsCIbhum3cjNpwvDq0x4ZjEhqhVs14I8zc0ALQGlUkN9ZiL iaBYvxHf4fHC2dhrmtg7ZtXfcf3J53GrlK/Yf78ftFWavQNDS8qHR116FP1h0EUsBYMsGLalNaKH Bouf1oetLgklvXUkt7ukcrHLg5U5Uvr/Df50i4ogDHtACJU10njFDTZ+poZjwlV/a4gSzNEdzurD RpENMHhQ79qppTK/vHCeBd7no77CxbFi4B5sPgZGp13Zmy1h/Nb21EVzvVwtdB2LmlYd/nCzpt+N DxYJ5bj4QF5XtDQUHJS+hiMgFqfCZ+0ZkN3Nohzpsnf4Sob7ES05tn1kPUDgzApf08lSrw0sW0Ck cQrkYFxvdKdueI+25/cYCC9Cm6lJMpCcx9S7LU2zZL4jUZ4JhwT0nf0Rx8iGcNOHg5VTD0Q4Vidb 1FN9ihFfgZigGa236EqLmAORKtrHpEa756knuaWlfWfFaykGtXhIwRikzHNoGOAjr1q1gbce9mHW mJgIC/T2o4ELN7bKnzLK4DCr89PqhdqDqO9lxDy1sg0GMebs5WKPwI/AhQnexVWrTkrTXiRU7MQV Axot1zUs/bluqxtLA42H5Ld2hTtcB+UHZE3IK9e8wgh97sqGdFX8ZvMF/NtdrkBd3L77162wkDyv /B+xyaepGwnyXND9Z4Fz+xYUxIdpMWpb+Y0qMbE9Dp6q6VsTPRGAjdJxczcjVPxTDs4qA1E7+Nwa JipyT71mSTuPH5xbtzsq6lksY6XEd6F3z5yC2I0y2xffUwHN2BD/xUu3CJhm+zRU1LWFHcUUVwJX hqsn2HOx9Nr58afqWArI9/NeI/q1eeRyyvMhfUe7IyTIzCT6daFDLaMNcSuz8TMTptAd13Lb6y73 pvKNrcCx1S6ZnTHGEgZgOnSF6DgZVfLQQ2tUMUO/E8MSdVApUuFy0fdlmJbir1K3V6jPFmoTq7Dr 4MWMw6SU0GUd1x5Vrywr4Sj68e5lCni2L8mmTszuKN92pJJMhW7hQDdmR2/rsKK8bePbOj/veGQ1 HhZ92vJMg2Vgc+6GzlKndK6bXO7QsNjTxb2bHyVcZrpGDhpjUP1mJ7M5H1sNg17vf1l1Kkby6gWg NoPeYSmFe3PeHWV57IKaF3RRft1z2FM4TQUh5C0FoY6raSjN2ZzbI5LD24EIm5Sjlzzlh+SNEmv9 SJV485T9KHL3l0lF5K3IVkjtAW0IudVEGCrjDxOg+B0E+9mNEidrCLdQ58BXkjY+v+Aq55/c2Cu1 ZC/GAIwMo1b8Z/p7UKI6zBUG3YF0Ssnbz1yjGhWc4FKpljzF64ReZB3ZWGolFOUzFcNHxH5TJ0rw G8mnP7MJWyI15weccPqM6bV0G8bVhcniXoZYsFXTGtabmUDisf4/7IAFJ+kl2o/mPr5wmuPvVZ22 ZArYtzrNpxzTg6juHq2XEGENQnDbAnRqIHYx//P5D0swf+5MlL/+Ncmmx6Fuxmj7yihJh5vIXBPF JrvWz1rwN/WbIO+9n55djjaPef9fiGPMltWNMbrgfuChzwjjGPn32/yb4egOPyojs5o2Zd0n5aqo kRpXxVVJxTTKiYaTc/1E5W9CrL/LQGtSpK3u/9x31EUBB7PTK+8ES7r6utGFHxdjH1/HvyBrKgxr iZRfC3Zy7qHfuqkQHwwpMjqHxZKNyCgaYr7ktM3mZ881C9poaQXwn6y0hdGS7YVRial9/r36adO1 LE80endEAizjsUlPvMSrTx2U4v413XiTK47xxl6tl2NGoN4QxAZXIUwPcnRe/WfceBoXOtMLXWvX WJIhW1Z4x1gt0ifIgdh+ZXjqOACG27sy5/GAIOV7SMgkDCOgWkUV8kTwtslChp+4/5USK9P3gMyG snI3/Eu7e9JwxmlusMKlwYrYrebsfCZcyzi4BWYsK9y43b+oZgcsVHmxRyD/zm7OmHMsOKYuFEuk 8H2VKakUk/8Fkt+xKr24tbeKn4Eb6wCInUWggcWFPspRsO+2XaU1aU9CvkUmCkVYpoJUJT40fXuS iAlIM83MDJ21AvUhIVsdLICmjGvGpXbuyLuuTSGAtA3vXbPOjCTPAeRxOejviBtOF+jReC+KsdIG 9mrCwOeHNdNg7HgBjtQoZL3kWX8CFBsWL7ZdSKmi0hprhbCNCilAN6va+N/aXZnmZhcvxxkBMtqN f4aiHo02TmPk5zTPh01haYwbr0VWv/XEysHbS76zcwrd5bapKSA9TrEt7E9Jm3Nz0uaKr6IFl9Ry lcUDFXSoHPxk3nrT4FMc4tBO13YZwJmx+By+hVY9TS+BDRdMe+9UMduEtTrlojakKxO0Y2n5kPIa r9wFtF1hlwriS4nMN2yu1SB+ueyQ3/kz/5hziknYk31tQIZHtD1ekhnDjULT4956JQYTF47sUkCI r6WxxCDNwM3nTl2VU8C7lSWh6fLea53ns6e+WjL0UF4PHPXEYv4bMZ7OnVFq4hN3uuSvVuw4nXk6 vWlSFZeJXic5B/HLyY8HeaMcaPv03sVQB7kQV1MfrTHpfjQ93x/S0jblKRY0Hqe1AAj3WLMud7Xp GEnqp54waf/iZV4iGLhZIQ9P8kPiVszfoJkuSAxOmca/dZsNA/Rv40o1Woo+9kaUZT9ovhayY7L5 rxGI2XCTRrzv3mva4cdSfzqxxORaCavnEdxkuAZoynD2n5G8LZi5ajrir3Apfk+OkUSUFtBepuAl WEPRPkj2r7LUkNqYfePf5ibKVXdAVLU024CTPL16DNxaH7PnRLhEdMwHwb/V27nzLSPdOm4uRgle hIWHM7rybBQlJKfmSEX/P3/Vt8scREcc0/V/0uj/+LN2KGA7DvyXHuofvq6Gye9p8B5vfEa9YiKd pxhBE2V3MdYGJwmuyCqfbLYBU3Pw+mdm/mhlMzv1N36s8NK5E1+eII7euZbMsJIyWb26vT3/z9gE dSdiNJlZd/YcZUjITE/n4mbSg94/MKsS+LXVA5EVf7SwVzFg+9Wvh+dZlsGSY7///uUBlZ624mRR 6TaDEJ/uMP1zoDT+SN3VmiJF6wy18E0twbfSjwVgWiIIVX1BH0HBLqAIDA85FKlNL8H6jnaZ0kCQ rvX+H2hMq8BnPplgSdTI/9WGnXnZ7kSFmRwg7Q18M33K8ThdJb8cMpEw663W8QwrFT2yA3rWYeWk RG8JVC4MCIX7LL3B3JTlpyprhP9S1QcTnARxHSoBgoTgEfPa6Nhs2gGW1xB/9mDHUXajA1XCM82M j8e6zzgSMEPBmqyK25Glh/H2VXsPwNeHooeeqgNU8sB1uZdbd4HPM+OXbKduNAgOuA0C/cczcJMx Sofiq5eZ8N3JGVTROyWgoOFVk7NmKla7rQ2ADcT98A4JUf7BvRdZ4ymVr+wlIoaNUeDJJIPzXOlK cl/UPSur3koOSewT/agsY940Wzn7IP7LJAeer81xVTXpK+HRxcL95ggIxV5evKxaC0mJ+R4FQUgz RylEXqFzgNYyBn7g8unolk/gx/eqGRM34LAaOcR9NUV7gCl/oKJ3In47cpiISzMm3Qf/QEyKl/RT ff0hLGXMrqDklOcXPOix2UzpJ4hrbdkyzuPCeBs3+g989lzt84SBaxBa+i6s6hpRipCVh6YTzq7a o0r5RbsM1S0P8ajPdS1hND+UvNOUAPJaMhhZpFhzbEySuiCI2pbGCbQ98EhVa990SsB61bao4gOf U1prq5qukQxZSNWjYQb/ShcbWqjkt9VsKEQPykro7rhAyTpiU9Wp9g6fVmhPIAUWTicssh6Z5mDz 7HRT8DIQAzoMVkAl1sbu38dDfyn9Y+9LT/vIPYM5m0JHZSAOdvfcJxjf2UadwdYtKKSU6POtsS/W 3f7/3qAeDqPNsSiQrefkDjenO4dEci5yQuslHzoaIatRcgT/KkJ9KYkyG/x+AfZIrU0ySQpdgz8H tENd+Ies6vkyKHRwfXIMM/rNJKDUZ/ZgxB3/7iUQU1OBUjuqgnK+sJnDDJPziRuP+Llq2r8SkC6S 1NVIE/piM+yGfHSYFzX3F3euGLXS7R+CHn4GjwZCxA9Gptd+A8Q6eIOqDvnDJ5JKCNR7Ywr2HR+Z +g/E5C94uKcHWvaXbvykQSfCs1Xs493Dy7v6UkJPHjGDlOU8Ou90NdCPPjz0/WiDxzTxpAP+48qb RPI2H5FChdpmIWplSVTPUkcz5T5ez0FttjOVrCXznNjfeRgLxzNOGR0eBdtewTv9uIyCnVZMCRcj bLGSobF2YdrGzBWD5NxB/iLIAE2XDYvtk138WBXJV5k6i0fDABZ6rgzGJm7SaQZlrYKh0WQ8quXS Z5qcfw3AaNuTs7J9khtCzPvySdJ9/9NWs+Lj8Q8nJuXAkWa7AtfmUznbDr4QojfgK1NsCvqdFMsT rtc1M04hLQm0xchyHbHehIblhUorxLjOP80KEr5hpu6IXwaXfsOmpgn5VdedrJ/NQ19btCXVe9/B V7ILxfemQIe8Wq6OcUHFbyERuinyJYpE5fRI8XekYbNRJ3xVEwRBuhWCCjjvfexENmJaZiPFxVdu o5KRoGe5FfmwI/9AI7qiae4wkEEdeig5a9k3/NxG8diJoiMrNuzNm6WY4qvyre3LGqbpc1c9El8O 9v7Hg+lZPVJSmng4SAosszG4MFtYP9A0I0JTQgf8Gz2IYq46riP1rZXJGhkQghUNXelNyT0Velut WuLaJxm0Cr73nb/WcCLIalXZ3K3j5SVUMbNi0xFrKKa4yu/CrDOpsjKL3J+8PsGW9OspFoII/87+ jjB3Sr2zMI3fLCdsXIZ63Ac1LIHaafTqeHvtZ6JM3PPtLEQmZdzNe2ZC278IXaCQoitVrBKVmCUU VK2AvLRMz9hAlDjH6/0faGkz1Ip6hrxbmRKP6B5CjCv3KgFpq5idqZO59s8Vtf99pQ3ecE0KA0uB 9ZccLSRIUQBNJMTqBQ4vZ/6j4q5Z7kSpSApJUUOeB/ZpNxJv1zFcVW6gDl4JRVWsHg8afyKDImLg ZW2LqeF1+1efCBbe8DZej21eoVD3McwBA0Fe7HYkR/cd8rjdEzgBwpRuVjYlF4hde0U1eHFrvnS6 OwvLDhK52kSxSwxvygTNsKQNHLvPwdruTcyWp9Wk4tqWcNieCdWYTJ74VPkungpGiEydBpZT1JtC VWGLFaX8Q2T84MYkFz5qXJ8REDQXs2rYEDzmuPkYo82v3DZcSSw/R0b13cOBtFx8/c4AU6UGq5Xk fZ9rEiYDCrSxL/pTsQcPVT3ySZc/PjsZZ0uZx4dFUOpWVj/btVrF523c7nMh8HLuf4tjH7dPvxW9 qIrJfoiNqb1TNXCv0QNLCblaqCsWlCcjIn+/hh4euMrOeqmnEs0iCEBhAtcqXV8VW+AiTRGwreBm 7FfJIv/Y8iZQqtvmgy8Zm99BP/jo6hGMyQd+wYGkQ+g9AeVz/gG8tqHn+F8hHEVws7FhHhj6LXLs QVttfKqcIyaibGBVkbVCuLWJP9aNk54PW1ql2ikJXZMQkoJ1W+kDxuzs0ScGi0lHwHGgK/hhrkJ0 sD9RUjwZw6vLRr2j5noKDAuQtkw2LUXV5U3Jr5wf6QN+EO/20P7sHiUlMStvAeuwRGWs77M1n68K TfW/GvtAe07smrftwvVT/SbNpMfsxg/DzF03UP3gt1HCcuDaPXJRVPsnxbEz/dnx2yAqc2wPN3SX NE6HWV5XYa5giSck5896gySRIHLjEFev1jtVuhJOwQkof1e0FbfkRK8mFGWM18vCw5aryVJ14njB +G99Sr+JDfRVq+3uBcSTB1iREWzdbRrZGBGwTMhWjvFLktG1Tpe5Xm+8eDI31EAD1IORr7WazQq8 HlR1matfy83syK3o0A3lTPDzAsT62nBOhO876LmbilZo4oQu9QL3RQxxjYgz1YJTK84pN3gXqT2A +CEcw1fXSJFvVj0x+16B9MMsnwOOcnXv/MZphsOUYivRd8DWkDJ0aMvA1O20aXEoElaPV5qsna6h boDIQv5hL8pqZ2XgT6crMng7qnVaihg7XlJ9VNYAllD+OROeret/ZRlcVJY9wrFoInUesLArSXMP G19KMI+xWoE3Q34sCRImEResrvzi2TuWcOj8sPnfaQ/evLlmnSiYDdl1+kbIhq9oDJ3GYBohCnTC wtBNcx0PAnjHI82Uysu9wgClb5V0AE+eLU/QYyMee3rbgN5zNQDbyIQ211y1AlQtSFRPWO5XdgDz u13aZtwCVL23yaV0vCTPGSG8GFvd81MOSVbrGNN2SLQS+m/Rq0aEvvxxgdgaYZknhcgu/Y6dvymC SUnfFcSkYw4n1nYNc88HP7YSlx15gSS6d/8708fa+/H2pkbflCX9pzBuie6IFG87tGrMyi1hKdYZ OPVFBySzI+PNHdulqaNsyhpP6pScPT2FlA0De/6nlUoKYUTIKmjbCMInIQt7DTfhJ/hI7ZAwL13x NW8JCxj+p2vbKMaCdtx+n3YiUWxQYhuLE8yA08iWYmFqfNJ/IA05WugjNgJzMwph/UhPoQaj+KLZ TSCc8d1VGIJDK30A9Of9zSkBHf2sWco8K5TMXMsovvlB23fOoeIW7rv1WuTIenepkJHXV9QLWgcp IRmfWQnyfbfirPPN/4pz7JEbYuCtyaqUb6ipZViZ5i+uBEjUYR7X8z070nyCoP1MCTx0OleXh/ij OscMlrGZ2qjVOYrcUARGFG80TX0llM8rLLRFyhbGH2gh2RmtS6GXRZQOb9tIiB0RIbQL/vMdeYKL QchR+TAa9WCIyfF2DHX6esVl+X3mE40ASsc3JBsc7+Oo3iXEfF+UDuPhN4fsdK1LAQEVjJznvJPv Ix658ilixQJHBpOFmO1rmXXgPNw1RoYgm75+fwJ5kPm4zhUAAlwPLPkRbaxpE1D0CT1dZr9r80oM HZm8lhhw674utro/reflb6B5qEOPW1P+U8djOzdYdZAsfEw8c+wzPjYFJFLYoqfR/A2B09crOAHF 5jlhPZsOSLotRMb1xrMy6WriTjnoTXSjL1e9WiXIQmp8usJYA5wtMpwlHhWCJ59gIgbTZt25CM75 32LB8NE9biLpCegE7E1O8GdNjmHWksGIo4IJZcKbSDFB1I+F0+9YRqtvH/CxZqsWMdOjlPHDvKCY /6KEfPbuz2v/yPNa3LrRGoXQSyFNeIGS4vynvIcKUeLuVvhsdzmF2NdJVo82moGBv4+Ttb3iuVMX 49rpTm6BW7lRuMSlD6NvTwihoMslkEP+nfLrh/v3bk3cCco05m5yJ6SK8pvVhaOkqqFLihyW+uX2 zLKO/9TQ1AgWZV7vEABXVXPgkjqUjXIqkbea64Jw9gptxOIus/5zzfJeI32xKx6QNCYpI0KRWU3F +hVJQ98Hw5PKzTXQZl7HIECc0ZB9RH7OrIrhpVqoGITJBvzrICXafvvOCKmW8cx7gTE0cJ7mSFIM 0/AGxCKt2BxtTnUR2Z2mKW/L8O/qYgfh8Lf+pQjdOKpTrdHOz/jf+X92qDQjDQ81y/oqzBD4dtq2 +KlGbfE0Y3ZixTd6wwwfzzjjUwDGeb8+ZX+Jyjmmu309SaIx2d1omLuys3TpFaBOgEiWrNoJkCjw oZXE+DVJjwwDYPSEe3TfJ+dWenxJg3c9lNzXMiiSKBw/kZEGZianmOHRcc4G+OOVmWCPlV3qtsj4 l37FrcFoNAZO09Kw5vpK0cTVkcWKb13FS7STd+encF3zX9yQbzIGAhyLB6Tjxs6sMbVkYf2gUqde i6zuGBvPXYg/6oIWC7L7u10q5RrRqCuCuTQrmtnj5+sDTv07FRM4h0qDGsG+/9MPkhdEo2gNo0QQ KAY8Mc7PAmhFmbtJDlpQ40HSNe2VBDwpM3n+AjqoWSwFxQb4DSZ2IBz4vO0Eb+o6Z4Bq7LPU/ZAW IeXGwlOZwMmJstanSP23vRdWYa3etnBYIgDwdpWXs4m0q5g25QgDvNDZEkWDuL3TUGFhSwAiJHAq bPIHeSWFmxgPJKNf3A5eXxJsyk6Zv+PDPDomCFxHfeRHWX+8cOM06FQBmTRYubFlPeEratYR6tf9 FtHvO+UGYA5Ub4JMG+M3MDWc2T3VUduF66wUL6giPYJ4HnFitHP3mJhYxo3BIaE7QqEGX7RL7Kq/ TdxGZ+SLnfsEib0aT5C+133UuT4Zh/xedzkS8gDNdak7kJVslS/muBaGYlXtC1vy30hOyhQ8OAMY +Fao8VRif3X/3Cn8FS6UdE0Jv+kC3zCC/zabvayXAiD9Lkmk66pHpiArIgnUseBbSu8ku3KvJOks 4arrQhUtW3mQ5nFHOOkkv0TyXFiljda4/7xFAvJxSkUAtcl/CM7kDfrpsDbLneGav8VpN6o/vd67 +lu/1/h7Tq854I16CkM9bq/69Mp6LCCIzWkRb324ffkCpJz8cd7XPipHhHS9UlUhZS6+tvbA9kTx zzdLVsYpv63tXfCtKwChYFHuyvmfrO38hZ1649idQm0MJ4UqkvSO3HIARvRIwfeVqi9yQWEIp/gq EH0t591Fkg397Hb4jhtYakebEuypnpqJKDWVS3el3gnO1tOZ2pKqLgl7P7XBVtlrPgVS7YUGoxwb /UJsI1pohNW6p8vLiClABQzJHzMMq3O0xrmt5OFZTGlhd9/oAwW8VMMo4OhoOxzE44rFaiHXUstV LKqC8EYmI48M1aReRnQn7PwT0Ov6KkVMgiCih2kt3p8A3EQ7Pwdg2/M1nR6y2wIGGJPuEOXZ24HR Op4FKWHLc5ufYdB7bBBu+iMR5Ypy8mJGJhR4qZ0S2as4ibsUvDoc8nKJBEFhMso/jAGob27BTt2F LCeodXp8FFvDqsljQNPU/7NhQUb03GfgdbSCociztKa7UZGbqN9l/9r9yY7bBEqJzhURrL/TehQh Uu41ZdboYmnIQ/Adkm6JLBbhcThfhKRQa7izIzvwICkJH9PDJPlvH+dhg0jre4LjvT/QPCuGNQXS QUSKB+y8uzfHa7L7zGn8rqhufqqStTTbTmpIIt6CwPqPJK+p1eZssfBn6vB4B+aoK9SZ1+qZw8t9 YU7dH0ICxRRn7Q1CXwxcZ+kPwp7AZK3cyDU2lyJb74U6p61MHaJwp21LQZHMR0mG9UkFBXu/8HDq aYI593nVjkh1T2fYsBk6QmWGJqA+hUAxEfYHphnx0HsxVbLYr11XuxSyNIm9XNZFw3GGq4bjRA0S 6/6Laz/pae/zskqIejx3lH68sl83BIMwSkxeZxbFim6cb54mamstCRB7CqROtsl0OSBw6UiUcHw3 SmiT8HxQP+Ys5IY2qI+VIEnJ8QsHqC9RvwC3ywUOjPpzPdy9S6Wm7Vh7S3KsMCyRn41dHlmrtkaA dk8tisHmG56QdW10zijYWsfrR/As+Qh3lcg8XqY9F+wAc+fEMwsbkbMEr0TSCeIWC/4zeWfKEx3F JVem7mYS15l1c6fU6wHLJnGit7y80HJIVhua8zq9p4M66q5/PWkfdZVJ5pI92wyC8rSboJbr7uCU APZpkVXv6FhLRPep6RZt3IMtiwOH3z4TkwhyzwupsNUNrpTk+/FuvF0nQM9J3ySqVxnsYZ0yb8I3 zQCd2JQ4ZX7qfVb+IkNoXA3knbJEc+BQMMJ6sCbEigPB9QyUnlCsH5QCiG5k4fQ+pWN4MfuBznDp 5JR1Bli6kyfBrkO+Jz4pg5QSX+sZUPPM4R8xtyX3O/FSAQYgiY5eJxMUlhax6cvTktH9vypZFsQF HcmDWNx7ZHsZojTarWCSW7nGSsdfewdglEBWH6E8GPMn6fXFHFRFRBZUZkjIP2sRLgimD18zv6No iuWNpbh9PV/F2XzJjNT5a87YGIWWVXu4sQOzGLoR41tKeDTkE9tF+RSVRPNGHW938M4imFqmwODC 81hzR+sC081MG1c3N6bWlP7ncBNp9aE62db/79edst6StPXd24Q0NFSLpwMh1yMsFP7mEKoiD9UD LubdcmnM52iD/369tQrX45OpU9QXO5SadD9rke8tP1cCzg1ZYd8gbpSrc05PhK+UDkWtqQYNYGMw 0FJyi576bgYHKsUBLF9IrjlHg2N03MJjVbsNdIetcUeHML+5MJUGFoaaVS47hmkQtzv1XGYnmEs1 Lczg71rwLl7/eD7Uz+w1XMthxTLnLTwFY23Cofn5I3EmOsiN/fpPPGD7Hfuv6nd9u0psy7fLoAsC cSnA1jvjZn5eKq2laTRW6qSs9NISgsCLB029ktaAd5xKv/j/F0i2C5ak6aP5Jrdv/lRR8fayAsOO pmtMtyj73HGN7QQ/0lFAClNrbEtm8+GI8v8FzRFf14fWYJTA9LgVkEnhDlX49CbX7Ebbx9ohNJEK JsNkUVPjWaQY6c4X3Nr3QckC8jyo2GcZWCBgW46EFNljxtaHyXWm0u7OKUgoTvQaMkX7ibrV/avP vdOdqgJtK+Ue4//tOT3lG1CKw3GFfr2XhNJAxbjFzfBa/7ESiYfZ3zlRXrS0v77Ypl8+04mgxYCx c4Ylr1PKiF+Ud4i+78JtuD3QR/RNc/dz1D3/tztAuu2xTGMRL7ObSQUnf2mE8GdOx3BmI4BPsNUR MaYskTR75/bMEdBk1dOVx3uVuXxgNWkAKWyLExitlDzcofLR1gCpv4DAtK3Bq09e1o4ZzvJVMkxS LsQDpfjLU20qx1ccCTIRZ8dVDBKWECOT+LikknKWlOWzI7VIUCwLfOGpTo7miUr+u1Ia1EmGviUK C6sIwHmu2lR+l7XEAxO37hxKhjK9/hGTbeW+yPZsquvS8FryTkrY+scXXzptg4eD9ykUDkrvCk8Z f11pH5ikVYXJ0HVYkvd0sSPM3Q20YlWSLRYPJo5I8fAed9hNp8N1bRsUGrfv0E2jeJf9sFjdoU6A Gi7VMHj0MbMcfmhm+Ax3wX5Z4CXO7vU/sUhoyjxeWQhiirMhFmgxHIKXlU+7wvdolk2wKAgissYf 5GMS9xBUxZ0zIrW1bUwpkyDEDjJ8+EDJ3l5UBnzhvObbR7uclS3/GcUwF+Z2LLelkCSaHo+7yOD/ uXUlJLknYFKlSk37iK1NB2L14pJYLTb4aCs/+BhmMSC7w7Vlg53G78XXfkT90ckF6b+1/CJIydaA t2mXNUhZ/ymY5ADgJhEw95hs/GtA6nui6DkbgMt0tVmkODtWQ+0N1/Oek1+KZaikedtjIE1vk40l 6DlzGAnctLAzPdIFo9z2+omKAnjbKXUmbZlOk9zZyv2iTVTNvbeSLpLdCVEWwAhP5le8N/WkWp+h fVxR9u49sx5ATWeteOmN9xCtif+bhto9I0lpqTvf0OoBW12cIbmCmbirbRznxRw6meomnGrPzfJq nEcy94LooBUaWn2XHZgEH+lsf9sjMd1K0aCvgfhzvtwUAsM+n2ARygBau3SYKMSaoJYHbNj+P9Uf b5vs2HF6Qi3cjI/wWLd3lZmW0UoCuYQgTOZJP/hN383tcsQq3ZleEfEKalFhEO4n9gvhB/G6YA5W L8s4MFFUmOzL/2D3Niskfyh8BichxbHqvmOcTZCjkzvQqWXkDqQiKn6idsebBRlmuBhAcOdS4E/y WrYTHwaOlDOax84FO2rrr0+kyEVOfZlUau1FkHmvGIWDqHre2za5YhmWnON+8qv91Q6EbMRICPSe +ZchAxNE/az8ZSjXaPNhQuUVZ5v2tcgHqIfQEMqILHuOQl+WVqdNFsMam8/1K0gA3cywyr0adwPL xImoMfiFKtz3+TAAFp8ci+0DanFxftJn2hy5hrNir/9rGDZc3moVZLqTYLaCeK332UmQGngLqIHL hoAnInHxHQ+eeWMXONQ86RjxRQS2/++fpwiAUo/94WNkuhGhHMQE/puGykO7P88Fje5gjdqWee18 bIwiQEBgGTP3cS+dkBTYXFZhx4XKoM2aO7Il7W5sD5MI+M6Xitf07Q4Pj3HIR/tLpGv8UAwHStJW LEpHO4y00G2iZ+M9FRew2iwxSkiFEuyL/V54VG/8R8iVCHZSkMdxe7SILxV4kjOy1Pjrhg2aqyIg hZb/MJj2ZhwGrKr5g10tl16kF9kYGDzbDGKOy18TSzeLZjvJYmpNrRvK0vdXZf6IY7Y5LAvMueKn r4M1c9XIsFuT94SSdxZY0nQ9iJMv2AG3978lnN+BDGxxmDnEd+LUaWJbcqknbcq3jY4dAX9WW6FH CSH+OhHh8dUn0kczytAcjQi3OaZ99+i40GsgEX+kHFTC2qazOWG4/UZkzu2yulyZmhPIef5PW8wF 0/Uwr/Z0EFZs0AfdqB+yqQLSls35bXIcXgaaNk7kDkwXi8qOwE//2GK6YAqqsAuiYVpPtGn2p11h wxrPV/VuivvdwK53hQYgmIVlI5gCmfuUpJYsQprmwkgNOcfB0LUduEuMlkoEorr5yKHhr7HE+jtC IdsjLj7VMdBbPKVTVwLoNTAtU80fqv+2Jb/sqU2ey24aSVKzGLDrOlqySJ3TwDW1AeKga3G/O/BF ts8jyucukXvKgq2O9GivQHms9zyddEAxikejObT54HY3qoLdl29kPPYvjxCbOU7+uFEjBDtp3zHv +U431cdFKub/JolvEQu+dymuAfoPXuoE/uYdhfStxoY7MlGq5q6tCOMCc9RLNhUMUqbHpZyqBSKy O8cISiJ/ELtysqzNrtteT7nM4zzUFgSEGR2DvZkrna1j4myHJD+0/ARENHFzmPJUEbR8cVKAb+Zu 1hJ1bAK/fC5TssqTP7JLA576L6x5Q4JwguKcwJd75ElDugzb/97OhxsZk9zIWc2qKl45Z8Vmfbqc 0URKqimQmv4D4+LZOtN8HmD2vUMdI53LI1uHs+shNEuokEFZwqt+88JulqikIDRdq5KVEFRSqd4P g1kCyE7k3mjEX02EG9XWEpL2ZPKne0K6MA+aMnkTrZx+nOw6kNrLmP5YacLuNzInHpcfb5CaPW6X AZd1aTjnuB+RTFxkDNkFqZqqiZnUBVxDlQPVynqEm41go8o0Tgz9ZJr9CZO6UxHPEwZGFENefD7o mVEcoCjtAdCyTIvqvHchDDA1U4fCPWT8Vrp7KDhkUKCmYAm3zirSuLSMxggQpQQD+2rIARP7i3yG 3SZ84QiBObfeLcsG1v4huZQJk3+s767MTObqk+Q0OfGD7xFG4tMRnaC6tOVzvHrdWouyB2rjp0KX CW3ZIaH99Ap96jw6OcDB3oeVSI6kCKGd731v2Ib40SESbz3IM1hS5gTIDv33x3mRxjV5/3b+MfnI g26v7O/Bo3iTGEC8hpf9fW7JKH72Y9Lpo9ILrZyiMwMjfOwi7+yxzdLQFg1cNCCNrmHeX+p6Y2cQ OjuWoQXUC9m3I3//DKfIIP4eX/dzvwypYH/G34vs3qMMNyAB0a0UNtfxMObKxq6AYwoqd9KtkjCu 7RVxCN174ul7zxJ9GC4GYHzWQvMJFFKOzjEwgF/KzhgfkpLZLOttcqRxbpE1RSRCZ+AXRdFzZCDf IEbFf2pKobE2/6DJQLckO4v+CRpr9hAFaxvUPcaNNkh9gpdBoSG/xxvsfkVb1pKK27tcNjG5d0d8 kDjrQT/V4DVWIwwDB3E98ogT8189sqJ1Pn8qVIEQVJ7gPFRxo0SysU1PLHF174/cuv13uchOgBEq BbkwQErozNKjCZKwk/l0VSmxa3j0o9ASlaPjIgbrFPqK326EHDwMsj68h1TX4ZnzYto02DjDyZ4/ kvn3mglDtQIaJe0s1D5DhMVv8T410iMNw0m9uydSGHFnrxbl22FnH1pgd4jd7/YGkJ6kf2lznI7S EF/66v4Em/tmQC8hGWLayGWjhZT2L6poHK8AxnwHIfGmWLAZU3Dz7PpgYbI8K5iYQ4io5ukfrt0Y U/VYjtI66GrYKdToc3CProlY2RaQipfI6oNB7v0dzELWEI5m/glacuY4uXZ5QVQgwwt7S+dpOazf 6+IBQ+X8+zvzgC1RgZN1KIxkAQD6kN4GBchEwDc+HKhpNYM1YPvB9aP7mIznF00ga0zZuKlyEFdo Fwts8JlDda4dy13y1uYIoSgjhfKr8CiQc4pNiX1MSKtdZU86C4j/L9jUK4f02g3DHstYF3pvpKJr y54ndOHX0X2dD1Z/Cdv/QFUKXER1zO/sQuLYovxuUUK6m1t2Pm3entV8kW6xxJaWQl7DYKwyauCQ 8Ntmg/eaEfUVGaOK4Q6T10BoAukdiJzUUwd7VG/8IgUu6yNclu3vd1Tc6Gm6G18bWVud3mucG3my swbWHEtUzieTacltjPkq07QqiOfDt3mJT74h3PUqRr1Bde9mXjs9Df7b7HTAbx5YCUbrd4hxyM5i CcIkX2BuS3i2TbVRGABpu+1zSnXJoss8J/GYgKFqwkV7umCcaxhiuNICHjzzAgqjvGvHywpiqNYF 1U39SU/1P6IB2tE5Pjdzd5bSpkQpKPhrITtiqMNc/kCnFMwPoJGnX5BmCTKxrc5m7YlgqCVeGHZ2 hODtb7cxJYDblFljftvHFQ5UtaNLF8AkxAMQ6eMHSIUqvBKm/D+4JUbJN9dYvwCQUwwEEZdKId/7 az+p4PvUqw7p1vqhc84BAnu8SpYPBEW2YbgfsenlRjt41WbZO77bki518l4DxaMipz7gwiT9s7Wg waMV86Kmt/nxBhTkTQRLr8/8lp1+AoEXxLuQ/4lCOmrJNHrmu3Otq6TvYec5gy7QZ1sLokBAXnWq JBP5ojbt+KHY7ma9uvkTmShea0ZRCz/JcqJ2mjVXECsRu5M9D+App6SvVdP7FiOMCR53byDdn6wK cy+6WVKsfPBWNRN3TDCIjWQf8wqTFJnFb74bRIJp4Q1p488wJXo01H6japeR4sk+G/TQVksicRvO pmBmzLeu6QcmJJSNW2k7gfWwd6BjmDA+jEq5nvAuIx0EOnszAyzZlYtecZJJSVUzwvkF2Po8tS6j 6XvBC2i0d3B348oapEeUYPwSj/RUImE9ynn2t90mYfyN2LSXrTiOGgjmBSaNH/FU9FsjTEJ+A9qD fGPBMrLPZRQLxtazDQmk8bGE7AT7jQ/glcv11Fegb70frgqDS4JQjjEE5vYOXrKxPJVJ5x3Ol0lI aFg1BY61rhmn6tR3DC26DW56QeyEmQck+6D3KnH6zRAK3sRQo9iGmlZyPYmV4h8qRx8dqEweSsqo V2TxkmO4QJSdFmr2j9ZHfx3qLOUWW7tX0KB2nnmMgDka2PDNTo80GRw5n30nO1Z0J4eC4/oa9I2b rxGI+O8RqzdGUfl6u+L95PJiuR46hg/2e1GMnCPRVWTAi29XcTKCaPljGzekQctBYAdVpfG+/57o viLY2QTTulbGEeQdxwwS9wROe6lY/uaEoEunuUEvuU0/iWz6C6yB1LfL33X2c5y4mua2efuCXFAW 0YleS2wsN6XccItzAseApMH02O/s7Zi8g/oICsBkJnfR6koQQVGsSflvFVUT9awgMEDQukaWYZso HKIMWXpjHU9ghMLRaNouNEqGZJYTSReq5MrCxe83BxsUqLMKCVwb859zrdujkdxIiJc0PgFc7bdT CJiuWNkIQ0TVW6TjZ6ENpzH1pVS8rVhXgWQ5zgAuYK92lWiCNGJJ2SLhonvhbPlWI+5Pwrt5/ACR Qyi2PO6uWtuSFsvUmiTAvGVtMvUX8DdVbhwn12+0KFss+GRMX4BNkiYP1xvm0ym7WpuhxHQHFqqs L7FUD2o9EpXDlRa5c3yBJcTVvvFuI45W9TzaUqA6wcsjBXc2Yr1/HRw9Kf+P/LKq4kU63bX/ckeH 0uN8iNkc8TkDc1uu2q5/acB+V/FVsfDfRYtCAEtapf7Q8C3okgaUYOhlwyF8fIncAjAAZEpLMvno WY6FkvOsrVm013GZN5cNA2dMtVgzT6mANcWnVBjcNXUXAmkJIJMbtFe7CdDqYxkE5MyGEWotuKJ1 ISG2DpUpD69KSekeB8Orw5wqK8MiVgChAA6iQwbHN1GJ9X8+UHWLWRzsBxKxzP3QCdR2L69dK10P P8lVSDGbk/xcXvcOkyCQb4TlM01Ze1ZDy9PA91eBaz51xVYc3iMyxPHiAypNHWzre2w2FgWc6caC 0TbV4LMqwa1o1qKHa1Eu7r4dub6M1KRYhxWu7c7owlDpGDSzEp5FYgdj1ch9MZktXD4zBoGHzBjS BDKQks0Y6ZjXH4puqGTGANduvMo/72ek6ctkHIzlR/9afWiOiXq3wDWYC9oyKbnR9+FTPAo9dNt/ FosDvgVQqnkG4+QETUrKwJWFTZTjlXcDqrxq/1Gjy1G9DU1Wd8WMyrewonjqyu4dfJCaCOLwxPRm mEN5D0em8Ub7nO66bfy4QPtqDinL6PVdHPRNa2UXGYl9dVUtrEIZN/5u4ykCEJtQxMvMreLCIuEh w5gSceMDshJnYTZVBPd7LzNTzf7u5uPJU5BvsjAfF8Kk4GIpQY8U61ameLtawbmyWYLoPgDDPWWB svyzQVkS+hG1pERhb3rC0WBGNVRXg7CY0J9EhUYKwQiujYEja8dJGHHfj6XyCA8JHj/OHj8jbZkm Ybi9TWLjtKMaPACZN9GjECcK/mQGe4KoukTxzCgCvI8iCZvM78EdfVVsitMG/37IZhOG40gh6NbD v59VU2HvoajV8UP775/G06z2kwQbGsA/Fekd9pbVLqXOEK0rJZJJwDW67AlfRYwszmk/XE3LDTOZ Tbycr+GT0frxabjhg0Y3EjankUGB/N32Ft09HGXjIwYh8n2R+Zn2azWt9jCioj56EsupQqeu7R+P wJhg7pJAVm4RmVnrMtuj/A5Mz21sS+qf8Pop3dfORp7q/EnhHZZRWyYcVICU4WF7T/n5ufANu5mE 8DPwfAVh7vf95g4lVhjVKZW+i2ExyfsnF+ZglFkGA6ba0uOqO5ctZN3wM53OnioFh5NRgnQgttix qWKXsUKGxHWvqAUEhra2EllRzSbxaWLRYv4b/95BHZPfxeGfJGeDbkQRXdUxN8rOUTX2sT1YBb+0 qAEdyOJBPVlhlXsKUYmfmEVWKFYeqStFDi6v5GITz8Zo0XEHTRgc+RB/JmcD5Of2J8shJOPEl7y5 9Hdm0yPaMy411HB6CBruyX8TSWbPJI2+di6w7C4wtUejMztpG0fxQ+pkJBF0H+pN77Rjjmddhztd iEQldtp4Acj7wMKDhpTSxZKmqz2xdEQGuWOHIVqPD0RVVkkdLYArsQ67EJM3GQWU8NzOQaV5F5Tb cjQPh1FFNVmfNeUyvOLGNnS9GAhEe6sY0QrA1EwgCxTR+vfdwUjGTZEw0v3tnQAGngNcetMMRviZ spnQpA== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block NQ8k3pQPdrL34yCx1pQFOj8MfHnlaLZ01eTNIBm4knSZLNRKO6sRoF+f2TlhM+NvOCohHZBnJjLK CoFYCPtE9g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Xzm/ozszinTnotbsHUn7Vb7Wa9/n0g82YawDx4YZ+ab7lvJ4fof1tyBLVKQZS+TC+7cOb8CaTtPS zcB2OcR6MwhPdrCCzwte9O5CyRw9WgKlmfwx2dEmpHspZLRH6vgi7pqhVYsBtOQIlCcgQyDff4kS szgyc9WXweb09dyyjY0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block P1Lxdh48bPQVYnNKmtDrK/XelBif43Xb8W9ZNrS6NzTVr53Y+tdPbJQIjizXz203eV7gcALvHmtv 1uaPHz/Xx+unQ0Vs3MgnGL7+IiCx2e+WJQaQdFuCNo39We2jL+9lZVRdmdYBpRU+MDBhsSUQDXIz 9oS6jyCh5t06UbdMVFvjfhG/z+JA/gmryanpIoJCF1eZ/9Cqv999b7uO/aXhKSL8ft8bzWB8hnkX ceI3izGs1hRGTJ6zMq7RULpucbhnwZ/gOv1WwAITSa6rcs9ii44jSFCN9ht8h1NFXQgAMf2UwGbV 1vNAg+zYUFi8D9y5F/jRfknAOubWOiep8v/3yw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block baCvQCNTfffzlhdM50YYn8mJWRlDGrJzpq8ZRXgXZI3fnadvcx+KwfmDs5jYl84kJrmfLpcfDzPO FnmswnuW6wtlMH7Gf09PmCH++If5tWgkroig3nGdkMDcp0hDu5iN3hm4E8nFt9i7cJAG9Txcb/a7 Qs0CJ5VkBBT16inI8xs= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block b4MQnQgj+osDHlLhBigVVe2mALAMZaRVoDR7C8OveRt7TOnsYtrZSMClaI4viwMSw44GqjsdMDCG THY9DxAIdwF+edUUo90W+t0m1qO8V5wDM8Mup/c8R/MePk2f7uiKDPODoc6zg8kEpLLtc/jyjOVY hNNpxtEPsBvWjpM+sA1lG/HGOamfolk7fJVGw/LWkpfDF79qlCIPEHAxk3wZwcJFtc0jfGTRfkux vd1qRpdKwiV0ESZdf3hCDVg0z5vKrjlhklqY5YUTcVST59Y9J5ZxasD1lnvoi3vd8D68J46rxPJb H6SJHWFT3xlp4lJjEpQNTiHiopJwrx1Wrz8x1A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 62704) `protect data_block WtvrV4o81HXVp5ucNaZ/RCkOIIUCMjqbHgM0fHXwSFhjmmFHbreWoRyO05FaXwkMqgm1IvR4y76O 1FkwaAHEpUhsOCUYeYxXOILA668VKRo9CepxVmgq8dCb6/dGk9lW2R8Q4u5xotWjSa904kaC6xSz K3g2M8+vm5+XgBt5Ei4yC2drdd1UCdUPey8gGwLGAxtw5Ae5jDHieXR3bEjCM8CmOg1I9Nn2euNM 08wclFDy0fZM6oiSV4R224bQtizyRR6J/gCW21flXBE/0/XUqkAGQnOSma3DJt5bymowZXuCqa21 2t1IGtfa0H2MAGYMO3y6qTiAj9h2ScrXPcC7wO6gawl+Y4icvmXXQmKvLo609qARP1aWnPAmqtHW s7TqTC1qZoCrmTl1gLcdIbBPVkMM6kzzKSS6cg2elzCmgIw6klru0zt1ghLy9XDorusRiXmHEVG7 wmzS1dAFCkAqIE6qzlLZQrAz5BQeirTNgUJ8Q9uBU8GjZt7146ALgeXDCY+I8ZrC/VQkLLQzKL70 hV4sCQHrJ7/8YFJNahxOhb1M5mIh2MPIOdanRYRcjLe+kj6f22cBn9425l1z3AmaOrb2BUaSZTYq vMfeiZ4ItrF/QloXAHLNVqSOM5rBmjsOvzx/xSBovhgEP7nx5uKP++Z1MCbKmbqs1/UpY/ANM8DX 7x6Gry2+GngOlCNBeId89HqIJSKTptDK3jILAbolp7B9fn01vqnWzBbawY+mitEbAFRtRNoCtXNO qxn6j8gRD3ESREPiBWGw4paT/vpiXVS+YVlXoTMesJOiSo5BDqot3Tj4sZDTHwIrjq3u+fPucMAt B9i1+GncHJDIvZe/trFZqMUZRVuGqyQyBDqc9HK3OqY2ft79A2FGiCZ+JsS5qr7QJOX9aQRgmQO7 cdD52hgFldYUwdjOIe4GtF3xRa4eHC/o2Epe6/6UtpTl/9at4wuf6R6Rt/4Wfk0xzGNFppDzPkKu rKhjFAWHA1wGjt+sqAqaeod9RP4z4OENUcSqLRA9BSWTjaGezL3hfzauHiBJIizIv7ajDYnzjlOl 0RWCcR/xmS+uNOPs5u2JZ2BDokT7izWat7CxOf9ZprxhnU12g2mnaICL/gHkYfvPN/WkVkJY3/dK Pe54LlIV/fkZ6ny4EkZWNfusOT+UM63Q0xW6VZ2/U7XZD46BfHpgCAC1hAeumbATqBeFHbJy1vIv R1+mmnAdiUDdxyqmcFl6yCsLRqj9z1AH/AohRhdyF3AtSAK47aCblP39wJWSTFH9DyCcIeLJrgGy xBhH0S8WNE3O61g1Ytpdn6EagvsUPivjoKZlrL9GUMfrH2h7AVyT/Q7EUBn/1oCUkuNpAjTtHYrX wy7UZ2yVrXUs3BVjXjAtSwLJEhfkW8IlJKHNNoxQm7FoS9DggmqBJV+cAz14L0JZqBoXvAavkZx0 IXdEpNz+NAsq6KNa11NSC1HllfqaSIYJLYZKTTxZVoNxoUjc8/vew2PBojQi4FJbXiJ4+867URdN po8vK/woyguv3bE4zVHM6lmT6q9CTYNATaWZFuePqsba/WS+vFR1xhaXqpJC9rE4xYr8tEtR9VyR /6iAHL6I80FfjnlTBUG4q+6kM7fTNoR6d4y9yf2Lbmd1quzX5Nx7vwcryBwVhI8VDkjufD72Ynpw lZtxuGTMTynfhjb1qXyvQJBp1o7jyLjRkhOv7URU7WCkLKY2gVx5r1EJC8qgCsDrMtlElUQ660BI 7GBV4mprnj+P3HvgAV0Y996dOF403ux+cPGrPv+hS4Jfi7vgZPx17HbLjoBiMr0zcOY9bxYr9YBb YIA7qjJmdQiuNcGVXnLIFVwpJ0DkQxZi4tJI5Q4ujSO4yv7SpkGO4cwCqvucieGCwNrFgztmUZcE Xz9itoyreD4QX2hU5sUEVu0G6NgIsXfhI12YG3LKMCeqhNCftFRnXA5lDdRN0kzF28pbOZR7OVIy 7cVsnml7uDbpGFkkmyLGipcvIUDPjL9a/5j63/CcjWiJNhUA+sQZe+BJIW2z0ocpfvtrg7dITjDs BkgbD+zFhEiArn4oiX60XRv1Kh16judaFP7k4pAmHSmmp4bvR/UmE5EuMMdeVgBQROXOSovglBuQ pWRYIuCILEy/d3foFT9an4B/iUl0oAP0Pw43Jky0LC2Rwe11p99fcEMXvoxwfo3kRrEQVsElqQbJ aKnSBGJ/BqilIbNaUv7Kq1r+lFNBryVCHNCXKvXWaTtYzWV5SVZCwlNx7cg6ZZ19xl1a9dYNtylb XcM3dDDUHXGyTYNs0+yqCGDteP4+6RFlZN6hW6nE4kgq3FiLXPLdp5AmTzeljJpVyLDCEUsBLUTf vO+Lj/RMK1YFZLNJVi5/znBOBDuhoFTnZn4QTARuOJOyPL8407qiO73L/f9AM7iXPej/8uTyXp70 BUk47u4nvzCnELKjwt9SWvbVJgr+gfRHNI8tjmwRpvGVXyT0+IXzHAT6IQjN0PaZ4RDpT1dz20vc 1DxpDP3XXdc81tkViyTyKjSmEY5sT2Yf0adk8XUAGRGykRSYKS9vAPSPWnyQ4SsMOIQ8JWSQXx5Q y64X2Xm69g63NMd91zSdaCfefBA6MHi7+/v99yNVNZZ3Xe8eMXKkpghD/uamxaU+IiaIx4WpgJrn SgIjt5HhUKMxypf1AIFMbcimtLntv1vtgyjxxDng9KPXaJMGts+B+hIh0j1u8XuTBMFc0f6J15Nc qVm5d6t9vOO6YTFTFufWQwU7SyOPp2ixuLrI4f/VpoVrD6DXtJsDcXGZusyrqhOZhVio5XsLqTeq ECr9V4ju2NvrGCsYcUwuJT6uO8jBHxde/O9vWcF4t3l6P2YG59LSM3NXOsf4gyxKEteisUBfWAG2 Cns4waLZsdi6a67jRoqQGSqlo4/tD5MqcebLyGEcs1J/wOgGFy+qp4cIPpBuCaoKhQkxDdh0X95d l2EjfiNfUMEIB2waig6EKsydgqTjzBVa9SNIPN7y8Rs1n2Y2ue0meNtMseSdzzp2StpT+R/I5g/L 5ejvKsczXWUIAe8oz/AMZNcU/nHvAbg4XzV9rXzQd6AfJwm17KBM0xQFqgK0YH0d3sq7Y7jPpvPR 7dSC30+4YGqlYs6PDarKGFI3R+Og8asWUiEI/6z8IEfVBxvPPi/ZSHWFZsFU4bVkM/ziahiQzMum 8Wtl5TzJxZ45XN786gvSf6yRIgvfQlsedzEICVc5Nv49Qnviy2SbAGg4MI9uuKMG7vN715dCh0CY Cg6Yh13J1WzHtlLB30nfJkstLXgnT4/snW8Y7zyd87N7RhAVq0FNJHz0bn4+8fpnVlwpgFAOxHdX xnHCzvN+Lvqzx5JuAZ8SijP/1epUrveT9KK4n64IkFgSlNCniE3wX8KDl+R7Q8ZFfpe8j9VbeRa6 nYCXbqnsHUZMP6Zohs09rBC5HFLm60J7EFWN3kOQIJk1p0V0K9TS3TJ0Glz0R3IKNnY29RZOJ+AN FGpw+ScKP6p+Zwg+PkOmh226lE1c00S2a5kvn8+kGIVtks34gQAJIjqt2HtwYvDSbw6CVPuS0Eum qtos2ad8WsyMa/ErN3nqeVi/gPKIbPghaJ94ZbKi0rwZIN05ShPlSBl+wq6bP6tLe7imAZ1843ep vPQ9TtD67MJ5gyqsZVIakPwmANE7luXGYPvIapxN0Hz5dEEjO8upya1BlDA7a7tOBMEbNm78bTul RhqsQt0faQoQrbw6bn/9/p9aqWTU2FzOViEpKFSARsVLnchG/+5QQe5ViBzLpDlN1gYr5zfdJOpE 8ougaCmBcqOSPaavYMh8TU+GVnCJhcrzzY0U+bT5f1Ic+mHONW73032ubDfUchkEGA49qzwKgDRq D26ZMJwdwUdAiII8Bfh5Bc91umAFPKp0mms6LfZhrwZTSKBbcHzDsv4UZNKDwtoCbJT+JFL9zLVg iQvn7k4TKKuFQIpENaUnnROp/3JnppzJrkfmE3Z+Zm2OmFm+vM4mgZjqZ8vhHcdfoF8B4aLLzTZB Izy8FSYRMS4Jq+HgMf0yOKGDkb+38kZgsn20qmn0Nf9BbgBS7zOeEbobMIehz/7lGzA1GzlEtXQo dOwRfy8krrr3PMp+vX59cnPMVfw9I+hydcdGCtGWPdZvfhzAAzYVCjzhvPp6NmM2n/5u2YTvrd8R RhGvMWPl6dEfN4yP8O+kL4m4XX/wtICFoqR8AbwxQyMvs5TQ3QYQKFQ2wPkFRxCwOC2XubNEmYQR lxhavT4fyj3BguIEw5zITkURcwJ/YOxIm4+jkBmi54bWn78WHRxYAsZ4BYM8zs5wZEnjRMB/Zxcu HRM5fxagWTUGIc7qMh1Xfo7Qu86H5Y4s2qNhVkBj8ySwEdqo4f5Lg7ms1YiVF2AAbUArgqPyIcHB xLKSeKnlcSXlDOf15NSrNBoqS8QiWEtZeq0sJs8qRH415Ah4xWWZNKtePBYSpOcr0TcWo5Edu959 0vZCeYa6WLFYk8CXj9uTDdRvv5nipizIGNobhR5aB34/hca310lpCj0+GrKiwTRF107x18P8gKDM fdOwgPXU8IoXcnQ3B5g3dH5aCkaZMtQhyFpp37InqwgW5O1kEq8YLo3VTDUCEzyziwBDh0CN7kI7 oSi/swl2JstwZZJ1G5rDPKMkexrCuJWhjf7ZNUb6ujcJngtIiqwuKHzjf+k+lzdsJDIWSwEsDmFz 3BCK0d0sUohXpVRtR00zcHyeOtE3XhUqRCUpZwOOoobVWt8vtvP4jd8edtUGELGk1fFXN3nLmP8z 4Ru/Fn60GLsxCcxhW5FjmR9pmvBKtwNpb0XCP9Co0WYY39bAxONfsMUMLWzGKLzHOs6y/O25Q0CY Nrxgkm4tKHAfSvtXLe+3+mKa5N4pIwi91fxDxzpBV2mPhuil1wNkme6JK2gO74RJUiBUSlurO4Fc ga3OmzLxyNdct2ztVvFbp22TbzTSOzwwKmCcVKT1i+UZ7QYqLjbkTDIPRwD21D0Wa57Opfv1hANI 6PaAt92iFZmDWGisp4vflzk8GrBKQM/H96uX8bx6jIAw2EL7uEszm6iqSsczJZhn6ggHF5n+TvJK Ckkm4AaBHV8H5w0KgCSGDKk9ihnf0KoBXRU04Ii4pHE4UATSIC2wS7oEHfyDwZHGhVufsa4SKfN5 dCUifuAilyA3ixCQBxEblZkbP0k/pvRW2Pymg5geHKtmV77bunnoxCpAfKFI8C+WBdKFSVmsiyJC maQkb+a34kiqmFeOKlAvGXZ619NMre+FhrFEhJynn9TmmfzhefpKv9gv5OB6rJhoWRM6XDVQCU9r NqMK1Cmz3LpG0rPraUqW/ydL2f7Mj4CxQ2GD5pzo6NJ/q176Pm+HA66PqzVo4uVF8JcH48+4ontj jM1Maq9PoaCRiDv5KJ+UneO2LiGeHUwd/W/K5GTQf+IdmtHDB6XWbr8NE+TaFwYvNNbi3I2/pvwZ hTfSteBaUoFLpHZuO+VKXC4jWtpA5UCTf39xx+lOArKcjldQIfOyqrlwFnsDajbIcpRmJ/M8BhK3 CZMiW2pDVfVBWb0U5Ob4GFR5rFYMYP892PgODseTprTPNL3VPaOiGCuuonJtavWfnsajSySOlf9+ iHpxjXzupu9RcNeW4dLf/j9Ve5QKLEUlMIo2PWZfNcBTDjzExkrIgItHKAgfK2GFtqN1dG7HQEg7 p2yB3/24Ld20Gs8tWp0ivbJScJpmXFrJbZd1EvV0aEd8ghIeV5KGO7rMAS0+MLktMSyngp6+6/MA bPj9uIx9t0oWaVz75aadVEQLP3YTZAxJZxR/dolEMF75iymHS7a4s5GUtvqwdxS4ovLbZdcQWDvr KYHTSCRVVqBeZNx1ZhOHSGov0Mn6lswjO3spQi6ocmsWKB7GHH2ZRR18ZTtdHny2QQ++dssagSIJ LIUGutyIzq/SHoooKDdiyKLaeSF3/Fmg/VM1AbRRgPIZ1jUws2qk9sxPedNld6/5nf1uyMY/DV8u SrDaouw8oxwoma09uIepU/6CjPJzx3Is5e5kZf/NhjP2Xw2p4X1Rl8v/tgiXz49Km/dz1czwBLG4 MD1CdOTV0U2OIPre7m2XxwspuH9FaXQY7GH2mDsJWzQY6BmKAqHr4YdsEFxsic0GC1RxInHCM7m9 E254ks/RGJfRCjo+PDqVTbtihTOgW8tnrkay+HTEUVmni6Fy2Ppvg3OnMN/EtAM5NxdKjf9ncrXO IEyaxDtF+CZNOeSdqbt06gzn/sKpMqRlL0Nnj2V41K/jqcMjEBq1juYmj9mt2TqgS5/zYoR+q3nn RxbpU4JYeR5CwvAAfrTR/x1MFXXMknFqy6lryHuzoY5ofPmKGEBNBnEUNSsN/1sTNnnPbOAxMcsE tHb48qhgVVWB6qWyMlaHJI3QDHpWAKkLFlnZXPUprzyc/sl0xeRKa/dVhrIVibUWjNMi9AOx1blx SytWRjjLGzLtkZeG0+xr+84vPI9uPr1N733uUVZwnMC+Yo9VZYURrtllwhVbeXPbIkfrCVyco1wP CwBPu9AEry1cMFcJtAT0ntGoAnP66hm4nuuVOT2/GbE6p3hwmhtra85yMkkLY8r7AhmjaJrdzYF7 jDVQDPAVF2vkb0Fh7F/WHEq6fhztzNmV7DDfF473y+1XDLp8lEgu6SAwa87c1QwaO1si3M4drDuv bAiG6HC1LeFOKuUWfhZaJKVE5TZeuKKHuA+tjJtJZ9Ma0kwMtSoK4WHrwJjmPSbC44rfIHnKS6HZ OopehaQIECVAMXEmHNcCr7WTXmyMUswCJSY8M7z0vsocdz8TlshoJN/vfUgNleRW3WS89+iMJBxE r79b1HKOMaZ70t/4aaG7Nb9mdC/7jfz58wDwIa1ZvBsXhaRYEOtR/wn6LZNJ4n8kJXx3qkHZaPF5 C3zKja2knwwptww1iVgddmpkpTU2NU+mMfXf0LATGo54Xnix2LQHr6RFh4Ulv+fe0z8SEJ0WHACi 8EYbgh2qaNfHj/+5MJh9ZV6HBjnanXgv1zMVxkKuuMewBpuq9m780m0C6Udvi8tRgU9nyG+sqvcX 8ZRUh+nwBdEG6WLgZ3Pdvg/NEAlOf2f0aAHgfVjifEYdxIny7oySUigM4hnywPLKhWHTD9UUwNhq XLr+rTEeYqL+shBU829AA8lgvjX4vXlFGLfmjuFUuG875uq+TBQ+FTpPrWvBCR1Fc70ykHefRJU+ 9Cu7Faf0jSqdH+gpdLO/TZsT8rhTGlklDfkmCtgM6P2oT2fDLHaujrHwoOHxbGt3FwozJoMFdNT0 M7vRcM4T/SLlmyJX/U584/QlNQAtfak7RMI9GbKRp9zjD/8E7be8cILd92VxGstYRPW63vnc8BJZ 7cUeKH9pFw8bVrw7P074PCpr4yhjQxYzzNKhn+6xqlUh7fGa4o9jz4Z0xr3Q78EXJzQKxi5OolwN Cuv2MEvbz/blC5UYDBo7/lavSUJM/YyOfoL42Nq8JNtcelMS33mURssF2lDAIwJRPz5RVf7pmfMk zaa6gvDPGs15LVma4BWqjYBehoDge4nd0WjXSulZzTPRgz5OZWdbdX6mCuIQ1F4LfpifVbOxTCTG lGOVme/AT0zZD4BiV5czxLNBMyT4q/Ik42RFo1B2RUxWQ15VplVe0eEh+PCDiXcsHcPQae1tEu9J AxJSzlqjtoVt7lW+PCDR/sIACtFfYyM30ck6n5V06dv30wan61pxbatmVlSlzoJXAbAdFLKCb2nD Yek0X/osOxRVouULiaCpQZY5yjjxBxTm+L5ArKkrYWgiT8qVuzVCDaSiNbt1SHKKBAYxUMMXpHtw pzsZCWsj/iQl011CeUcuTTyMboKYCpg7muo0SlkwlVDcRPrPUOniEboaZslkudgAs1e5mMdBy6zu XATjEDYO8rGQFJhXvBkT9XYlFS2BZswsG2b5qpTj42QSRkDejST+9VRTFa2uBomiBerLRg8II+ll dvX9ZmV2XTaaHx9blyg2m3c26SsIxkpsZ69vuhf0CZ4vGLp8S5ZxGblt66nFOY2W4Y/1FP7vMNI6 +CjOx1fOB/NmhNyl6Jl/XnoTJz2b9VGHoXwqXDKKA85dStPo4e/mNAySzc1/5qtz0dNB3SJ+aox4 YQSAM2YOu99EvFdYYupHk9WJXngYinzxrirtqeQ4Q0EOQemE9KK+XsSjHftLd6p2ob38nC7A9ZIV MoCml1Mf+gqzPxVdHzshc/2WBSkIQC0m/i3hhi5RKzlu3DryqgqPorqIDmxmgHmtNE8hL7mv8dAw TMB3V0nP2GibDcvdOHJE9J4EqnDzq1n2Nh7haoAKc88mrcOsgDTpRJICGsLPItiS28bBF40EODhM oDMZ+r490iMCXFRnHvu2fZyA3XnHdI5jathfLzYPnf+h1n2BbdNaDpYuZSeC4AGFurXzVaYOhFv6 Cmog3Zrq9FlpsRD1YEbDoSgjCrEOuYYqyOHjNwvBV3Ltn3MoYBEJ3qBAHa+h9RECHQp5o/WQ/T8/ 6iTxGeJgHdgStNMDGdN10jRtNNSdygq3g5yFPaxdrk2C86GyIgywHjY8RzMCn087rkok+cjiPViL qTeBWxE5WPctjaL1Bw9ZgCpJti094ysr1DNW411u1Bq1XZ3yaC51fWyK/B1qJZAuoiGYzRJkYQIS C25+3ZvGHgzncBDp7SUwJv+TTsCzSFvJEGY9yU2pSMJvhrUbgdJzt1MpUpj6nlcMIdDDFhwJyuK7 drEmz/NZZhWsAi090h07DOgi9M5XEotOPrZgcPW1GVGP4qRbunY8Y5PKi9L38gncaHK4VLIcJwOc KesdT3Ivu+pW+NaB1pCaeT/xdbBVu+SPowkkwStWZLTKC7Mb/WFeU/YEhowSMX9S7nJUhptXmRmZ d8E1c5AcePHCwPT9lMLnqCK08aUY7EqLv4J3FlWN4Yc+47A8EXo9CeB3ugNYa7MFc3eE7KYhpMxg mvB6a2JDgCPja+jHaa2qd3NWr+8VyAY2Biyi4D24sZGn2q/fGpGnXDISANwOa786ztM/zrXdOcAz 2ZCZiBCrF7+iUDFRG/aljC12wJNTu2wGDzbThlHWuSGIeK18v07kKEajfWOrWM/x0dbo+hrrLnv6 2MKljtWH7yu1sOHRQ0U+yr6jITzsT8A7g3MRYzTHY9nGIWtEUANDj4EHvwtgkpQv9ZYgTHrsuBQD jFV6KIYZ7V5MkKXYuE18dv8wNC6jxlMf35SL7V2WsEZbNChNFiwpu6Yi8YYUQoxm9S5xRzqUm9Za 02rPq5IuPMvCgHrGANtnq0ydC9fv9P6dNNQnUoWsmM1FEafhh9btIsW6qL4ogKALOzjbXzg4IdfS oh4juE+ExjvZfMFctEyyavAXnzohZ0hbll6UBttrzkFl4UBHwhdoZALQD1vA2hsfBO8BvqyknRCc i4WGBb9XOBraz2Xph0/JUBtHqIr5vdejcszRokpfAP/MXOcK3XxZ+yVbXIadTL936pmceZ5DClxo ly70lWBLuTBpnCwXCeCtQ2OYpVnM/JQ7vopFSRvyT/0V93nvrdk5BbMDESL8arMBXl/iRajuUfRM IhnGF5xxC4b50DNdiXTWATffRoRXKQxpff2mdgxLQ/Q6gGYRtm0yUZMQg+roXII4KbXJmZgwqybY 8+n23AdUGFFYqGSIfKKsQAqRd3Ce8rAD3ULLkonvg85mDHFC7oKyFIx8gHd/c9fBbH3kGMRj6y5b JpB7Wy4zLDPUfjO1vJtgbOSlIq/k1CymSQ53rn+CQSZM3OYzf0GKIndplgFGUdFUJYCQ/waay9hy 73VKU9n+e2McRDgyPOi/Tg+6fMX4Kwxea78AUZg84rmwait3xOZTeY/4g4b2wncVnhXTLmFJF5a8 RCWq4Eo+csiJOPvl8Vh8Wltf48BwxlReSwJ4Vk4cpKq9sqMCNAbmgtmHvyKWjLrVJarKRKDWl2zk okvY7/tpkQTnl+GV9xpJpAGtSz2Qln9ms1SZTiVgUG/0xkufUmVnhEyX9VXdlLk6kpT/UWqJeuCE JVjkZ3jZS7OVzfk2Xm1YiPfBoU+SqFxrwVxjGV0PJuPqJ8OdPCzwkurpViPZ9KeclLrAiOiyB552 UHtc3fXVKQ56KG8kxi5tbes8wOQ5AbldnXE9bsVqZvJ7QeEGQs0+x96nQkJRjv26WcMuJ0lBw4BL qGSAWzolaH8SEAN2DdQU/48xAHh9mZLQ5C+pMhz5+fghlOIOVY1PEYVotQtweUq9JNZfzNJHXK6w rCNRSz79+vLNztcs8QPcqeN1HQrWBJa/obztxxDn9MHeoaAFzcbo9bxZ3mzA6j7I11h3v8OMl5U4 07cWj+jDIsq7SO5afPCbpRZBeXz/EIbtOYWvuFo1R6XMbDvVbpArYhAO0zKs9mJSczcvPux82Xjl voHPjFQARbxzA8XFh9d/KuX5JJsIlPj0oJK/ix5WcaN8QAhZpJmDZeb/NXOPEZRYHK9LtYZpVGxH VXLjqqTpLJEuFUOMppo4V1I7w9ehVqGB5Il7nxBZ9Y9sMCwmFMeroQc5WmLfZsgTrCJxNXOffdJm H9KHOg3R9aUXDeevL4PH8TMY5rs7QRmJ/qmC438Ol9AITm8AxR6Ltndc7mmymEbJC7A50XVu7rvH SsTOteMRKXukJsbUVwCJkgzbayXqixfKbG5E2K1z3cRiCduj1g2T0mnc+VqURa04DNlEML1dngSO 6Zx3Y3sI31NzMgR78Fnxuo1IrMIA8IG387tML5yxplSt7RtIyjGlBY6b0WS8jl55SRpkcruklUM/ p3g8egNFOqHxb6IwtKvUYSnHdFLr5bKD8ViPVn2/BqoouCzNFdk8jfM4zi/XBEzah5jZC2SuVzVt bZrxzSmZViAMYLILDfuy/TAQwDOZ8Yn3GiV7s3kpjeuAW6tqOpCNkeOQhFHQA82dTTrwXFJvkHRX r1mmDKRrTmxxp4J0NJ2N0QghYEbOldvM6WD0BvA2S9BIS7zg1ZoOL27r7zGWiBmVAURTGLws1wVr LdLthWDcDQGSaO6dL9MqmJqm3hPBxqgY2amoBPIII5xUGzxAcbapWuD/MVE2owv4L7r8PK0FYt/H UqLGHKdrJa/M3f+F+HvcOXRqY8Rgfhi1EDR1zitxt8iw5xzFKWfNNuACb15NYLjfUADhWJNTuWeM K/auuLVXUvdx2+ui9EmvipQJgFZzgkv3r2wNObWpGL3WixpUKcEJRIWzOTMxjE+HUM0zR09+Yfuo AIXKgn8WUsncyHn07sotDjScvQj0CFZnwy3drXEPetz1is3Q5WiZJKn7SmLfr5MnG1GCunIvIt1w BpKCIzOpr/uhT8U+o8rR5QxyG4XsgCKy+zKHzm4GEEZ0cGl8ecoGsVCJMBI3G/taC7hXn2LD14lb wJPWMJcO7uWwATTMWAiUjb6yUd+cpLlPfxa9pvNGv6LpU8B1TISg4iqED61uOYp7Ey5q5hg0jrRG 6XlqMCODy1S3aUiA6Q10dgUvGv+CoPKCf0e1mIrmAWR36E7z8Z+R7dbBqjEFElHmcVOxRnWh9EJ7 psoBHKCMa9Al1edlt6W6B12zS5/u9YXMMLUHhioRXGQ1ayaRpf5ZkA1C6Dg/luHjZnY7uhAFbYdZ bgrb7/E/5LSz01X2zsW8h2QPdfuuHOtn/sGCx0+iZhUj7dZtL6z/NbF5njrUVqOG5YPLi+5E1mxv m5TPeexKRt+eq1IJkT7yn6GdOQi3vHCV74dALW/q57rBtmokU9iXK1HQ+7fLla9GMRYRgomYqUT/ 34klXVcRkbLE//2vUA6SxlPrFd+L941vT+TUTAtgrulEVkr55erD63P1XZVVUF5rnITi7M14NQfX LoX7k030Y0MR1lR03fHzdgvOtm9aPOCwgSBvYRVGqsDyAXrk7eN7OWZYEM28c+qNcRozmhgsPxz0 D0kdn2migrAFxlx+6y+j+7chJkNxAayOLzlDx/GWUtNnFxQBdR2nwr2eJ9wwT8nc519qmbNOpfGA WeFi1elzC+1Q0Da/TbJl94KL2dKtdKQ0eXdWEWNEA69+X10pr7/XOhHyQWHhRkJDmtOtsZF2/amv 3fgeYIrqbwSO6z0Kt8d/r/EHZfoKcnHE3wfqc/WUYwzS/236cv4pNAyYxqoDgXR0fC4PoUomnRMc wDsYx5dFaDtcNz5ZZBkoHO6X1yKm989F31SE4UVDTkS8AoWtykFs9uQzg4Vx/0uawwWS/NzQyRaW KmO6JtdgkImjWyi0IvdivLCM1cdT+vThQJnlV30OB+Epm41SQjoXl+r546j2W0ILT/M9ymCyS6YP 7XbYeIVEC803uJHI0dxcaWW8rIPzzY4ltEyjqd5h0Hr3cS79K70WLgU1DyGZJWh/uSrLIgcSiUFJ 8lzIp6Tab83jKSJJ7fPDWxqXu+lRLBOa0moii+B1eV5HoMaKLbKY/Yeny5urYKKxb76lT2fRNCa7 leTaB7OMNuw3eF5bvLx+VjE0fGFELY9KWJxoZlBzLccLZJckblPbYGWGaRgWpvYPDPBpvDhyUttk BXdWceDBXwpjVJhND3yLkQcCyHiDCHksDRh6Uch8H6iMSnd3uyDKnmBWYwKbp1x+Wmd2e+/rEicI Dm60ApbOir8qopXUNkB4+3koqsDKGtpKA0lZSNhqhCTSAY5Mu36TARlI9pJiPI9u78s/tRzPR7On 9CLbYpRWq0voRuoaVtUBHDRFLSECmrqcgyN5Ky+UzT5ykzyTPKiPlCw98m3TL2Hx/5UHt65wfEm1 KQ0zRzdNljLpBwt1Xen7b6Ii5HjOs0dOR/5XwpR/Kp+8/LkM+1XCU8uBu9SDTLtE+x0ysroF21g3 GEDasmnAyF/aJNwQfAC0jOomEz3YbLtVGFH78kAxgs4iJyf7sg6nH6bZvUwj6oYO/+wyHhANJmei ow59rvyXKGPsZveUQQezR/sPwonL3BjevJzoA8tHNVFS+UMDtL2mkgOw27cUK9rpitF4+/VMc/MV CvYeYtCDyV7Gj5sIdlVvMHQ4ZgukitcEx01bbCY0OgpaGgNPC1UDbDghiXOLA6OZPYCU+xYmC4g/ L8HTUKD10KtES+40CLof2jpuQrewhR54EKdBFYPg384h+qh+nuFcnpDfjyaSd35A4e5IpuGz73nx LoOrt4A0XdrlYT0e+uRnndlJ1iEQ27SXaCedlP6NFyXORGQvPNtaWhail6Lp/FSaf3Ym5wpWwoLv ccLxrP4WKsy5n7O07iOlQjqaiK8ZmCX3gDTXHjfBoyD/XwjFPLwff+kEWgfTHswG6jd7hg0cYNeH C4yyDux4U2RkmVZuWHSCCAIO9R4XLJ1Rc9+Za3SbhGlRoisW8HUixeOjAc3Y1W2x/VUnG0BEsGks DfXwuKPq+6q+yxhA3jth1vr2t2kfqVtZbNkCltHfINSk6C5npUXThrtPyjGX9y0ajg49Ix+bJW+R bIuuwdb22z69BuHpsryqePuvySfYOMdoplTgH2xxKOFXNxHrjY4s+k5ChBUSkOuFutPMK0TVpmhN hlQKygvAuVKR9RFws9pM7ROZ6KZ+1r0ri7p5xxytDqib60/pz2xOZYPYDGwIt56U+92MDdF952z+ jZdd+uCnnTfhlY0yzpdlS1c/edX4yU0mxlQAAkc3pgfkCccmT5HR//L+beTpdaLiN+6BAcJvLj41 eniTMwnAg6liL0lX+AifaWlos/nch0shl1XVPEiz+MJjCTcmB7IriyRH5HvJ2pPHHZhpZxQL0TJm bv83NC6L6bkDQ+zTJVsqPFXgFuIUzjlhU7Tlci8+qT1iCxgei5X5x86yWHACEwMzKa21xk83b9W/ z3ifSRwo4q8DmULIdk/qle7AM6mjNymIJTMXen0TUrQSvm8PyeZFJxspMU8XkBjpZoA7ZthJOdVn Y0yHI/3vXMFORuG95byZf7tW55+zCCcZzhYIYm9enmFMprUEm7hh99hTZHBFqtrYN+/q9Nof3uZ/ MPVrURJM0MWBN8OyMuD9TifDG2QJ14/VbG5It8B3sq8Xr0+xXiUcs648zPWmJ4BRAJbUg/6ZwpFP gIOgOn+EbqIsZKM4VH9OZWl24186v/HmA9ln3JRQxkmChQr1y1b/sgnQ3zY+ILudQORBnZr0FM6X a888rb81PmQtOcJ8lebkmmD49A/JPVpF0FspdscQpD2+0iSVZzKNU3J5lvfrQosAg24X7MIrb3CE 6lCKFoXtDXLU3MI2UvlwnYDynaNuoB0/Kjawem6lXfphKDJrW75yzeHbCy89UbFSR9zrXHimdGTM MZTsQLnnhA1FK1ec6howUs70PR0w0NMGmKRKKnQhzgdcua570q/nmcYjv8SlOPpRgn0HwpymWDaD xo6EsXOtZbSq/DpWuVLKnB64gWBwlKISmKxgyI4OxiiEacgimiAesPpocnvSNDKLgQIHfs/cHjFk +Vjk0sgj1ww07r+IU/Yd56Q5X0NCDZDh5zW9aX5I+wph1s/luCG6pO4R4gk1PK8onwPw8BFjedbP zhKIdauTqbxL9KrfliEPYPLzZQrZDQpYtUU9PxVOSh+eVkR6+mME62qNsta1JfanLD95qgm+TCqv bKwNFH7TJhRMuH35V4eJKe6e9ZN2A9+oVKRTVuzB/hFIs5g2jNgW6xD8+ntMlBlO1ad1AGPaLe6H 0q+u1GsbdNRpPI8GOjOx320EZv7lX7A/Az21fyBlhdB4D8/8DuCKCTDZ1ZrmwcJyLxLhXyD8qw6L ilIAcyyt/nrf5Q1YA/FhG6yLOWBnRDc2k6w2po0DzGKgEPdRNTN7DVEr6X8BpqGdggrqlNwC+ae3 gpLNtHDMikh1Z1OOKUNcJKhZH02PBnQAVvxN/goMrwkMpEbZU8X7P62COcuUke9aM8GsVsCNS6uW /OSkhuCGK8wUbf5cV/8uhpVsjE6KAykCtL028YnydJAJTPkZYrxKXLt4kpiX9qfRDWMhgo7X0q7R 4QAzk3Wx0q1ba5qbE0gwq01excdjhd9Eg64v4j70jF2PJCJybPHlV20GzEFsT+ZmamfTmatLQ8Q5 EDDLWjPU9Bh9OPJh5RVKLwJoO+dJS8hljkIBmz8xdZanxadZGXnXYbU06Rbe9wSNGK1p9IRuRfnm ReM1062YZcMl4CmAwT/r4cVoxpq/b7DGoN0q+/gzwH/prnbhbr5lhjFdGxLmiab1CocmrzBOBdDp /aiiu3d+R7Kghb6ryW18LRzOdPbn+WYn13CVbNl1wMjmNe8o5ucQzfHHjeJebogsH8XO6BxDT8G4 7pn1F1bL+wuf0yPyqwUEBKRlW8uP1RENjl7IKyW/AbjSlsYzmxE+VWvA8jL8g/IqScfwVNHzaS63 9T7k3B/gJXBfmGSXxsd2LtdK6XmSjxsTzFwfcaz5IrR7HXf8Nzox1m2PtxOj6pbW/HgfvXyIGuR1 t0gnf7+QvTQEtf6TDqZcj8VJd4ClEac3eulV/Nk80pHGbFfT2KpR0IRcztkhJemVKDJmMTeJruNl k70LHG0+vJQhbJC45P3SF05ydhEMVkDhDp2jZMZ3zp4RE31L2VAyNyyPvqdG9dXlAPh9Gnz+KGvC aATdxcv428UtKI67qXMpsurmpNtADWceO+zj4jRF40DlP8hykwXXFkpIPd7En60tFgeN+wAg+zDr 7fnWqwibwgxM6rDomWN06NB77jyR56ZtXFvDOPZgCu7rBj1lcOqLGjP1FZv6FTz+NkjmRN1nAbmU nHFWCsuqfRGCzNgvDQkeo4JKuqmI4F5K/1Mbiq04bs+yI5fGmF/8GxuHgMUzl0wKI7SLdgM1lnbk xEtoiY2BcB8tB1UbYRVXAKJXo8hSDk4tlJMRJWesBvtGfp0fImwJDlDSomUC0EvFS1vgLDWyH8Mj FVJPhfv8+aGgGq0uAH5rbDsjzAIPt6JhzW7Hwn/q6x2SK/mEXxW8E1fSGNLxdelUEyKHgIQy6wCV PP04lAskVDsAGeUS/TbmqIWV6NoQYF9H0Mdu4arFzp9n4HGBdDIrTk4D4gtNEhm9AA1+JzRRZ/xT Twnd9Ggk8t5/YehCrLjyPbBWlf80Lrl5yKrtrk9mqObP+JrslgRRCnMPtv7NLK0UiCDXoNYUlVV+ pG2ktqMjzRoRf4mg1FTLY27jaSptS8njhkxqfBMjPLAOgfT82iGXrcHZ1IWlZbyhFZFX2RsXTIg2 1FOpJGi76Zlm1mHJw6rKpRdLmwctdkKUddaQDr0SlhfN0pF8X9mFlPcYGTUH1ULcbAW/xMX34rrr LRcuBRNWIkPSUqkevDXSEm7EyzOrhrpqMcBhFAnUYLyzj2JMRFidfdVWkZ3j9aGnC3UWG1FNAMCQ K/pPQiSC3vqGNmI/OieJ2tsCfIc8p+zYnI8ts3vELGtp76idLZk/JjDp4YAawToqEaPIaDACRtfj rqrCQDf/sHEY6SULGEIN8bKfoOis34VJz3IH81cc3GjNYT0gyi2jUthOHcMIM0EMXGsUKrthHS7x +g2YQGPunaB6v3jMI880+8hNzF3SRKr+IGB+N0S49SrQhbrtkJ4sprCwvTGCbsl4wbOQuZQQEDe9 6ofB6K5MNQS91nksLwrqUXphm8MJsLGOUFENPdYNp8XFYSc4h4Ln78kiE5mpzvQfS7z3Q+Nco4tl C9Mwcpbm+zPiJMIjAzJYXqCeELRGOOr8zrsYopJlOkkDzxIO6XITNlvSqZIFjrGUD//K2cUv3SaH 7X9nnJSdDkweRVjc17OsW26+ftfY8VXLTTKHRxGQciFsHK55igv+z/U6+vNk0yTJzI3kQj5EnRSj JWlRb3oh/ukz0wmuXj86sFjBk06pg5eoNo+gcX1ZduQPX4Q4wb+V1+tHBsSuxGZZSh2sJ3QOp8px 9oKyNYPUgERCKCVymZU/zJ/dXdTGvKZx8EWxsMueth9IatZWEMbx6IJ1r2LdkrSuvtbQMpji1hRN txiYdFNW+eHJJzFlGFd4SldlrDx20x88VVEyZUK+3/RH/2thMRUs6vfSNX0TGwA8o/7cs32ABbas RLI2YdoLJBcFwNEUM23eKI1UOUu6Pw1K3f2MJonzOfuLGP7Ujxi3BwHklj8n2PFpfb2MTKfzBXas yP+oOhxkQI4bOjd3H+UAaRZNQeUb+6H91kKWHEjh+pG758xZRUXQyWf3MyK7pg5kTZTnGVSfunHf U/JxtSsGCjp34ms8eAk2Qs3oXMxZstgUfi9dgUcTlZLLniS5OLJwcBU9CFmxogIqECQ+xUmTCi4S J8ysb21nGwWJKdczsgPW+0ZksUCp5EJDMN6AAJRcqFb1Czh83P97TL3DGMy2LEqOfF1Yhv6g9QYl WHxKrCwZ0RLQLsoqXJlmqvFa0sI2htgrOAax3i/NUGckeBcFv2Nt08tXCrNM6wt0dSFMSRipZMqf JFUWJIDX7Di0LfU/kCtzNAKXyoyGB53lECQtZ7RH6+ltK1DIFiUlQ72MFGUi1/YGbfoYoO9cpp1d KmxGDLg+o3LhOQvASyX3xbSDSjTJk47Nimi3weg5za/nGgxDiqsSU/skVkZdpL1tNwQd6u2N5nkk HjKUEX8LklJqXUJ3OFQmE4g9dltK6tcuNRSQyzLAjmMWFz443NVWiPlOp65escnu8f5aPavZ46AC Ody8h9hLgtG7PQEP9BZBAGmajuCYkHiCq3TJw0E4b7iDP9bdk7OqGyv4HOAMEmSwhHQAqJS0IPPU jpoeBcrWu734hjFMYEAYTg9W/kCmvzzm5EIVomgqzdYxSQAPIVAlp3EW6u1PjQ6yg7Osowzal2W+ aBTTBxBOwYhB8qQCbcZzFVvu/TQnzdJHcLwMH1Ypanjj4815EWovukkO3cbgJ4AvELJNWzDrKu7l aMQww9HRTUfGN5o8IEYek6Ooij84SRIncMvXZkwKLPBQBHIvorIYdnVJnRCY4usZQ/KvCQkPaTYI Fmk4R2PtKuCZux4qCo6XEJQyJwFt9vA8yaiKZlrkT+Qx4pgmMdVRzllQMmqLQiBFZlRND/Q7VhTd PAywUkCamDEAy9Rw0fr60rkwXG5vlSW2pahPY3doBxajArG9C5V8ou6Fljh3pU6+RBNE/ihkNpve rWX4X/iRlfos8uFLZ44fIQoy9xtOVrhNEFcMRhzLjpEEvkOB3XMXDK86vlqA+le8A6uZMjCZ75j8 6UWPRrvrPINqa8T58auCqKDgnJW88P3dABc87eD0ncGeLoxJQN6Du2djnGeSPBA2fmmo0dj5BUXa kejT/nvKfmrqkOL07+aVJEdcO3lqntVbO4FPH/LkzxDyF390JGXVsc2+hEEg4vezMJ9OnqI1ba+d kj415UFoMCnh3wq1BGqvwHIBmum77remN3ap1xldyfZIfs93Xf3MszenP/CUg/rE4ny6QareklT4 pixEbPSoBySv2+HTRHQEyR8VHpU7xnAEz1TlEBQ3KvpO7AfkM9uydm/pNPXEUGJIZf2Y+N4w8it1 F6+3dtLhEI1Oe0OezMmK7zjID0qw08Cb7iLP7eoPKm/bLDUv93xFiDDgeNiVTh4XGZFMnEEGSCTM gYn8J0NESUlxpoj9di/mCw5eyHC7NZVr+m8ODEp+Zk/ubfeNLGL0jhZc2u4uy2HM1yyw+ntUOCMu aHtBuYmNF5SjjGeLzl74U5F9e/ivM585M6JhwIrqst1sJybZG1M6LI6pedn3GDwZyecASjPSOMjF u6ScDCrhIJ5cdHUC7PoSVV0ipjiLL+yWc6vsK1/K4tORHNmheDzGGnsIY02TLN3SfnzT6e1JMLeG P+0iRvg8NLKckoL4rNUA8RsKmidSYfsenev7iu+czHGfEzerwLhJtoaHG+b7+8n1vpjQ2kNMVOTM onr+92+uZrEaNttuSZDn23j9l7O4M7t7Q+ZKSJe31FwpVngD+dX/I35cApP4OXttafKHMEEq0zuJ dp0VUAYGhduOYp7p4Gj3NBB9LB5Eif5IVhCr/m4Q3AqHeuNa1awtdxV02OTtdSs1DBAyAe+vet4s bezXa8/kXNJffFDlEZ+FFWmNkH0TQrpVHWC3cfztQSDDf4ug0X9VDSKOJBE3EPJxJJtMUmkDnvdW C78OXDWnqIocvjtXpuwS6+qQ4MBTFnRX7Zjh6QV/Uf4E8pbkeSa1BWK3IPh+2iFO6OMnP9wQv7nV kOHQm1KtRht60gspvJ4n7MvZADy3EDTYaBB9BFkg+PmuyfVb4eoohGHUEyfT+cRqj27Hsc7iodIo oAI29vglF40ahOCEh/mCGL9Va4GDpyuVcFBVvKHaG5fCUnJscr2lg+paKCMa0lBip6NjmGeD7dGl 6bW1Q1f+u9o9u2LaWXSfLR8fl8dmhSMZR6k60RvGAM61flRQXsTtlI/iwmzMwVoV1wmCRT6vwSaf +lVgvydzw/TCe2OpvOFxs+Ty0vMKtg3a0ij3HWEasX+Ab+tYa8oKYlMsyOU0dzqL3aKHaDkkAUTQ UdkV7M3OvneOuaaqP7SC8hxiNaASJ2bWTABGzRYTOSVLVXH05N31H4StBrDfEyBlNsydBu1G56lG wysoicGeokDCedR3Zjbp6S7uloDPRvMEbzYsexE7wu7nTzX+ouS9UhINzryCy/t2jAqGPRyJRNuD R2gYGyERmqAVKY/22QquTB7GSdRaLRCPNPe8FhXMMcaXCFIDojiI6aUsk76dZvRYEmPVX9NvqXh0 5LjL2pKt7KRPB3sCeaFuwnt3dn3prQ6+TPilHOOJj8xsUn/06x6e8wuuNm5ZRvfkJp7PW7kx8OiQ krz9/jeSNjAvWOFr+7GjjwW56qR9ENT2IQRvcGRcxp4D3+hqu0w+qWGFbZQ2UIhHZJGm+8PoHT+R NYDjWsf7QcH3BsjatiuJnjeoGS4LYYDWrTtt965HBwThiwv3pcZkU+ACCH4z8UAjpmoLLiWQHLgk mUY1hk+FS/DUJQabc6LdwIRtxw03X6m8qAin0N8tTiODMUy6h5oKcRGRWFUtDltYiufkoJtebtr+ 9mciWbAl7QzEmuSIt8IK0hQvsEQXqJQqZbkvlEz55y3N+36rlz59biYSkS2Y9g7bIqCZ1tY6EU/T gWAdHr4Hr5N/3GIG8MSThkBZ0rXn7nAKMTWBi+r6TUpbn22+SoIFyiwLz7NgNElRVT87VrJhlW3f qLSnYnaU/1qjj3W2PgaVDX7bL33/IkRT3OA/wrO27o358jW33f2uzfA4vXM4o2F17OBq2V12btSO MdV1ix3CVG48se8AkI5hnuQS6lOwl8zRyaWz8naAUq13DPBRZpJfmFtcKynzgqQ72/ZdI1vCTvKJ D1HwbQ285kfvoQV9JJIlZUSn+PwvXp9RTEWhUTWs7eZ/HrQAbCm7D0esT0XetqE81oMbwqMRxEWa vG9Y2w/KE418Kef6fAnEqIStzbNfUsTghu1j/2w49n+MMW8HaPtQNqhrLKdMnweP7Ve+YwE90h8g hEJLDoXxrZ9viZt1zg5ZYCe4O+j/2Rznb+K/gfiLf9EIPgSYVchJSZ3Ne24peQqZcbLzR1e77YKR pWBdA7iXChQLvbdysSFYZodCfwHkxu7k//LA8aJGSYXrIXI86GgQUHD6gl5O9YCBd15jFHduz7k8 O9jBdyHY5mip9DcdQimLX0h3ETcaWQ3i+K56EWuK2DlA6b86kBTRY/MUU+q/Q1mJkC7+R16hGq5M +9UNcCRdWPNvKiUI2ql1WtBA4Z2DAWLcmnfKyiIHmmK+dEHFC8KfWaBr+tlmw0yAs8clPWQWwZCN nALo3GQolWijqIMMENPh0AqmtPyWcEN6ITQwgtgMLxMbgMrxcleaOx59kC2GnWB33Bt8zPoHGQTg N3lU33VBRXoMAalTnlew710cAZskZHb+3X1Ikl/5zKnf2lFn0Wk2RKoIqzACqAPP54LZ4OtVWwWN CREENsrF4kLUROIMoZt90CABK9hRwb5bJvgilwgbAD8JqbiAFamZXUAox+su2TQlMWG0tgq3WaDU MbF18uGeZ61eKDpEpKHBJazjQpfvwwPWIyJ3+n+sUJtLLYTI4yQwu5xJ4XXARsjq6UjRAineEwE8 eJX7xirUPS1lp0nf8EAl9E+DSO86H4xIVIOLnE17Xz/HxyTOJDxwdnFTlJm1NiDYrPLQtFRZhceu cCMZYMf9PBlR5gh/ALtdlI8d0f89O/6Ni/FWMWoI/Fx0rKQxqsUSU6tPkvx2PgEiZIV1IYulF2fE P43nV2tm+87oSfovbF6g5lyDmueellA3A5/KnXBnO9Go78dJgYeoe7xzV0I4oOWn5qeutPWTlh1v 9jrlSWRJkp3x1BZaNChbwHBEBEHIBjq5f/NhxqBmWLb4l77zXncSKmy1iVwPJHZ232O6GjVzIc+G TaSPfFBhDbPE7aG4REzl8FsuKWnAx6/jymQ2/Bzu0nOLTCujJrskulLWAk2C4LKrIovq9MyLwYfc pTq8XUBAJJpe5TLMBSBHuFq4oPHPJbokDsF7XeWXErF5mNA2QfSTEEV8NA3AB9jXB61hArGUP/k7 HsZS9K1HiECVP5LDBcaq71j7KUPlZ1EQSV6nApHTuQCBxzZd+lMS638VFfAtGL+dJ08EC2rHJbPl ZMipa48yyfH53QBK8qGC5vqcl0aC5SBpCJtruu8vogkeeVvuuK0sGPnBLciNhbQ3/muKiPRdy0a1 EiO1FuxRDQiedcCkrjS+IBYp746aPur352S0Z4LTEvJjq30k4G5GVoLdlCQgNAuBh+4peatKZXuz KpmQEd/6KYKu/ayH0OlMgqjt0Z2DqzDNmtFWblHuMMm05fy1oNAMJMMDk/um1atcWYvx6hYCJ2Or KqQkgMHdDp5pmHV5KVbE7Q0l9CkEuL4pbm+WPZCL/m4X4FwUa/0mJ9nGlgqhM8THKRnscJC5Z2Ig gax9aKCUt/EVqVyoPRWL4aJSDN1HzePx1zD7sv+jAYLb3dCyCNx5NKDRXgWx8U4KMY5dtMY/zxIr xPhPCDAFVXJXeTL6p30on0MSRwOMsKLNzZagwfzDPEXDCAfGC+5ivtD0AxHAbtUNzHWyzT3C9m33 +LH1+XdRytX/f6u2W4d2ZJc9+YZKcw841QUosg7SF6nAwvkffz91WMBMORuovD7fVlkXZoi3MAVl J2R592POddD7k3zl26AUCmneQD6SCMcvTvCjGwdJcqFNTudIZws49mEPGQM6AzkCxSLLi7ci2dhu iQIyRarOb35HuLMIHL7tEV15D1uW3FgG/XrpZJ486IFTFckdtffAnOsrfHNiB8WsrSCpYW5WScYZ tUpWHr806YmYG30DMRpab273nZ0NYsAyq0MOEFsZguECkO38/4akilr6lQDqhI3AvjVPhUT/6PxU +AWVZ6OaWBrbbiUOCEsIKCH1MBKhfrdjAo61oyiDHZ4LbKsBBBjKAudv6ueJXGE+ehBQLwXA0I0J p1tNSP3AP1f74dYiy+sv64TJVKxWkM3geAAyANghKq7I2zNxIGnnbny1oE1b7uOvQs+LEbT7TAKA NPGXJUAmmTKV5g9vjt0J+JG63sZIwpdUDxaKGwtJRjzJG0NVYwPzBzdIgccPvR7t9fOZRS7ryqYa OYsv5Er93vvaOzOziUfB50rRp2fEPOjlU59+FEfcA0+zE364EpXlWD8yElCCXT/LDnSKfriG2EGg Cccbh+EQck1Gqao5GVgIL5LL7y5dJij7T7mDZKW7k3unmesZgOCljSz9dU9WNdQIYpeBVVipOAmA plu1Jy0e89OXJPPBy608F3p2VUZtDMFPhOWEUfNyPJflaZXysk/Tef0SI+aUV8lhsbss1Sj1Zfir ldl0Kgm3vq7em4cXzXiZnxhjo8OfdzCo3NxDAMx+qknXwxNW+lDeHd5d4ZJBko4Bz7LLSELHiRqS w0R1jqTs/IB8QDpQdJNgZfKIeFlk4gxByPe7L97QQIImVza86OrH5YMHe//ZOl5iB/rrH225R9f5 LgY5NCzy5joiv1xO8GnKkeap3G0h+GLr2x9w9aMsujOVsD15c9B7pm6OKz6dqBqeDWKL5/lWMFqJ tzDelnRf8Az+Y2Mxh9Z3sdl/lJg/6kyY1Y869kcX2dJnLEtB3au/E0WciPWGFmg6BtH9mmwhKlpW crO7BqDjWRkc1OMb6FgO8Jo4BvS2+Y88cZjn840p6fvXXS8l+PSnguojbsUd783UkLSnbdQ39nyu bax0VKZCUhaOM98aFLeEIPguF/zSLe5FYjeewtov7mwU0qGcmpgM4q1bEy+8hrauBFbux1qKVnOA iUU/NqwxV73ljoCOoAYJ6a9YpdCt1r+hy3VXjyaU+kvBhBhX+mvkStDRMW3Uq3XGpwPlZq59svKM RGSmuj8+BFhy27YkAEEWmDSQw2v91ERA5BF5GtMwtt491MhEmb1dQT5S7ModvfywlL4PYTep5xPa GDielwAHFU3+ANT5nhJ1onhGi1QuA3MQjD2waiwU06c3mU5tagMVedkSj2dp20h4aVtPbp5xBnwp UlgSoQlr1gBy/qfHQ+S/p3MasJMzKF8Nd183V10tOG1qc0eEUXr32guZe5dS+tXLY0niCjZBxE3m Iz58xnEKQBnZC9aJv+WLy4iZRTpVm0SPcX6NSQ3xxNkepRakJjlIkfk4BbEXVCs19qd5Z/qJ7zg9 PJFVGVWVbtfADMZswTW7/o07QSzekdl67cQUTE8IfxTbc4DyUROlUEoLTSFhc8fkso3L2hMCBHnV yN6tThaHWpIdS0BCtwL7oJFP4SRobfs1B1VMc3xNMq6CBVU97UT839WYOrzSo60I6s1R8DGiApnw Ls04JI/Ff6K4AkdmbTEv5en3sPjAPgVgWrxsdGDsI/NF5WZ4d4bVFMxRwA1P4ycNSlGSxQg9ugdZ B4Bvz8WDHWn0TebdXyT7FLjHPW9vgFYWeadK1lyGzJj+p1v5V+xgocH4JAz/N8Ku0cVfE7HwNyx3 F1A40143H6G31+F2rfkfBpNyOxoBsio6IaiV7yJy4d3HKHfcdrfdqubp+98dxyWJ4zzBI98T0gpF HPahoxKCDb2lCArMrLHP01Vg3HDZjYgg4TRzpCus/87MfyZjs8kuwO3D47K7ueW0Au/sMZunN7Tz REG6yUl8tZibavzbWeoJTA1RGkS+euQfWxrYO+buxsrJVal1j1F+C/rEcIsg+2scJPz4cWnrS1lG Bg9PQXrrW5lNGyap+l3b3KzA5oySZQ+YHfr3MaGbFyhi0kkWp7YpL+oETtvhkcBPQZWZ/r94mJOW XHql/CDImwp9wOHU56oKLto7HYkeNYCpoRfAUAJFeH8mVQh8E9V+yzPwIxkq2VCAY6sGZHaUQoUn BvlZ3db/JsuPzWPA0LMxbNwKjMvD23SJrf+syEpRg19X8xjYp7cs1U4Md3URGBgbhhv8+U859T+s E1nQTnJB/CmuifRSGAML8J8GPGCYfO68yeZvUEFVsIc7YdAVPyUnuYlzq3Drow+HcvTMLV6b88JR vrA0SVQ+mFnW/O4gVjhJsRXcwjsdvkNLquWgiIB5urMAYX6a3KkX6zKYjEVme3oyjSXJu/3g2ZYi mg2pMeS+vJUgfiqywPG/eEQtPAv+g7bqURJs8Xci3pdDKej8O+9b40I/c0Pz8j9dPdIhzoGmTIpS YyQScjYfKmOdBBVXTLj0Zswxbr29b9xCA1/r/SwMF5fByfXw2Sv3g8NQylWiakinS7pkbEm5zQCb HplwzthKBWnq8/DW5fqMk5hpPFFBW4dq7IMJ01/5PMXScmBk0KgtBgT+4CkF9Kvxavf8eUAemcvI s4Y4GXAruXxh8B5TJNJBn6q4G+HgMKAWtNj50bgHf4B6wwY5g5pKeSB9IMVqC2uYmydcP9895AYh HVdjGCGLfQpef1ojpG7KTZRGbirgTfftxXpc7mEwQpGjnEPauZthSGhPoCl9T3EfaPWfAiioiLhR ujKR6JJ5I6otTK7AW0tMhFLGcI3Y9PSnftntS7cgMD2xtQG1Eutju+MdDRXXEoZ/PwWuHYjtIt1A 8NpUAWa06niS3kVNyjJFHHLHbCOXqGBsliEg17TufWkCVMn7DtnlJ6v5TQxn6BUaIYV1E95OlS/K dduDMIg6lhf4WOJxDWwluEjhdC9yE2rcc1up/7ual0m03dR3eSSjGPVFR5JwG8O6PgvJyF3vMKns xOPfAWKMZ+oZrxNnuI5H2Nyk9M4qzGvIwt3TXYqOix198fZ/0Ak5/dJ8mjmMmwy2p7T8qI4Mdlgx sEYI8w6FVFwpnYO8Nq7axbiI+CRlyOLyjhG52oikGoXY5u1Z2T7fe3fdz4dYEKxqiFqJ3YUrrUQD o2gYByuLtlmPv9K6ugCU/pC7QxqzyGunjoDnmd4EfzTxLWrBTHiA5oWoAa4aqfZRBwX5iq09uRUF btp4oF+2DiTWj7+7tIUMQ5xPgw7zdzrEJMED5Uc+uDhEce0JTidcPtUa+oEotN6OudTXADzRiJFf hPLSRndMZ6NBznmqU1bKOqrhIL3m2RYusjhLhOyCwqVrtw52r1UdCgBuvW8uMUb1oBWgGvCykJcB Z8fWHwSHoggg85yFlZXL/whCdhq7fibKC8roYyIbdQt2eEeuuHn4fOqyix8E6nRq7HU/htBfa9ET IHhwe9nSgFvDudoJuxNdAy61vI7a7ipzyzumcXTnwlOKr/1d/NiX0Kus/5AgSimTYLAnKEa1uoiz 8P3i5jIhBK0KlJJrSOEtprLkVKUjHG1whdXcTAXgFCdE96ga8gVy8X12ZTfpeGceLSs4wIUbpXuQ bS4c3N3RTKNiLJ1h8A0WgGvzJxYQotqVUwy1uH+gIYCK3eMqRiIs2D9rPPLRe3A6Hp1ZFnGHhiJ2 GdFMFooFMxYNZf7WF91qTfeewY8kpmTLJF9rRUWHuK/wyxlv34v5snbuAO3BFxr7AXj5PaGYwXo9 qZefmdRwdSNWWNX0XExlT/sOtN06467q5Bwezu2Uh7B2B7OyAa2H1281kLuOiOtbMveVh2Y5W3/b ZP6V0oeVk60nFlddIx0MeQgDR6NYzC2l7ZkqvkgeJxc1GMWY23Zcj2oDuKqvNZayLtv/TWlYDRS4 B1j+G7HtvEhv1+Ah9agfTPFZWS9h5YdVIaXNVG2Hub1ldj0NXKcSKZTNmLsr0fPftitVoMb195a3 ZA21Wlx+kpXbci/ecTX+3UceQlJSqFp867fOlgooKa3dShjBpaK2bonw6sbvXQ58alH8jieKKr/3 TwjDwGEQll08r8nSbLlDcYMTVtOpcxh6rmFlO/5RREfNbdNuHt58SVWFRUyV7vvLw98dhJprngHu Ww8Gy3imMvOVLEaPy+TtjUlSM0c4ktunGlM+ZXj0A3hkfLfNoF+cxfCSDrs3IYtiDJeBkVYdmJbv vR7eRYXNFJsFkXKiOxdPM7WUkp9vbIJt2fTIZYTfap6La8RBwncQk5MezE8dvaftusCDv/u3ujzc 42BpXqOKMOAhRF96J3PR9piUHOwP4eUA1IWronL/QWbOF/5ynsdZxLlfyT19vxAQ9EwutUg8VFso OFmvQtwJg4TjWD33XIwQVTlcqPd8ygoBPDGSDUEQPBU5G8FxrTuTOQCPLQ1kNPPrpfyFj5gXyZSu vFAi+9LNnSf7nXVk1Lv7Msy8n7kr1q7MuBxd1vVe1V3gPDf5d+ibGB9kkZSpyLQPnzpYUDi3boPr PKJrEYsMJIZ22ZvVSsdfcEPeolPLl9VygyAWAdasLvuChg42XN8ROa0S9vMt8oxHqkcxfR9/ZHcr I+4bg77c1lyyYvxWaRYNmt3q13A0ROV6+rmPX2z+V0KgL4VqbiwLGvpWcG3HPYjNNyRpjYQO7bSS M+lHUiTZpk1gPJye108cLBry3FIhbAFqRV7eGQ+NRnq+w339e8/NqRKmVHpkrcl43WodnfnUajGb MNRWtvqZQyQmlpEM1lPfzUcAl/UQfbINo0iy6qzBU/PdlYcM37wsQtt84Wu66eMW5pcMy2qedtUi /YiHBHopJ2UI5I+2TilWbroCOnhJThHFpdgqbyLJqzh8WkUOjJeJq7O756UPPApjRJR1Us5+7Ibq St3+TGOGUtO/h1OOv4nFYEhrD+cqFxhPapwM7AYkjIpZ62e8sIxzgTCVHsKfITlZQf3fvtiQh9QQ I0U7d88q3ROXMWQg0Ctmjl46KYq3r8D8rSwD1O794B2S9mxD+54t31cnewMn+iDpO8uNa885KKOW tw3l6ZZO3n3NnECpDC9lO9oo62mH0RLbS1jV2vSLml1A6KZX/BpzyKUMMfzMzyyXZpsRMBYEgKjy j2h/0TWsZd3viD/6WAHSSCw/H6BhY7HMn+pPHXwdBAH5Y/WIPgScnu+XJY2sC1JSeC6mycyuLRfp 9UmCRSfLIcgquc8tyPoMha3LJ6gVfHcBilkfOBQEriHOHYhL+eZfubxfnsbxn6X48y5LUqRV3xge CaiU6M8mV8SEKcFbxQuZaBLL+PygPoy+szr/2pgAHFqRZHZcskVId2v1Xjym4E5Ab1YwYIz39UpY bHgaeLdOid6nx5Mm1hzsQXingncN7pkoJL5+zgy40DV5RxyXW2Ab0IL6J8lTvuLfIPnzuLmqp6im HQHkeuie3kA1MNG9khZrweuIzM7KuvaBSEbWfy84RecuwoQmNf045ve1ZOoVn3PkqEy88vIoTAHm pARN9u+Q8AbQqgbBhRcfmjOeGNYwGjbMKKYslwL1BGiF2Y9HODcEYbwUUs/XAfto/jEhs6KMqVEV TJTdQoAKL9t8+Uc/zCdZua3eFY49UffQyUKAEvicqkj28ua2AXJfYLm2D+jIG4fIESSaQS7u+VhU qRcG52Jco7Nig1hhupzcUAoK5y3HhiL0e0XfGLd3IHPwlIxA1ucIA+AXGO7AIC9hLLXMf5n1P43s pijNFbvuBEysXz9nzn6GJ848GkXmfk9iCYQe+X3TI3UD+4a9MYzM0Kyg9o84/6rwzZwW5IeT9QPV oLIfmIhf0qkRO14VrVttQ5eNf/c/yiVlTkDaf4lKLG3yiBqElukKWWevTKujSIpGhLITOqRm8MsC mXQ1plG1jSaHi+WHfhZF06s+KACnlmV7qyp+dfTXzYqJKLQpzRQYiMYzNtbirtfAeOcbVAzpPHDx 6fbDyQSkagsa4/hk8eXCIC8sU7JQRJuNmTSGu/e05OI+05axo+h2IXIOqmosiZDvY3bSixdxLc/d JqS57Mf7833kDy4H2kCiqma9rFgDhkokVSrGhEWpxe5lkachE0UWoptyRlyLDa9NVUmM99XklFrB 4ruRJfI5KRALsaVbJGgZ7lKTSyrI7jsKtwAhAEuQ1quoNB/jcRd/WX2MJgTG4y8ERr4qmjj5m+B2 xktHHaXFSEZinwdunru9ilpvpwO2aCu0BE+YkqZeOP9XtNRM1xPP/aHU4eei0krm262uHZUZ6+aC tRF3OBpIjxcn4H9D3fXPph2NKEp5PIwhkcGksZCAQq42ClircN84+YgRuphD0xoYLIhqJUHR8UbO 1kQibTWepySlooTdJaGaFnhy4dRsV2p6YS5+Fglnphe57qc4MHFhV8Am0GELiZrvQJYdatdL4EYK wFmkp/OzEuZ1elQHEiyCCdPMkcPkNei/zTAWmVCpR7fULJ1KYRvn3m5FpjWnQEo1bYysYAmrEDhP D+V1BuGHe2JA2aznJX7pEU7SDg6w6CJtVVOpeY5LUc0fXErEYSqhmcFf2idGEZPtNhDLpHr4PRQQ gIuY3Ej8ukTfAHP8ZEQ3kY/w2lGX7sIfghUIxE+w7+PW6uaIIX4ZtwtVRp3vOnuB88/ncrCz0VXw pCRjlKfd3OMULZFAgxWkK0r4w8EY3hGaAiGE9EEEa3GRdaO0rDCtEmZDz+K1SyBMeUFfFB+E/3Sq dg5L6zyTkXDIg0kZZfD8K4yIifS5pS6CNDxQ/QjOWPN1ZyONhXYZmOri3Zt35vIAOyTWzIzjCMVn qiGUZhC6GTtefIaVMjgbzJicpx/J0MKMriLIWZu883vIzoJErpAMM08IkgBBZ9Nf0nwjZai6fNZ5 6/DUoUDIWJwXESXxXobUNEVP5ZhWQiGEWgYDRPz11W4U5JuSjgpPsAWgLxsG5JXi0qMWfSbcmwjd dThWdYNkBxZ4BxMPAYr9Bic69YRBcF6EACaDLBCcTsAh+gWy234x3nmR8Md9ultkaZjEDxNtbURb Wiz6CQQwkL2VkgDMLC5GY2h3EZh+8BOqXU6A78IsSEkgYXN3lz8SJaAlLhRUMUfssX0VdFWZWzzF HLkPpkPyBKT7/QXf1BMVzn1sooW4+vXAeZhVB/S7boD1LQc4yRL+SuFTfDQXFALmysQ5WFtOkB5s Cj0567NL2d8Lfr4hoeq6+wtk2u21LtnCrjlPCPRn1NEGlPavLUFLJp8j/odwjVsi9hYWTJS67Say DLhtq+MzeN/6Ap55ffzTU9KbKy87Eb2WbQyyAzMeolYO0cUi1OnJrjkIckT5jgauosPxSAf+3Znj YQ9uUDKZHE5q8jFx+uLMfnyo8Sm+ZyolNxIxRSZy/RLwpIYGQvzJXVMFNjG63kaa5xjH+apVihfS ejyTNQHyPooGX8wL9Az/3CF6erv+Z9eXnD2JdYAjarwWu6w7WmEVR3PU8Y3wGwQ7hu+K1udSJ9Wm v/Q9MtaNCicZ4AK5z3myPtiK5eFmHngIAWo0bc31QAVaZsNAWv4ZXcBdrqbQk7yPqDl/MG/U5D6e +NH5o6dr9ucRxU+LYuFRzOpkzAdtcywcWnxyVeI+qzNIpuSUKQrNCpzcc+Xhq0a13a1iPh9m3XQO XNZSsZ2JGP5fL9gkBB3ZB4LWwGst/8MNQ4SJfXXrMwP/JFDwaAvB1GiFeWMSlzjxA/mxTTUUy+sg NAKlM/DeXOi6g1y2xL1luJM5HCOi+hmG8iZl/ypt1uF669Mg+7cBfcYHt+45tSxKwv1a0JvsOhyG DBv0a8SW4oTfcfzCvuzJ9/HwtzvhOa+7MooQDpr8IuLam7E4G0PfobEDNPELKfRirFH+N+khpj1f o2kag53/RHjNXFizr4cdi9SENwFZ8H+gibFHWrEpgGQ81upnV7myarRWLpQmGOWE7MvOBdYuOQYH SO4Bgq0o3mMOm/9RCn2Oe9+23UVOpEOX3gR8ZVub/yuA5cpwt+NkJ6WY3NyIT9Bqo6Oqn6wMjqkA hjNzW/MfP/hnxuRKGT1CGvatAsSbAgKnZzbxLk8R/wWqVC3QSahQNpsk5NRKrFAISUSwQklSho0G Ov3IdVsjDH9I8inefqwOhmSWzK2L7pvrhcEV+cx6RkMlbAx3exmHSPuxFHd4kkgSa7S72lycPib3 z1O2JnvNIDcveiHd+gBBEykxPesAQIsJL7usekYbi5ZfdJ6N1o9sLBgxuU3UY3ohBWzTJqdyoWIN SBWeSCcuV43JCkfPfCs7MX1va7F2PSqZPgFtqHBQNxbaPjmn245iLCecE8amruokWZGJ46rDpGm+ t3xhy3uD7/ODwZ/yh2GOrnJRED1dGJnQx4MuRXBdI3JTFeUBEcrDdUcIZILhkPqfX6R0jP8EVDuM SsnYGgJmzHJFHMC+A9uMXAE4UC23FonIKg56tpqqGWdWSbUqp4LcnHhWELwmAEZIObmTy9Mq8LzG P+Aq9TXrl3XysZdTRmEJo7xgmvrP8mEMSBQZw5Q+g1lPfzjPvCZKgd+9WVKdOwohYIueJTMGonSI YUg8vMLoOhR0HfICGnoSZzKjCwwJ+TXMT/X1E0qn4EY9Ub1nqLIb3RzBgktog1Qw7+qR7L4Gz4r6 6OyadGlXGaBw3PztKr4MGZmHAGDdS0StMcTV5tOKBx4j3U3ckshZiemYNea2/oSXvw1iasatwZL4 f9/A6DjhfxUliBxSKxyry6schMiMUGIq+/1ahjsm3UYaGU+8XwHCZTq08c2OBBc5/0IUyK5BC7uN tKSNTCZnBPi1wJG9BrUIJferNzDcEewBjPdEpUP3Had0b9dA7bKUxLOH228EZWsnt3LODlC9c4uV YGNChPc/CWp6gmhGXDWTnwDnMzc13jF64EHBtdy48YIJ1ooFoUJU/FxXQ/J14eflv4Bgs/4w47LO rgSw2OjwdI9HtBBCGnFhHDxVt/BtbSdQOKmUKdk+bmkdf8iea2FwSt/ZbEEBAHyPFOsQpAy5K7YQ D3gDSvcjt2IQUssVmfEqM0/i89NhmjqVQ/PCe3JXTlxpzUr88gxXCuu2ZgZHDNJPHrG4htLRmYir dNT2CPSg+CetzybCM1R4wgITrWa9nfD/uAc1HY41GU8yrZvl5TC28wLbCEF4DrMyDzZGkH7LFnK8 RM7awFFYUbWHecU4M2Hqe9vKRYYEkWgm+AuFtgNAsWhjIF7eIRou4Z1AYDpZMZ0BKvYCM4ghhDqm h0g6dpOkJ3trj7BAsgdems5UYeijha0gsD0Bvh5/+DGieh7oTQHIyijenrKGwf07ZEacNDDT51Ao zY8wWid0jHbr+MgcyxbEuNHwCOoTfAhGdnitP0jdDoAh6V0TFvD7rQ/zsHJbnx5dVb9Dx98HYkEK FYdsN34gAlTca/QWTl9ZzuF7KLSGyFO2Vvg4KCxwC67+g5u8Gz8WvwA4Z1PCyb8t/VHKD7RyCn6n v8rBezftpbf5aCfGfsSjCaMSKgSXzuhSefTx6R2v0bZjQPvRwnga6FI+qrhky0Jaj67F/pKCDUVw Bvb07z6FG4unenkcZhb2yDb/8FnB6grtRQHtpYS68ctM0hJ+m/Y77qsKKtkpK+ELv2C8dodsyfZ+ hOyXUq9K3U16rDdohCDMZg+uZSRmQlEXtsuNHgxn8SaJTRWbhKrxe84dHsMJHnQ5u1QVP+2kKNhw gZLnXHRXC8tiL+urtWX96DMdjXwzQuJhOINRVqjo7VO5f+L7l+MFTG4XT7OfwrzPMu3pbIL24QKq W0or5RFHdjMwxsgeQ0ywEYXb6O5h16IsZchZ+OhBWnWdLbArQnGkAFZ2OzdRFGo1MmJ+YufwdteV Nlhy+avethkEulh6kbXLzFVy+SDUs5gbulatLbPdK9Z7SM/wCNuljLPI4sT10/e715wvo9wNuybb AfhyVuvZpn5Tf0go+PWGOVaDIiHbWk3u63PD3ZnNvhm7oRC6oqI/zRTk6Gs5gCoApCEsbYlfK/ts XaHJGnz7ZvO1qimsmI34zFrWh0vZpKsEVE1BsclMvi/dW795KdnwlkjjhtGznHQ9jr/oy/IeIkOG Sl2m/1xvgwalzesKBiXsQPsnlvy+RqsFH/sukvPyXKW6qptvTdc6FzrCh+g858zxKJCEhQEEF1Z6 qVYWN0QKwxopD3coved9AJ7Q+ZQWKAXch3R/nNiCuHjYBI1GBfKYEiiyVCaNbG5tXzwjEqr5Kpq5 xuOG9x0gjuIRVuKfuAWW/51fS7J6+0kI6anAQG6uhJ7iET8jDD7etHZRqgZSr0DHg5gHv1YtWUyD 5eS7BM4EWCGcBMjP/tVTReD09CbK7eHcwSllALRxxpKDiy5rKMPD/RGhFjneHqpEr+866I+KjJKX p+obGPYN/zLKhFqyoYsObmJ2CKw3ckDNWFV6JrolKo1FS2AvnoVSn8toTKYqtmRCazBrhK7IxeGd 1abo1x+RJLGfDnnVW60j1M4pLXbsMTXVPe0jCVdns2EZGW7VLOHCKsxYi1a1LehoNAVz31Mchqhw ytyUi9Fw4RljBH+GCIsjLqgdTHMChk/yAiXXK6LmJaFipOR+9a7vJHnM08Z+Q9566Vdjv9axASkp 7p2C0OoUYM+6MGZZNMEknmbNmbdYhi/x4WpB/siOhfmkVgRA7idgtZyQmQJSz6QjVO7rVFtoF7tg JsHbpY5Pd18icQQ109PABBmxFdF5eAFDqCvczZf8FaL2GBzR8s6Q+81N+UiUtJgsTmuCKgVi7gFX QerMDh9cn3nGQre7ik8FsdRhmooPflGEB3jEvoxmttwTHA6a7diz5Be57be6XDYPnfo/ee9Oesxn haXeRxR3+AeBNYtTQUUfgHGM7wrhtJlE5D1h2ScP9ByEqtcAxGWRpDLKIsw1EpZDjxKTQLGB6JMP Nv/y55Wxjxht7oJEPa9cPPYYb3ekhmCXfY9l6HqFoyJN4HBH9NdwWAuqxmzFaUs9iIwj1UF0M619 wNCpthZk5uE7dMuT2zRFRq/6RhkanLURZammdkYvaeueVASRnpOL/xFbxNrxyPp3Bkp/qDCjGXyj /tQqltiIn/iPd8oO7gs+BfChbysmGlPPOffl/GMxkW4Mlze+aqBLGWmgfGlFpvnMQQTTPlJ1wlZh KJuOOFRW/MljN7zzmGTLUXV5sIqisdZmxIKFSa3sewnkVmG30deII673J6sU7S513hZ0AiUN/lNB ltBSwuMAgRR8Aqf+4ptamAfAEUjfbCnSuzZXEwbnqNGzELonGcCPdiruJlGhUh6q76bMUtNMCi8D 7uuFtu5nYxoR0Fb8nNxKMYNCRa9Dh6nznMCE2lDGar8gMzkhblY1sWX34+ZrRxYsinkK0Kf1AKG/ 1bDfaOE/1STZRQnoFtTiNsufiZjV928k+YbXkWcTxIkuxyx+bCA3BMqzbGY3PbmMw+wJIszDH/6U 5RHoHhgPT4WXa34n6iIDZwEI6NGsoctVFgr8wg4ZKbVbWpKkVgiBqJDxwZnFqE3eEV8oqs+QkfvK RedfU8rk6N353Tb49ycuPYDX6FPgZeThktG3FyKvcRNJkypDO6NCGRw+DE9eBK17Q+GQJDbNwDTh 0fPJ8AX6nCm8Mk1AbJpuNqa/0Hvs+J7MRh7+FILzmubgvMa/WI8mG5jiJxGjSMpcFwr7zf1NVacQ P7IPwo/ABnk/mPkqgag71bMv49B1a15N9zAZqZZXmJ7wVgKY6SZVAu+3++wAo8vSug7aXCfq/PYk ElucK7u/vX4RsEVc6cZ6nTwfNiVVyjqvpVRclx6m97TIZJuwo69AkpEzN/LjD8WrOWQC8isYx91/ 5LO6zhebYE+LXU86UYE5AvCQqi0amu5eV6tW6otQ1YEKWfhOCItBzcliA4GgYBuHFjYW7JgHvStv eB5QPjqqkjEal7ycZvxA9fj5pgODzXS3M4sVzC3wZkMs5UnJDArreXRKJBftAPDDSgXkdYxfLB4j LcnRBfkNVjRcZPCwQ793ciAY1Pco7MjzOEhhOnET3b4bJbRlfA0ixeHw8MUZ6X4ijAAkiAkKP5Kf 7Jh+wvEOA//7SS/iNn2aTpafRUzz1M5Xmchusl/RdJc99FpTRaUnaUJkS6tGwcYxK/9ARRNSDo1P Cfh01wHq0KI+2VIkLA12KPAIQH2Y21oulwz+ZtbbxbdqBvmzMysC51F6arLDOobPoJZuWAlHganl pZUNrzYT5EJnfa6zzQNigsWA5VMpgdS0Q7suXm+q9s+JlF3M0QQ1eq3sg9Wuy7NalvlzPhbzE7US L1A9VxZRvP/iE8SiPpza6+nSsMPTjMRMm++QRl7AE9z+MRPA6GJDW4HQFeCIQAIcFVS/4Gzg1wru wk/uIOQNaA+XFxDMjJBu4nHqd6PBezbnRocF/lohRumZk8WZ5LVZLBDU7iS61ks/+YptKMYwbvIt X4WQJ0ZY2v6StWfTeGw4LVl3IGCLzBa8vuhurlfyTxj5MV6E7YJsbdUNXSqIAMH4czXesov++cli mrdc/qcXaOcn1L5Ce1OY2gepPCICiX7E1F4TzO+DUo5lotDpbdzm67/EkTbpzI3keUxL6fIE01V6 yo5kryeifaKn0SnEizong0NTRQ1E1dEWnHYOUV+Xyw0lmYecwDKrIvkTel1rh+hxaeKX1qBTo09N oUpTcynUC11671t7SSSVuZlYo0OInRH+aNSRcExM59yOU8g2KBHN960eRQyAVd1uZx1Dpf4OBxRX nahzC+LB7zJ7Rp/FiUWSJaYrq0+AlRFjjtiEI2ejEUftP2QIzthPgsSkfg32+SgdjeK5Y9vKu3Ze nG2jyWFr4pBNPDqituAzg+HQhPZ57fvbc4kASCOavLdFTai2v4hMFPOd9zPm8JiI0rL1olHY2N+l myWqt8DdHNvcCB8y/C/6ycAA+EDKOruFG7Yg7KVb9TqJJZGHGuzCtk8HlXk/OzBG7rVGoi+7hSSc 9W5tUVoAOkWPWYZhMcbChbqYXVPpFq3k6ScgcHm3RUp28V6t3JCXRylKtJ9EwQvHbCgG+0/iFLu9 d9CGvrWUicaRGUyHDYRENnVI7ln2eRtnynTErT8v5lofoIc7pdu11DklOut+4bsAiloj9f+9rUiS Cm6vQD3VxTikUEbKAUVKebWOuUBK9sqKVtmWbGwBiSX9ARAy+x+pO18kJ/YTa3dfejuXrLTglrI/ ON71kzT4NKXxoQVf+tYodqcEqQ52J0ZvyFHV6D2jxRnXj1jq+V9rreA3l1qhSH9XVfWI9ojjYD+N fcVB1YbIvn+K19qI36MPbuR46BemBS7YWSCL4LSAuxoFjjAiye4ax3V6Q1pXgfkwugzfM1o3KH/z y9A5SzEZgOWLGp8SQOh/pDE6QJ4tGdsZmGSRot1Kvigskx1YWWtp9jsTMIVGAzi+zoDBLwiVX1Fv gr1+pV7VfnHLqSYj7DBPybccmb23lr3QarpdjBsUFFJ0JHum2oqpi0FISAx+8i8nzMtlE4NSvazT nQUQvnYV2OymrYnY/ttyIFHpKjS6qvAd0FqpSwWnz9RlhQ2zmjJhl74u3NDnGvQktenzAUSzaemR RI0Cv1vZ4/wfOSFYmUOcc6MQk7Bh7VGqhwvyY5e0xV7l5RzPS9uTuYtpJyArvVmBjEaHSR0h0Dq/ ClrO6ya5XnSanjvsDs6dBDLOAHtY52xMzYH5Rrv58JhzxwmqXXNhjEgt7w6R3fXoD8ucIT9M+vf3 i12ZlSV+q+I6fSZvrA3ORxxIZz6VyYL/zmFmQUdSevoI2dSFZEIgO0n5Vly1cRVPHgwxGXr/qnb4 1W9ArlVNRWditYETRjIEE9H+dMLwO2K7W0bkByjKG8KKUD+Jbx+FiCu5zAwOA0jhc49BNLNHN9di wgrZvwUDXpSEfrFuTahR0YiWGZc+rK8dEGgk/dz+v/FTTVryqfJtu/Jh08VZQBW4ant67bq0RE/A SkpF6XNNN6ISQ6rVBdfH1/s8WbiSbcvjH8HYSkd/qBmBNgpjo3b5KpOlphaVNa74+uUQbYBx9Cnt bw7GMqhSnzjlMEN1PLq3HmtqKmYDrh7TOGmldFATT5qQ3jfAfi6CjSk59xYvYPBBFudzWzbDHOEt wNtv+C4+Uu4weOX4tvS00kmzxaYgs0aIoVV8YDhwTUlfAQhyBwRB8OXnQ9xekrKGwMepef7aR/Us g84TrAc+y8Jf4VGgnKw8SMFL6YdblefVh2kYKDS/luVmyoNJwIJt6J3SIjWTEoAjecegi7qMlfO8 +zG8Cd9hVyHKuD7noj4/rDG7iBPW9EeF7Ol17WfH0pKUBMFNxfwv5XoimJf1F16t0C7A9kRu7TtJ Ceils/rHcMYmxb9ffSvCHNp4KkC0ZuFl9Y9QJhgEeHt3kzJ/pcsjz9kvEFwusKXNp5KRKKBd62R4 o5TMBFdLKnGh5sxW+b+S2JHtYegCN0/LxfCVJAR2hJBhe4T+J2wYU31/CTih9SlIPJmG8F9da9ZK 96/l8LRq70V93/P52n99ZV5ap3EidIwt1sHcz4aCD0JJ8jUUDcuqq6ip8Fqvjl8SB+6sv3JB1l+K fyS0rqyUOFcqUf0ihp3k3YccI2670KT5NU5o1UsUHlupo0rdWAjdUae1i+r/0cQA8uhxR0Sj9wzP LW9//hAeJgpRavshD2p6+kWkNaor6zPiVzFTIy57cLM9YCsNy7kTzqzOQWrDelwebOqvhSYf1MwQ FJcVf7A8vzt0rFzoXLo0E7frXfBtAR4JxbQU76FOF7JckM4WsIM9YdiVqsI2rW+7Vo5KLYxu2C4j H2kFHQF2Bjfxyla5SDIHW7ikz92LsCTDEfe+qdg+6iV6GedDiv3UvXFZEBb608hFoBXgwOl3biws V5EtPyZEyf0ta/j/J6w76LE+em7Z3GvQJNuVm1ae22AqgHWTY8wmjvpZz+T3hBA0H17670citkM6 UwwkXNkmCrHT/OHDColRa74EDrIRMbs5GsDsXo3Ns7TvlQN0faTUDECjro2wRCsXq5eOVGgdug8r 8+DYZG8xgJjWOJhk6HV+yI0Yc69p5A4/CXjA3OQpccR2h+Dt7ml9Oo8K/DFZkWv5VeHPAmhgTCkC YGar4l45NMjmZT77V85gyUqAPguT65yEHH4eVed4sxDjdZF39hIdCcDJ6d0cwaQTKj9aHtnZmTNR NzIjJJoRu1SOvGOUfQ4K5sXsZG0jqJbdErNolvpo2EiM4h3POEMD8Ms9A6YHUFTTuaBKdpU/QzFn iQ87sIE7Z0+UJozXzA6PT4tZPGEr/mVLlBdXzard0fFy5K/8t2X0RkPzN2iHGyBIkFNx0XPYH4cP OaF0C1HAEjtz4+e+7WAFlK/TKvr6sB9CTrssYfLVyNIuZ0IYpH/RQ/4y6QVgI74VgA6z5w+quO0X 7aviQkiNwU1lnc2la5vwyhuKrBIAqTnDdGzCXr++DW0tHfdWMWuElrKVDFbnKvoOJsRj3YF4QbOn TrbWthipIUTNEC9e1eUUkDZRiPiSArFZBJzY/7CIpoLuwLPTiN+5T2FKpOIPIQ+b6iRZ/3ZRh4dE 2w0lNMsOH/udGXflJBVz+4VDDwwjNOTB/vX8lV9Qr4SWJFu6so1bMZqKbD3zHuNSXyotNbRjyFn+ q46Q8B10WDYkdsLXZJkSplf3Y2sg9uoCCKws/DFptYRBR5FDVchCQ8ZBkkrIJqaY/3ya22q2DTag aoCPbe07FP9i5lY3E00erbGP68EuDazJ7zvxHd7mpmaiCuhRtzj/IZLyQFC6J8BoBVLLoG4Dp21e sRDnzXljGgKX736kvs85U1cuPsVwCnGZOcy/Jn7PvEWmeJEHirNmGkKJX/v3kRvqcTKIvVPVDYBU RGj1WfpnrpysxtZTbr2q1hcPW9LUZ6TGD/zHIfN83tZ+wSfaVNvuRXEEYilhm4VOTOVShql8NspH 1MIH7bhrscy0y5O9ZdqK+T2cxe0xAbv+P1b/oVVC27+DgW4qMIXlrrI6vhicrXeGnO7Q7mM2HmzF U7Kh6CwMRk8GzQVYjtKIomuZ8WIGkTYpdqtXfZauHps/B7xG9AufUI0fg0pohrhsmUa9rkgk3wyc nhYkFRU7xFz8w5xHiYBdWRicMs+S9XmOxZBQ7L+p/bbeAvfKDEY3OX07znspDwr9LFCd3/2KnXDD XHaBh19qEmViSbJjB0uQQ0QRi5crHSk0cnJOGcZSlIkkNfbO512BKE2dUjHVoJxGMHoo8zBDuoJA bmp2m/WVtfyTu5Njgy+8EXtkiscb/xUFjdlNDsECfoVFZTgU7K6ecCgr2eAcA3paBiEU4hKR03TZ ZEUWSNSXWfYT0k6P6AUzrzw5ZYNCEEjE+uah5eIfvPzP8vpzXYrCuRosYCeSjfevRxBniGYxn5TA bltRFLhlAK7UsiGUKvKFP5MFG6cFOXRebnTZxY0RWlKcyxHtN2ewlrWpqt2gFFrfuHZ23PW5xWzB GHd2CGck50T8/5IyxfRBitgduc46Pj03BzNu/ps27gS89F6AY/62Zt2PnhvHdIlC8fe5QESdRh4F 4lvYE0XwXTi14OfuIkctELC8qxPoM+s1O5YMx32eucAMJvLaJT7sukFJ8ZO/RYbD++M/cVwHSeLE Uwmks5IGdwCKPAS0fquC5v5Hu+eYqbChixTK23gLwIAQ42YTq4eT0tKBz/VOd+10lW4fQbZOL9DU T2SG2xbpc2IeYLkgnWrxwVeUp5Y3xd0TUUE2AxGyFDDQFTt8WUQEjaLOXgmPPAK7wg1PfF7/d4lS 0gmpXv950f8JNeN8g5py+AG6wJ6lhCz0rVz1XR9zX7mpgrQyJmq1mX8Gwf5onl5ch1nQixRRLK6c VOuQK09bBzXgOxSxd550e4HiwwAWUmScr4UdZN3al+Vvf1/sHFJjFiOphT/937Nj8jNfDgth2F/+ fvNKQR2vVjgTnDCqEflcyOluLyfE1yzfvQ+6p4utNsKCbXgktv1LOzdUnBvlCFoBE3yQCm3ABpKW Ohx/9uZ4kBLPJ7q9HMQknullw5i4mzJHy+JunqhNOHUFNt0ZP4nr9chlqXyODw6L4OtRpMHHeSKc rEmCT0ZS7yZ2kFJDjzlIo6NzAJA87g9rurEqPJ1b0Vm5sXffqNL4F7nXUxAQ9nSPMzQo0r8kSL9D P7+Q554p5czh8hBbRm4mdbE/gQCQbhqAdqz5kU3A/dmJarcjWWEbNP91Ja95nrJhZNl5iwH8ncoq IasKWXZMDqakhCTyAU1qvx3OgRJ9CEF5Qk99CYlXuSX6yRFm1GZpDNqfq/73NLp0duxpLG75tZiL I8l4KWVUX9cVp57U2WC9fyo2Io0BTaoverlhpqsmsXiWOY5Q9jF8KjxVY5AeAqM8q6/ByfLyvBsZ 4xcG+f5HEtvDbJMwuN1lS16yICtEpRwztJqPI2RAXLaG6E4xwy6S0w9jIBdTAaucMCUJwKvPTB1f +7N2g7ne3wnLrvjbnbKFDdnHS3FKlUTmiOjJVwbSQDq5+OyvB2jdRNaFiOQs7/o0zDDRKF4vyMsG qMOj+F57nFEuRWUzE+cRtLtDku8ZiBmKeoY/w9fP6ys/h4yDtIJvfxHVwm7otiZNbAZGSmdOX92A RFtaNnEW4IRiJzgJC6ynDUSoyy3xLw4zI5yvJRRBgF09kg1luqDiMfXCEeRDU80CAhgOpNde/WEY 0MLavaeW5omxhFIz+bpuwzQNfW75ttCj3R3dz3XHqQAWvfGJP0qvz0llQEtfR099JdLNbdDKEptc NhAw0h/yroFhwQgNI3Bm+bsUR9E1AQsShWwt8N3Z94aubUImUlkYyamIot/2d+MMGhcUiuufXFjH pEFoV8+B0KFlOCVYUXT3EcKydl1Le5jm90bFI61a13NmPnU+BhcUrEGEAzHP3mqcD6LvAdNCQ/QC oUFPnZ8Qs8uWWf/1SylHpiD7WxJ6gtRSCXW1SkkrfXpHVguJV+/acFV2HC8BfQZg3jNZsNjC7Tc1 uf0nZBqnciRjbSLMkZCemx0p2th2nzeUUGBsv00+2sujwb9HhYkWQaxVYcNjjPH7O4zrgu+Uf/7g ZjEqoLbxR7QY1svXU5m5GczqC3wewN9bfU17Z0nUPYShBovGlGEXGpuppIxEYs3mocwVmcjyv0xC RUzwzKWjJvgHwwAzs5ZMyMlajk0ltdm5UTjqPNchuuJ7bR0F8hQpDDsRZGzmEFEV8DqE3jS4tfuq Agv6rYByiBZjVJYTPabi7ipkb43aVfQRQPDCXzaIpHqXcp20Lxb5F4wlgGHVyOWV+bkt7J4Wau+q NFbZnypMzslWZP6ENiuavO0CrnbrDKHuoU7mRI8yum2fuwdr7wvEeyTnJNo0SCio22+TYCC/+5qW kXKaehSXecEHGya0titdeCfp9OcAh9Yw7KnkLge5SQ/yOuaJPxqqieUWfrwy2gIfio87qJLZpM8m gSDte4tEcAy+KtHA3xmgp++kaxyTE4QW5yjz5om8KAtT0EYG4CGY79tPXomYbepOx7t6XJ/vSr1H aOEHXMw44ZWxlhdfo7T/YtCD8N3bcZhcxdP/dV6Ft8F/KQUbmIqkZUYH2129c4ETSwhe8fKm9uqH L66Hj8RdlDnT4sLFo6ICXjXd8YNH7lvTw/IPoKGBa/Aq1F4QXhxc7D3zqOBh3oQULX/M0qHtpPSa AYp3EZx7BZC3srVYvv5Yvm5USi0pcs+J8BUPl88DoJKotkqurtP2UUUFCd+Z9fKoVImUgPneMS8T OcNnaINhz0BpKXw5/FwC/6m1hNR2NsCZya39IYTDFO7Tgy3pB8QaH2ofnPQRfVGAnEJBTT3plONe zH49o/s1Sa48Ulwb/mx1VTc11Nii1KlE/Jk3QIt74+S2S/Wr+6TX8DmjGikq9bnLdCtv9LK7BH0B DlRPpcR63MiUFUhKxOgEC8mluO65qpJlj4IfUFFg00+djaQKWQuk3FEniUtm46E7+fZpMjekW1hC at6cM0+wYbJJ8Bb2eAqlw/pLlD9xd9yDAJ3rD95B43Bpka1Iu1IW4zVMqzo2EXQFyZlxfDIuHjRV ibJntepGEVf1FQN4x3UL96AtLb7tkbuXU8KG+zCf9CxCIYDn6ASgaA108nbLlkma6mTjSgZZFAQs hKA3qV6UllcvlHPoUXWy+Xe1KpgqA4hiL1fGdr7dMAVX6/faZJ6bbTcsJBdvEyd8apvl6SVrS5Vc H/xkrJQOQBxovhT0wR+f4kYNh0bsENpxC90ya11n6/KVCot0wp8aqZorHOEq4NW7bmuxw2Z5SlRU gEVexZdmqLrfFpxe41bDbetF2azW9qZaiCjz0xLsk5mJGdkzli0tEihEbvwzy2hQxeS/ONXModA7 P8VwlZvPuEDg9xINmK1M08+qN11bHzIce/fbGslK5KPk8fKixBCCuY7So1aqPerVzKr4r9wCCzzG BjJAc7Lhn2IUCUkOsUPSuYpdyBNo/aEj5qeo0H9jCDiWVl/SDrbJCpt1VO5GME9pjHRvO6VbQkmR 52bdO6SY3xyTiHR3b0+uoB5kRmaFdMyXA5wFNKwnbPcHyMYgTMvrfjOD6SxbYXbcSgawj24+bBs4 i0E2oRKrc1JHJxbp2F6fK6Vi3KJwMcdC1326OHcGIxY1fCC2z2HufyoDMe0K4KCmBkPjI+4NJUhe HHGx/hYy4UaT8DSXHiy9VO+pdypO7tzC3Xj/R5IjwPUhH4b4l6P/IaXZu8ajGLwBbo7q2ydFe/EL /kiPsGG8JJTAFJcIRCjonJUkCdeACtP8jAcGG3NIgUZhqfhU7SPMPCuawoWCZR3rZ35IXGF5F8Cy dPWuoYta96BVQnrQxyGF2uzH+JK5mtI1vKn//C3wR8fkt8A/WQYZo2e0DOVsFecs+TZZ1WdlDdKq JCgnA2oRMTlfcR5eJ/uwpj758w2TbEVZ1Ql75d41oNREcKqZoc3iV60Q/JFw54Tg5be1OCXXd8ok m+J5db+pzXnewcMsBt91m2qrm70R0jRgMB4PwHj3Fpq0ZPLoYmsTd9HwsN3w+AJ9wJbmHKLzJ6Ys Ib7J0b06l5T10fMta5mSh2ik4KgfpmwW5QcVUjaqlmDAtcUmHRDTbmI7fXGklKLEGyfOpZmP7sGz Q+pQT08fevKM7DJXxpsIMOTic1v6ODEb3xalkoINvGeGYafjGN3Xz38bpZy5mC2t68htGVlT0yk/ Ja2xrbYI6o3vaw+jrbyMsUe1L0vYJ2qDhOCzxkI3BPP/jkRQLtBXe+Vx7Yid2l69XW12WVpbFtbC jk4X0NbXuagaYA+taBHq5jgvYqd0/gYY9yC8jmm4lZWd2zVGsWccqJcDEdc5MV9MXRGtggjhj9gC iMk1aGjz3BOubhUcbL7SxEyuSOXPBBxH/FV+Kb9qK8qprBJVDI4cNqcDfjNEOHPA93Df8BvrXDBc vb/K9gkFqbsHj68ErFd/28yckmDwd/Gj5ngm6Y0F8k1G9Gu33DKIlYuWdOHjA4NCPP8KLAlyllh9 EfHjE6sNAPinJcP9vMbK5HsFe8kikEPhJFk46GLCaYQanVPv16K0zFq5I2fPkoYK9WGuQnCD5bSn beG9qBzWxHE6x1Fn6C+kWfqcuQDFO0f1zrKclQ+0E+ZUyDs4TiIxORmU2f50XCM3wXrl2NgWqxCF V3k5mvhRp0PhLS3pMh7LoWvhB2vqrrL+73QPAfnEVzoevGmRLYvBZ/QLzUOAAYbDXis7iV70gV8E wgkCskRckcimxnR8WCuIF5e9m/wdYdgcguR0V0LfIsskwLV7Li6Eh9X9oYA4FV6OEeotAV8zicMo yB3UtqIVqWfw/2zVz5wnl0NvBnIOPnHDvB1cqvvO4fXr6tDZ7NI5b3N0sGbcUbXWeqglcZrePbTK E4/V8ExyH+TlZPQ/LABm7Q2NkTn8sb+18mtwrQDEDQxOGfYay5N8SeMAVfcK9DkrdpHwz6jU+On1 o6zUWiBQnYtD/d/MJDa/BPERTVuZrPrhGx3zvtdTqRDZy7/YVKALcH8oEzwK5obUDUSnbin09fm7 ocWMRSS0SGl0g9VZrFwhy6e4KKapoPQiSv1LIcpq/I2GUG21R5KEHedSmTtAdEyBDFnDf7Z/3MIL 66eV7uSKa3zDXpXp5dNrseNzVaC6slL7ygVpkLx9flvSfk75/JWvRHSh+cGSBzggaQpSs4QR+cSd Qx4DmFTMk1+GX121LcN7wJa58pz0Pl+qheHGVEGJROHMXdG3f928km+6waFhf+0VfjUPBttnSppy KC0c+ZHlCzQ8SG24CNbTSiQCS9cl9NuzynWrsBFhIVEJs3c2JqmLEEl4hsjpUUQVGK7+hQuatNZM FODib/3Xvfkeff0BQyVwBP2mSa/kxEDh6vVVxja6UG+ki2zX4veLi4VTdbBTyVMVONfxIC3y/vbb HKFYNa+i43AKDsuTH8bVt5lDk8/8nBjAqZskN5avC48eMmxKjHPEgdiNCAbdVGGzqoaUGqzOlaXM sFd6ItRseCI1Pn4fEy0Q7RKZ0Of4aL64OxCYSzv3aooTQcN0Cw5muzY2y8HnDXI4R70kFYzOLCN9 UNNmnVqM+YqqotJvO9mrRl6A846EHdOXKHOXe6gEPL7mw3EndZnYtY41kc7SvGYTfvofvhMT7rD0 /GsHmBo1v0lHgIOymRYdMTZ5khzGOBec4uFGbrAHBTUNYPDSKtK7rqtUk6/UBxjr5rbkc3t8ZzxV kOEKCILZAzmitiFGkyVyn3a/kEERqeSfMULHAolICIzg0Hhvmcox45ShyDfGaKNS01ciVS/zGIzg 8yWkDTiFh3SV6G1CCrnbqv4Yr9mAUsOIGBg4rJ4IYtDCfa9vh7zRSudHxszZAYl4PqIXNswUui1B XvHvsWhxbcmgGOVqaP8l7JyAvXJS8YkXH15zE+j07Mvz+LpHXd9c2TjtvbTcC3b3E3+RFQwRYwxB NHTZ3gnUr3Z1lW6kynT3KDFH7pK0bP3pbMcLnwXO2jLZAYWk5qIht6j5WHKbMiQ/KegpVBb6SOTt vavtcM/Jh3IilTiu2zNmaPEaYRnYqKzvxDyAo0irqU5whgokoFRKppwi0mTfSnayArRWObFoIhFV fMhOzXntQAe7cGn+QskVpF3OdFU7A2REVTUGovL3TrSD+VUjBr5C4cZ0YuzEMGTy3P1H6vNE2Akv 33BTqa/P5dHAM+FdLK/y1n8hHZJAYnpfHzoFppAgJ3w4rT0Q0TH4Myu2qSHWOnZk0YWWT6bkxW6z v1ZnCerw4kUhy4HS1n66HVDS2wB3HCENH/y+VtjNUuxMEBnsojKvpXzKEoIdKlcPRYkFEO7ZqnEt 9Oj6h63pxBdGwnRhw3h9BQfeEPcxK4jAUutK3D8K2XygIxw0VFT8MSVuhPmL+9TpI91dv1v89ziV I3ZjDTnDHrviKizfll3mrMPGBz422iQw8dNCIOF3mSPbZ6GAL0jn2JTkyiPlgEUgOlar/lusvn5D qL3kV5yJWEMHpScw6q7G9npbYRjWOMz///KQG2F9KF+TH2iqCIbqvdLOzI27o4t3M2Jb2Hg4jlks ENLzIvJkOQb/It8vKJfbVfG4xaV0d8tZez2KgglrrbbGnrf82ko1o55cx/gY/uGVbI++vnAehWof 8UlZAwkA9K3t39XheWjCHtNU/5qirbwXeBu2b0587CFYx7/JWzlA65kxrg8AgDWiVM74d1XhqwOF 2HowzE3qJNpKXBkJnuyjhtwLKO4AYHwyLJf/L28L7xXhNxtqgep4CqrkfUaCCH5jm2zvRNI8Qywe fHWrcvrAyf3AEJPyqlKyR1UKiGuZWXBBSSITS68Rzfcj0JgUYUdI9GCnEs7L8L2o6lCN6bqBoZF7 WJydRVRZyaLe4IQBVNj3qpyat0BwivMokVxiaE40As8y6sjhqbsmgTFnt+MCyYWjRCxX+FfOCoAW kxvKw9w9EziQ263NLpMRAbdi0hsuKHQRexb4oUtU+Wp69r6DXs0pm4AV0aAnC31p0OgGTgCL7O3t w23Jfvce/j6U5SdLyF71Stbb4XzaXWRqqDmoPx7yfVhEz37IYi4+z7s53UOk/Kabt2eOg1+9wPiV cmSQGUGESb2SysH+knHZnYToVrLnA87XOO8VV6n2OYVyutTxEN0ga1suhlGCDf6i97dWhyz1h9FA 0VGttAyIwZ7YZNwVYQuF2O/yp0taOIaRzascfLlLViN1xtV+A+aWsJU8rKn4sfxJQ7mnlzN4tSIF rEbRtAyfcwoeLbemPfe+u/XQfc6n2DP4Mw2fGVajtAjQjx50Bcu79jgoPHrAHjKPgt+zE8y/qFF2 xj52Hmegbr0d0xPy+bzyrqxmBJMsLmeSHP5FiMumI3fF9FX2QVe8mQNpLJxfRcNQShXCyFhXyosN SdWOK0Ptu7z1ttKUIX2Pcn84XT/a9Z+DaanH8sn4qGTJXfvVS6Sn0KwLEnYBsVMUzua8xMSEulXB BjQOJvKlGdTD8fuPQNqvwMJrYwm+ce+Vrac3RUtltPkquWuOLXzkv9iPjDy7cLJralcHISmDOBum RZuvEezkDIWyJs49DQug58Q6zqvyBEw3svked0XDtJXECQnM/Sfs4MycH69jGu6GgMvS/fY10Vxi 2MHgRdYxgs4SAnbYAUuqTQ8frt8eYaslexwnqa9XXy47tRgsAtymbV0Pwjf5xYPwI9gi/Ega2lun BzpNSNT7viUWGA/KLhj4khNko1K9Gk7OD4xOAgCFsIIKesnOvcOkY/W1lI5Hof05uXvhnjSGvt+s FW5tpmBLGrNFRHzRpX9vPn6V1aWh5XSC/cF5pMPNQhTZCkV5Jhewq1IH08Rk+Ak6MmtuxylX234/ jhKGPc89Y1+oy7+aR6Szm0y8Q4OGXFbNMDgJi92sm2n7MskIuzFnWLdC2vVvw9RaDVL7hWqe3fS1 PS+8PcOyW/8E/lz2ft7WpyRPyNWoTtGTOR6yAA1hlCbURrCFtFW7aWvBqIOU+E1IXCVp3QD38P/5 4TlBX4wY/j/G5BLEBRdeK6Kngro5Wy1cg8qtZUw2lDaIhAkjJngnPL3+ABQe3eFtWt0mdoL9zyXF Tmu/eFNGQnlwAx87qLmleEAze+mBs/ahHDY0rFNB0UebMtC1u0fxpGPTq1h9f47deEIBzRrTZyGF NCVVamvBxF8UDiTk6lR6l2918GQBcDKdenEyye2p2v1sr7O+HrOaqJTH13KHkyEICJNC1NC4IK59 gJ41zAjNaH0aUMc41l5tnNyPmFSiZpaOeAc34pElRJRiQoPOXjZebYGRmaaDd/VJBZufLjGqCJy3 7CUU/nvgQsXL0+ZmWCavPdTCcwn3rANpc6kUilGUnDG7xyKGvzYmbYpIVY7YggajYOsG0ZumY8Eq 3TXeSpVYtAXlTUb9jQBl4UKlgN7VYIQycTTJCXDLYrKPNtNcrj9ZwDZJwWyv3L26OodzYH7EZqP8 Jk245Tfa7HrQoKznLJeaXxGMlnLEnd7+j7uvc3b2fq4uFJOk1EOtViT2p7g3NuRdC4AO/hoo90A9 mncKy5i4mbfrd0Uw/5PjoOxSfvJHn0CYDznxRHsjuno6vlEE6FBA9LAjyxGDRwffHjArDYs2JVPv 67G87tWV2eNFjIzPWrukmxFtSntbS9CB8VGTgNDojv5rRV+q2GIST2KBPrmAR7WgrYzPJke1eb2D eLjYzsmYOn9y2D/dKqUndRDDUJbQJ9ScxKbjfZrBJsYLgXw1lQB4fKZIAmLbX79FfoiQFJ5FEoYy fxkSK4cFkRHSwMgeAPHjJWUWHpxx+1Q4SjLkgtgQNyh2IJFFJTFs38ey3Rs70topkSANXDoUe7fQ pdlJukQ65k69ZpwOPBQcXW05lZkF2fyKIaLxJcm42UI9iiRfLmw6mH+G4hxeyPiAbxzHnkdbVi68 eCBVtVcgpdY2wrsND80Rjes1/IRVe78j1AXl6CboBMYtfdmGP5LyQMzLOeazFPOG9y39EUsvmkOG kjlKFOClK+u5jkz22LJMAg3n5jW/xoMvkUZltkivyQpSei5Ih5YLpktd8MOkMjV6rIH+oZThLwYQ BxGxbuz43r8+oqnUScnrAlVxNw8UKtoCCbovvQdn/k2PK5dsuPMgFd/qla+idpJFjZN+lVTymBkA /w2O5VhX1cDSHIIl068J8Lpii7v61d+spNr3uvmBb0+uE+5oJNdeWTYftcinj7rGfRXK/8H4/Cnx yvM0b8vEHKavw5ptWGWJAIWgR/AWQaQrBDXtGhFhORoAHGxpbxLTjac8NuydsASQ5mOc90qmfs0c +iMDWo6A2xb6jocIqaumdQZ7ANK5bxw3Z944HWsrIle7DtUC4mrxyxWplKrMouOlkv3gUl0QWist 6C30/ei5ot4Lce1d6V8DFECDMhN9gNcn9A86yKDFBZLCqadpx3AisyO2TzQ0G2WQJe0emcGT+1fF E7ROcD4rGxrP+F74xv7sGEpsT7ENGKepY/g9BZLSt+5YjAt3n6OFIa50FW/tTwXge5QNoHPTswG1 Bn9F4ZiZQqDa0b/toqq1c3KhD7ckNDte0d3dlaKyclUXBEc3ydvGXjFJ9H26MUSZbrrvVh/W5rQ6 V+m4RxlxWo86dvOjFUJJbz+t+skOVBcKa8YNZjb5Y7y4swyYbklDfWJI6hqDrLU0+laLa4vdRMRb M2hUDZ4uT49CNwn56pJE/6+ey5zTm7Rl7aMi07nFdrNTO7hZwEoo/tyBAvEGPWNht4WEQju6T1yk mUZXeElGEZHZWS6dkGdVkclzVmOvyfAYwfC3cVgGRvs72DAE7CZsLTC+5cDtKlEQ0RDddA5mU5c3 5AiH0qDkjYs87hFBcZuFWqQzy6FFaB6Gd+iNkF7RpwHIAJKKF9KTLd6LmMoVTRu22zAlkevo2HuU 5gWE8FRvXiNhzcUR4Y+66bfxlLTRDknLKYcKXPkXkwdT44EvqIX90H6+br7X+1wQW0GP47QRmkiB j3UCyX5sHG5akPCynbk4kPGoc4ZiTuEyRWE65MOk6wjHiB/jx5tFvWfn3xBuJJxG4X0hcVUT2yDA GSHHb5h8X4zi1Q+oOYYuBKnre65q+1cPA3fADFy1vmtfQkwv9lIMULMKXWQ1y5ZaHm5e4AWMWAQZ NL1Jtlwd/SMqp7SYw5WCITTNdU34wIL5pkAlN81+ySYcyObJlqeNykimofJHuoSq6Nkqr3kZCb3J gC+jzs26BK0AtsAoqdPLyg+1DRREV4XZx5gclcFjcPEP69lhcHtQGKS7tQqpiDFsY97gXS4YtXrg cNxpikRllG9jRZQSZ/TylN3EVSiho/QwPuvxFF1zYuJC5Z5/a1/7KHaY9/utP4PqUrfDx3iGvunS hlekLpfv1b0I1u0RAPs66TPdSwzzh1xgWAi4jTJ1s/soqC4Q18kELPP/3XWBzEQv/rQtHJw+s/yi Iwh7tA+KpXY18PAXfux2Yn+LxOTEFptBOcTu95xBrvKVX1gFJQB8ZvEGzuCqPP89qYw1mxm0Q5mZ 65SkNcxLfJA0+u9MhYnfHuvgLSE4jGxF+TM73t7Cv6RfG3xIvWiGvssVubHMtfEOGsO+rjHTg5J4 VpdqXx/CqZ8T7KElJRNvvh4AHzYG2IM/glpAB4Th0DZJrb4NSAxkTk9h5ujU1CKuBelPYqLFcSIS NsIqaqzLDJSv0ANbYJIob1s2KEb0vVSG/tx3MivIEXxYmep2wVpeVo/ZzNAD16Eb7sKtCtTKubpd n91OBSIBbp4AsBJEdaT1O3puohS1nNS1RQpBBCK8uzG2TkD7MS4/AsfpmRdwPOmOk076lhG+xh0H YDkBo8wzKdg9eD39EXrW1IrMptWqPqVNqTdabRmFXSlpVLmjmUw9fQ3USrsQtNfsAOAwNYfm0Rnc Feyepv/Wp4eF4VpjMB2HgopuzwovUrpZmGASYkucqTl5ZO2NZtDY5SvR+lmU3YS4fJM5JU7KKff5 V1PzSQW0OkCI115Itn+JmgHX8ggSQqF/OGyjkmObSrUOgfgOSAVGSXRnom0Zlang0Kno/M5c19ue 3FXwNJI46DPqsKwa7cch8hDHLa61Yycz9dPswJiTblEg4EdFwNG43eygWSiKZelTkoipbbW9GGEP KIncsr0GGZHg6HEkSUGJq9p1FoDOy9o/g3u2CCwZr4UnxPEgaAx1vsL/MajBeQxev8GAPMbbm3cG rKd24iYVMTtXIX8iN8eYi7vCbcBDLGhZJLhyUjdjv3+uUyXH71oFQdHec25RwYXr8TdK5VEuAfH4 A18C3lRBoHU1XXPXKorgSQ0c+0cwYsWC82bke96ZPLFv0F1FYqcWHHJ9fcb57xpNBnhVQBddh8Ea lt/adsbp3AWZuoqoY0pEWPQCSibjpKvfjYzBBelaPQN/W8LuUNjmDlGyonomgP7wnASbwseOE1FB EDxHEsDXd30xI5uSkp/dmT87BlBoD+L7iudi2mZfWJSgheJ3fSL7Ke5Kd/9+8pDmUJebWtcA6ks+ H45x7gj/cN/mleTa+58g3iI56l5whDBiZ3SDLwCxEQZXGwj3q3TeM6oe8hHYZUiz06s/YfluwvpW jsfxK1hqmEBPTgXaAbySYhvt1CjHM3Kz9kMaUF/Ub4OKcET84hZu0S93XfPL0QeTLgzmjgtBePwb x0BXCjzSPrYFNrsOJ/jIp7nV2RPQuyRC+urnpUKgjhwZs2YLi5z5GIN5MU9vSfaSMqO49sYhFFD6 37ZOMRKaItrCfsbDSuZMKVJ963oi1xr/lAV1+j3u3hrjNsUryuXvyZVmVkzPzkavPrLZC22GsagS H/bsXFc+Tev/Xg+lBPHlrYsEHj7uNqrw3WGgwsD/ZdZdwW0BH4nOnZRH/XCRdjxYqKSGkEG8bbKK nqVyR50dOca1kdmX/nG9oXre87j0U9ZILkJ55mOydOFq8fFhkREe2RlAlgu12aWMdUSz5w76CLl0 LP+93VAE84aRASTTkKe2m0jkFjAuhFfl43Jw/9aEZyWK6FJ1Wz8hDR+eDS5Cea0vww7m7DO2Xa29 +VqE66qSiHg+o4/6SDJ0ZD1QX5V/rMz5chpQlzKY/wXsVZ6MfUDAHpc/lfnX0SrTKUEPh1fFpQMj 4ju+4UEqZixTUIuXCnpkYh/Ki2yZIAjuRt0OR1q0jZJbqRBBRzvJpKHqW1RDODxne0wb3reILS5r 5qad0OcyHs/mg6WUdRx+W+t6JHsccfueY4PX1QdeEiIyfju+N/01XzBlRQeu+2eUrPKQs6uESepP /lnLpFVdDx6Ly0394VDdAepmLNWHJLd30KeZl7OIu9Kr01leQ72y0mU57ODpCYhbOIV0WH8mz06y rse032kLcFeyE3hi0hM2sAJgx9Ltbn/NhHvNMK0WFi6tbK7NuCybIj9ymurOklOYtCUwqFi5N/l2 VSoLetHU7vPdxfkYJiBVsz7KxWdlKR9laJ7N37dmdwW431hki2LXNKNcqIcWyAV8xJzkTlfDIkda TcxH9VFhMhEhsYH/u2n+8xgfHSWpuMg48GOccRzjpUwlWeEVmuT/FVyJtcqDbRuC+GAfrh1cVJuZ m1RtcbZMaTKLbWYV34QVVRsr0lApcKSuJcrlHMvGjsmvXgrvcse2UUE4Dhssrv69nR20vWe3UoJ1 Eh+ZfKnFKN5lJoBpHegcYQrzXYt2GgW6KLOT63Hvx2S0TidUpa8ATh2JKC0zGfx0xmIoEuhreQsl rPvoh/ivB3ISHcmVcFeyKeX6FZHEVlCWuiUT3siTs3zQjxFffZTXwMZOTcydyMzHjwcQsiGX8wpj mHDt+udEbX5EbrJ/SzNuNaUH6CVL0d28H0CzeihuGkxWBs9aYjnJTNbTVnZ+wEUzIcvIM5kzpZPO h3sOFwWE1HkLvUmrjOz1h31gIfS0swd/GWt8o41D/PwI53uSQkxA0zdZKlLZXX6twOmr2OjRiumN 4Zqsc8IHuebPOgefEyJXdVDWBkVKkvfGCqVuZqsHgoxJQbpLqYfURkIbPwHr3vJno/mVXv+2WkNU IIJC9C10AodmU1pmxRLLnbYRvsovlxPOo5jcexpQ7UV8GJFLKgCFNYCz03zQcrbZYjNnYW7HV4vC G1Lv1qjbMhD+lzN6SPDlSwhQAabzSGf7NdnIWT/SKUx5KPvwMoFU0O8keWSkRCPRUlEni4ynYELx iRp1ALMuXPgheZgrjknIYOFPrpGCwj4fbYQtsbEsoJ5bKXV7Huy5atL0D2oonuCMlBTai0z62E+s 3HraEsYjd8OQ7tNIlDHCibXhDBGTZz1shGWKxUXJzzl78SbF6kdH5RJFLJo4srQ90glY8T6sptH7 NpNem8PrxtEIsDV45jHqHGvgGGolkAdhBGUmW43KYxLQK6v+3Cci20OedxEDcCsqv82VUN3ha4Tt Cx+uenYxa8Vf4KdAhzuYyUIV+7mhx1HJkjxeU0cilCuXorHntGEqCjQCqQYSlhJGiq4fvUZyvdnQ /cr3P/i1cAId8p0SNJNoSwq4H6hwjXKjxb4SNSpCa/SamJSuaR7SctL3XXUyBUSohU6kQs+Iia3+ k0CEWiFeqzrRZLSw7d5fJ2Selp9UsPFtu9gGoQqgoCZBbLwIosX16HFXsMM+E3jQZ93CHsb8T6zX fIpq3yfIY29qMFkazsKkE6TD6U6ZN9jHwK/O3pIMpw5dgTM3+YGGmS/KKtllS5ySuK/gzWAHQizo 6w/zVyjZvvtVckeELLpvIey97wof+XBdyRWJoXK6EnXUYfK0bsjiffp7Qu25J3AZ/SwiCoz5MtQW 9zbc45NF6hy57OkgGePevefJrNmCgJnO36mkGGNa0Bo/Fs4YmTezJLeSzNgvSeLo+X2YCapWEjdg GHBPE7YQDDYlCKmaDPJ+DYDiWTuH9m6yCjRNwtgFUgz1BGdnWFI8bYet9FWCk1uZ+mErMDT2x/zW CHemOgY0dwrnoTqb4NR53gja1HDpx0QFAaxF7IlsJWKKdjbNGOXpOXTgQwssdIV8+vRrUF/zzCIy ZssorUWI1LZvQRNR06RG4MMQrLRH+mYrUwCKFvbyV49vIh9WX9l7eju+qagtKRAduD7taeCNAFyS 87NuqD6FhRgD2mDGvx4FOfdzeld330qMImNJETcLBP178b/AmhFmjVrA7cKvXUz8CJiX0PFAwS++ 3YF+2E3l4dVpi/KAM5aWcH16aguazE65qxe8DccHIcEV/+d/ngKp0mZLsOE8Wzyt+zdPd0fWrWq1 DYsK87hLXI9La2pah1mo1rLFpouR7gz+S0tRIKrnCPW/06MYVvMFkiLaSkKxLdJprB/CwByPB00G hT3YSQywo1vLqNpsl34/kOO38RpWRvbTzKbgrb4sqJsiAGAZa6Cp454R/6trcBOCEWhfPKep1hiW wi2BULP7fBfZsvkYoIj1IkOaYEzUqdKlSLL/A9xqJRhlU2YFnPn4Tgd3hZBBa1JYg/fdD5bagjcp A+4IKb3wRhklry73sV20IkSWrXHdQkJf7dr5a7NLDf8sJwOuBbwIUff+E1ZGh375hDZCDuddpYfS 9GygyacSPd5+2lQrDubtY1nSiL1H3D4hmWqgq6FEs4QT1347b4EM/UTxJKVgU6s/HGJIN7AT5jEW Qgo4n592a0e+/1tJ9WyepglknuVx0smup+fSx8mnhgQzmzTaAmJ4dW4sEphTNumCc79jLtaefubx alhsNdj1WCQmG+joSZltXoDrNlVE5fhRKaanhceV09TSbTfoKh6X2JJaTiO7zTOGyO3S+eDYzqbX +dGsrNOwht1Rja/AkXEU92zGKqyGC/nV+8FgAP28GtY9vZu6oSZ9l2IGvOizw4JfaekJuXqvb+qA lp8BEBLcPA+L1gZ6UJSJrbjjwJlYPWU6oXob1UztK1i8ZxwJPAVg7AKUS7g9ptzF8HYnxaOzN6yQ crntjH4eSLcLplvXl5HOg5ndC3kv5/k6Ufgo6OupUgkBJbMRNn865pgWUI7cKjZoc25zOPmlLplM IQk4BPBr4/Lv4UaX8H24aR8wO3gshJl24qqiIXG34vmOTSB08UGU1y4mC77/ky4ansbcMRnwtBLu h2PhYYfRDsbV0T/jkDOrgrwemHWW9W4UhStcnF5iqVu/Yrnqaa3YuV4g7SdsmRM9CS8U6gAT4ek9 1LAfl6EVPFqpdniUYQMXP7nVFMnv124EwEP5s91pEwMCUo5mzdAz8CLp0lGQkOaNe9aRDG1m8gQj +1eaxhEWfwvX3/EV4jV5ysX1jm/xlDH9sMYRCn0CgQG8is6Osn5Amf4Tgr4623TWO6b2R5A55myu 8iwor3fVIenmSo+JG5YnUlZejZqg0XTeBgxyJYkxD0iqOdts9M5zmzZUqjJiPw5iR74Hd6TbuD7Y g6hzAZa1jW96plNQ1MxmqyIQWA8Koi905GqhYKJEsh1awDiju0Nk8kprMO4Lem7Y0bTmbgcFDtkI w9Vu2KGT/If9w4ibTLxVpWZ7N+qFSN5LfJ+aXTMKyODblE7BUByjdzFAgRGOWr/QKEWaLiRxNZlL eSIsD29fefpxCV73ZIXCx0434/6M0H9oBTqH7tf3JuY+6R2Uvtuc+FoQ5yHneOfUZRh41O6FwVkx fuVC0hHRmNB9pxoHPofNeMyMwG3Lx1Pipjyy56CFsYwQwLQegYUmOxrFmdwFU3cK4GIa4HpDRF0i ekNkEvVlQ78k0uFy24cLRlzhAzAG8CTbjjtqssrj2XZx1pkrI4l8vruYFKoM07+FbvHc+q3yxoH1 nHTMdwv5tt4lIuLY4c24TO0R4MDvvKP5hcOn/M/vkFZLKJJOi+s59QmoIN5cfKTF6oJZIHrYcO8v yp/d1WwCfIL1sxgUnlhGhQ03xVmanxCSDzpq/U5VUinEzIGiK8eluW2v+rjWy1t14My1+3DmfOPA fHvopte5bTSUiqfv6jDAFGEvk4EQIzyOBqbiJBuk36quj17u4EoSnU9SBNYd4r2qb5mLHGTD44fi cjM7hvOGWvumqHzARkufTvtaY1FGg7inoJF0QmvG4T0cWxMKJTdTG/aP3iuSfs+i59qS0Y4ebUOO px9xbe2e4Wi4ltnqB28q1xDhWxo4tSW7D3Z9jQ7Rj8EUOO7AwFsVN2w4wCyQrF28shV4kyPMo3JY hynrNKGVDZsbmnnzymz9PasZRkcPSkHxKFo+JOieawH2KCh6LMyfUpZ2F9pPjIErJHFZcHkqKWNU TIzTGYc/pkX6E53ctfuAGA4/zfb/DjTo2IHkShZeitreKifefmKiTHqr+m/OQ/L5I7O2yRh4uHoA wwgop5wZzETbk1SVNi1t6GNCF7msp3xbDM0GLh4MXgfkjRJa24ysC1pW0EiaNNsF8g7+wLaHOzJO hR8Oh3fA4+PQd/swzHEujwhbeyZOmfo1W4QQHqGfVRFD06WMp877IkUZ+O0XqfxhbtdYJmKMPh0X w39LJD6xQaSv00Wrtp3Fn7OocnSU28n6FfMAPIpT/PU2k3XT7i8FjnGcHDaoQvGJGKQ+8uvnWNYZ Wbsx38hWpYxc6BtciIdJDAWXMTE4syVqsF5ZbLXF8Yf8nZl8ro2WMARJw9S1ThwoZcBLOClISenJ dyt6QieF+u2l1nDVsXrbbS5FGk43wPHONW+ogfSsf3kATXOQjFPXB0GrKbKoNvG1SGHVzESd0Fo2 4KSLSqAk1LY6gG4vJ+3JG3k8Vr+7Texx0PCOJejTbys7rjDvlp8EVosvK9GxyemcW43BRg0CjwyI bSAQUlU1XoaubAPtW0pOv3jqk7cpEp4TTDn7mp72/9aQ596ABfSkbF8hLtTH/LDMSeQ9Y/euMhSp D/80yV2AU7T/P++QyAYFuua14dH2BXACKNgidEB1YzDUHuF5n41g4SfJ97wdQ+jOB6L6W6IFA7Wq coZd33z6HA+wuo/5vXoCAvMuSBmNZjxki8PD6lEXnQu7+VyY+Zx3jzrT6jZU2f3D7VL91a4fb7d2 +NwtR3dIyIE0HVrm98EDZ76jy28mdt+t/5J0o6Yjwbx4ksVF0y2n+ZpJoFhz2ORTeIW/V9NjXUec eFx547zXAqW3N1cZrmPPhFTz5dML3MV/9R0pldQVbLB+O1XiUzjXdHMOtwS7P27TN2GYciFfz36h 96U2E1b6chkVih+zy2bYxKxmDPDxtV57lB5CAGqWEJ4+5VBFUZdPK11J2lj7Gep7YR4qCfzbENVo v9OW7jKGV3BjuwoUc+J8nAX0VUkQmt368ck/TxGbY47Vw1rXXxAeCulQMWTdevnC4D0aWbngfrgA A1IlYj3+PN1BhhsKu6XO7x03DbfB9prZ6dijvnRtfeK7Gte7XBLkN12reEqL/1XZLeBnNL1wdnwM ms0pHQcrbXJC7Cu0aB1aU53kMZ4eiXcfe1goj4UKrOlx5A++OtePfiQAQyp2qZaAJpXlNvhS9Ko4 E2UqnIThfXfY9yoKuGxorcRESYus7h1SRNg2qKqU2cttJm2PipyMFFmnQ4CDoO6IpH0xhu1utZNi fvr9gW6KCKtrLst6S7bMxDSBgQynroGvIOkzR3aGoDg5s0EIJEYYSAwMM5dt0L9JG1aYcO2ndISp X4fewTWRNQXCkM8J3jJiN1bSr39DI8JTsmUwCo07pts5Ns/N5PIt8U63WCMZALy9Bm00bX/6s6Vp i12v9OVocc0HrTdfQUkm99SrklXX+Z1TTadNO37w0QCyhcAUYkUCcQMTsW7av9/1SNpc/M2Zbvdf Hz2LwBz/fCMGQFaW/mlGhfZmgUTvtrVDxMVeIYNz5wzFlvWZZ4VoECo6uSz7iyojOC5bp3W8dxzE 8WoubZm9AtcT9EygXIIS0P6cw/VgbNLL5xuWuEl7WfpgFlRL2ND4JPMc5lQYhqiqZknGtrfJHfe7 RXmXRIxYnB1GN1Uz5r82Qy8X6mhFHTSMJluEMgeTEr1m/5SMl0u95Ajk1enBm7x+uySCxZmW6fyw LySvF4byVWG7XJX5zwCvn6zZyk84rgH3wUaLyUP8E+6tJDjICvKa6K9wx4MW4Dg00qG6xyKYmIwm 4SpIJm/U76/UKxM+pLg9stU+Vclb1EMyS2tiOlZ/unZwtgCOmso+4pRAOQRKQAmfbiMmKzXDsN4h /YMAJXvN+6wJ1RArGfBcfU81ATUOD55QZf3FWIHlOJz6ZNare5peo5VqBmzAyUcQISWIRvpddix9 gHKk10lAw5aHYw6Gw19fGW3xZmoUrDQoleR3W6M3vSYRIaEAaOIqrlP6o158RzBGem32h4HNL0IB 6R4ZTZqaKQWrR3r+28cVJ4gjJnCgPLO6Rhcti+vkW3gPDSDHUmapLEH7zWVIWTeATqs1RynawqrW Kw/p3n0V1dbTwPbf4rsmkhiNkZc3/+AwjIAJ5TWrllT6Ze52fmIIbZdy7wSDeovj4hh3CsXiAKlC sDC9AciAir90OuCADuYhMa7wP6rtJydAklL+ruwH/9Nj2A/tHVT3F0IXMw2ASCZ1i4Udb+sI35Qt jomyLCyu6dt54rnhx+bz6WwzuHdshQlEWEhYCjaE0SIiX7e2KZos0iUUOXA0aJ700GiWErBFm9cD TvGXgePt/iLHfAFLZSyxsHZj+DOZou8HH0bQY3GicSnH/+W+tlMmaGV718HoKMbU5r8bpcp173nM IiBS5jk0OOHolfJutvDKGolPDP7z5A+CvlzMN7uMbumy80gxa1bqlsPl12cMs3wC378Eu4+nLhWv 4v6AAFU1jw2Q4pMBNl0JvCXrIYJ/rTZP638/+ALeRWju2of3kK4nLGQwMyIZ6PLZZ3lISWlAnB1B wEL+YGaJAe4XSLPVKxU1+0T/XzM0XTyktb/YrzF3KXPvNiK8uv1YB+kJbMgetoJ6SPn49Fxw4vUt 3tzJX1iGbYY2wxM7aNLma7hTTVU0GxI4Po7QXdgMwlRUjI2gQNMrGYOOtrxWOJ6T7xx4V6Xv9O0d 0ZOucx49I39yITR5JrXJ2ldmQdmM5q3VFvJLto1nLbnVu6GCzvcEF6bhbVHJA8R5oCggVPgAboXL T2zDxwthBMLzZIq0QTRShODwv4EzHmIGklM0ABGtJl6StwvCE0LUnh3hz4oC12bNYH+tUFQAF5pz 3vo6yZDsOA7/64Ib0g8z0LUrWrMsDDQ2SYF1sLE2Enbab/+/LzMuENkEmQZqlMHO9nXrfP/FYqyP ABPfQw9T83PMhr3lsCK58SqBzS64EvOX1t0dUJVoXJi9S6UtWS/II/K4n8RKIhkQwzm+iU/OFDSY TiMNrvQxXlmRorXDmvUXN52A8IfkpSDyDzckMk5i6iXO7IcLBIYr7rIXTgVBFsZL57wLwWTzOS5t 2BtSIFCt1Bg7o68qx5dtVTX6YwU83LCX/Q0g94GWOel7m8PYnuL6+Dy2X49XPryi0LXXoR1CG2/z bxZGK1hYb2m2TtJGIv1sKMHelpBkzCpbZVJzjVftn24Bu8nmKPIa6KvjIU88bpzkvUtoaFjj0Uw9 +vltaQCllYxuD4E4D0bUZgMoRRZf7P6x381OOMvQ3IL828apG2ClDs1i91EqUYDNVW1p2kqsSW4H oZBTGhTlJXDOcg8LpGNUgZziFALNhI4amN9SSSiDpzThiOBEN3YGuLwnl8KmJHhL6lFmspdiYgGC cDiRzesZ+Ec4Jbm3Kf539r2VLI4Z4wyMiCY3K82pgGt5DuREiGjzBumuielnG8peavOplPyxo3Ax BdCFcfAkKs33JOKWhQNxfQUKSkvVmkjzcBjyUcPhBDkBbia6OAWixiyHIHovjvLLMz1qHan0vUxz jYnHphV83VPzyQxHPrmQPDy4RUjRit0S1OzBZnWcBiyzqQ6OwjnsegufORxcijdP/+D24bhOkc7o qw52zDjIQeZmBrHl9yi7AcBXEjWupPyzgFZx6orqyI34+e4MJtrIw9jrHfvzLifFgUGiNPJ/59i8 Qq4KE+cXoKLrssSOsM5vLd+2lzUbCc0lTdL5g5vog1+o0RqwEZ218+aDNwAuZX3ybMCDBfVp179R QR4bSX/O0pLgBa9oR7QYdCuMnyx4TqONFf+cL/vUQ0braQcPNfrqCnhwp2TIUQkY/Pzr07ebcgj2 nssYGnBlm2HhxHoOQC9lt35z03WgKwBQ2WXobMuNCohhnF+dFNeTYS3u3sDpsqs3vo0REnGxpz+4 zxF49gW/s9/+SmelyGXzAA06dQSe0u7h9bMbANKuuU9kYO3aVEX6jxT2yvv4xmmvK/CrlLWWg/9U sMoHJ9y1nG03hLa4McSPdN551pr8ejmldyMPgeCJQ1tDE1lXqbH7+h/DcepNISoIyp3PfgvhTawa LI9LC1THJyRWqs9Wx2hR+oJan5EdSxlGNxRmnhqNkqH1deWeK5QpSL1nxRD2GEkdn4sakFeq/7wV qxVn5UhED5KtZ5Bw8s9b6i3tWO4G2ChhimmmJgA8SBGj2A2kimFxntZLohcomYpE3MIg6ihoG7Qy kHQxWkflE/vyWnfZsTDBLCFHISGjiJKBYPAqOZodfdagX2lXs/Wny0rVT5PV0DGDUCFgZsJEJGev Ti5DB86k5pysz5X4IETlb18PM/LnPzX3MfNA7YbQvzn0c5S7jnMrIrHG3qEmpA8eOEw+hgU8tVqO EgfAnbCciatner4Hce2V2dD2nhFSgVAoSezjxfHfFpahpMCSIzVHHLsud/s0tB+iSYTRgsEOzaRA NxaZYEGXZYkaiX4CN6THSXrKsU/09mZT52JsuLzchVU2du0uxWJWEKh4QBvUR/+t95VO+6VxursO 8qBES6GPFD0l7yoOjVK2b4aLE9sVrs6NafFROT3rCRLuh1tLBsxd/5fHFpIncbaY3bAQfqTAIikf XsjOlZAfzyKB8sqdrmq9ia79ybT+3yUGTm1HPSHmcliNzuRVCidDVtpQTpKIAZqZIJYlIF/nkdxK BUMNXhYQB9kKQ3Y/HbR7GaA8lMq0OrK5LlpTgoIVAykfIsYY4TkyzdqRLkqVoIF8EqbQqOS51yKj MgEZ5VerMDbHpHLKfI7kTXFwOtrrsufdWdNuKOlSNqQbDWMFtpexWEFXPFcbwyW4UAVlnOSRlW84 COxymM9kGBgNlt15cPjGszs4DOLtdb2X3kX2cGrCPK9K6KD00CWB/r48zpxxP6ga2qKisMDrw98m IB4JcmAK07rMAaiKT1VhzANWnnSavjiyWcumUPOJTcTvnQO/GOSCabwhtRVZovpwozSY/Nacir0g StF408/zZ3XK222mkMV2MVvPIeZQEWLLl6Z1uwvImF17elNGMU/OXVTIuu4tnadzs7eenG4kAkas hvEg2+em8BVHkcAOLwfgH5Rg62yhcSYqIPhSNqvdSsBh5DN401gagdgypwOAX+ZigqBl6LGFRfwA Lq0Fi9dGqhrV02z+vrKWbYaN432ZPau3F+kCjIprJEmekgHBznjiJEbIJGaJQHANSslPp8w2w6+L nA4GW276uBK4n8kzAY6i++DZpxSfhRG3hb+ztIFUDPWGyfBJHusnUSBlFWxGNLEk+0n7t/gPE6E0 Ma4sCCY3J1FYKStd+ofFOOCanhsWWTiIvaDSGu/OpbN7WV56bN+G3mJsYaXj4YOO2ATzjUs4F6UM yHhSUYy/D/K5LxpUJvI9Ko7qFBLhPilwAi3hxVcEc3u/S2o8MmklRjuE2RYLgUWonWuRyKoPzHeK 9XtJ+Pcn4T6pwXwQly5dP24EpPwHbLn/LZq2d98+EZi1B0Zq/BFAtsq0sqtlapAYPyBAASPtIZ25 qkHLLE28pCHA5lmbCsY5zetEk8RjQk3TW9dDwdn+V4HKuoML0U7XDRnN1M2IYzwHByWoUSCA5Xsd nTcHeM2MHYXo1AjKNnfE1hRO4uEAR3P+pFlz8XhKzFmMydJn4Jetc6anFzuiU849O6wrt/0yb9xL pHR02e38QuPmbsDhj9eVAALu1tQeNAULidXMt6hG3NrrXxzDEnmU7Zjz2Qv3U2I20GE9e0pLu98W B57e15F/q3E1esxrW2PU5Y2J26DVeztMSrWSr48cONvZXyCJQg4FZ6ah5SjAMdV7XhHM6EE774BL pe5sRzfldG4byTym+MyRFYorFft0hIxkCVl/LKgp1wuCw10GUF2fuOOFHQPeNAX7CG4F1iUYUKPf GSy+fw3mCcH0ODgNdivwPMx+2OUWSTWPjvmfM7uE2xaQytu1EHmnhq5nSwhi1LydUqwJP65bxJh6 RVdHJ4IfRpGn0PfoFh2AqIE002KmneetBllm0k4uq/QW2uoYD2BbDi24KFGlACpm2UOoKUfwBJdg GOHzAYuYQYfhe3DbJLD4O6egvMJuZBx04QAdghfsAhTl/y1k9IaNuC4HQw/ft2DRNN8YFtrZxPdQ OusoyZ6Pbov+Pswx1QlLnYHgoCDEUtT9+ckofBa6IfXGMove6TsyLI4d6fbfCp1L7mei3up8f5/g SBSvpF0/gCQ+IeqPQQfVTd3LhP41SXG1tCsXF1nrMRnO4h88ftafn6F5QU4/f/zSviTBHM5s76KT jQl3O1Xc/N4CRItplaRLgcICgWWhThQO5KLE8xJeZElz5jfY0KfJFPtXTMbqPtGdQnhhBPXUz8x7 RzJhVTZwMUywILvnbUpbPSJyiQt9NHt+v/HtidZFCVdIT137VA1Dcv/AArUzc93VK01wwYs1sx4V pNJXy965e22VuJA8CV+qE6Dduu1eKApqVPqPYS63XO+4FzA3iy8fNz6neel38hlvI6aQAz/qVlcQ wmi6OqRb21ING9b8uxSsKDHm+udUtsoo5fwnxpeg1zthDb6uDD+db9WUMYJr4M4soXWHTtaG3jpk x2V9GKnI9sEjm2OusH0Nw5VgJAPxCz9gsR5YovCMp2/rqcWoKVQjBCJJH8NpPlL+DtdprnTZ+ucb a8WAhqZDJTIT4bxvXlJKOEb9x/9woHQlVo8iOcBk8eZxb57D+zwuCW7cIUDUhhCet9599eviaXHQ SRQhKrqCxTYjwKbH6oREwoArwZjVKxCeBA1HMXUY0qnn9zKhxWzipohcWk7+GivOTA/8RPjwQpn0 qJ+c6gvegLVyTtOnP0gG986NK876clesOuDmozQJ1GVBiSWeoRkZ5Lew7o9/UTKt5lxO4ZIBzFUT a5bd+i07AOygv2oaYYy+YKS0+5mq+EjhImzsXRgywluc0xZ2ObPcgBhhfkNz7//0H0J19icHPnmf vhtRD/KYbMq49Q35kgCoMio22WppXH6hFLlMpcCPnr0NWetOhThW0Nm5tEaUY5F8P0Nmgcck1e5G 55sP0QP11XEJ9kzNdO+teMtygJiTlxJTRX5bfZOlKiR6AojLwWCiGXQs94L5sOEN3emJDATUulMR sa45o95NLhAOBh72qwG6VL83q2Xj4DFthrf5rv+bRTy2KcwVNbRDKATZiZ9n1IR94pvC+9vvsYXt vsGiWvjBDgMUq8V6zl8EhwCWXW2sVIEe9QASwA4dwI6y50gqvnsA8q41LRm8ZDjbzZdPyib4lfs3 AP+Oxy9gbm1LPWahjiNbdn9hHBSfKyDkNMavQQpyaawArfcPPqBsVNNPKLDygNcfjt0x08Zy+vwW cwMwqXN6na7E4tCzeP3gsApPI0FEgeX2svIqg2W9VVOQuvPm5Az21hLO/iCBG8oltSzQhyVOrBO6 ZfCJAV5AYeeGeJYJyLTKwNziLJxyzxz+KRkT3ury6mgj915H8df2Air+/9iuBKKgDxmucOov7huz QQM+T0nCnvp21wtd6QkmxEhDn76uV3gtSgkpR3ifpQ4t/+b5zqZZ1hfn6gqFQKbPs4BKbq5l+mBM ScUHqrMLC1n2RuN/V25wHZJnut3nSupcgja6dCXD2ZGrEUijrzJcIqyaolPR/RgA89Yr/QegWNIh fXRWrQrjrdAgAviu9uESx2i1OEW7pHTHE7u8TlIeeUazMJMYZMm9+yKCEy9/0c98GUsanI27fmng /wulIjLqTgPGC5MiOIaE67+KGxvvRWg2lMuKmUAQ3JvEP5FmwYjYDSHYAqzbR4CvwnIaWHHv/GzA snlFZZs/29B+xKHT9f0wsowZe3Y5Re3Q4kWLMIrDLNEq6wCKBa2NZljD0FDuA6giOyKsqoJx18WW Unx4mgtTvtg55efaFi1Lf81KmG6TLhNS66CmwNoVwi3xr21IgGxZwrD32R+gEli+ngp0ZRnvCsS7 Dr1f1gc06YUFk5GX7gYXIi1I8LHyJl7w31PQR5FydsrRHThz2/OjIYK+aRXkE1E3lGzds+aboxgY bRRNWcYAo5nw/mI4MAObqer9Z8ij3U4Z4VZ7dD71rLcVu/b67eoalkzN5wQH/sMhjmh5hLpTfs4R xVQMvn5ienswZgvMBHAmTweMP30C5LgiVm+P5En9AsWxH+NiSOzFV8EI918SxqKfH/AastGJRhSz 08TZ/YIzE2Kxt5eWMd1lXkAzk7znLNYrgjVk3VAJenbuptmQq526qR06PskvLGdam3Z7t+L7h8eX 5lnYEDMQBFkt9j9FKDzNNdGui/P4YIED34DJDiE/OjCXDH6Mv9bEUzcz0Zs9hPmjv7NbLFmeypeM HC096nrMjWmy0f8sDi2bB3MBAVyAmCcjvAZdKmRqsE41Dz1fQouK+iaoHR+vl5GqrcZwE+63FO7w 2CnBcvnsmsLOMmXO2QeqRz2vNsHLDOBWeAvSAJH2XVON3HWSuv3uf6j4hEXXBKnlroHZfUb6rK/e yzGr62DPFHYS8suMYhsH+rzvmo5+MQECKH6Rojv9uVKvvOnJnAqUgoEzoWmt+/ifKfjfMKqqYb7n mJekh6LWHgHER6B7eQa4gPoUX6yuYiSBbXNrDn8P+/LwxPyWudQYVYMM4BRr5tQ6D+CWgI4Egtr4 kpiW4FwwrYHTZynCINrPlROezRLMt3ZhhTgiSFLC4yJ77mMwI3+l8PAULnanhXzAvRuDoZAP1FhT TUT5mKUY0qQpLM0Cigit4b4z0ZBvGJd43pU7cWeoP2GNywiw/GBa9jAtedlwzcLGUiO9ojOA9Wxz bASeqguz30IoDBWaJ23G8Q8fpd9bZtgsnrvmVRGDPd9Krs/pG8MGqMHzbmdJoNZy6sl980lgEDJm tKr5+iUGNRo79/ymHmlxpDTknqD9QLeSOx0/Npyto5uGuvDCMMUJFVh+/UL/m1aqT2vaQuaglt5X 4E2sRPE3VuqX+RLNmacHQdpWCrSxSNbXoYCGx+t+/U5xGqWIjdjvkgs7Y7ALARwDzmx8VlwS/6SY GYuia7yurHFclCOK+gjDwQK0XLUzKVo+r/XlwcGU0etj1n9clzB+clzUnWmO+R7qcuTknc6euZ1e 31LNdxkQaaR+SqdrvmGBbZEClH6BG7Fg+8dggVLa/YekkIFQfwfXQThrCne+GNvLJZjFHsdV15sV JITXHv5OjM8XGwicbfus1NVKBrfHoVB3+yJXndOPfmOeaTgOr/swSkq/Wb6YgwyQAv6PwLUSc6+l 2vn9A+28BAJmcl/K8PRaMwjTKZfAw5pyNbzW6v2FajGIcZ2YYL1eES7JYYzgJbudgSb/Py81f3F2 Z5uAbbKDo44sxNKm/f6Ll28SFCZMw05Aif4PCQIau7fV64DOxQXox/J6LgLSdBp+xSpy7xf6aCtL BZfALohZYIUOYaxBBWtmdfciYGiT3KIExHzz/a8WzobJpSIWMZRsQYx+0CaSeCjt4P8TlsJuAjMu kYMHj0KD3EqQw963vFr8C9jS797eXDtBpcHFbVAQkJj+s/NdheB0T5upZ0CT95wP8ss/FLqsBMIB I28YdG1QUxUjgrDy9q5Qr0825oamDlnqgHwZgmpI6XP5JKd2qOluuplAs0YImb6ZI5Z10a5f5mgm hN3nyxZKvguXx1DVs5LekSXd9LJTxx8d4I8DOM7uzPqkYKgZesjCOzIgVHh77nlFKDPSZtvaf5d5 /JfLvxk5kmV/Y+8b2+vhyw4/yX5OA/iHsX/hvZAqpGzbHtux+evctQLJmMvY3ZS0Z+7QS4N8sztp YA/ZAI+4weePyA3YKu0NtJiPClagcn4sjRfml1VT7IkRf+9ZLFixnPOcOjqNGPUEdevPrZTjb5Xw Jqyeh9nCCOjIpb2zQ5LVAAVUiRHThWOhOTWd6Lj60ZZWKgTaOiSKnIjpilt/4jWrXNwkZaVDFpUw D98H4RPPrfIqHh4N6qvapZbEpij+8sYOAgvfyydyCNbbKTLWcmZq4LCSjZyqQmFs4nU+RkXHhPzQ v7l3FviWqt1AsHGpjRh0BljavemF7u6tyyujyXSJOYv21hDB6vaHHSEo+eg21TPuA5LS8BxCTWaK Xvv67JohqdwDlb0QPKRB0jOod6HC2MGQr5/EHjh6mjqIhXB97wVR3h7sSaa8tLp0XlNyFDELUiBb K+dfrhJDIFPOZldiSXT3CEVF2qdEbGsLQN5mVthH8prOGe+Iiusb7xO7TPMevIN6uZ5GDTFC80uB b0DCvQePAaAio2wm/BOqMClX6As5EqnvCePoa0ahmw4mwluzQj83/NzGVcZnedMybcDnL9tOJmSk N4Q5chl993voYsK6FFcNtvvNXHMreXrEqTlh82ualSuEezenhPmAxa6XmjBo9P1zbBEo1VWoDfpA IJVQrgDMMWdju+9LBpwe9wLXiY/CQN/GQl4YiR9NbMUu2Enw7iu3YNHLRKbIgizROSzApBaiqfXS Id0RrMkB+ivvs1xOpYakhdlP5854oaGR0PprtaqIdlCjsDFflsYJvwFfV2q5ICMHn+CIEwBNN7zN 3VEzMv9UJmcEWhsj07KnxdygpiN1BUni1gjcVn1VzHuJcR8b6FJIPAtkS6Y10nOYTnNHoVe77af9 gnD7J/BVqwuUl3Hq4pk4dUCakm/yxDT4vEK6sA5Tl+aB06a2C0PdVkLDISgZIMgYpPEUU+qg1fD0 0CWJRDxTdduy7qMTS6+4mtqJs3pBPEHRbYNz49ZZg1oRAZuGIpl1ZKLNa5dAOJJQDPeqj16vBrMz emqSwktX7/TNcNxQRbeV1Heyqu4qpEiCjQsFjQ9NV+ZhwNn9M/g+VbYWZxLaEfMDso06nzbPihKn InuloysJZj688F31PCoae1pMEpmVhPhK/bck2SfF43aH+T+fY1tfVJ70LLJZ91KChe2wA8Nv9mKc rsmJyQ4ruuZoIv0KVVs3j7I97g7aH9kwTCrR/+5Ub8E3j+6HPBg9GuKmeeeZOkWpMkl7O2SiQhdF KXZtZpwCaZLzg3KZ9Au+wfwArLJyH5EhJH+4JNGlzi7HxEmeikh0ZrL6+lLAJ4LGu+oOHttoF3RC zJGUXwVTxML159w5AOEIUhcR7I+xQpR3SCX/W0mnCgORJqoOCuNw7ovhMNJLlQ+aQn0OaSE0BfF2 5394j1RAsu7k+6waEDJ684Za3rbCdz558u/F/gYlWGI9HO6GPwjgwaqGjGYoMP76whAZJb8ofNSr lP0x3HrpvnfmFUV56wK5pAfadQuMNi1ItQRBFNqX7u87ahu/FtmTDae5ksW0weQtWOiG9fYRrUCk IiOB9K/Uht9GhsCQTYII5n/SU9qeOwIGBrLE5nZgJoLTCP9SkKPMZ5B69V0xp6/i0Ett4R1SmjZh nLtbIZkHL6Q4f+gxYvPak1/5JRhG85JvQHc6suqtcWfwX2Cv8keXUOMvGLPQ6nOLXPtaucx/yv2G oWXcYvKO8giXvENVr0wgtjo3D3tZ2FThz9B96yIUmJR2ucQxJdZXi5GljUH0GTBa2J5/Sx6PS7J8 OpeIgKSdxYSKnVSn6y3nAyCy2vfcUO5a3AcxyhG1VEo80Z9xSe/Nimeo6bc4Axvegtd9vzSBngg0 y2ORfg1UoIiI+l/gCjB6CyZUf7/Z5qNbfRTdi3dyEMrtMWHiQE3TIs3Xh1BdZy4LdMp2K2s48gHH eCMXdkLzD7s5Zoy7r0NVSVcE6eYEenHFK2OGZUlm2BNf9SdIxxjDkq6pXWd0/JGLz+aI9D0zS0AT oIjJqVpApt4SSfstn8CbJNXwJrjRDSZFp7XyDREk12XzUf5n4O8FhgPoPcA+PUoVd4tdZciihRA/ nW2ungoz/C0jhvMFfCInSMeyGM5DsIhVwZ2dTGmEMZqqUWHlUpCMrGqA5FloVv9QQqU4IDXoP1/t PK6qF6uvX2aQTfEL0zpO2VAmlYAgLFjLPB9W+pIc0ztsD9VVXcrXK3itE96GbUv+OA/S8dHtjZ9u e8ujv8mw2zhEaLYF2YfU+SpN/U1YnOsCIbhum3cjNpwvDq0x4ZjEhqhVs14I8zc0ALQGlUkN9ZiL iaBYvxHf4fHC2dhrmtg7ZtXfcf3J53GrlK/Yf78ftFWavQNDS8qHR116FP1h0EUsBYMsGLalNaKH Bouf1oetLgklvXUkt7ukcrHLg5U5Uvr/Df50i4ogDHtACJU10njFDTZ+poZjwlV/a4gSzNEdzurD RpENMHhQ79qppTK/vHCeBd7no77CxbFi4B5sPgZGp13Zmy1h/Nb21EVzvVwtdB2LmlYd/nCzpt+N DxYJ5bj4QF5XtDQUHJS+hiMgFqfCZ+0ZkN3Nohzpsnf4Sob7ES05tn1kPUDgzApf08lSrw0sW0Ck cQrkYFxvdKdueI+25/cYCC9Cm6lJMpCcx9S7LU2zZL4jUZ4JhwT0nf0Rx8iGcNOHg5VTD0Q4Vidb 1FN9ihFfgZigGa236EqLmAORKtrHpEa756knuaWlfWfFaykGtXhIwRikzHNoGOAjr1q1gbce9mHW mJgIC/T2o4ELN7bKnzLK4DCr89PqhdqDqO9lxDy1sg0GMebs5WKPwI/AhQnexVWrTkrTXiRU7MQV Axot1zUs/bluqxtLA42H5Ld2hTtcB+UHZE3IK9e8wgh97sqGdFX8ZvMF/NtdrkBd3L77162wkDyv /B+xyaepGwnyXND9Z4Fz+xYUxIdpMWpb+Y0qMbE9Dp6q6VsTPRGAjdJxczcjVPxTDs4qA1E7+Nwa JipyT71mSTuPH5xbtzsq6lksY6XEd6F3z5yC2I0y2xffUwHN2BD/xUu3CJhm+zRU1LWFHcUUVwJX hqsn2HOx9Nr58afqWArI9/NeI/q1eeRyyvMhfUe7IyTIzCT6daFDLaMNcSuz8TMTptAd13Lb6y73 pvKNrcCx1S6ZnTHGEgZgOnSF6DgZVfLQQ2tUMUO/E8MSdVApUuFy0fdlmJbir1K3V6jPFmoTq7Dr 4MWMw6SU0GUd1x5Vrywr4Sj68e5lCni2L8mmTszuKN92pJJMhW7hQDdmR2/rsKK8bePbOj/veGQ1 HhZ92vJMg2Vgc+6GzlKndK6bXO7QsNjTxb2bHyVcZrpGDhpjUP1mJ7M5H1sNg17vf1l1Kkby6gWg NoPeYSmFe3PeHWV57IKaF3RRft1z2FM4TQUh5C0FoY6raSjN2ZzbI5LD24EIm5Sjlzzlh+SNEmv9 SJV485T9KHL3l0lF5K3IVkjtAW0IudVEGCrjDxOg+B0E+9mNEidrCLdQ58BXkjY+v+Aq55/c2Cu1 ZC/GAIwMo1b8Z/p7UKI6zBUG3YF0Ssnbz1yjGhWc4FKpljzF64ReZB3ZWGolFOUzFcNHxH5TJ0rw G8mnP7MJWyI15weccPqM6bV0G8bVhcniXoZYsFXTGtabmUDisf4/7IAFJ+kl2o/mPr5wmuPvVZ22 ZArYtzrNpxzTg6juHq2XEGENQnDbAnRqIHYx//P5D0swf+5MlL/+Ncmmx6Fuxmj7yihJh5vIXBPF JrvWz1rwN/WbIO+9n55djjaPef9fiGPMltWNMbrgfuChzwjjGPn32/yb4egOPyojs5o2Zd0n5aqo kRpXxVVJxTTKiYaTc/1E5W9CrL/LQGtSpK3u/9x31EUBB7PTK+8ES7r6utGFHxdjH1/HvyBrKgxr iZRfC3Zy7qHfuqkQHwwpMjqHxZKNyCgaYr7ktM3mZ881C9poaQXwn6y0hdGS7YVRial9/r36adO1 LE80endEAizjsUlPvMSrTx2U4v413XiTK47xxl6tl2NGoN4QxAZXIUwPcnRe/WfceBoXOtMLXWvX WJIhW1Z4x1gt0ifIgdh+ZXjqOACG27sy5/GAIOV7SMgkDCOgWkUV8kTwtslChp+4/5USK9P3gMyG snI3/Eu7e9JwxmlusMKlwYrYrebsfCZcyzi4BWYsK9y43b+oZgcsVHmxRyD/zm7OmHMsOKYuFEuk 8H2VKakUk/8Fkt+xKr24tbeKn4Eb6wCInUWggcWFPspRsO+2XaU1aU9CvkUmCkVYpoJUJT40fXuS iAlIM83MDJ21AvUhIVsdLICmjGvGpXbuyLuuTSGAtA3vXbPOjCTPAeRxOejviBtOF+jReC+KsdIG 9mrCwOeHNdNg7HgBjtQoZL3kWX8CFBsWL7ZdSKmi0hprhbCNCilAN6va+N/aXZnmZhcvxxkBMtqN f4aiHo02TmPk5zTPh01haYwbr0VWv/XEysHbS76zcwrd5bapKSA9TrEt7E9Jm3Nz0uaKr6IFl9Ry lcUDFXSoHPxk3nrT4FMc4tBO13YZwJmx+By+hVY9TS+BDRdMe+9UMduEtTrlojakKxO0Y2n5kPIa r9wFtF1hlwriS4nMN2yu1SB+ueyQ3/kz/5hziknYk31tQIZHtD1ekhnDjULT4956JQYTF47sUkCI r6WxxCDNwM3nTl2VU8C7lSWh6fLea53ns6e+WjL0UF4PHPXEYv4bMZ7OnVFq4hN3uuSvVuw4nXk6 vWlSFZeJXic5B/HLyY8HeaMcaPv03sVQB7kQV1MfrTHpfjQ93x/S0jblKRY0Hqe1AAj3WLMud7Xp GEnqp54waf/iZV4iGLhZIQ9P8kPiVszfoJkuSAxOmca/dZsNA/Rv40o1Woo+9kaUZT9ovhayY7L5 rxGI2XCTRrzv3mva4cdSfzqxxORaCavnEdxkuAZoynD2n5G8LZi5ajrir3Apfk+OkUSUFtBepuAl WEPRPkj2r7LUkNqYfePf5ibKVXdAVLU024CTPL16DNxaH7PnRLhEdMwHwb/V27nzLSPdOm4uRgle hIWHM7rybBQlJKfmSEX/P3/Vt8scREcc0/V/0uj/+LN2KGA7DvyXHuofvq6Gye9p8B5vfEa9YiKd pxhBE2V3MdYGJwmuyCqfbLYBU3Pw+mdm/mhlMzv1N36s8NK5E1+eII7euZbMsJIyWb26vT3/z9gE dSdiNJlZd/YcZUjITE/n4mbSg94/MKsS+LXVA5EVf7SwVzFg+9Wvh+dZlsGSY7///uUBlZ624mRR 6TaDEJ/uMP1zoDT+SN3VmiJF6wy18E0twbfSjwVgWiIIVX1BH0HBLqAIDA85FKlNL8H6jnaZ0kCQ rvX+H2hMq8BnPplgSdTI/9WGnXnZ7kSFmRwg7Q18M33K8ThdJb8cMpEw663W8QwrFT2yA3rWYeWk RG8JVC4MCIX7LL3B3JTlpyprhP9S1QcTnARxHSoBgoTgEfPa6Nhs2gGW1xB/9mDHUXajA1XCM82M j8e6zzgSMEPBmqyK25Glh/H2VXsPwNeHooeeqgNU8sB1uZdbd4HPM+OXbKduNAgOuA0C/cczcJMx Sofiq5eZ8N3JGVTROyWgoOFVk7NmKla7rQ2ADcT98A4JUf7BvRdZ4ymVr+wlIoaNUeDJJIPzXOlK cl/UPSur3koOSewT/agsY940Wzn7IP7LJAeer81xVTXpK+HRxcL95ggIxV5evKxaC0mJ+R4FQUgz RylEXqFzgNYyBn7g8unolk/gx/eqGRM34LAaOcR9NUV7gCl/oKJ3In47cpiISzMm3Qf/QEyKl/RT ff0hLGXMrqDklOcXPOix2UzpJ4hrbdkyzuPCeBs3+g989lzt84SBaxBa+i6s6hpRipCVh6YTzq7a o0r5RbsM1S0P8ajPdS1hND+UvNOUAPJaMhhZpFhzbEySuiCI2pbGCbQ98EhVa990SsB61bao4gOf U1prq5qukQxZSNWjYQb/ShcbWqjkt9VsKEQPykro7rhAyTpiU9Wp9g6fVmhPIAUWTicssh6Z5mDz 7HRT8DIQAzoMVkAl1sbu38dDfyn9Y+9LT/vIPYM5m0JHZSAOdvfcJxjf2UadwdYtKKSU6POtsS/W 3f7/3qAeDqPNsSiQrefkDjenO4dEci5yQuslHzoaIatRcgT/KkJ9KYkyG/x+AfZIrU0ySQpdgz8H tENd+Ies6vkyKHRwfXIMM/rNJKDUZ/ZgxB3/7iUQU1OBUjuqgnK+sJnDDJPziRuP+Llq2r8SkC6S 1NVIE/piM+yGfHSYFzX3F3euGLXS7R+CHn4GjwZCxA9Gptd+A8Q6eIOqDvnDJ5JKCNR7Ywr2HR+Z +g/E5C94uKcHWvaXbvykQSfCs1Xs493Dy7v6UkJPHjGDlOU8Ou90NdCPPjz0/WiDxzTxpAP+48qb RPI2H5FChdpmIWplSVTPUkcz5T5ez0FttjOVrCXznNjfeRgLxzNOGR0eBdtewTv9uIyCnVZMCRcj bLGSobF2YdrGzBWD5NxB/iLIAE2XDYvtk138WBXJV5k6i0fDABZ6rgzGJm7SaQZlrYKh0WQ8quXS Z5qcfw3AaNuTs7J9khtCzPvySdJ9/9NWs+Lj8Q8nJuXAkWa7AtfmUznbDr4QojfgK1NsCvqdFMsT rtc1M04hLQm0xchyHbHehIblhUorxLjOP80KEr5hpu6IXwaXfsOmpgn5VdedrJ/NQ19btCXVe9/B V7ILxfemQIe8Wq6OcUHFbyERuinyJYpE5fRI8XekYbNRJ3xVEwRBuhWCCjjvfexENmJaZiPFxVdu o5KRoGe5FfmwI/9AI7qiae4wkEEdeig5a9k3/NxG8diJoiMrNuzNm6WY4qvyre3LGqbpc1c9El8O 9v7Hg+lZPVJSmng4SAosszG4MFtYP9A0I0JTQgf8Gz2IYq46riP1rZXJGhkQghUNXelNyT0Velut WuLaJxm0Cr73nb/WcCLIalXZ3K3j5SVUMbNi0xFrKKa4yu/CrDOpsjKL3J+8PsGW9OspFoII/87+ jjB3Sr2zMI3fLCdsXIZ63Ac1LIHaafTqeHvtZ6JM3PPtLEQmZdzNe2ZC278IXaCQoitVrBKVmCUU VK2AvLRMz9hAlDjH6/0faGkz1Ip6hrxbmRKP6B5CjCv3KgFpq5idqZO59s8Vtf99pQ3ecE0KA0uB 9ZccLSRIUQBNJMTqBQ4vZ/6j4q5Z7kSpSApJUUOeB/ZpNxJv1zFcVW6gDl4JRVWsHg8afyKDImLg ZW2LqeF1+1efCBbe8DZej21eoVD3McwBA0Fe7HYkR/cd8rjdEzgBwpRuVjYlF4hde0U1eHFrvnS6 OwvLDhK52kSxSwxvygTNsKQNHLvPwdruTcyWp9Wk4tqWcNieCdWYTJ74VPkungpGiEydBpZT1JtC VWGLFaX8Q2T84MYkFz5qXJ8REDQXs2rYEDzmuPkYo82v3DZcSSw/R0b13cOBtFx8/c4AU6UGq5Xk fZ9rEiYDCrSxL/pTsQcPVT3ySZc/PjsZZ0uZx4dFUOpWVj/btVrF523c7nMh8HLuf4tjH7dPvxW9 qIrJfoiNqb1TNXCv0QNLCblaqCsWlCcjIn+/hh4euMrOeqmnEs0iCEBhAtcqXV8VW+AiTRGwreBm 7FfJIv/Y8iZQqtvmgy8Zm99BP/jo6hGMyQd+wYGkQ+g9AeVz/gG8tqHn+F8hHEVws7FhHhj6LXLs QVttfKqcIyaibGBVkbVCuLWJP9aNk54PW1ql2ikJXZMQkoJ1W+kDxuzs0ScGi0lHwHGgK/hhrkJ0 sD9RUjwZw6vLRr2j5noKDAuQtkw2LUXV5U3Jr5wf6QN+EO/20P7sHiUlMStvAeuwRGWs77M1n68K TfW/GvtAe07smrftwvVT/SbNpMfsxg/DzF03UP3gt1HCcuDaPXJRVPsnxbEz/dnx2yAqc2wPN3SX NE6HWV5XYa5giSck5896gySRIHLjEFev1jtVuhJOwQkof1e0FbfkRK8mFGWM18vCw5aryVJ14njB +G99Sr+JDfRVq+3uBcSTB1iREWzdbRrZGBGwTMhWjvFLktG1Tpe5Xm+8eDI31EAD1IORr7WazQq8 HlR1matfy83syK3o0A3lTPDzAsT62nBOhO876LmbilZo4oQu9QL3RQxxjYgz1YJTK84pN3gXqT2A +CEcw1fXSJFvVj0x+16B9MMsnwOOcnXv/MZphsOUYivRd8DWkDJ0aMvA1O20aXEoElaPV5qsna6h boDIQv5hL8pqZ2XgT6crMng7qnVaihg7XlJ9VNYAllD+OROeret/ZRlcVJY9wrFoInUesLArSXMP G19KMI+xWoE3Q34sCRImEResrvzi2TuWcOj8sPnfaQ/evLlmnSiYDdl1+kbIhq9oDJ3GYBohCnTC wtBNcx0PAnjHI82Uysu9wgClb5V0AE+eLU/QYyMee3rbgN5zNQDbyIQ211y1AlQtSFRPWO5XdgDz u13aZtwCVL23yaV0vCTPGSG8GFvd81MOSVbrGNN2SLQS+m/Rq0aEvvxxgdgaYZknhcgu/Y6dvymC SUnfFcSkYw4n1nYNc88HP7YSlx15gSS6d/8708fa+/H2pkbflCX9pzBuie6IFG87tGrMyi1hKdYZ OPVFBySzI+PNHdulqaNsyhpP6pScPT2FlA0De/6nlUoKYUTIKmjbCMInIQt7DTfhJ/hI7ZAwL13x NW8JCxj+p2vbKMaCdtx+n3YiUWxQYhuLE8yA08iWYmFqfNJ/IA05WugjNgJzMwph/UhPoQaj+KLZ TSCc8d1VGIJDK30A9Of9zSkBHf2sWco8K5TMXMsovvlB23fOoeIW7rv1WuTIenepkJHXV9QLWgcp IRmfWQnyfbfirPPN/4pz7JEbYuCtyaqUb6ipZViZ5i+uBEjUYR7X8z070nyCoP1MCTx0OleXh/ij OscMlrGZ2qjVOYrcUARGFG80TX0llM8rLLRFyhbGH2gh2RmtS6GXRZQOb9tIiB0RIbQL/vMdeYKL QchR+TAa9WCIyfF2DHX6esVl+X3mE40ASsc3JBsc7+Oo3iXEfF+UDuPhN4fsdK1LAQEVjJznvJPv Ix658ilixQJHBpOFmO1rmXXgPNw1RoYgm75+fwJ5kPm4zhUAAlwPLPkRbaxpE1D0CT1dZr9r80oM HZm8lhhw674utro/reflb6B5qEOPW1P+U8djOzdYdZAsfEw8c+wzPjYFJFLYoqfR/A2B09crOAHF 5jlhPZsOSLotRMb1xrMy6WriTjnoTXSjL1e9WiXIQmp8usJYA5wtMpwlHhWCJ59gIgbTZt25CM75 32LB8NE9biLpCegE7E1O8GdNjmHWksGIo4IJZcKbSDFB1I+F0+9YRqtvH/CxZqsWMdOjlPHDvKCY /6KEfPbuz2v/yPNa3LrRGoXQSyFNeIGS4vynvIcKUeLuVvhsdzmF2NdJVo82moGBv4+Ttb3iuVMX 49rpTm6BW7lRuMSlD6NvTwihoMslkEP+nfLrh/v3bk3cCco05m5yJ6SK8pvVhaOkqqFLihyW+uX2 zLKO/9TQ1AgWZV7vEABXVXPgkjqUjXIqkbea64Jw9gptxOIus/5zzfJeI32xKx6QNCYpI0KRWU3F +hVJQ98Hw5PKzTXQZl7HIECc0ZB9RH7OrIrhpVqoGITJBvzrICXafvvOCKmW8cx7gTE0cJ7mSFIM 0/AGxCKt2BxtTnUR2Z2mKW/L8O/qYgfh8Lf+pQjdOKpTrdHOz/jf+X92qDQjDQ81y/oqzBD4dtq2 +KlGbfE0Y3ZixTd6wwwfzzjjUwDGeb8+ZX+Jyjmmu309SaIx2d1omLuys3TpFaBOgEiWrNoJkCjw oZXE+DVJjwwDYPSEe3TfJ+dWenxJg3c9lNzXMiiSKBw/kZEGZianmOHRcc4G+OOVmWCPlV3qtsj4 l37FrcFoNAZO09Kw5vpK0cTVkcWKb13FS7STd+encF3zX9yQbzIGAhyLB6Tjxs6sMbVkYf2gUqde i6zuGBvPXYg/6oIWC7L7u10q5RrRqCuCuTQrmtnj5+sDTv07FRM4h0qDGsG+/9MPkhdEo2gNo0QQ KAY8Mc7PAmhFmbtJDlpQ40HSNe2VBDwpM3n+AjqoWSwFxQb4DSZ2IBz4vO0Eb+o6Z4Bq7LPU/ZAW IeXGwlOZwMmJstanSP23vRdWYa3etnBYIgDwdpWXs4m0q5g25QgDvNDZEkWDuL3TUGFhSwAiJHAq bPIHeSWFmxgPJKNf3A5eXxJsyk6Zv+PDPDomCFxHfeRHWX+8cOM06FQBmTRYubFlPeEratYR6tf9 FtHvO+UGYA5Ub4JMG+M3MDWc2T3VUduF66wUL6giPYJ4HnFitHP3mJhYxo3BIaE7QqEGX7RL7Kq/ TdxGZ+SLnfsEib0aT5C+133UuT4Zh/xedzkS8gDNdak7kJVslS/muBaGYlXtC1vy30hOyhQ8OAMY +Fao8VRif3X/3Cn8FS6UdE0Jv+kC3zCC/zabvayXAiD9Lkmk66pHpiArIgnUseBbSu8ku3KvJOks 4arrQhUtW3mQ5nFHOOkkv0TyXFiljda4/7xFAvJxSkUAtcl/CM7kDfrpsDbLneGav8VpN6o/vd67 +lu/1/h7Tq854I16CkM9bq/69Mp6LCCIzWkRb324ffkCpJz8cd7XPipHhHS9UlUhZS6+tvbA9kTx zzdLVsYpv63tXfCtKwChYFHuyvmfrO38hZ1649idQm0MJ4UqkvSO3HIARvRIwfeVqi9yQWEIp/gq EH0t591Fkg397Hb4jhtYakebEuypnpqJKDWVS3el3gnO1tOZ2pKqLgl7P7XBVtlrPgVS7YUGoxwb /UJsI1pohNW6p8vLiClABQzJHzMMq3O0xrmt5OFZTGlhd9/oAwW8VMMo4OhoOxzE44rFaiHXUstV LKqC8EYmI48M1aReRnQn7PwT0Ov6KkVMgiCih2kt3p8A3EQ7Pwdg2/M1nR6y2wIGGJPuEOXZ24HR Op4FKWHLc5ufYdB7bBBu+iMR5Ypy8mJGJhR4qZ0S2as4ibsUvDoc8nKJBEFhMso/jAGob27BTt2F LCeodXp8FFvDqsljQNPU/7NhQUb03GfgdbSCociztKa7UZGbqN9l/9r9yY7bBEqJzhURrL/TehQh Uu41ZdboYmnIQ/Adkm6JLBbhcThfhKRQa7izIzvwICkJH9PDJPlvH+dhg0jre4LjvT/QPCuGNQXS QUSKB+y8uzfHa7L7zGn8rqhufqqStTTbTmpIIt6CwPqPJK+p1eZssfBn6vB4B+aoK9SZ1+qZw8t9 YU7dH0ICxRRn7Q1CXwxcZ+kPwp7AZK3cyDU2lyJb74U6p61MHaJwp21LQZHMR0mG9UkFBXu/8HDq aYI593nVjkh1T2fYsBk6QmWGJqA+hUAxEfYHphnx0HsxVbLYr11XuxSyNIm9XNZFw3GGq4bjRA0S 6/6Laz/pae/zskqIejx3lH68sl83BIMwSkxeZxbFim6cb54mamstCRB7CqROtsl0OSBw6UiUcHw3 SmiT8HxQP+Ys5IY2qI+VIEnJ8QsHqC9RvwC3ywUOjPpzPdy9S6Wm7Vh7S3KsMCyRn41dHlmrtkaA dk8tisHmG56QdW10zijYWsfrR/As+Qh3lcg8XqY9F+wAc+fEMwsbkbMEr0TSCeIWC/4zeWfKEx3F JVem7mYS15l1c6fU6wHLJnGit7y80HJIVhua8zq9p4M66q5/PWkfdZVJ5pI92wyC8rSboJbr7uCU APZpkVXv6FhLRPep6RZt3IMtiwOH3z4TkwhyzwupsNUNrpTk+/FuvF0nQM9J3ySqVxnsYZ0yb8I3 zQCd2JQ4ZX7qfVb+IkNoXA3knbJEc+BQMMJ6sCbEigPB9QyUnlCsH5QCiG5k4fQ+pWN4MfuBznDp 5JR1Bli6kyfBrkO+Jz4pg5QSX+sZUPPM4R8xtyX3O/FSAQYgiY5eJxMUlhax6cvTktH9vypZFsQF HcmDWNx7ZHsZojTarWCSW7nGSsdfewdglEBWH6E8GPMn6fXFHFRFRBZUZkjIP2sRLgimD18zv6No iuWNpbh9PV/F2XzJjNT5a87YGIWWVXu4sQOzGLoR41tKeDTkE9tF+RSVRPNGHW938M4imFqmwODC 81hzR+sC081MG1c3N6bWlP7ncBNp9aE62db/79edst6StPXd24Q0NFSLpwMh1yMsFP7mEKoiD9UD LubdcmnM52iD/369tQrX45OpU9QXO5SadD9rke8tP1cCzg1ZYd8gbpSrc05PhK+UDkWtqQYNYGMw 0FJyi576bgYHKsUBLF9IrjlHg2N03MJjVbsNdIetcUeHML+5MJUGFoaaVS47hmkQtzv1XGYnmEs1 Lczg71rwLl7/eD7Uz+w1XMthxTLnLTwFY23Cofn5I3EmOsiN/fpPPGD7Hfuv6nd9u0psy7fLoAsC cSnA1jvjZn5eKq2laTRW6qSs9NISgsCLB029ktaAd5xKv/j/F0i2C5ak6aP5Jrdv/lRR8fayAsOO pmtMtyj73HGN7QQ/0lFAClNrbEtm8+GI8v8FzRFf14fWYJTA9LgVkEnhDlX49CbX7Ebbx9ohNJEK JsNkUVPjWaQY6c4X3Nr3QckC8jyo2GcZWCBgW46EFNljxtaHyXWm0u7OKUgoTvQaMkX7ibrV/avP vdOdqgJtK+Ue4//tOT3lG1CKw3GFfr2XhNJAxbjFzfBa/7ESiYfZ3zlRXrS0v77Ypl8+04mgxYCx c4Ylr1PKiF+Ud4i+78JtuD3QR/RNc/dz1D3/tztAuu2xTGMRL7ObSQUnf2mE8GdOx3BmI4BPsNUR MaYskTR75/bMEdBk1dOVx3uVuXxgNWkAKWyLExitlDzcofLR1gCpv4DAtK3Bq09e1o4ZzvJVMkxS LsQDpfjLU20qx1ccCTIRZ8dVDBKWECOT+LikknKWlOWzI7VIUCwLfOGpTo7miUr+u1Ia1EmGviUK C6sIwHmu2lR+l7XEAxO37hxKhjK9/hGTbeW+yPZsquvS8FryTkrY+scXXzptg4eD9ykUDkrvCk8Z f11pH5ikVYXJ0HVYkvd0sSPM3Q20YlWSLRYPJo5I8fAed9hNp8N1bRsUGrfv0E2jeJf9sFjdoU6A Gi7VMHj0MbMcfmhm+Ax3wX5Z4CXO7vU/sUhoyjxeWQhiirMhFmgxHIKXlU+7wvdolk2wKAgissYf 5GMS9xBUxZ0zIrW1bUwpkyDEDjJ8+EDJ3l5UBnzhvObbR7uclS3/GcUwF+Z2LLelkCSaHo+7yOD/ uXUlJLknYFKlSk37iK1NB2L14pJYLTb4aCs/+BhmMSC7w7Vlg53G78XXfkT90ckF6b+1/CJIydaA t2mXNUhZ/ymY5ADgJhEw95hs/GtA6nui6DkbgMt0tVmkODtWQ+0N1/Oek1+KZaikedtjIE1vk40l 6DlzGAnctLAzPdIFo9z2+omKAnjbKXUmbZlOk9zZyv2iTVTNvbeSLpLdCVEWwAhP5le8N/WkWp+h fVxR9u49sx5ATWeteOmN9xCtif+bhto9I0lpqTvf0OoBW12cIbmCmbirbRznxRw6meomnGrPzfJq nEcy94LooBUaWn2XHZgEH+lsf9sjMd1K0aCvgfhzvtwUAsM+n2ARygBau3SYKMSaoJYHbNj+P9Uf b5vs2HF6Qi3cjI/wWLd3lZmW0UoCuYQgTOZJP/hN383tcsQq3ZleEfEKalFhEO4n9gvhB/G6YA5W L8s4MFFUmOzL/2D3Niskfyh8BichxbHqvmOcTZCjkzvQqWXkDqQiKn6idsebBRlmuBhAcOdS4E/y WrYTHwaOlDOax84FO2rrr0+kyEVOfZlUau1FkHmvGIWDqHre2za5YhmWnON+8qv91Q6EbMRICPSe +ZchAxNE/az8ZSjXaPNhQuUVZ5v2tcgHqIfQEMqILHuOQl+WVqdNFsMam8/1K0gA3cywyr0adwPL xImoMfiFKtz3+TAAFp8ci+0DanFxftJn2hy5hrNir/9rGDZc3moVZLqTYLaCeK332UmQGngLqIHL hoAnInHxHQ+eeWMXONQ86RjxRQS2/++fpwiAUo/94WNkuhGhHMQE/puGykO7P88Fje5gjdqWee18 bIwiQEBgGTP3cS+dkBTYXFZhx4XKoM2aO7Il7W5sD5MI+M6Xitf07Q4Pj3HIR/tLpGv8UAwHStJW LEpHO4y00G2iZ+M9FRew2iwxSkiFEuyL/V54VG/8R8iVCHZSkMdxe7SILxV4kjOy1Pjrhg2aqyIg hZb/MJj2ZhwGrKr5g10tl16kF9kYGDzbDGKOy18TSzeLZjvJYmpNrRvK0vdXZf6IY7Y5LAvMueKn r4M1c9XIsFuT94SSdxZY0nQ9iJMv2AG3978lnN+BDGxxmDnEd+LUaWJbcqknbcq3jY4dAX9WW6FH CSH+OhHh8dUn0kczytAcjQi3OaZ99+i40GsgEX+kHFTC2qazOWG4/UZkzu2yulyZmhPIef5PW8wF 0/Uwr/Z0EFZs0AfdqB+yqQLSls35bXIcXgaaNk7kDkwXi8qOwE//2GK6YAqqsAuiYVpPtGn2p11h wxrPV/VuivvdwK53hQYgmIVlI5gCmfuUpJYsQprmwkgNOcfB0LUduEuMlkoEorr5yKHhr7HE+jtC IdsjLj7VMdBbPKVTVwLoNTAtU80fqv+2Jb/sqU2ey24aSVKzGLDrOlqySJ3TwDW1AeKga3G/O/BF ts8jyucukXvKgq2O9GivQHms9zyddEAxikejObT54HY3qoLdl29kPPYvjxCbOU7+uFEjBDtp3zHv +U431cdFKub/JolvEQu+dymuAfoPXuoE/uYdhfStxoY7MlGq5q6tCOMCc9RLNhUMUqbHpZyqBSKy O8cISiJ/ELtysqzNrtteT7nM4zzUFgSEGR2DvZkrna1j4myHJD+0/ARENHFzmPJUEbR8cVKAb+Zu 1hJ1bAK/fC5TssqTP7JLA576L6x5Q4JwguKcwJd75ElDugzb/97OhxsZk9zIWc2qKl45Z8Vmfbqc 0URKqimQmv4D4+LZOtN8HmD2vUMdI53LI1uHs+shNEuokEFZwqt+88JulqikIDRdq5KVEFRSqd4P g1kCyE7k3mjEX02EG9XWEpL2ZPKne0K6MA+aMnkTrZx+nOw6kNrLmP5YacLuNzInHpcfb5CaPW6X AZd1aTjnuB+RTFxkDNkFqZqqiZnUBVxDlQPVynqEm41go8o0Tgz9ZJr9CZO6UxHPEwZGFENefD7o mVEcoCjtAdCyTIvqvHchDDA1U4fCPWT8Vrp7KDhkUKCmYAm3zirSuLSMxggQpQQD+2rIARP7i3yG 3SZ84QiBObfeLcsG1v4huZQJk3+s767MTObqk+Q0OfGD7xFG4tMRnaC6tOVzvHrdWouyB2rjp0KX CW3ZIaH99Ap96jw6OcDB3oeVSI6kCKGd731v2Ib40SESbz3IM1hS5gTIDv33x3mRxjV5/3b+MfnI g26v7O/Bo3iTGEC8hpf9fW7JKH72Y9Lpo9ILrZyiMwMjfOwi7+yxzdLQFg1cNCCNrmHeX+p6Y2cQ OjuWoQXUC9m3I3//DKfIIP4eX/dzvwypYH/G34vs3qMMNyAB0a0UNtfxMObKxq6AYwoqd9KtkjCu 7RVxCN174ul7zxJ9GC4GYHzWQvMJFFKOzjEwgF/KzhgfkpLZLOttcqRxbpE1RSRCZ+AXRdFzZCDf IEbFf2pKobE2/6DJQLckO4v+CRpr9hAFaxvUPcaNNkh9gpdBoSG/xxvsfkVb1pKK27tcNjG5d0d8 kDjrQT/V4DVWIwwDB3E98ogT8189sqJ1Pn8qVIEQVJ7gPFRxo0SysU1PLHF174/cuv13uchOgBEq BbkwQErozNKjCZKwk/l0VSmxa3j0o9ASlaPjIgbrFPqK326EHDwMsj68h1TX4ZnzYto02DjDyZ4/ kvn3mglDtQIaJe0s1D5DhMVv8T410iMNw0m9uydSGHFnrxbl22FnH1pgd4jd7/YGkJ6kf2lznI7S EF/66v4Em/tmQC8hGWLayGWjhZT2L6poHK8AxnwHIfGmWLAZU3Dz7PpgYbI8K5iYQ4io5ukfrt0Y U/VYjtI66GrYKdToc3CProlY2RaQipfI6oNB7v0dzELWEI5m/glacuY4uXZ5QVQgwwt7S+dpOazf 6+IBQ+X8+zvzgC1RgZN1KIxkAQD6kN4GBchEwDc+HKhpNYM1YPvB9aP7mIznF00ga0zZuKlyEFdo Fwts8JlDda4dy13y1uYIoSgjhfKr8CiQc4pNiX1MSKtdZU86C4j/L9jUK4f02g3DHstYF3pvpKJr y54ndOHX0X2dD1Z/Cdv/QFUKXER1zO/sQuLYovxuUUK6m1t2Pm3entV8kW6xxJaWQl7DYKwyauCQ 8Ntmg/eaEfUVGaOK4Q6T10BoAukdiJzUUwd7VG/8IgUu6yNclu3vd1Tc6Gm6G18bWVud3mucG3my swbWHEtUzieTacltjPkq07QqiOfDt3mJT74h3PUqRr1Bde9mXjs9Df7b7HTAbx5YCUbrd4hxyM5i CcIkX2BuS3i2TbVRGABpu+1zSnXJoss8J/GYgKFqwkV7umCcaxhiuNICHjzzAgqjvGvHywpiqNYF 1U39SU/1P6IB2tE5Pjdzd5bSpkQpKPhrITtiqMNc/kCnFMwPoJGnX5BmCTKxrc5m7YlgqCVeGHZ2 hODtb7cxJYDblFljftvHFQ5UtaNLF8AkxAMQ6eMHSIUqvBKm/D+4JUbJN9dYvwCQUwwEEZdKId/7 az+p4PvUqw7p1vqhc84BAnu8SpYPBEW2YbgfsenlRjt41WbZO77bki518l4DxaMipz7gwiT9s7Wg waMV86Kmt/nxBhTkTQRLr8/8lp1+AoEXxLuQ/4lCOmrJNHrmu3Otq6TvYec5gy7QZ1sLokBAXnWq JBP5ojbt+KHY7ma9uvkTmShea0ZRCz/JcqJ2mjVXECsRu5M9D+App6SvVdP7FiOMCR53byDdn6wK cy+6WVKsfPBWNRN3TDCIjWQf8wqTFJnFb74bRIJp4Q1p488wJXo01H6japeR4sk+G/TQVksicRvO pmBmzLeu6QcmJJSNW2k7gfWwd6BjmDA+jEq5nvAuIx0EOnszAyzZlYtecZJJSVUzwvkF2Po8tS6j 6XvBC2i0d3B348oapEeUYPwSj/RUImE9ynn2t90mYfyN2LSXrTiOGgjmBSaNH/FU9FsjTEJ+A9qD fGPBMrLPZRQLxtazDQmk8bGE7AT7jQ/glcv11Fegb70frgqDS4JQjjEE5vYOXrKxPJVJ5x3Ol0lI aFg1BY61rhmn6tR3DC26DW56QeyEmQck+6D3KnH6zRAK3sRQo9iGmlZyPYmV4h8qRx8dqEweSsqo V2TxkmO4QJSdFmr2j9ZHfx3qLOUWW7tX0KB2nnmMgDka2PDNTo80GRw5n30nO1Z0J4eC4/oa9I2b rxGI+O8RqzdGUfl6u+L95PJiuR46hg/2e1GMnCPRVWTAi29XcTKCaPljGzekQctBYAdVpfG+/57o viLY2QTTulbGEeQdxwwS9wROe6lY/uaEoEunuUEvuU0/iWz6C6yB1LfL33X2c5y4mua2efuCXFAW 0YleS2wsN6XccItzAseApMH02O/s7Zi8g/oICsBkJnfR6koQQVGsSflvFVUT9awgMEDQukaWYZso HKIMWXpjHU9ghMLRaNouNEqGZJYTSReq5MrCxe83BxsUqLMKCVwb859zrdujkdxIiJc0PgFc7bdT CJiuWNkIQ0TVW6TjZ6ENpzH1pVS8rVhXgWQ5zgAuYK92lWiCNGJJ2SLhonvhbPlWI+5Pwrt5/ACR Qyi2PO6uWtuSFsvUmiTAvGVtMvUX8DdVbhwn12+0KFss+GRMX4BNkiYP1xvm0ym7WpuhxHQHFqqs L7FUD2o9EpXDlRa5c3yBJcTVvvFuI45W9TzaUqA6wcsjBXc2Yr1/HRw9Kf+P/LKq4kU63bX/ckeH 0uN8iNkc8TkDc1uu2q5/acB+V/FVsfDfRYtCAEtapf7Q8C3okgaUYOhlwyF8fIncAjAAZEpLMvno WY6FkvOsrVm013GZN5cNA2dMtVgzT6mANcWnVBjcNXUXAmkJIJMbtFe7CdDqYxkE5MyGEWotuKJ1 ISG2DpUpD69KSekeB8Orw5wqK8MiVgChAA6iQwbHN1GJ9X8+UHWLWRzsBxKxzP3QCdR2L69dK10P P8lVSDGbk/xcXvcOkyCQb4TlM01Ze1ZDy9PA91eBaz51xVYc3iMyxPHiAypNHWzre2w2FgWc6caC 0TbV4LMqwa1o1qKHa1Eu7r4dub6M1KRYhxWu7c7owlDpGDSzEp5FYgdj1ch9MZktXD4zBoGHzBjS BDKQks0Y6ZjXH4puqGTGANduvMo/72ek6ctkHIzlR/9afWiOiXq3wDWYC9oyKbnR9+FTPAo9dNt/ FosDvgVQqnkG4+QETUrKwJWFTZTjlXcDqrxq/1Gjy1G9DU1Wd8WMyrewonjqyu4dfJCaCOLwxPRm mEN5D0em8Ub7nO66bfy4QPtqDinL6PVdHPRNa2UXGYl9dVUtrEIZN/5u4ykCEJtQxMvMreLCIuEh w5gSceMDshJnYTZVBPd7LzNTzf7u5uPJU5BvsjAfF8Kk4GIpQY8U61ameLtawbmyWYLoPgDDPWWB svyzQVkS+hG1pERhb3rC0WBGNVRXg7CY0J9EhUYKwQiujYEja8dJGHHfj6XyCA8JHj/OHj8jbZkm Ybi9TWLjtKMaPACZN9GjECcK/mQGe4KoukTxzCgCvI8iCZvM78EdfVVsitMG/37IZhOG40gh6NbD v59VU2HvoajV8UP775/G06z2kwQbGsA/Fekd9pbVLqXOEK0rJZJJwDW67AlfRYwszmk/XE3LDTOZ Tbycr+GT0frxabjhg0Y3EjankUGB/N32Ft09HGXjIwYh8n2R+Zn2azWt9jCioj56EsupQqeu7R+P wJhg7pJAVm4RmVnrMtuj/A5Mz21sS+qf8Pop3dfORp7q/EnhHZZRWyYcVICU4WF7T/n5ufANu5mE 8DPwfAVh7vf95g4lVhjVKZW+i2ExyfsnF+ZglFkGA6ba0uOqO5ctZN3wM53OnioFh5NRgnQgttix qWKXsUKGxHWvqAUEhra2EllRzSbxaWLRYv4b/95BHZPfxeGfJGeDbkQRXdUxN8rOUTX2sT1YBb+0 qAEdyOJBPVlhlXsKUYmfmEVWKFYeqStFDi6v5GITz8Zo0XEHTRgc+RB/JmcD5Of2J8shJOPEl7y5 9Hdm0yPaMy411HB6CBruyX8TSWbPJI2+di6w7C4wtUejMztpG0fxQ+pkJBF0H+pN77Rjjmddhztd iEQldtp4Acj7wMKDhpTSxZKmqz2xdEQGuWOHIVqPD0RVVkkdLYArsQ67EJM3GQWU8NzOQaV5F5Tb cjQPh1FFNVmfNeUyvOLGNnS9GAhEe6sY0QrA1EwgCxTR+vfdwUjGTZEw0v3tnQAGngNcetMMRviZ spnQpA== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c Y2O4fk1xOw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV FIedseAJGSJjdgeT43M= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0 dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc mYqTUQDFFlehrx6Wh0E= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8 SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16944) `protect data_block PKlpisMKFINH4hoELw81Ae+vpIr0xr/BIQZISQh02QmAYRngfWchi+A+2gXJ0ErM+PWm3fbvLHaf UADT/opvnHMCrmwuOuQX48J/a1y0sztlHgsA7XTu3se9+qgRV127obwgzAH3seijk6T4T83+BIiM dqBiuzcvDODvMXOytGyBvxHFJhnBdeLNFVjuAEwQtXx+NLvW9Ik+HNBAm4k0Ad0dETSB7ORWmkDR DRXoKuxvt1m91hXPGm9W+J1jmzaV1zO8uUexlaqHpOLLfSrTib0UPUdyYV9lyHthm4ScBEp3XGP3 evqHI/uPPFhq9kZ1kFlKWVz7eFgL3Gj8HYNAj7CQvC3VoPY3iYi0eWFn4B9KULjtlTPCL7lxAEVh y/HyuNA1Oqr/wX1WT1MAj4YG0pcjisW2p/0FOHW36w9xWnk+sKJ/HcbPK89eKRs/MAhVny8IPYP6 W3Qw6R+Zv+LpSWdgj0wCTxeLPOXxmnB1Er2Qm7gVAmJ8vOC+vlVG3+jv3hDCn6AOgp7UWB3jEJ5w rH9dnr+bT5vDes0mY/Kcp9yT6oxwEBiyH9z+7hXSjX+dxZqULx5LNw0ZDwJdYS0N/QnxP0ruo2i+ Ov7jSBcMSp2j/B6J0fPhmGy6cIgD+0Da/7MTlyndn4HhckuYAP98SoMxPvgkZhymAE2oMKUGXpII W/bYfjvLNpPhMrYr/oQIFB0zD5waMwoQc95QzmLsC7O0SdbLckIK4KNVOWJM/rWubIX88LKMeZGS A0K6MDVwTnuKjfw9SuZiEW2ypIeB2yDghJB7SZVxvT/B0ysnlXn5IteKiq3gFGpcc74T91WjJzxB m7a24wdGw7uaEvir7moo95/jVJWFoCvN8fRDsFU3H1BRXOQsAzo2G9Os31l9Kw5dxbjaXCQ5kH4X ouBs8j8ISD66qV/Wu+h72DWmIjt4fHDJBg5WZ2K+/8Zti7a+ZIYYqGHaLL6g80786GwJa7JsFieC yxzHAv58octT7IRd4hzu+/Z28gWq8FFMNJbZpYhKAdnTd121+JRAHQ6UDAiBwm4sPtoeqBv45Ck3 ienXfT9FCUKKDea6xZNjHZvdJCvdfACsObHt+MBAcMZyPKQUkUsvbg+sqg2P5yJUnu1MNLd9CfL0 zEAmAJJY7VHMc9wwZNxOoL4pvjrGyPfRgolYKZkm3wORL58QSIaDGDXPgr1VAybWA9njfdm9SYvD uXCfhVaw95H63W9cLBH/O4igKXbWAfJmfxfEquZM7IG6yHccsGd/7o+vK1tsabFhCxasUzE+p31F Rqd1D7Nu3y0KTb9aAB6G6NAlkxrVLCgkuK4NR9Y/9gqjw0PDfUJMCH6gNth2AxzWI/1wgXkua7E7 dwNt9gVD0jnDJO4VRpdUvVU0wy/jIK2icBoNsi65YzvPikKsSeVM4qKqrV+i/Dad76aEkGqzk6XO 4RCRKbXPp4rcTE9uA8N3AKxnxqphZ1n9+mL5/VrS5bccdGxBB2lVnDlBot+xbJrfOlt8MsJfyqAo EB+Fg9fRdHjaCDdFa3zpaiFctQ3OlOQ0a08WUKYAw98bIlSlLpvtVd6QDJ1cIXTXexBde1yoJ+jA o1DsBnghjlYkhEj6twP5GGNVqDs6AWHLV0oXKD7nQfZNN163CKmHAd68Ix0GgXud400bGE2HSKpM u1R4tNNuhxv5QbK0INb3vSb2gM0lTW/w9X9zuR+StP6iI8tJFbujs/FM4rnsdh8OQRGxNlcUnEuz JETGZmWxKRxjFUVrwanBii3C7u63NGC4t8P0vATZ/AEjIDIe+aFpDS1YtAFWRjkFPhVNSK0vnHJC suneSPCcXAUlgXNAzYnM5ZzV+/MbybbpynV6TTyfOZl6jt7icCgZPwFRDK/MXR1KMdhNgtARvPnF MPYv+dXtwm42DJoTAAmYvv3bC45GStIcljpr3jRn5up4DG0RqOL8VhuV2quh2lHnOw/hgdX1fcKE P9+6dTmDC5wMO4dF0PRuaRjK/YtoDHgsoc6HeGZoX6HmGwDyG86pwDK7ctGjkYbqFP4QvJxbVD9D kvJ4fHdxOp/omtLLhd/KWQX6YMHAh435bflhtEA8IfL8DQVrPfXDFeeiI2N9To7sJPJp9++AFE7W ikTbb2Njutht+9AHN7h/aPeiSYrpvTnc9XD2SXomiGNEWD4nvvsgNnJHNu5J79mHpIKbVHxd8TL0 NnBOXlJfw0btblrNL38otJIQ4UyYYXub+DSnVKIyrpk5yoPk3CjXKdv5iHF/oHCrGOVHkVxNTZFj cZfMQFTpxGazLi0O8qFEBi87+2iV8Q2HxWT9aVpCMmBmBHa5wogg9iEP/9GrUIoKEsgZU7mPR8hl JwDnfm4Cb3ZmfKeXM/Fus0q12L0nNcNTnUB0Idp4KZq4PyAirOioZyE9GDnKhT4vkngcsUauPYPv C4EDeveltUzBmE4Ksh9w/BvE7eDIWhKiDlxLN3Yz6W3sGOZvP1fixzGaqkf9JP3R0PbAbyMLkZe9 wJPJUyWq0Agt18/f5goXRqlJVMTdzhbslM2qX2rdwhbHgOA8M4wn87kkV3nvFI4qt7yvIi1WlhUg o3N0wPpLMvqatoSQHkK1dYe0bU7CPkzdde6V92a7bAlbl0wAjp3McRTQzGdQRJVi/iqGJQGJkmzq a0+kxcCdeoy8EhWZ+4PhROOIyoxGyMBUc/GayiVk5jknJHSDUdkjoD03vWD+pW2B7cNTfDLfKE+v K2gU4/yQASmYygb8Z3/pNDZO4ZvmT1zeNLpZKSrmqS8YhSacTCatpQp3q1mILPA4PIQt8AKFlaqa AL8xqhlN8hc/qt5t+Bz0NtP+xparqiNlCN9RnkESG3hg8UG671vIlC/rTjyFRmUI9cXvqpKxu5W8 HekLRgAkEm+HikQRvh3UyZUw8H9aqKhMFPnChIHWdWDZpxXxeRoK4Up7pLieIRkxsf5DABwnfz+K XoQGf/VkGFokB4AwMSxtkaYa6EKjn8Y/ySHulR0cf/j6OUVfW4f+Hw+1ogcyUX8OmhD2hMNXyPCV eIeRq1NwFQwrHuurFvyalJ+fCcPLEo+d50tYH0maMoC/AmuLiVukVM7oJeC2iLCDKHtx7PaVRuMe qqLEtClL3FPMeKhtdl6raene3hnw7kBKnsnEP465EZg+1IT0MoZ3fbL9ixnm1TMpmS5rvxw3Jlh0 XRXiarwCRbHs2JRRhHL084oOgu2VDEJZbidp4IAvJTwxxbgr3sIMzREYsAomYRuXtHjDQkj7FMIq EN1VxDn0ncjAPqvNnz2hxyPLnB3UJi+3OtL1+8Y9lRmgwpEun38HsFoDmrkokSRkdPPWCQ76PJa3 y8eRBkvzV1Vog6jBm2qfSz5lhj17cLlUxo51TqrUtm3tszfKqOMUIiYRnxZUtfLEhDPO6FqegrAv lxYCqeGBxbCUOI/Po03uWTIvqbmKD0ZvE5cuilC07AaP/Ze89ERgrCZwdJ/B6x1XS1q4XppJi3T2 6MGexPFauiVDFZ2hFZxhPDPpFHu8zVW4r/ThvhB0gAUYlhigXhm8urBnulh6SqrVYNdUfswJxbGO jA9RS1ugaDx58knJAO95soHHHCLS/7e3TWNZfTFAGBAUl9snMye3Sp2HzOlpD1IldqU6sa6emWZg cFOY+u7J1IZhNC9YsY3kBC5xg64+O5h2aCFic2VhgH78OO7KNI/odfyWC8NJeu0QcXvawAYB5akB IBR+p63Kgkm3hXfyG58Qep+/+sGIVTGhbsvBpMg6rrKZNU6BuODZWYXXxytYFF64j7Zwu1R8DXL3 6poKLMJXSRC3DMym0zJxapSHICjNg+5a27Ov1y9SoaE/T34/ZraHsaNRgNQB7KQ/pHsd0BiWamlh ZuYzS9n/x8/9oKlaSWl38rOOqXCyxrCKxA6z8kmzbEXPasTXnGZIvmSZlGuI4oV6G/CFmTtDqw3Y /8JJDwdEoUdJThEdwq8EBebda1QzSEz9a43kgznkmFkgHkJ5kAk7wNRkTUIdZwEnjKmAPtGaLlBL YINTFd3xccsemWXbgX6k1yTPedDOij6WMv9W9IoPoZk+tfBhUCyaqRxZYg7ExotOZ6O84/NRzzUU 727LeJxAVJQXoX0R8oIbC1+Qlp8uWcuTjdDKYsHhtm3siSNftg5J5qatAjU4UjsZ4w/QljD6+BYI XXzXauuP+lxqmm0jKlrV9PQZvdlnEFe/e6OZCsBo56yGU5VwQhqP57c5kLwWDKm5a2K/Bvp420wy 2UULUP8+ZRBCg4Mqx10ZzA0lT5c52QLFW9VMZLQZ0Uf5rq6zy8PyTAnvCmpOvPL7bgmH7g27beqc vb0gf2DaizssPbmFDpaizNT5hemkIv+N40sbFrv5Go/mlMGxEFBhCLMGUsx3dsjBAFAOLbzoXi40 HtNfAA2rheoZnkArX6UxOvrP5y8kYBd06fcBm8ui13H4BJWIk649oKMhm7XyiN6Mtv5uvngmVjYT EcZe1+jzFCnxdrxgIdrgcNIjx4Ew1qn/V6zCN2NarhkwoU1AKhGnH8kHlL1JRzumMF8MxBmLKlfG +fTFA0gcFSu9xF/m7LyGq2puHgUEm2yxl3qMRy1m+t8D/EHMKtQI4P0xL5JWkuDycslFW6+J2PGz UemCAyqNNVfCyFhMs9M5VxUZUtbAbCQRCoJ1RhZMwfwaI3BRzJ3xvM4uUlmYXrRphuY3zS7t2Vgy g+yXfFovg09zhcjLWx5QQX8gvDosqeESIvTj2pLIctn5ENCZyTKv/krYiVVpCecA9pWo+IQ73QgC L+qthRfqAp2TgMiFpGvkM9CYAnuzWiBuDZcgB3JIhCxylwPGzPfyglbQgxG7rg6Um3W6y1j/KZiF DT0uxd+vEHpfXQTDTcd0TVAc/iJA0ATclG68rC0Y29m3kZ5YV8sNSJsM5RHW4zWKkSDA6PrYyuiF 9qiiiehv173Y7Hx3nQ67CoadLYqqq54C/Paxtav1SlZJxSX2Gns82zsZcEG+8eSr/5Ucm4fSPUwi YJZQWI7vi3956oBB+b8l5JFxlxFXKt0Aa7Gb/esY7HifKHcXOFbLzbtjQgIsqpHMjyWZWqSdmcaA Nc4v8M89lEGcTBJa/OM6QD5hmgxleK05sArzIsWT1VUCaLyXQ7oWgT6K19RFwr/W54lrU62LZI0H 821KRzBXrwEzTdvSiTlWBdaOHvOW4N7LPgo7qX/TAoMm3O4odaqOGOQ9n1pC4nNysUgQAAP92vnr 0nInLE/NzYujNtOs67hvYpFGv6ZuclT736eAk3BorjAJ4ucWgTpe7BP/jW6HhDtCi3hjGmnvvBrj TvRe5tV1apcqnGHpM4ZYqC93po+YlRhwT+SZjFVRChjHNaN04nEmYuiOdDKn4Va5pFPdAJS1F1qW 6dwkm5Yegc1Zgj1/QJbqN23VXCfK/7oLlz3M1mD2RpejjB8CKGjudtmiPMRaR+4rl9WwUdxTwmnQ ylrWtLxiqPuvSOVrPIudeI7tILnS1QhLQA/fDtPSszhGOrM6b1GMx0dwhCNRDEtvHZW3SFAmnQR1 vJjorOOehp1vB6rrFZAGELpR50S6HHhjSVEULBjoGz7bJDVlm4FSwnsCl65Y8da7gTtTrt7hZQvA hbSP2y+F4l/7W1+9KlZWIV6ykGEVQm4+W4SAKZSSdXzK9PPavnz6jnXR+xXRqhFsQzQ0EP9K1i+I 6RGO1H8srJ1/k9N9C2UN8ygeUzqpyvh8zL7cc8mM5oHP4JjtZshawglzur/UrN4KkTT4RfX5y9Y4 JsB8mKiDxaNdnSPIYhmAP+MLdU05sRrtke4EfG4VXp+HQwUm4ynHHVVK3qGo+24j0T1NUdtJogok HRpKYvPhNtouFeOmnH3Et+flFJ/n5FI813LVywd6g43M+okVNt33e6L/nrH+HyMdcdj/puORA4ST aldxX0bpajlceULQx86VjcJGXFCj6pfHa6ziXsovFF/z9ICCfCJjZkSXZkBvMQIpv//82GVZKaHB wRISkt9jKA9aRtelkTuizuRVUzFIwShhvGv72KOC7NxSBxuhp1swqIKUAX3YbK1VP0tEvhFoGslN SSAngBOlED/B4wnj6vvZQnzbXwui2CiVLSOIPW+f/MXgmdzA+NF5yOOAIA7CZ4EqMHhK8q8Zg7Lk Oqhk2qrCp2ahc5Q6BVuDuxfNqw6vJ8ESRcYrylGt74jesAtMkK2aQcXkd2UZVEWMZ/iNaiU4RSUS 5jIL7GeoHyRzXXjrHNztlql7Ftwpf+a6Mn71mQ+URv/smoRQasYpMzWWUaEs+i1dZkLX0OyF4cPI /Qi+30RNEchM/9J7zI3wp4b8VZexT8a8DTRdied0T7R1Pj6YDcQXpVNXhsWuO/lET6O8bCCCjj8x 8bZ2jAR/pdhYt/WWpkfVURklPP5CJHB3azjhPMT6KdDglH+g9iNUNKseztOmVzKBWw249FHbFp/w F7pb8BMN7Kw/w+8e7bSlVQ4hxW1V8kNiQaN2Yj2QgbIn2ikC7mtH1ARadW3TOr5lmcMYk5TFZ3Tb ltDQyHK2nZBCmm+qSdrtDVsgTPgCr7tByMyKNA//tf7Cq5TvckjZZI2J0USg5bzsOGr0XvFtsuBH H9oKwEIxX9Eo3madoiko60htRNpAQrYxBk1i56Ce0JyOdoyRMwl3QLFTCVJIP0XfIj6OrandpnQg mKJ0Mu0lBHlVMMwLA+t2FuvDZyAYryFXGh4GfKu4LbFzG66Buz0EakSnOG7P+6lsP6m7S75HQn68 5H8ztFtfblgfFPH1L8eAtXbXgLh4qo4tfAD46BRybKVK4sZ6kP8nMeZ7zYQSqBR/ttWFUNYi+BCZ w+g3GB/SYabS7xhm9s761n6u2IfONskXXO4J8UeIUCFULArTCFCLz4vJfmhAZ0FVbBAXeWUiwkox 47/r8cmouUDx1lIpwhIxHao0/72uaWpN46Z67EF83fhZ4NZF5mCxqmcbgMBxg1UWRVxgJPw59j3H h0AmWYo6TXT5qrG1J2UXXA6rxzffWrO6VFIg76pHW+140b/KiHTVmE51IWKg0WPJssB69wq42S9I kpx/01Yn71+ncH97Z+OMQg6Lpdb0gWPvD8/imXDclVNpqudPpRPHnG6FAyRgEx/SQKM2fNyWL7rb 7UnvJCnRv5Fnd1kKojIdbKQMT/5jUG3A2j/lEJo4UXte/71exTSRLan0Xgfug9l5ts3IAoc8Keo/ puCLH2A2TNo2vWdEt87Rm4HOl5maEGguvopinX+KTvFI5i/WM9FcNhGGyhJKntcd4KYj4ASYZkTy LpzShZKTRYw9aQVuUjapCQvVmguZeQW+BeYL/ZTdc0dK4mOo0Z8clWppGpCOfbRGwre3c2IxRbk4 ww24BO7zK7rXBkwimKr10Yteu6Z5tHzi2FRqi6WsXvr8SldurXdmfLjHngD93Nh/qVEPrhUKsbDt P09KV/gNteScmczW0lgcqQxfrcEQhDzGLyVubLrGXY/SaDMVvFQbTC7PGf780ldAQTyijCt2oAjM wpT+WA3VQlHRWJVkc/YsbOQrie2uiFWEW2b+jPXyOQdRBmN8iurbmz5X3uTqLtmUL73E9Cd2ccmH T/n9EBZILFtOrXt6tlw60eSt9TOL5f5CECYg3HQ6o9TTv/4GClJletdNKtyPYmxQjOLyG1arbVVg FgdfIWevp2J5Czt6yho+yIJORMKN4O6KIssfU4eMcq6r6s5a1tp5nEJI7UiU4u3Pts9xTgccf2/j SO0lVsHaL3dtPR/Sf32ruM6w4ymvdTMFsVgMjU/179wIg1263STuRFpYs6WeDSXWGDcfLQeDBsz9 7731VPnbXfhvbzTq+eED+kqMHhaXct8k+0699CkqQjPgLzLh/xOn7vZCmibCpmqfOoWoP1jSrzYi 1B4UveBTMjRdokUW3HBiUfW0miabV0ZwebeXIVxPlDJ/UjoT4mS23U5jcPzR7JPYl/phefGn6McU YdzSksXg+4mhEpU9wJOhHB0JCvO86tGzo/u0Ov0Z2ibjZL3F2sbPyjGk+Gi+t8ob9TjMdbWNX78J 1hdNX+0gp3YvGNUaIqGbZ8eqDFgJY8vp/VOno5ogJT8XQIGFwATIZ2q8bCnqj4nIGO/EGzU9sF6b x5RqNEWGLCQn7NiPzeEkGC7mtGMmUPmGalWA66ifwwzXqof0AAApoA1++iIapRXHancnMrs+q9Tl FJgeacbWFf0STvQ3TGsjUsTApM4aLJakDuDrL1w2H5Q8xTE2xhkTubVjKoxgV8UjMoRMAl8iTsH3 Gq211M2V4KnteOr4zFhRWJgEBUkh2lHN0uFWhH21Tjcw8N9ZWAGpoh4/sH+poJZNvwJk/g+0dxUA M7KXwwHmyq3WDt+vhzz8wo4QVDXMct1gNlU7xP8RJtS3FHfm/2IFJ4bwY52YpkAGp34GGv6NNacz nwvAs+DPd3YLizeFTwEOxdpO9ZVZEH48c5f67EaeHx1wUPATvN96SknwWsFSkRiNUsgpt/PqnOZA hqRSHyMQT3Gh+Lx5M5WE9jp2dt01LiIjwh32+r8qEti8192Ld/MOG2cLl/ihFlLhfa3UAkXsBa7X UVWjTmNIdpoUJG3XagnuTPOKoD1UPk+XqiW57Z8DpKDcBA03V/eJU33pI/VwiyJg+5uusXvcN9Bt 3NOvCpLmsPSSVjM3QN1zyyq6tJR5toxLrT8O3APfi3xUTe0WuUu5gsxYfeldLVUSLLZgetbD2Qkq NTFXJkBA8WTqLL9/iheacx4jRPK6zcC7qhSVkQ8hg3fAEOtkNPVU+si3q1CYtzvHyKqO1zQcSY07 nTb8GnGjXXfogI5w8YWAtUCtIDwom5w459vQPd518DmBBnfQo2P0JkT+oqu5FFw9Awo8YncRsgZP Ru7RcqMz53zz8agEn4Hv3dON2y5vDI0ZUVr35vrArs+DtzANQC+qPtoLA2z4iq1ZjnDQLdK5dRoz S73YYMckyv05J6LJP1R5GcoLhdruPuSN/9uVGoYOnMehW8Wq5kRRRWlD4Oncd7QluQCtqhQjWeCL xe/axMBeWfDmVblC+I3rRcGiQVL2J7i+h2CZ1QaS6MqYxedEoQvj+PfOjgb9gXk+sRWyKDvjB1XY OAWsJDwt8prj761bNKktNjjLfQJgQ4sROdLowY6qULo34Z+xgri7VhxB0kjEXo3hzfPPhItAEqk6 kTSR6S3biTnT4h0BgWRTbqNQEryDLsThiJfyLqTKidVznhrbVdzqFhNo7USJRThvGC7c/vALodGu Izihyce4RbWChE1MOKcm55ZIiF7B+7cYKtHKNjomSndvUTBq82WxAARO8e57+6ptgB3GT5I9zjpc ZWN2JOdWx+E0lnz3+nRUXso+4WGwCkI9RW3Sr+TAF5FYpQ7c7R1bDyzRDnNsPwi7sYbAu31/pxCt G+dDc03wOCgG4r1q12rtuyPLd6EIRCh6mWqaRfO0Gk0kXLTNe7/h0YboLovJ8N3cyeoQphY4vaPP pABN3Sba0uTIX5IMpjkXEvcQs+Uk+Rp6tpdVwytABhNLt19hxk/i5dTo72tHytFdsH8nF2yRinnl QD7qlwTF0IUYWzNo8aLZbTsHve8bRWo/o1iLzvqldbLs3N9ep57cbQ9F0p7EIx+Am4AjnBkP5z1J VpStxfXWsLpiKRnVlLj2wOKV0rthluClGPUMtXKQhabORbQ30AoMTvL4nv/THb4+1Ley6jeCiM8n dt4Qry579vcKzgu6TFAzwLbMdRIB3IeHu5B1I8dCJ6MrE/UZZEpdHH+eIgIqf2U+HWLiboM7GJ+7 t21f7oQ435cIzbT/vAc5pug16jps5Jb1FGOQsXBWOv6pBZLiHoi1nan269vcIRzO1jrFN97qt8wx 1ZExTCRGrtgiTb7c7Z9soY2B0SAFbZcSGpoVnoy1RjuRVqbIpkCg9tF9Kr3KGo+CxjwAvrx7ADIf rq6VAf31hdRwqBD0yGiEBW+lyCDsC6llt2bWXkbqrWJWLcnoQTCu+rmpBd5WW++GEbYuH5qt2t+p P/AcSh8mcxidYKrQzsSGormO9TLwny/VO4Mp7xi3iE3GxUMuVmLjv/btGbf64/I058Zv7QevWNZ4 82B771ORfyewR+SJYpGU2KRtkY/Tkxc4lTSuJ66Av6sLgHIyYpO4lpWLX7LOt1i/iwea5Hg4fXFH 4S9yXmnu1wOP2TBXPB0JH9XxkVIW1jPuNdqBuCCbn7ExDbPrci0eeKX1JhD8+WHGPDqYBfUjiglG PYxwOYnQRdKdQsPD7Y1fVasim3M5fTpqfjfUTyLm2bj6Z4PDMe5opQsbs7sQoyLfiaTkNH4WQabn s5yLc+UzYv/UNN7IH355tSseT8RtBWuo0+Clm1zIEEcrlRlDsZo1RfOR95YSJBoYoFgFjYOr+Tpg ExldO1M9hGenARLYCOjsyoTIOQOTF4dGDMHwOhl8913mhiYY64qpNeq1w4AaBmpxeVaMyzFgoLUh CGGYkkPK2D5wIngrqM3YBj/roQ7JGsXGK0QVYAAfpIiQfIdgQ+LKR2gQe8IQprzSDJHzsxTGDqNT WTJo1anrKIB44ulyDR5lvSppro8ulOC2wpLwW3x1Ldask9+Wz0+LQO7l7iYOvEUbuQXIHjAOtw6B EeSEjqWBmPY41tKpFEO4xyiKuaUoB9KmXk0/C+UcrTbG3A50dW9zWdxZWB+G/EVBEJL52n4MLYKA eFB3Pfp1hYLINI6YMg8V3mvlTVTxxt0iRXgM5hx7ZvcizgPGdRrlpuZ/SK8AwcWkwaqKutAS4r3z pFZDw48Ph7G3T37rejimFDRGyuVpwLC/ubED39k0vlk97auTwNkikQYSMes7NoqgtiUZioSoDD4I DgwrcqyRvURJdgoRu2A/tAFtqm2psLxtDvGhjwVe4coRSrDdMTuJNRMTZHOTIH8oBiqRzUpAcUvZ 1eFIgPbR0s17YNP/W4TOCXfQ8euF5/bWvTU53JGBBTffVqGpPIrTkU+0zcz9GN//wE3Jr+4aMyig r9B6eJ912g1zNHqCL0I2aJtXw5JD7XOd2RN7HIpf9KgYRDPwHp7G12hRflq7MgNLwCE5u9SlOxsP +Mnu5ED+4g8YnQYIKyXoPtpjUFJxu+gbwYxghJ5GRCbRD/3BPmPv5tisXZGBMm14UBKfftEuF6Kg 0f1j7KLs+0zMosz2AmNleTgunxX+TnCJXos2BAAmcYf2LQCcqhh/gMzKwQ7FtJLu2+LqlQxzYrTO srjdSlHG95bc24fXXCRzfQAgtDGcCv4r/hWPQ04MSN5LZ0mZYJ6I0ubnwfFC3GDBz5xWNH1EyFu4 aX5neBs3kVQsWniFJapJqnMOLLSFQGjH6e9ATmp9hwCkNDtB3nTSKf/OJLeC1oBryw9JSJCTJeXk 8gD+PMdZ3vxyl89n5Imnhd9Z/VJ0t9gyZV2IqAhMt6GNhdTfPwpeUAB9zlWxhWDjtjcD8ujiPKzS VmdiLDMQptp0QZi+NmcZentj7Qc1daHaqZ3vxhXXgxpf3pX8KIMYOf2h/I/QfPtHZ0wdFqIUNz2O aoWk/oUO0+omgFfuWN45EelWJ1uMZgAkCOkLruKsOptkosGmkF5OcnCe64+nBzH3LLu7Q6PoBfP3 TWTCJSLg0fe1qzMxxdi9YMM/AtSlWlLEWFnbh9wQqAo4zWLWcNpqjp317IQidk0METMwV9fTmiwo HwM2j/QmhuOhwQKf0yFHVdkA5W8J/1OF/B/0ir9xKfQhrKG4/LDB4CoUD4mZwOInQriIOdo6wMdg E4H/Vad1JCrVqfJbfhx1pUk3cEbi5f8Nt15LusZMDJJY2YoFVQaZ32mvE3FvSzbYRQ5ydt4jxX4b M7rqZogHbr9MQj6zV5YhQ50QG0jvMedwh6mDtlxdgihs6SweCkNAufr4ADCMZxUKb63ZdC+PPVnn jwAw6oXOEN7m7HjivnohnjPbmADEWw/lbcHmqJOzPJ+sN/BTKs9bCTMFzATVJwDaeQ5oVvirRy/P Oj3uKVzmx1xl9G4PRGreAFtcm2JTvl4q/90+XY1MkNQFLkMBhzZvjZiwGLAAZxeD/rwlkwyNaGe4 FDexiou+Ajm7NqA8G6I3dFYHrKJOUXNeB6TQK+5n0rkhsfmfdNRG4TqulMYc5MPR4rYhom8AZOOW d8eC73S/4cAG+XLTvp5iLcNrY58jj1e9HfIx2qNRImzQlqB15adwTCTh5odIMuJyfzbc08svRg37 th+G8GSqGGN4yvcrYvG0FCe8vdFSD+6gJ1n7AoWMXx/URzyKK+RXrOR7G2RfSYEvEz8lXSlLyK4c JHbaboY/93mdzciljhwzQSU2/IYLIx1UM7ehQX5bc028PewNv34lVycm7CDZTHc+CFSJsCOAPV2H zmnLFValI4p1vJMGRMogqY3SEgUvrSLi/4XSeUToRvKXmz4FOQnAZ9KV4nwS6Z/OfvlDkD41wurh iRo3EKNwr+9q+5NYCPk4tpISw9dm7ws/6E70oIvVwV5gbdXiDbqDKKjSma2orHBm1dejzm6Y5HFs QndIuseqaTWo6PONTaOJ3V5X/c54TjONa6QpdArUMLxZ64Pb+nWttM8RIGi+R0UllB5fPvFPmI5x AgUehJnQ98ZM2gXQeI1Pth3FDajENKd8dVfumnaB4QPCgg4FB94Gf4l7nMKDLzK01usUInwwxevy I5Lr2KEGFCI4YmfbPJDfgXWFUVm2qmPUwOapd5ZI4T3+vYaLtHqF9P+daD6dwMwV0G0RrFJ/ldaO BPn7hILjWr2vuDOHynFZhove/alDIPGokgk2PuQUYF+ewnJ7Qfh+xRoC2UMAb4EBhxc/gnF5GRbK LtGS5V+pSXHK0jC5rQPk3FgRNB690iKrpgjQh5x0gXltv8nOmCaTa6AEZiloStRl8VgDRBUZCnTO dlNs8E19422VUjqQ6j5ubAEeGck3GXw+cSMMyCfpy9oPxskhwBWgdRXxYo4MOAPzvP+49zSX1CXO wAtEB0Mpb4P9cRUS7tEp44rn2O2ahS4limP0J6CRQcu2d8A/y0bM+jtSwgngl8SLntSJsGq8mmWA qcWSDboHo+rJqn7ZqiBDXHUkU8AmloqPenRjlXOZmrr9+iqmUSMDmSHeHlSg4Ex0X/P9G0WwI0BA YvgUO1MkyqQNUL4jo9k7Bblo3ewYe3Z0oMrGhPpBJm80fQswFhj+qoVyhUzjy7dRpQwl5K83UaZH rx0jwBSK5r9pHfhkBBrxqfMwgcsVuA+RpMCijaYecAEddQW8LmOXFIyWMmFE0gUPXxX9JngDHCnw aPGwzySPw3UsL/3r2FlMiMpkDtT5BaM0c5LK8vrT01545N9ZvjCf+PkYY8zx4jjnGuWvNgsxGePL Z+EM/0f61BpAhA5L9k2GochHBkdKHXVKG1fdYaLYQEzYPH9k+EoxhDQXcB2dhVh66SVeu1axGyGE POY+hHCQZeJ24XoLTsVyjTyEyXD8MjPcOaPT7Mo5o/lZbPFlmbbwjjwyhGlGF+x95zHY1ZCX+RQj 1vQQsbgZMNgUPaozMDFkn2J7bP+dw9aBlrZv4pN5Vj5ZegER6H+9PIe92iFnCZ6VbERajDqB4bNK KY8V6k7FUWTHLofKivFeck8A64HsEshlZlDJGiFc5FizFWd3/6oXy/f4yl1Hr8vBSDyVRio3SUuz b0SlJqEtv/D5/t4zZ7StO/0R1nQgS5Bt5jE2ruwRjfrnnUDpHr4nhAZ1qpTsk7Y04ww9bnmo7Zg+ rQ5u0h3eCGCGj9xdxXp2cLKlLm4NYPriLwhyeSTmFPR02xVDzMYESdApHY4fJSNPHvOtA+P48vhm P9e9nXHrxf3W4hLIaiL7kQNc7NkslvNf5l4+ID82aoFisB/w14KwxS8jUfPi1d8hemXX2ypyFx8Y Pmob103QUBo2XGmMF5NLxuMG2PP0xoXsX2Ke/mlABK3ZiovhjbWAvEycCZdNPEk0HQKroyy+Zix2 nnU6KHTafC91scZiUEzZHlKaMYTxqmURyMRkcQOhEmeXV9KFiWcHgC94hGI10Zf2vX1Sn4RdgALA QrqGpI9o7fMdqXXv1MK/tbVsjglCjz1OLyoZ4yzb1i0uRMw0vrctPdexZmneqqrQ8i1xMff4lW/F ZIP5UjA/ybGw9VqEpDLQfB+Hh1vhTjROgmG/6h/mtrMDuiOuUSrhv4H6r+zmNhfapD2+xxNHPb27 pApI6/a3ogPzHBSmUuhim5YvpZpegxZcDdaMk4h7fRh4TNF0HmVoO+BDcz3Gctd4h/cgmbjUyh5B y2p7hEikX7wjQCMkido+NHqS0wjPIabMYotkBfD7N/AOr/8fIMEIOXPLQYWxEafxNHsunKi4RdSv M79VcgEkkbyXY32enr4cjC283hgxxjZV/Dwdf0kfOTIlbDBuBLWLjG9+l3TgFMUUk1AbdziKLKvl Q7ZWjJZBw0Y1MED2/hikrLRrpALuEvniNKwAwnffmQtqVEy3OGm2kvbITn0dSPXGHFDFSKtAwnyu KOw3DxcDitQyJ18GJQy/BzYRJ+XIcbEDEbonKN0BDfgOwcaHwcyYNYe7X0Uq2iSBTUwPEqnZALDI HNQfqLUQgfoQVGfBJr0+XjWTuzc4kzfsWIzZ7mfv+SUQmCcTK3IUkU4xuudcM4oyFKlsGoCV2qbE 1uzosjU6En/sOAMUMHtmUy/bSnX9ejqEhRDQcVOels0npxzN6afdVf0YkAu6HAlX5VSOEH4V7Vnu 5gd/p4m4msiaPhxb8RjmErN16YCUojTvsZRwSIwYzos6RGIJyEYAxa+d/PAgEbK+FPr/WTGjvZ9o z42JFfGywwRsc6oLaXdT5fcPq6XWlQUePF7WYIK65i+riMDDMpCBQE7hoqtQmSbQE+YDuSOw2I9r 9SAyGs+E5tzK8DtxBvki85fiqWoCz6Ds4pXi/lCkAIMbO64M5F+ac1FN4PZ26+NfH8chGx31C1ao q0HZq06bHsB2nakCKfCbq98oadRoAa4gpyFvDOku0GFjCjHU2tUOqXsRVqdzHWEJxIJEAM89l0pm V3WKE5lfxmnfoSgYnBQ7Jx7K1uewEDaVFiOMALY1zC+FJ0nED3BtYvZnKlzlCtQn3RpFXN7uGCqg GL3JWHGPz1JaHzvWVQmGpUREsQHX0+a6WNLhRMhQcBnPIjl+QUOsK/LGU9bvMhAO7lqQE4LHs12u Q6h7voyg0ctX9+3SWR/FE/jt1W4b9kiv9JdU0TO2i8QDsxGWqmRPK53VcKWhir8EW4QJ3Not3VmW /uuBwLVEq3UtoTW9vW3o23YzOq/v4bNAyb+QACsk5koq1Fjseo0v4vVsyYj3QIO1L+/IMKCoqc2h 6JwGOQTfEFSLddnmOTLHitT7oLaJ8kDU3mbluS4kJyXkje3cWstCAKyCT2R8idzpD3lWbz7GoRFR F5ZacyrvsPJfeHoR5O53xBlfilK8LeHR5XYM6Ztdj62I9j0TZnhttgWnV5ojVYb6ZIRd4pBph6+Y N+JuudWRlG3DRbubZHdEArrAulUkTC78u9YoLabh2/KPXE5FWXCveEosBesFZWvgfGGG0oPZK0GT mR27pwHonEk67nVKXKppdx/CUqHSnq4izUAmslH1/RzsYEdPdCc5+98bpThIN7IX4mAyN57C2Os5 mtPFHZpJlfW5MooAH9skBZZrO4Sexm2xDj0VfoN8T6n/uXD+DcE0vRdTp2n2i9EijNgyWh6msPKv IaPaKXlgy9LXym2FaO3AKAzdGNihiMJ/whuVpF5KU53ebMzrUAl3635K+HYp+RsL2WNOxzE0GBSq VqbzQWaShx+ygkW3gcos3FNjy3DOjvmemPZCC2VhDV0qcF56ZGf4Kmuf+UDGaNqQpHV1tf/CA374 OgZUDvmx4eZsSU79fX5N0jJpJP7qBVf/RuKmqBWMYiyWC6FzLx0/SWmyvOhIlzD8iugYW5PiJ9K0 IztLgE2Vkg3h/gH/oaAcSUoom6tSSYb99NviKvcGtCy1A6yDl6ylN+VkrAFRI3VjdOkR1pF8H4OM ce0BdZ+1przah/ebDglJkKuca8Koj4iXokSivKTKEY+V0RUMkRWsEeWkY+dAtSXgxzIzU9RC1A0d XSzhK8E/ITaKvLBmNMA5DpsXI4RsA9eAVGD8wkroHhuNjnpesuSCULOVT8yHhyi6EkmZL8mwFovo wvFFX61ibLjo6pteqrRVjDfyf1broOhWgeO7hFR1B5xR4dEkvTjgruCWKCJUxDQsPYTVRGCrgKQN /5s18L25CVbkQsX03qUObxjt5z0wpDlBuHowDOesJpW1h9BBdDWptctCVkZoIhbzafewEKBVc6P3 SeDm3anxE+Ks7d35EHvzFEfOJe+PM8PENzihqvj4oQSh5CvWrJLWwCkrhdoaxmRdQ92TK2wNlOAS uYx9Uq9rz3DyowsBKqWOnT5EzmYMMh9JDjxgpwVoGrOEKd92X5le24zLC4xuM/s7EsV8DOqnTW3Y jNsGHeO73n15Jy1nJ2bS099YkAeJO0HUobzrf33ICPkmz54V6CPr+0t2ISQ7bbq8AwkX/7rDysK0 00ve/MdllAUkUP3yoFn5lWkhaWP5M+jtc+3BFA3ej4qqE6yikK0bJQH3oKqC6xupEInDjI4LxbzA 5B5FQzDJ72us1Hyg7bLV+c7JzkSJDqmCNdEB32pk0thl6bxzEpqGWxk/EzIrhDHoQtXwFxfzZnCj gzr2tMdof/FXI1HOL6gp4QPtEb1Bz/4WBVPnlefXO0KDvnb6qQORGnd0PTcne63If+lOxuqPImwL OjEKYSLocghOGI3JnYRYsewXo04tymyDNkAU/KYpdHZE/IuQy6KrlpJgLjw7IZFS+uIelFeDOEZB LYIU2nr4ezsk+AyFwSJLIdtgjeeS1uMrz1k4TiXJkqaUXuRqdaa/MvtQx3ELHaseylvJLhQm0d2j vLOWvVmRoqtw4PVHNB1ivK1cYq232BSNWWv31zHMF3qGU3VcyHSK7xRn0Ut+m94apxt4FKYRBV/b /LUI97sHS/h5X6l7oY3uQfmo+w0WOH4ubv8oo7ZEHu+/9PE+OHIxFgOvFKHxti9an/XrZ0S3erHp jke8NY0jKfL07wuki1kT7LT0/EIlrvHR+QygypMpuJd5Dt9py0Hz5am8Y8zDdNuY41kXE8E3yFPG nSin8RPLStEp3AVjOjMWm6zkUj/cc/o1RwWrh3ZFDJPEACncK9eBJ508fer8fY6MTw1Av30qcr61 LgUzELyniaoswW7HoFoJ6Kr2Gb8kPNlntZ2kJwDsXfdHz43i6N2JGS0IIKZ/PB+gBFqS1FNcwKH+ WNeDkvoyijbpw5yk2NaW8cscaFTti6mvKo+b94vD0fifEerQ1DqjRrrbQ8yavoJHwIoLI7ftcRG3 I9guyqC9yzGHB0cDFMaan1fXsd3nDFtW7IgVq9cv1u61MXX5CazM+2c4Mr4OCLdmPgb5tp6qlvK/ 2sdxqmCbTDRt07pPhRr4D1fyZsgU4IR5xaLEcL22/F/5aGgq82Ksnf2Xjy8hYyeZe77krQumrT+8 j7jgI6cfLORkpbWqnrBNWv4mhag6Z/DCakcl+l+ELla24fmKXqjKf+eaLlkEzVljBdy6R9UY9yEy pqbF7+1xMN/HEJycChFxxNTufDuPbMZ154m3vKzfzdCACzbosQkU7NEjF63lCsxtPauXZ4fteq+n nTroSzMYcfOBosrQis4MagOte4Sg6DT359bqG+6+ldkOb8+PFCdFcFKc+RkXTUS8870sjbCdqWEG RD33gjS8UPaw0ZdIwcQ8t2ZlWMy7ePTWBi8iEPLMCuruJA5sOQ5WnPByfWPzklboyDdHVxtmaQDz RD6J1PU6T/rvx+hL22VlCHYA6aZ5c7QqNGxrsRDnR/5JCxACQEINgTovx9Qr89V8/7KRvzOFVz+1 9MKHibkWx/Vl3oy2jsmRoQpNJXvCupCywDwomtWxmJW8+4bv1KNQg2P7jsY4vx9TxLpbtvlRtCFN 0N8kgiE1X/gd9CPl+FvGrS0F9cUr6IoT5EM0GGRHB9vgUiDp/4TPtjUb3i0XIuAKDpwC3RjAxgC5 oPYMrhzCaHWnyhejI8skHPMBf8Dgci4YLmfOq9Nz4Uf61eDJIGRQd76YgEU2zlWcDzJgZaG3fci2 pTMbruLjnDFcRYhJn75Frqokc092+3kw5SpiPNJwU1O1X3MY9mqkBGKrp0J8i9RGh/lvG5s/kUa1 VEDVuoDGahf1BY4N2FDvkKzvWPzzZOzBJsm6GTCHOVCXH7L4VmgypsdS75iMBoi66x3WkhjzZmMu 78Tw89pmecKXpP0/KkbgQUrSiXssxr0I80z3cImOl7dDdx9BC9gXZLcaAabsoqCZRL3/9AMAKKO7 d6JAD94fY00NG0dx5sPJLJX6HZNMwSywBYsZtS4N51dGXJ/4rygpbm0GmhiLcaUxFdnaduPEMuMd pH+9NscAE5TaG3pNGROJFf7S6945YGZnbhwTUcWXqWPjBRvlwWDY4+Ugv/7ZmwT200BecMMOQ3d4 gigg3FFlTVKEtrMe0Ul0iNN1pkneIxdXo74hXz+g6pctGJht21esyqKNkWXSp0kG67iC6RzOIgNS M94M4gimJPL/9ZSXjn4SjA/Nm0ftTz/RbYoZkzg9SwiTWeBmRYLH9eCGcj7bHc0HHOFbdj6D+nTD sZP1AAI8n8xOaLQROjZgEougOgUzv1wytQdbD4kP4vCAujNfwRwKDfF5nL1Ly0ciF34qynZ8RDEe AvgLhHqYaS9Q53+g243Vm0tM/2SNzAX7dmf3xXbuYX9vgGAW2mV6DFtjiLTBGSTqhJavh3UNofS2 CzdujXgXOJtiPYkJSCTqU7uTveLgAn6Mi7J7WoFp+8KfRLivwyZyMTsXMFSe1LsejDSBb5235RRF 7hqQelhe7egMXBu0HUKZRkI958R3B7yy13YAGy7+KqW9TchKUkRjknW74LpEK9lrwoNghGMainIu 6ioX4F0GynkmRZVGX/MV0D6SQxUogKC2ehPGPhTi53C1H/mpSOQ1GMLIIjj7fIYQigA4qIdDAPR4 wDZ1d7aF8XbY125Gqosc6NrQYwEcpcGNFArWa+5PmiBZG3bigZOwALLfqFfcFbplnjZfcxcaZtef ViuCVgrF8n4g2TJA9ovbR/yxOkgLK0zRH2mZN/4BJBD5dcJXEuhdYpdbZSihduzg4twMwbiPbIM8 xdrsgmtmyrX6erToIr4i6hjtXdY5EHkNoKMCBMU0NuHsQeTGvL+bjC+U7QfzAdSFV8G3GB1ePsyb 9aMwkyqUmON1ECN59kCa+IaWhLrwlwLFZLoSGdzFc/6JvALbjZRwDh4H5VLaQxDIVaKh/cqTx1jA KVycMO2hAKlQMoI7mF5/dXbT8+4HkYWcBS1n8i8y9wTJDWmyJ16tEWaCk2oW2vuy45nGx47lE2+X qTL7jvSAivdY5gnNXwdSLK7FR2XqBomxc6O04oInSB0wt3uxw/HjFqA4Oi5LAsR34OJBCujF+Np6 wtTHYeLUSFMyqL9BbuW2PUbgbFSjKDnperf7EOL7oUrq2tK1XaHw5/8J9o4uljfqOipq8VfdkApu bhFUFclttsgaygZ9f9e6Jv1rS+/FMv3dlswEQ72s44XoRtxRtwyV/DUyxToR6EWJlichpn/qzs1S +fa5bE4b6BV+ro3SyxtqpjxhB39v+QZTFgiM9mPubz2TKHV7ccIsfhVvRfM/Qz26Q8FNmAAklo0k lQXq9Ecj/Pe1wdsJh3iWf7r7NF7v/EgpCOjyQieygyX33iWxDhiJp0t/iCOwMyPWw68xrHgpUQrs Z0dSRki0Qp0fyLp+Z3kliOe3xLm7HIfk/GMKDvW05LyrTqLVMpUv9dQphnPRUFBx+4yXmmnvIN2z Ok6h1Y3cpariiNIOXKW/h9tCg6y0e/5KIEpAF+b8nCJXiyRGLfsYTYMuPgk6MdBk7Km7yP5xI/dI 03Md+nv5PBfFyzPsm48FLC+HgYXtEjdqZEYbvyn/72H7Cl8M4lXEGLYtI1m7osUtDDLgfFXfzzsB AkaLz0XxaUZWooolE5KdYTvgpFXFRNwU9hToGWEON5KnEtjitB/Zu3VD0v1vgROdPTnptPTVVrCL Da9MZ+GkwB2GYbllY0CdLSTgJqeXUIgl2PxQMmEgJQ0oeHBMPqkyhFnbAHRYpLX2b5/l7D89o/v7 9BmKehq4eAfw1WMAdwj+hw4DTLcyQnjoycK1Uk5yiJ+Uc9Pbkkkqn1aWB5aw8yQwlIzHC7fT6vIs 2v2W5NvzmruHwjHSS9lw/sFYKRUN04ZorkObKk8U8FdUEfcwFyzB4XQkvhQ3TBwKMLoLxHjX39bW Tk3XHEGzL9DkDtzsHErRoYWKzkAUU8wtMkUGgZ/3KpBYIwHhSks8G6temNazdsVHaZataua8irOT t8yY52XmgG/eN6i/GOagj+tdlO0Zq5uaLr2SJySrC1fv/CwnvC5xqe51AzRbqiwLIAD+pnyj8H7h 8KPU5DHMemNgN66EF2gQLt5uy1K2zjsuSYdQaRxRdWeSpgIKbnI/9KwFPDYYUuWkpPXa2wTH1C5O JzLh91CkqJ+j/2qP/hc7gh5HnieJ9Hxl1j1l0YJpVK6F9jIpu7TDE7aRB1Th8HMf1y8Lh65FKyyw 1BmKnXT7iGTne8xIzfhRXjcQH00cEo0iADHPQMxkwtYKR0Og2cPvnKBvxFJ3YQjzlwAZ+EqtxkR5 rLEL42BHladSO+0i7JvuPb4qbXkr8LquzGjYJ15rIiU0RmryK1rySl2oYozIE7L85znkw6x5wkw2 QekZCKSsy2InbFcYclJGiJVocM9FeIiTar+pYKnyKovNM8vLnl/drl3emLVhRtX1Tcf9EkyJuooQ QiTO1tmhBcHiLxfHQR3w9WpmWzmo91/CZEiXvf28/CTgDLd840BPXkZ/6DM3b/9AwTQNd4gIU6Ze auT/Ixa0Gqi90PzCg+5zyh6N0f5Ufgsu5JTA/ZaXDaezF79pdOrTpMIXCLyBTbpDChqOETaCEe03 6MsMyrcd6UvuwqP0eZne5MTtcJ2/qLm86jzY1O2rMKgQfUuwVhKwQCpm2kZSz9jtolTliNFDW723 6yftNjOpctX+yQc6jushQWuV+m7oRIGWBucdhKy7X8eKWdDU8bJCWvz0g6zgZ+Ezz9K0OAMCoVOf K6VSjsePScI63wk2aTJQ8QfpCnEWw1rjLaod1jP6G09LNgeegbCJ6DPghGytrCEKWCAOhqvTOsLJ 4n6IeIVH/PCZQpHWpkWXRgSYe9XUgNp8NNcKAs8y034EYhLwcWalNZ9vPxeFm/00ubUxVL1Rs0GO f562nench9g5QmH2ZiOb9C5XQlffh2IgaknQ3gMNQjXiUJ9MaaUsyUu6ol0DPhTOpMjFLoJR6nc7 RUKZQBmyIHiyWfy0XXJipegCE/KuGDzTTK9xUwo5EVVaK0k0y0kWN8ik+P5tQWCwbr0FM1WzogNg zuY/w2XKTjTn5JjaPAaOXAE/4xRXPigle0Hhi1ALzJmBd0ptgj4Ku8DPZJT6vb9OPVQJYtgfxj5a cD/9MZS4Pz++YWVMI3GMUR87/cFxPwL5QRZUQwnq09q+42/tqjbzkdNjgjVIDDV8PWEG/c+Mb65b vNsVKMZRXfLQ0mzVPB9Lzc/wY/9q3bHD13ScYWm3O7KShyq7vE0OPpIIHlTPZOeXvf91530GTHtZ cD13xiz7nzDdvjbVlp0HqzA7lcrz4kG851++YV32SO+egYzYY8cjdO5m5+VdnW/whjuP+YFIUwFq p6T3sKbYNgUYFhQUCBlmCKSSl00eZd0AD4Jz1ZdlpzAoqHgFvQUXRiih2QJoBTNUDShq+fauhi6n 5siep2Xgg6DOHnR2hdY9AfJBR0BxdDT4TRPngFxDOH0QrJgVDVhj9JQfPom8MeuaRGx/N34SsJMn KQvlZqGoqmobFwB/GnYMZ/mJxlIoOBTJczOg5rep8KWIzYqwlqSJdvYP3vYskunzzZghfJM4jqrx h0z8DNEkUD+/vIo7harhbMt3C3ADMzmkBmMQRCmQJvaKs5cObM0BJafJnQSeajRcrElVu3TGEQrv 4mM+I4wWp9nrmqWutlxnf1YzzuS9PDx1ciLKWSwxdxzDnFkYbe0oBP9xJvkij/BnK8DWdHCkU4rh yQWgUQnNG8x3k0uNXZqZ9IUYcqPf98zw9ENpDBskEnl8JSk1EHRPWZvonA3BVY0ohBhPSdf3dZfy NrRPLFy4gInZLWCuT2okuEFD9hOwy7S/nvRTJFw9lX4+nX1zeU0BoPszPqECRtBNSYBbB8Zyk9/q ccEOFVz7mCM9Z0NL1MXd6+A1b0tuwBfWWuI3kbLfcEczfBrHazPZvhwBdmBhoheKCcNk7cTqGGMA ISr+PanuPkGoz5cHp3A7YmP4r1jRpEKIsjXxGTHDwvoShNsDyPsBS0+Z5kXHA7pRSOndubLnIoe0 ndvphR/2btJn2WPEWasdvjgel41qC92qa+bDRedXP+SMc4T0KkbTfnYdIkKE+jmLyQ2aZCZ6LTmB Chpu87PIzdt8Y+oxy6si `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c Y2O4fk1xOw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV FIedseAJGSJjdgeT43M= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0 dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc mYqTUQDFFlehrx6Wh0E= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8 SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16944) `protect data_block PKlpisMKFINH4hoELw81Ae+vpIr0xr/BIQZISQh02QmAYRngfWchi+A+2gXJ0ErM+PWm3fbvLHaf UADT/opvnHMCrmwuOuQX48J/a1y0sztlHgsA7XTu3se9+qgRV127obwgzAH3seijk6T4T83+BIiM dqBiuzcvDODvMXOytGyBvxHFJhnBdeLNFVjuAEwQtXx+NLvW9Ik+HNBAm4k0Ad0dETSB7ORWmkDR DRXoKuxvt1m91hXPGm9W+J1jmzaV1zO8uUexlaqHpOLLfSrTib0UPUdyYV9lyHthm4ScBEp3XGP3 evqHI/uPPFhq9kZ1kFlKWVz7eFgL3Gj8HYNAj7CQvC3VoPY3iYi0eWFn4B9KULjtlTPCL7lxAEVh y/HyuNA1Oqr/wX1WT1MAj4YG0pcjisW2p/0FOHW36w9xWnk+sKJ/HcbPK89eKRs/MAhVny8IPYP6 W3Qw6R+Zv+LpSWdgj0wCTxeLPOXxmnB1Er2Qm7gVAmJ8vOC+vlVG3+jv3hDCn6AOgp7UWB3jEJ5w rH9dnr+bT5vDes0mY/Kcp9yT6oxwEBiyH9z+7hXSjX+dxZqULx5LNw0ZDwJdYS0N/QnxP0ruo2i+ Ov7jSBcMSp2j/B6J0fPhmGy6cIgD+0Da/7MTlyndn4HhckuYAP98SoMxPvgkZhymAE2oMKUGXpII W/bYfjvLNpPhMrYr/oQIFB0zD5waMwoQc95QzmLsC7O0SdbLckIK4KNVOWJM/rWubIX88LKMeZGS A0K6MDVwTnuKjfw9SuZiEW2ypIeB2yDghJB7SZVxvT/B0ysnlXn5IteKiq3gFGpcc74T91WjJzxB m7a24wdGw7uaEvir7moo95/jVJWFoCvN8fRDsFU3H1BRXOQsAzo2G9Os31l9Kw5dxbjaXCQ5kH4X ouBs8j8ISD66qV/Wu+h72DWmIjt4fHDJBg5WZ2K+/8Zti7a+ZIYYqGHaLL6g80786GwJa7JsFieC yxzHAv58octT7IRd4hzu+/Z28gWq8FFMNJbZpYhKAdnTd121+JRAHQ6UDAiBwm4sPtoeqBv45Ck3 ienXfT9FCUKKDea6xZNjHZvdJCvdfACsObHt+MBAcMZyPKQUkUsvbg+sqg2P5yJUnu1MNLd9CfL0 zEAmAJJY7VHMc9wwZNxOoL4pvjrGyPfRgolYKZkm3wORL58QSIaDGDXPgr1VAybWA9njfdm9SYvD uXCfhVaw95H63W9cLBH/O4igKXbWAfJmfxfEquZM7IG6yHccsGd/7o+vK1tsabFhCxasUzE+p31F Rqd1D7Nu3y0KTb9aAB6G6NAlkxrVLCgkuK4NR9Y/9gqjw0PDfUJMCH6gNth2AxzWI/1wgXkua7E7 dwNt9gVD0jnDJO4VRpdUvVU0wy/jIK2icBoNsi65YzvPikKsSeVM4qKqrV+i/Dad76aEkGqzk6XO 4RCRKbXPp4rcTE9uA8N3AKxnxqphZ1n9+mL5/VrS5bccdGxBB2lVnDlBot+xbJrfOlt8MsJfyqAo EB+Fg9fRdHjaCDdFa3zpaiFctQ3OlOQ0a08WUKYAw98bIlSlLpvtVd6QDJ1cIXTXexBde1yoJ+jA o1DsBnghjlYkhEj6twP5GGNVqDs6AWHLV0oXKD7nQfZNN163CKmHAd68Ix0GgXud400bGE2HSKpM u1R4tNNuhxv5QbK0INb3vSb2gM0lTW/w9X9zuR+StP6iI8tJFbujs/FM4rnsdh8OQRGxNlcUnEuz JETGZmWxKRxjFUVrwanBii3C7u63NGC4t8P0vATZ/AEjIDIe+aFpDS1YtAFWRjkFPhVNSK0vnHJC suneSPCcXAUlgXNAzYnM5ZzV+/MbybbpynV6TTyfOZl6jt7icCgZPwFRDK/MXR1KMdhNgtARvPnF MPYv+dXtwm42DJoTAAmYvv3bC45GStIcljpr3jRn5up4DG0RqOL8VhuV2quh2lHnOw/hgdX1fcKE P9+6dTmDC5wMO4dF0PRuaRjK/YtoDHgsoc6HeGZoX6HmGwDyG86pwDK7ctGjkYbqFP4QvJxbVD9D kvJ4fHdxOp/omtLLhd/KWQX6YMHAh435bflhtEA8IfL8DQVrPfXDFeeiI2N9To7sJPJp9++AFE7W ikTbb2Njutht+9AHN7h/aPeiSYrpvTnc9XD2SXomiGNEWD4nvvsgNnJHNu5J79mHpIKbVHxd8TL0 NnBOXlJfw0btblrNL38otJIQ4UyYYXub+DSnVKIyrpk5yoPk3CjXKdv5iHF/oHCrGOVHkVxNTZFj cZfMQFTpxGazLi0O8qFEBi87+2iV8Q2HxWT9aVpCMmBmBHa5wogg9iEP/9GrUIoKEsgZU7mPR8hl JwDnfm4Cb3ZmfKeXM/Fus0q12L0nNcNTnUB0Idp4KZq4PyAirOioZyE9GDnKhT4vkngcsUauPYPv C4EDeveltUzBmE4Ksh9w/BvE7eDIWhKiDlxLN3Yz6W3sGOZvP1fixzGaqkf9JP3R0PbAbyMLkZe9 wJPJUyWq0Agt18/f5goXRqlJVMTdzhbslM2qX2rdwhbHgOA8M4wn87kkV3nvFI4qt7yvIi1WlhUg o3N0wPpLMvqatoSQHkK1dYe0bU7CPkzdde6V92a7bAlbl0wAjp3McRTQzGdQRJVi/iqGJQGJkmzq a0+kxcCdeoy8EhWZ+4PhROOIyoxGyMBUc/GayiVk5jknJHSDUdkjoD03vWD+pW2B7cNTfDLfKE+v K2gU4/yQASmYygb8Z3/pNDZO4ZvmT1zeNLpZKSrmqS8YhSacTCatpQp3q1mILPA4PIQt8AKFlaqa AL8xqhlN8hc/qt5t+Bz0NtP+xparqiNlCN9RnkESG3hg8UG671vIlC/rTjyFRmUI9cXvqpKxu5W8 HekLRgAkEm+HikQRvh3UyZUw8H9aqKhMFPnChIHWdWDZpxXxeRoK4Up7pLieIRkxsf5DABwnfz+K XoQGf/VkGFokB4AwMSxtkaYa6EKjn8Y/ySHulR0cf/j6OUVfW4f+Hw+1ogcyUX8OmhD2hMNXyPCV eIeRq1NwFQwrHuurFvyalJ+fCcPLEo+d50tYH0maMoC/AmuLiVukVM7oJeC2iLCDKHtx7PaVRuMe qqLEtClL3FPMeKhtdl6raene3hnw7kBKnsnEP465EZg+1IT0MoZ3fbL9ixnm1TMpmS5rvxw3Jlh0 XRXiarwCRbHs2JRRhHL084oOgu2VDEJZbidp4IAvJTwxxbgr3sIMzREYsAomYRuXtHjDQkj7FMIq EN1VxDn0ncjAPqvNnz2hxyPLnB3UJi+3OtL1+8Y9lRmgwpEun38HsFoDmrkokSRkdPPWCQ76PJa3 y8eRBkvzV1Vog6jBm2qfSz5lhj17cLlUxo51TqrUtm3tszfKqOMUIiYRnxZUtfLEhDPO6FqegrAv lxYCqeGBxbCUOI/Po03uWTIvqbmKD0ZvE5cuilC07AaP/Ze89ERgrCZwdJ/B6x1XS1q4XppJi3T2 6MGexPFauiVDFZ2hFZxhPDPpFHu8zVW4r/ThvhB0gAUYlhigXhm8urBnulh6SqrVYNdUfswJxbGO jA9RS1ugaDx58knJAO95soHHHCLS/7e3TWNZfTFAGBAUl9snMye3Sp2HzOlpD1IldqU6sa6emWZg cFOY+u7J1IZhNC9YsY3kBC5xg64+O5h2aCFic2VhgH78OO7KNI/odfyWC8NJeu0QcXvawAYB5akB IBR+p63Kgkm3hXfyG58Qep+/+sGIVTGhbsvBpMg6rrKZNU6BuODZWYXXxytYFF64j7Zwu1R8DXL3 6poKLMJXSRC3DMym0zJxapSHICjNg+5a27Ov1y9SoaE/T34/ZraHsaNRgNQB7KQ/pHsd0BiWamlh ZuYzS9n/x8/9oKlaSWl38rOOqXCyxrCKxA6z8kmzbEXPasTXnGZIvmSZlGuI4oV6G/CFmTtDqw3Y /8JJDwdEoUdJThEdwq8EBebda1QzSEz9a43kgznkmFkgHkJ5kAk7wNRkTUIdZwEnjKmAPtGaLlBL YINTFd3xccsemWXbgX6k1yTPedDOij6WMv9W9IoPoZk+tfBhUCyaqRxZYg7ExotOZ6O84/NRzzUU 727LeJxAVJQXoX0R8oIbC1+Qlp8uWcuTjdDKYsHhtm3siSNftg5J5qatAjU4UjsZ4w/QljD6+BYI XXzXauuP+lxqmm0jKlrV9PQZvdlnEFe/e6OZCsBo56yGU5VwQhqP57c5kLwWDKm5a2K/Bvp420wy 2UULUP8+ZRBCg4Mqx10ZzA0lT5c52QLFW9VMZLQZ0Uf5rq6zy8PyTAnvCmpOvPL7bgmH7g27beqc vb0gf2DaizssPbmFDpaizNT5hemkIv+N40sbFrv5Go/mlMGxEFBhCLMGUsx3dsjBAFAOLbzoXi40 HtNfAA2rheoZnkArX6UxOvrP5y8kYBd06fcBm8ui13H4BJWIk649oKMhm7XyiN6Mtv5uvngmVjYT EcZe1+jzFCnxdrxgIdrgcNIjx4Ew1qn/V6zCN2NarhkwoU1AKhGnH8kHlL1JRzumMF8MxBmLKlfG +fTFA0gcFSu9xF/m7LyGq2puHgUEm2yxl3qMRy1m+t8D/EHMKtQI4P0xL5JWkuDycslFW6+J2PGz UemCAyqNNVfCyFhMs9M5VxUZUtbAbCQRCoJ1RhZMwfwaI3BRzJ3xvM4uUlmYXrRphuY3zS7t2Vgy g+yXfFovg09zhcjLWx5QQX8gvDosqeESIvTj2pLIctn5ENCZyTKv/krYiVVpCecA9pWo+IQ73QgC L+qthRfqAp2TgMiFpGvkM9CYAnuzWiBuDZcgB3JIhCxylwPGzPfyglbQgxG7rg6Um3W6y1j/KZiF DT0uxd+vEHpfXQTDTcd0TVAc/iJA0ATclG68rC0Y29m3kZ5YV8sNSJsM5RHW4zWKkSDA6PrYyuiF 9qiiiehv173Y7Hx3nQ67CoadLYqqq54C/Paxtav1SlZJxSX2Gns82zsZcEG+8eSr/5Ucm4fSPUwi YJZQWI7vi3956oBB+b8l5JFxlxFXKt0Aa7Gb/esY7HifKHcXOFbLzbtjQgIsqpHMjyWZWqSdmcaA Nc4v8M89lEGcTBJa/OM6QD5hmgxleK05sArzIsWT1VUCaLyXQ7oWgT6K19RFwr/W54lrU62LZI0H 821KRzBXrwEzTdvSiTlWBdaOHvOW4N7LPgo7qX/TAoMm3O4odaqOGOQ9n1pC4nNysUgQAAP92vnr 0nInLE/NzYujNtOs67hvYpFGv6ZuclT736eAk3BorjAJ4ucWgTpe7BP/jW6HhDtCi3hjGmnvvBrj TvRe5tV1apcqnGHpM4ZYqC93po+YlRhwT+SZjFVRChjHNaN04nEmYuiOdDKn4Va5pFPdAJS1F1qW 6dwkm5Yegc1Zgj1/QJbqN23VXCfK/7oLlz3M1mD2RpejjB8CKGjudtmiPMRaR+4rl9WwUdxTwmnQ ylrWtLxiqPuvSOVrPIudeI7tILnS1QhLQA/fDtPSszhGOrM6b1GMx0dwhCNRDEtvHZW3SFAmnQR1 vJjorOOehp1vB6rrFZAGELpR50S6HHhjSVEULBjoGz7bJDVlm4FSwnsCl65Y8da7gTtTrt7hZQvA hbSP2y+F4l/7W1+9KlZWIV6ykGEVQm4+W4SAKZSSdXzK9PPavnz6jnXR+xXRqhFsQzQ0EP9K1i+I 6RGO1H8srJ1/k9N9C2UN8ygeUzqpyvh8zL7cc8mM5oHP4JjtZshawglzur/UrN4KkTT4RfX5y9Y4 JsB8mKiDxaNdnSPIYhmAP+MLdU05sRrtke4EfG4VXp+HQwUm4ynHHVVK3qGo+24j0T1NUdtJogok HRpKYvPhNtouFeOmnH3Et+flFJ/n5FI813LVywd6g43M+okVNt33e6L/nrH+HyMdcdj/puORA4ST aldxX0bpajlceULQx86VjcJGXFCj6pfHa6ziXsovFF/z9ICCfCJjZkSXZkBvMQIpv//82GVZKaHB wRISkt9jKA9aRtelkTuizuRVUzFIwShhvGv72KOC7NxSBxuhp1swqIKUAX3YbK1VP0tEvhFoGslN SSAngBOlED/B4wnj6vvZQnzbXwui2CiVLSOIPW+f/MXgmdzA+NF5yOOAIA7CZ4EqMHhK8q8Zg7Lk Oqhk2qrCp2ahc5Q6BVuDuxfNqw6vJ8ESRcYrylGt74jesAtMkK2aQcXkd2UZVEWMZ/iNaiU4RSUS 5jIL7GeoHyRzXXjrHNztlql7Ftwpf+a6Mn71mQ+URv/smoRQasYpMzWWUaEs+i1dZkLX0OyF4cPI /Qi+30RNEchM/9J7zI3wp4b8VZexT8a8DTRdied0T7R1Pj6YDcQXpVNXhsWuO/lET6O8bCCCjj8x 8bZ2jAR/pdhYt/WWpkfVURklPP5CJHB3azjhPMT6KdDglH+g9iNUNKseztOmVzKBWw249FHbFp/w F7pb8BMN7Kw/w+8e7bSlVQ4hxW1V8kNiQaN2Yj2QgbIn2ikC7mtH1ARadW3TOr5lmcMYk5TFZ3Tb ltDQyHK2nZBCmm+qSdrtDVsgTPgCr7tByMyKNA//tf7Cq5TvckjZZI2J0USg5bzsOGr0XvFtsuBH H9oKwEIxX9Eo3madoiko60htRNpAQrYxBk1i56Ce0JyOdoyRMwl3QLFTCVJIP0XfIj6OrandpnQg mKJ0Mu0lBHlVMMwLA+t2FuvDZyAYryFXGh4GfKu4LbFzG66Buz0EakSnOG7P+6lsP6m7S75HQn68 5H8ztFtfblgfFPH1L8eAtXbXgLh4qo4tfAD46BRybKVK4sZ6kP8nMeZ7zYQSqBR/ttWFUNYi+BCZ w+g3GB/SYabS7xhm9s761n6u2IfONskXXO4J8UeIUCFULArTCFCLz4vJfmhAZ0FVbBAXeWUiwkox 47/r8cmouUDx1lIpwhIxHao0/72uaWpN46Z67EF83fhZ4NZF5mCxqmcbgMBxg1UWRVxgJPw59j3H h0AmWYo6TXT5qrG1J2UXXA6rxzffWrO6VFIg76pHW+140b/KiHTVmE51IWKg0WPJssB69wq42S9I kpx/01Yn71+ncH97Z+OMQg6Lpdb0gWPvD8/imXDclVNpqudPpRPHnG6FAyRgEx/SQKM2fNyWL7rb 7UnvJCnRv5Fnd1kKojIdbKQMT/5jUG3A2j/lEJo4UXte/71exTSRLan0Xgfug9l5ts3IAoc8Keo/ puCLH2A2TNo2vWdEt87Rm4HOl5maEGguvopinX+KTvFI5i/WM9FcNhGGyhJKntcd4KYj4ASYZkTy LpzShZKTRYw9aQVuUjapCQvVmguZeQW+BeYL/ZTdc0dK4mOo0Z8clWppGpCOfbRGwre3c2IxRbk4 ww24BO7zK7rXBkwimKr10Yteu6Z5tHzi2FRqi6WsXvr8SldurXdmfLjHngD93Nh/qVEPrhUKsbDt P09KV/gNteScmczW0lgcqQxfrcEQhDzGLyVubLrGXY/SaDMVvFQbTC7PGf780ldAQTyijCt2oAjM wpT+WA3VQlHRWJVkc/YsbOQrie2uiFWEW2b+jPXyOQdRBmN8iurbmz5X3uTqLtmUL73E9Cd2ccmH T/n9EBZILFtOrXt6tlw60eSt9TOL5f5CECYg3HQ6o9TTv/4GClJletdNKtyPYmxQjOLyG1arbVVg FgdfIWevp2J5Czt6yho+yIJORMKN4O6KIssfU4eMcq6r6s5a1tp5nEJI7UiU4u3Pts9xTgccf2/j SO0lVsHaL3dtPR/Sf32ruM6w4ymvdTMFsVgMjU/179wIg1263STuRFpYs6WeDSXWGDcfLQeDBsz9 7731VPnbXfhvbzTq+eED+kqMHhaXct8k+0699CkqQjPgLzLh/xOn7vZCmibCpmqfOoWoP1jSrzYi 1B4UveBTMjRdokUW3HBiUfW0miabV0ZwebeXIVxPlDJ/UjoT4mS23U5jcPzR7JPYl/phefGn6McU YdzSksXg+4mhEpU9wJOhHB0JCvO86tGzo/u0Ov0Z2ibjZL3F2sbPyjGk+Gi+t8ob9TjMdbWNX78J 1hdNX+0gp3YvGNUaIqGbZ8eqDFgJY8vp/VOno5ogJT8XQIGFwATIZ2q8bCnqj4nIGO/EGzU9sF6b x5RqNEWGLCQn7NiPzeEkGC7mtGMmUPmGalWA66ifwwzXqof0AAApoA1++iIapRXHancnMrs+q9Tl FJgeacbWFf0STvQ3TGsjUsTApM4aLJakDuDrL1w2H5Q8xTE2xhkTubVjKoxgV8UjMoRMAl8iTsH3 Gq211M2V4KnteOr4zFhRWJgEBUkh2lHN0uFWhH21Tjcw8N9ZWAGpoh4/sH+poJZNvwJk/g+0dxUA M7KXwwHmyq3WDt+vhzz8wo4QVDXMct1gNlU7xP8RJtS3FHfm/2IFJ4bwY52YpkAGp34GGv6NNacz nwvAs+DPd3YLizeFTwEOxdpO9ZVZEH48c5f67EaeHx1wUPATvN96SknwWsFSkRiNUsgpt/PqnOZA hqRSHyMQT3Gh+Lx5M5WE9jp2dt01LiIjwh32+r8qEti8192Ld/MOG2cLl/ihFlLhfa3UAkXsBa7X UVWjTmNIdpoUJG3XagnuTPOKoD1UPk+XqiW57Z8DpKDcBA03V/eJU33pI/VwiyJg+5uusXvcN9Bt 3NOvCpLmsPSSVjM3QN1zyyq6tJR5toxLrT8O3APfi3xUTe0WuUu5gsxYfeldLVUSLLZgetbD2Qkq NTFXJkBA8WTqLL9/iheacx4jRPK6zcC7qhSVkQ8hg3fAEOtkNPVU+si3q1CYtzvHyKqO1zQcSY07 nTb8GnGjXXfogI5w8YWAtUCtIDwom5w459vQPd518DmBBnfQo2P0JkT+oqu5FFw9Awo8YncRsgZP Ru7RcqMz53zz8agEn4Hv3dON2y5vDI0ZUVr35vrArs+DtzANQC+qPtoLA2z4iq1ZjnDQLdK5dRoz S73YYMckyv05J6LJP1R5GcoLhdruPuSN/9uVGoYOnMehW8Wq5kRRRWlD4Oncd7QluQCtqhQjWeCL xe/axMBeWfDmVblC+I3rRcGiQVL2J7i+h2CZ1QaS6MqYxedEoQvj+PfOjgb9gXk+sRWyKDvjB1XY OAWsJDwt8prj761bNKktNjjLfQJgQ4sROdLowY6qULo34Z+xgri7VhxB0kjEXo3hzfPPhItAEqk6 kTSR6S3biTnT4h0BgWRTbqNQEryDLsThiJfyLqTKidVznhrbVdzqFhNo7USJRThvGC7c/vALodGu Izihyce4RbWChE1MOKcm55ZIiF7B+7cYKtHKNjomSndvUTBq82WxAARO8e57+6ptgB3GT5I9zjpc ZWN2JOdWx+E0lnz3+nRUXso+4WGwCkI9RW3Sr+TAF5FYpQ7c7R1bDyzRDnNsPwi7sYbAu31/pxCt G+dDc03wOCgG4r1q12rtuyPLd6EIRCh6mWqaRfO0Gk0kXLTNe7/h0YboLovJ8N3cyeoQphY4vaPP pABN3Sba0uTIX5IMpjkXEvcQs+Uk+Rp6tpdVwytABhNLt19hxk/i5dTo72tHytFdsH8nF2yRinnl QD7qlwTF0IUYWzNo8aLZbTsHve8bRWo/o1iLzvqldbLs3N9ep57cbQ9F0p7EIx+Am4AjnBkP5z1J VpStxfXWsLpiKRnVlLj2wOKV0rthluClGPUMtXKQhabORbQ30AoMTvL4nv/THb4+1Ley6jeCiM8n dt4Qry579vcKzgu6TFAzwLbMdRIB3IeHu5B1I8dCJ6MrE/UZZEpdHH+eIgIqf2U+HWLiboM7GJ+7 t21f7oQ435cIzbT/vAc5pug16jps5Jb1FGOQsXBWOv6pBZLiHoi1nan269vcIRzO1jrFN97qt8wx 1ZExTCRGrtgiTb7c7Z9soY2B0SAFbZcSGpoVnoy1RjuRVqbIpkCg9tF9Kr3KGo+CxjwAvrx7ADIf rq6VAf31hdRwqBD0yGiEBW+lyCDsC6llt2bWXkbqrWJWLcnoQTCu+rmpBd5WW++GEbYuH5qt2t+p P/AcSh8mcxidYKrQzsSGormO9TLwny/VO4Mp7xi3iE3GxUMuVmLjv/btGbf64/I058Zv7QevWNZ4 82B771ORfyewR+SJYpGU2KRtkY/Tkxc4lTSuJ66Av6sLgHIyYpO4lpWLX7LOt1i/iwea5Hg4fXFH 4S9yXmnu1wOP2TBXPB0JH9XxkVIW1jPuNdqBuCCbn7ExDbPrci0eeKX1JhD8+WHGPDqYBfUjiglG PYxwOYnQRdKdQsPD7Y1fVasim3M5fTpqfjfUTyLm2bj6Z4PDMe5opQsbs7sQoyLfiaTkNH4WQabn s5yLc+UzYv/UNN7IH355tSseT8RtBWuo0+Clm1zIEEcrlRlDsZo1RfOR95YSJBoYoFgFjYOr+Tpg ExldO1M9hGenARLYCOjsyoTIOQOTF4dGDMHwOhl8913mhiYY64qpNeq1w4AaBmpxeVaMyzFgoLUh CGGYkkPK2D5wIngrqM3YBj/roQ7JGsXGK0QVYAAfpIiQfIdgQ+LKR2gQe8IQprzSDJHzsxTGDqNT WTJo1anrKIB44ulyDR5lvSppro8ulOC2wpLwW3x1Ldask9+Wz0+LQO7l7iYOvEUbuQXIHjAOtw6B EeSEjqWBmPY41tKpFEO4xyiKuaUoB9KmXk0/C+UcrTbG3A50dW9zWdxZWB+G/EVBEJL52n4MLYKA eFB3Pfp1hYLINI6YMg8V3mvlTVTxxt0iRXgM5hx7ZvcizgPGdRrlpuZ/SK8AwcWkwaqKutAS4r3z pFZDw48Ph7G3T37rejimFDRGyuVpwLC/ubED39k0vlk97auTwNkikQYSMes7NoqgtiUZioSoDD4I DgwrcqyRvURJdgoRu2A/tAFtqm2psLxtDvGhjwVe4coRSrDdMTuJNRMTZHOTIH8oBiqRzUpAcUvZ 1eFIgPbR0s17YNP/W4TOCXfQ8euF5/bWvTU53JGBBTffVqGpPIrTkU+0zcz9GN//wE3Jr+4aMyig r9B6eJ912g1zNHqCL0I2aJtXw5JD7XOd2RN7HIpf9KgYRDPwHp7G12hRflq7MgNLwCE5u9SlOxsP +Mnu5ED+4g8YnQYIKyXoPtpjUFJxu+gbwYxghJ5GRCbRD/3BPmPv5tisXZGBMm14UBKfftEuF6Kg 0f1j7KLs+0zMosz2AmNleTgunxX+TnCJXos2BAAmcYf2LQCcqhh/gMzKwQ7FtJLu2+LqlQxzYrTO srjdSlHG95bc24fXXCRzfQAgtDGcCv4r/hWPQ04MSN5LZ0mZYJ6I0ubnwfFC3GDBz5xWNH1EyFu4 aX5neBs3kVQsWniFJapJqnMOLLSFQGjH6e9ATmp9hwCkNDtB3nTSKf/OJLeC1oBryw9JSJCTJeXk 8gD+PMdZ3vxyl89n5Imnhd9Z/VJ0t9gyZV2IqAhMt6GNhdTfPwpeUAB9zlWxhWDjtjcD8ujiPKzS VmdiLDMQptp0QZi+NmcZentj7Qc1daHaqZ3vxhXXgxpf3pX8KIMYOf2h/I/QfPtHZ0wdFqIUNz2O aoWk/oUO0+omgFfuWN45EelWJ1uMZgAkCOkLruKsOptkosGmkF5OcnCe64+nBzH3LLu7Q6PoBfP3 TWTCJSLg0fe1qzMxxdi9YMM/AtSlWlLEWFnbh9wQqAo4zWLWcNpqjp317IQidk0METMwV9fTmiwo HwM2j/QmhuOhwQKf0yFHVdkA5W8J/1OF/B/0ir9xKfQhrKG4/LDB4CoUD4mZwOInQriIOdo6wMdg E4H/Vad1JCrVqfJbfhx1pUk3cEbi5f8Nt15LusZMDJJY2YoFVQaZ32mvE3FvSzbYRQ5ydt4jxX4b M7rqZogHbr9MQj6zV5YhQ50QG0jvMedwh6mDtlxdgihs6SweCkNAufr4ADCMZxUKb63ZdC+PPVnn jwAw6oXOEN7m7HjivnohnjPbmADEWw/lbcHmqJOzPJ+sN/BTKs9bCTMFzATVJwDaeQ5oVvirRy/P Oj3uKVzmx1xl9G4PRGreAFtcm2JTvl4q/90+XY1MkNQFLkMBhzZvjZiwGLAAZxeD/rwlkwyNaGe4 FDexiou+Ajm7NqA8G6I3dFYHrKJOUXNeB6TQK+5n0rkhsfmfdNRG4TqulMYc5MPR4rYhom8AZOOW d8eC73S/4cAG+XLTvp5iLcNrY58jj1e9HfIx2qNRImzQlqB15adwTCTh5odIMuJyfzbc08svRg37 th+G8GSqGGN4yvcrYvG0FCe8vdFSD+6gJ1n7AoWMXx/URzyKK+RXrOR7G2RfSYEvEz8lXSlLyK4c JHbaboY/93mdzciljhwzQSU2/IYLIx1UM7ehQX5bc028PewNv34lVycm7CDZTHc+CFSJsCOAPV2H zmnLFValI4p1vJMGRMogqY3SEgUvrSLi/4XSeUToRvKXmz4FOQnAZ9KV4nwS6Z/OfvlDkD41wurh iRo3EKNwr+9q+5NYCPk4tpISw9dm7ws/6E70oIvVwV5gbdXiDbqDKKjSma2orHBm1dejzm6Y5HFs QndIuseqaTWo6PONTaOJ3V5X/c54TjONa6QpdArUMLxZ64Pb+nWttM8RIGi+R0UllB5fPvFPmI5x AgUehJnQ98ZM2gXQeI1Pth3FDajENKd8dVfumnaB4QPCgg4FB94Gf4l7nMKDLzK01usUInwwxevy I5Lr2KEGFCI4YmfbPJDfgXWFUVm2qmPUwOapd5ZI4T3+vYaLtHqF9P+daD6dwMwV0G0RrFJ/ldaO BPn7hILjWr2vuDOHynFZhove/alDIPGokgk2PuQUYF+ewnJ7Qfh+xRoC2UMAb4EBhxc/gnF5GRbK LtGS5V+pSXHK0jC5rQPk3FgRNB690iKrpgjQh5x0gXltv8nOmCaTa6AEZiloStRl8VgDRBUZCnTO dlNs8E19422VUjqQ6j5ubAEeGck3GXw+cSMMyCfpy9oPxskhwBWgdRXxYo4MOAPzvP+49zSX1CXO wAtEB0Mpb4P9cRUS7tEp44rn2O2ahS4limP0J6CRQcu2d8A/y0bM+jtSwgngl8SLntSJsGq8mmWA qcWSDboHo+rJqn7ZqiBDXHUkU8AmloqPenRjlXOZmrr9+iqmUSMDmSHeHlSg4Ex0X/P9G0WwI0BA YvgUO1MkyqQNUL4jo9k7Bblo3ewYe3Z0oMrGhPpBJm80fQswFhj+qoVyhUzjy7dRpQwl5K83UaZH rx0jwBSK5r9pHfhkBBrxqfMwgcsVuA+RpMCijaYecAEddQW8LmOXFIyWMmFE0gUPXxX9JngDHCnw aPGwzySPw3UsL/3r2FlMiMpkDtT5BaM0c5LK8vrT01545N9ZvjCf+PkYY8zx4jjnGuWvNgsxGePL Z+EM/0f61BpAhA5L9k2GochHBkdKHXVKG1fdYaLYQEzYPH9k+EoxhDQXcB2dhVh66SVeu1axGyGE POY+hHCQZeJ24XoLTsVyjTyEyXD8MjPcOaPT7Mo5o/lZbPFlmbbwjjwyhGlGF+x95zHY1ZCX+RQj 1vQQsbgZMNgUPaozMDFkn2J7bP+dw9aBlrZv4pN5Vj5ZegER6H+9PIe92iFnCZ6VbERajDqB4bNK KY8V6k7FUWTHLofKivFeck8A64HsEshlZlDJGiFc5FizFWd3/6oXy/f4yl1Hr8vBSDyVRio3SUuz b0SlJqEtv/D5/t4zZ7StO/0R1nQgS5Bt5jE2ruwRjfrnnUDpHr4nhAZ1qpTsk7Y04ww9bnmo7Zg+ rQ5u0h3eCGCGj9xdxXp2cLKlLm4NYPriLwhyeSTmFPR02xVDzMYESdApHY4fJSNPHvOtA+P48vhm P9e9nXHrxf3W4hLIaiL7kQNc7NkslvNf5l4+ID82aoFisB/w14KwxS8jUfPi1d8hemXX2ypyFx8Y Pmob103QUBo2XGmMF5NLxuMG2PP0xoXsX2Ke/mlABK3ZiovhjbWAvEycCZdNPEk0HQKroyy+Zix2 nnU6KHTafC91scZiUEzZHlKaMYTxqmURyMRkcQOhEmeXV9KFiWcHgC94hGI10Zf2vX1Sn4RdgALA QrqGpI9o7fMdqXXv1MK/tbVsjglCjz1OLyoZ4yzb1i0uRMw0vrctPdexZmneqqrQ8i1xMff4lW/F ZIP5UjA/ybGw9VqEpDLQfB+Hh1vhTjROgmG/6h/mtrMDuiOuUSrhv4H6r+zmNhfapD2+xxNHPb27 pApI6/a3ogPzHBSmUuhim5YvpZpegxZcDdaMk4h7fRh4TNF0HmVoO+BDcz3Gctd4h/cgmbjUyh5B y2p7hEikX7wjQCMkido+NHqS0wjPIabMYotkBfD7N/AOr/8fIMEIOXPLQYWxEafxNHsunKi4RdSv M79VcgEkkbyXY32enr4cjC283hgxxjZV/Dwdf0kfOTIlbDBuBLWLjG9+l3TgFMUUk1AbdziKLKvl Q7ZWjJZBw0Y1MED2/hikrLRrpALuEvniNKwAwnffmQtqVEy3OGm2kvbITn0dSPXGHFDFSKtAwnyu KOw3DxcDitQyJ18GJQy/BzYRJ+XIcbEDEbonKN0BDfgOwcaHwcyYNYe7X0Uq2iSBTUwPEqnZALDI HNQfqLUQgfoQVGfBJr0+XjWTuzc4kzfsWIzZ7mfv+SUQmCcTK3IUkU4xuudcM4oyFKlsGoCV2qbE 1uzosjU6En/sOAMUMHtmUy/bSnX9ejqEhRDQcVOels0npxzN6afdVf0YkAu6HAlX5VSOEH4V7Vnu 5gd/p4m4msiaPhxb8RjmErN16YCUojTvsZRwSIwYzos6RGIJyEYAxa+d/PAgEbK+FPr/WTGjvZ9o z42JFfGywwRsc6oLaXdT5fcPq6XWlQUePF7WYIK65i+riMDDMpCBQE7hoqtQmSbQE+YDuSOw2I9r 9SAyGs+E5tzK8DtxBvki85fiqWoCz6Ds4pXi/lCkAIMbO64M5F+ac1FN4PZ26+NfH8chGx31C1ao q0HZq06bHsB2nakCKfCbq98oadRoAa4gpyFvDOku0GFjCjHU2tUOqXsRVqdzHWEJxIJEAM89l0pm V3WKE5lfxmnfoSgYnBQ7Jx7K1uewEDaVFiOMALY1zC+FJ0nED3BtYvZnKlzlCtQn3RpFXN7uGCqg GL3JWHGPz1JaHzvWVQmGpUREsQHX0+a6WNLhRMhQcBnPIjl+QUOsK/LGU9bvMhAO7lqQE4LHs12u Q6h7voyg0ctX9+3SWR/FE/jt1W4b9kiv9JdU0TO2i8QDsxGWqmRPK53VcKWhir8EW4QJ3Not3VmW /uuBwLVEq3UtoTW9vW3o23YzOq/v4bNAyb+QACsk5koq1Fjseo0v4vVsyYj3QIO1L+/IMKCoqc2h 6JwGOQTfEFSLddnmOTLHitT7oLaJ8kDU3mbluS4kJyXkje3cWstCAKyCT2R8idzpD3lWbz7GoRFR F5ZacyrvsPJfeHoR5O53xBlfilK8LeHR5XYM6Ztdj62I9j0TZnhttgWnV5ojVYb6ZIRd4pBph6+Y N+JuudWRlG3DRbubZHdEArrAulUkTC78u9YoLabh2/KPXE5FWXCveEosBesFZWvgfGGG0oPZK0GT mR27pwHonEk67nVKXKppdx/CUqHSnq4izUAmslH1/RzsYEdPdCc5+98bpThIN7IX4mAyN57C2Os5 mtPFHZpJlfW5MooAH9skBZZrO4Sexm2xDj0VfoN8T6n/uXD+DcE0vRdTp2n2i9EijNgyWh6msPKv IaPaKXlgy9LXym2FaO3AKAzdGNihiMJ/whuVpF5KU53ebMzrUAl3635K+HYp+RsL2WNOxzE0GBSq VqbzQWaShx+ygkW3gcos3FNjy3DOjvmemPZCC2VhDV0qcF56ZGf4Kmuf+UDGaNqQpHV1tf/CA374 OgZUDvmx4eZsSU79fX5N0jJpJP7qBVf/RuKmqBWMYiyWC6FzLx0/SWmyvOhIlzD8iugYW5PiJ9K0 IztLgE2Vkg3h/gH/oaAcSUoom6tSSYb99NviKvcGtCy1A6yDl6ylN+VkrAFRI3VjdOkR1pF8H4OM ce0BdZ+1przah/ebDglJkKuca8Koj4iXokSivKTKEY+V0RUMkRWsEeWkY+dAtSXgxzIzU9RC1A0d XSzhK8E/ITaKvLBmNMA5DpsXI4RsA9eAVGD8wkroHhuNjnpesuSCULOVT8yHhyi6EkmZL8mwFovo wvFFX61ibLjo6pteqrRVjDfyf1broOhWgeO7hFR1B5xR4dEkvTjgruCWKCJUxDQsPYTVRGCrgKQN /5s18L25CVbkQsX03qUObxjt5z0wpDlBuHowDOesJpW1h9BBdDWptctCVkZoIhbzafewEKBVc6P3 SeDm3anxE+Ks7d35EHvzFEfOJe+PM8PENzihqvj4oQSh5CvWrJLWwCkrhdoaxmRdQ92TK2wNlOAS uYx9Uq9rz3DyowsBKqWOnT5EzmYMMh9JDjxgpwVoGrOEKd92X5le24zLC4xuM/s7EsV8DOqnTW3Y jNsGHeO73n15Jy1nJ2bS099YkAeJO0HUobzrf33ICPkmz54V6CPr+0t2ISQ7bbq8AwkX/7rDysK0 00ve/MdllAUkUP3yoFn5lWkhaWP5M+jtc+3BFA3ej4qqE6yikK0bJQH3oKqC6xupEInDjI4LxbzA 5B5FQzDJ72us1Hyg7bLV+c7JzkSJDqmCNdEB32pk0thl6bxzEpqGWxk/EzIrhDHoQtXwFxfzZnCj gzr2tMdof/FXI1HOL6gp4QPtEb1Bz/4WBVPnlefXO0KDvnb6qQORGnd0PTcne63If+lOxuqPImwL OjEKYSLocghOGI3JnYRYsewXo04tymyDNkAU/KYpdHZE/IuQy6KrlpJgLjw7IZFS+uIelFeDOEZB LYIU2nr4ezsk+AyFwSJLIdtgjeeS1uMrz1k4TiXJkqaUXuRqdaa/MvtQx3ELHaseylvJLhQm0d2j vLOWvVmRoqtw4PVHNB1ivK1cYq232BSNWWv31zHMF3qGU3VcyHSK7xRn0Ut+m94apxt4FKYRBV/b /LUI97sHS/h5X6l7oY3uQfmo+w0WOH4ubv8oo7ZEHu+/9PE+OHIxFgOvFKHxti9an/XrZ0S3erHp jke8NY0jKfL07wuki1kT7LT0/EIlrvHR+QygypMpuJd5Dt9py0Hz5am8Y8zDdNuY41kXE8E3yFPG nSin8RPLStEp3AVjOjMWm6zkUj/cc/o1RwWrh3ZFDJPEACncK9eBJ508fer8fY6MTw1Av30qcr61 LgUzELyniaoswW7HoFoJ6Kr2Gb8kPNlntZ2kJwDsXfdHz43i6N2JGS0IIKZ/PB+gBFqS1FNcwKH+ WNeDkvoyijbpw5yk2NaW8cscaFTti6mvKo+b94vD0fifEerQ1DqjRrrbQ8yavoJHwIoLI7ftcRG3 I9guyqC9yzGHB0cDFMaan1fXsd3nDFtW7IgVq9cv1u61MXX5CazM+2c4Mr4OCLdmPgb5tp6qlvK/ 2sdxqmCbTDRt07pPhRr4D1fyZsgU4IR5xaLEcL22/F/5aGgq82Ksnf2Xjy8hYyeZe77krQumrT+8 j7jgI6cfLORkpbWqnrBNWv4mhag6Z/DCakcl+l+ELla24fmKXqjKf+eaLlkEzVljBdy6R9UY9yEy pqbF7+1xMN/HEJycChFxxNTufDuPbMZ154m3vKzfzdCACzbosQkU7NEjF63lCsxtPauXZ4fteq+n nTroSzMYcfOBosrQis4MagOte4Sg6DT359bqG+6+ldkOb8+PFCdFcFKc+RkXTUS8870sjbCdqWEG RD33gjS8UPaw0ZdIwcQ8t2ZlWMy7ePTWBi8iEPLMCuruJA5sOQ5WnPByfWPzklboyDdHVxtmaQDz RD6J1PU6T/rvx+hL22VlCHYA6aZ5c7QqNGxrsRDnR/5JCxACQEINgTovx9Qr89V8/7KRvzOFVz+1 9MKHibkWx/Vl3oy2jsmRoQpNJXvCupCywDwomtWxmJW8+4bv1KNQg2P7jsY4vx9TxLpbtvlRtCFN 0N8kgiE1X/gd9CPl+FvGrS0F9cUr6IoT5EM0GGRHB9vgUiDp/4TPtjUb3i0XIuAKDpwC3RjAxgC5 oPYMrhzCaHWnyhejI8skHPMBf8Dgci4YLmfOq9Nz4Uf61eDJIGRQd76YgEU2zlWcDzJgZaG3fci2 pTMbruLjnDFcRYhJn75Frqokc092+3kw5SpiPNJwU1O1X3MY9mqkBGKrp0J8i9RGh/lvG5s/kUa1 VEDVuoDGahf1BY4N2FDvkKzvWPzzZOzBJsm6GTCHOVCXH7L4VmgypsdS75iMBoi66x3WkhjzZmMu 78Tw89pmecKXpP0/KkbgQUrSiXssxr0I80z3cImOl7dDdx9BC9gXZLcaAabsoqCZRL3/9AMAKKO7 d6JAD94fY00NG0dx5sPJLJX6HZNMwSywBYsZtS4N51dGXJ/4rygpbm0GmhiLcaUxFdnaduPEMuMd pH+9NscAE5TaG3pNGROJFf7S6945YGZnbhwTUcWXqWPjBRvlwWDY4+Ugv/7ZmwT200BecMMOQ3d4 gigg3FFlTVKEtrMe0Ul0iNN1pkneIxdXo74hXz+g6pctGJht21esyqKNkWXSp0kG67iC6RzOIgNS M94M4gimJPL/9ZSXjn4SjA/Nm0ftTz/RbYoZkzg9SwiTWeBmRYLH9eCGcj7bHc0HHOFbdj6D+nTD sZP1AAI8n8xOaLQROjZgEougOgUzv1wytQdbD4kP4vCAujNfwRwKDfF5nL1Ly0ciF34qynZ8RDEe AvgLhHqYaS9Q53+g243Vm0tM/2SNzAX7dmf3xXbuYX9vgGAW2mV6DFtjiLTBGSTqhJavh3UNofS2 CzdujXgXOJtiPYkJSCTqU7uTveLgAn6Mi7J7WoFp+8KfRLivwyZyMTsXMFSe1LsejDSBb5235RRF 7hqQelhe7egMXBu0HUKZRkI958R3B7yy13YAGy7+KqW9TchKUkRjknW74LpEK9lrwoNghGMainIu 6ioX4F0GynkmRZVGX/MV0D6SQxUogKC2ehPGPhTi53C1H/mpSOQ1GMLIIjj7fIYQigA4qIdDAPR4 wDZ1d7aF8XbY125Gqosc6NrQYwEcpcGNFArWa+5PmiBZG3bigZOwALLfqFfcFbplnjZfcxcaZtef ViuCVgrF8n4g2TJA9ovbR/yxOkgLK0zRH2mZN/4BJBD5dcJXEuhdYpdbZSihduzg4twMwbiPbIM8 xdrsgmtmyrX6erToIr4i6hjtXdY5EHkNoKMCBMU0NuHsQeTGvL+bjC+U7QfzAdSFV8G3GB1ePsyb 9aMwkyqUmON1ECN59kCa+IaWhLrwlwLFZLoSGdzFc/6JvALbjZRwDh4H5VLaQxDIVaKh/cqTx1jA KVycMO2hAKlQMoI7mF5/dXbT8+4HkYWcBS1n8i8y9wTJDWmyJ16tEWaCk2oW2vuy45nGx47lE2+X qTL7jvSAivdY5gnNXwdSLK7FR2XqBomxc6O04oInSB0wt3uxw/HjFqA4Oi5LAsR34OJBCujF+Np6 wtTHYeLUSFMyqL9BbuW2PUbgbFSjKDnperf7EOL7oUrq2tK1XaHw5/8J9o4uljfqOipq8VfdkApu bhFUFclttsgaygZ9f9e6Jv1rS+/FMv3dlswEQ72s44XoRtxRtwyV/DUyxToR6EWJlichpn/qzs1S +fa5bE4b6BV+ro3SyxtqpjxhB39v+QZTFgiM9mPubz2TKHV7ccIsfhVvRfM/Qz26Q8FNmAAklo0k lQXq9Ecj/Pe1wdsJh3iWf7r7NF7v/EgpCOjyQieygyX33iWxDhiJp0t/iCOwMyPWw68xrHgpUQrs Z0dSRki0Qp0fyLp+Z3kliOe3xLm7HIfk/GMKDvW05LyrTqLVMpUv9dQphnPRUFBx+4yXmmnvIN2z Ok6h1Y3cpariiNIOXKW/h9tCg6y0e/5KIEpAF+b8nCJXiyRGLfsYTYMuPgk6MdBk7Km7yP5xI/dI 03Md+nv5PBfFyzPsm48FLC+HgYXtEjdqZEYbvyn/72H7Cl8M4lXEGLYtI1m7osUtDDLgfFXfzzsB AkaLz0XxaUZWooolE5KdYTvgpFXFRNwU9hToGWEON5KnEtjitB/Zu3VD0v1vgROdPTnptPTVVrCL Da9MZ+GkwB2GYbllY0CdLSTgJqeXUIgl2PxQMmEgJQ0oeHBMPqkyhFnbAHRYpLX2b5/l7D89o/v7 9BmKehq4eAfw1WMAdwj+hw4DTLcyQnjoycK1Uk5yiJ+Uc9Pbkkkqn1aWB5aw8yQwlIzHC7fT6vIs 2v2W5NvzmruHwjHSS9lw/sFYKRUN04ZorkObKk8U8FdUEfcwFyzB4XQkvhQ3TBwKMLoLxHjX39bW Tk3XHEGzL9DkDtzsHErRoYWKzkAUU8wtMkUGgZ/3KpBYIwHhSks8G6temNazdsVHaZataua8irOT t8yY52XmgG/eN6i/GOagj+tdlO0Zq5uaLr2SJySrC1fv/CwnvC5xqe51AzRbqiwLIAD+pnyj8H7h 8KPU5DHMemNgN66EF2gQLt5uy1K2zjsuSYdQaRxRdWeSpgIKbnI/9KwFPDYYUuWkpPXa2wTH1C5O JzLh91CkqJ+j/2qP/hc7gh5HnieJ9Hxl1j1l0YJpVK6F9jIpu7TDE7aRB1Th8HMf1y8Lh65FKyyw 1BmKnXT7iGTne8xIzfhRXjcQH00cEo0iADHPQMxkwtYKR0Og2cPvnKBvxFJ3YQjzlwAZ+EqtxkR5 rLEL42BHladSO+0i7JvuPb4qbXkr8LquzGjYJ15rIiU0RmryK1rySl2oYozIE7L85znkw6x5wkw2 QekZCKSsy2InbFcYclJGiJVocM9FeIiTar+pYKnyKovNM8vLnl/drl3emLVhRtX1Tcf9EkyJuooQ QiTO1tmhBcHiLxfHQR3w9WpmWzmo91/CZEiXvf28/CTgDLd840BPXkZ/6DM3b/9AwTQNd4gIU6Ze auT/Ixa0Gqi90PzCg+5zyh6N0f5Ufgsu5JTA/ZaXDaezF79pdOrTpMIXCLyBTbpDChqOETaCEe03 6MsMyrcd6UvuwqP0eZne5MTtcJ2/qLm86jzY1O2rMKgQfUuwVhKwQCpm2kZSz9jtolTliNFDW723 6yftNjOpctX+yQc6jushQWuV+m7oRIGWBucdhKy7X8eKWdDU8bJCWvz0g6zgZ+Ezz9K0OAMCoVOf K6VSjsePScI63wk2aTJQ8QfpCnEWw1rjLaod1jP6G09LNgeegbCJ6DPghGytrCEKWCAOhqvTOsLJ 4n6IeIVH/PCZQpHWpkWXRgSYe9XUgNp8NNcKAs8y034EYhLwcWalNZ9vPxeFm/00ubUxVL1Rs0GO f562nench9g5QmH2ZiOb9C5XQlffh2IgaknQ3gMNQjXiUJ9MaaUsyUu6ol0DPhTOpMjFLoJR6nc7 RUKZQBmyIHiyWfy0XXJipegCE/KuGDzTTK9xUwo5EVVaK0k0y0kWN8ik+P5tQWCwbr0FM1WzogNg zuY/w2XKTjTn5JjaPAaOXAE/4xRXPigle0Hhi1ALzJmBd0ptgj4Ku8DPZJT6vb9OPVQJYtgfxj5a cD/9MZS4Pz++YWVMI3GMUR87/cFxPwL5QRZUQwnq09q+42/tqjbzkdNjgjVIDDV8PWEG/c+Mb65b vNsVKMZRXfLQ0mzVPB9Lzc/wY/9q3bHD13ScYWm3O7KShyq7vE0OPpIIHlTPZOeXvf91530GTHtZ cD13xiz7nzDdvjbVlp0HqzA7lcrz4kG851++YV32SO+egYzYY8cjdO5m5+VdnW/whjuP+YFIUwFq p6T3sKbYNgUYFhQUCBlmCKSSl00eZd0AD4Jz1ZdlpzAoqHgFvQUXRiih2QJoBTNUDShq+fauhi6n 5siep2Xgg6DOHnR2hdY9AfJBR0BxdDT4TRPngFxDOH0QrJgVDVhj9JQfPom8MeuaRGx/N34SsJMn KQvlZqGoqmobFwB/GnYMZ/mJxlIoOBTJczOg5rep8KWIzYqwlqSJdvYP3vYskunzzZghfJM4jqrx h0z8DNEkUD+/vIo7harhbMt3C3ADMzmkBmMQRCmQJvaKs5cObM0BJafJnQSeajRcrElVu3TGEQrv 4mM+I4wWp9nrmqWutlxnf1YzzuS9PDx1ciLKWSwxdxzDnFkYbe0oBP9xJvkij/BnK8DWdHCkU4rh yQWgUQnNG8x3k0uNXZqZ9IUYcqPf98zw9ENpDBskEnl8JSk1EHRPWZvonA3BVY0ohBhPSdf3dZfy NrRPLFy4gInZLWCuT2okuEFD9hOwy7S/nvRTJFw9lX4+nX1zeU0BoPszPqECRtBNSYBbB8Zyk9/q ccEOFVz7mCM9Z0NL1MXd6+A1b0tuwBfWWuI3kbLfcEczfBrHazPZvhwBdmBhoheKCcNk7cTqGGMA ISr+PanuPkGoz5cHp3A7YmP4r1jRpEKIsjXxGTHDwvoShNsDyPsBS0+Z5kXHA7pRSOndubLnIoe0 ndvphR/2btJn2WPEWasdvjgel41qC92qa+bDRedXP+SMc4T0KkbTfnYdIkKE+jmLyQ2aZCZ6LTmB Chpu87PIzdt8Y+oxy6si `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c Y2O4fk1xOw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV FIedseAJGSJjdgeT43M= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0 dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc mYqTUQDFFlehrx6Wh0E= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8 SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16944) `protect data_block PKlpisMKFINH4hoELw81Ae+vpIr0xr/BIQZISQh02QmAYRngfWchi+A+2gXJ0ErM+PWm3fbvLHaf UADT/opvnHMCrmwuOuQX48J/a1y0sztlHgsA7XTu3se9+qgRV127obwgzAH3seijk6T4T83+BIiM dqBiuzcvDODvMXOytGyBvxHFJhnBdeLNFVjuAEwQtXx+NLvW9Ik+HNBAm4k0Ad0dETSB7ORWmkDR DRXoKuxvt1m91hXPGm9W+J1jmzaV1zO8uUexlaqHpOLLfSrTib0UPUdyYV9lyHthm4ScBEp3XGP3 evqHI/uPPFhq9kZ1kFlKWVz7eFgL3Gj8HYNAj7CQvC3VoPY3iYi0eWFn4B9KULjtlTPCL7lxAEVh y/HyuNA1Oqr/wX1WT1MAj4YG0pcjisW2p/0FOHW36w9xWnk+sKJ/HcbPK89eKRs/MAhVny8IPYP6 W3Qw6R+Zv+LpSWdgj0wCTxeLPOXxmnB1Er2Qm7gVAmJ8vOC+vlVG3+jv3hDCn6AOgp7UWB3jEJ5w rH9dnr+bT5vDes0mY/Kcp9yT6oxwEBiyH9z+7hXSjX+dxZqULx5LNw0ZDwJdYS0N/QnxP0ruo2i+ Ov7jSBcMSp2j/B6J0fPhmGy6cIgD+0Da/7MTlyndn4HhckuYAP98SoMxPvgkZhymAE2oMKUGXpII W/bYfjvLNpPhMrYr/oQIFB0zD5waMwoQc95QzmLsC7O0SdbLckIK4KNVOWJM/rWubIX88LKMeZGS A0K6MDVwTnuKjfw9SuZiEW2ypIeB2yDghJB7SZVxvT/B0ysnlXn5IteKiq3gFGpcc74T91WjJzxB m7a24wdGw7uaEvir7moo95/jVJWFoCvN8fRDsFU3H1BRXOQsAzo2G9Os31l9Kw5dxbjaXCQ5kH4X ouBs8j8ISD66qV/Wu+h72DWmIjt4fHDJBg5WZ2K+/8Zti7a+ZIYYqGHaLL6g80786GwJa7JsFieC yxzHAv58octT7IRd4hzu+/Z28gWq8FFMNJbZpYhKAdnTd121+JRAHQ6UDAiBwm4sPtoeqBv45Ck3 ienXfT9FCUKKDea6xZNjHZvdJCvdfACsObHt+MBAcMZyPKQUkUsvbg+sqg2P5yJUnu1MNLd9CfL0 zEAmAJJY7VHMc9wwZNxOoL4pvjrGyPfRgolYKZkm3wORL58QSIaDGDXPgr1VAybWA9njfdm9SYvD uXCfhVaw95H63W9cLBH/O4igKXbWAfJmfxfEquZM7IG6yHccsGd/7o+vK1tsabFhCxasUzE+p31F Rqd1D7Nu3y0KTb9aAB6G6NAlkxrVLCgkuK4NR9Y/9gqjw0PDfUJMCH6gNth2AxzWI/1wgXkua7E7 dwNt9gVD0jnDJO4VRpdUvVU0wy/jIK2icBoNsi65YzvPikKsSeVM4qKqrV+i/Dad76aEkGqzk6XO 4RCRKbXPp4rcTE9uA8N3AKxnxqphZ1n9+mL5/VrS5bccdGxBB2lVnDlBot+xbJrfOlt8MsJfyqAo EB+Fg9fRdHjaCDdFa3zpaiFctQ3OlOQ0a08WUKYAw98bIlSlLpvtVd6QDJ1cIXTXexBde1yoJ+jA o1DsBnghjlYkhEj6twP5GGNVqDs6AWHLV0oXKD7nQfZNN163CKmHAd68Ix0GgXud400bGE2HSKpM u1R4tNNuhxv5QbK0INb3vSb2gM0lTW/w9X9zuR+StP6iI8tJFbujs/FM4rnsdh8OQRGxNlcUnEuz JETGZmWxKRxjFUVrwanBii3C7u63NGC4t8P0vATZ/AEjIDIe+aFpDS1YtAFWRjkFPhVNSK0vnHJC suneSPCcXAUlgXNAzYnM5ZzV+/MbybbpynV6TTyfOZl6jt7icCgZPwFRDK/MXR1KMdhNgtARvPnF MPYv+dXtwm42DJoTAAmYvv3bC45GStIcljpr3jRn5up4DG0RqOL8VhuV2quh2lHnOw/hgdX1fcKE P9+6dTmDC5wMO4dF0PRuaRjK/YtoDHgsoc6HeGZoX6HmGwDyG86pwDK7ctGjkYbqFP4QvJxbVD9D kvJ4fHdxOp/omtLLhd/KWQX6YMHAh435bflhtEA8IfL8DQVrPfXDFeeiI2N9To7sJPJp9++AFE7W ikTbb2Njutht+9AHN7h/aPeiSYrpvTnc9XD2SXomiGNEWD4nvvsgNnJHNu5J79mHpIKbVHxd8TL0 NnBOXlJfw0btblrNL38otJIQ4UyYYXub+DSnVKIyrpk5yoPk3CjXKdv5iHF/oHCrGOVHkVxNTZFj cZfMQFTpxGazLi0O8qFEBi87+2iV8Q2HxWT9aVpCMmBmBHa5wogg9iEP/9GrUIoKEsgZU7mPR8hl JwDnfm4Cb3ZmfKeXM/Fus0q12L0nNcNTnUB0Idp4KZq4PyAirOioZyE9GDnKhT4vkngcsUauPYPv C4EDeveltUzBmE4Ksh9w/BvE7eDIWhKiDlxLN3Yz6W3sGOZvP1fixzGaqkf9JP3R0PbAbyMLkZe9 wJPJUyWq0Agt18/f5goXRqlJVMTdzhbslM2qX2rdwhbHgOA8M4wn87kkV3nvFI4qt7yvIi1WlhUg o3N0wPpLMvqatoSQHkK1dYe0bU7CPkzdde6V92a7bAlbl0wAjp3McRTQzGdQRJVi/iqGJQGJkmzq a0+kxcCdeoy8EhWZ+4PhROOIyoxGyMBUc/GayiVk5jknJHSDUdkjoD03vWD+pW2B7cNTfDLfKE+v K2gU4/yQASmYygb8Z3/pNDZO4ZvmT1zeNLpZKSrmqS8YhSacTCatpQp3q1mILPA4PIQt8AKFlaqa AL8xqhlN8hc/qt5t+Bz0NtP+xparqiNlCN9RnkESG3hg8UG671vIlC/rTjyFRmUI9cXvqpKxu5W8 HekLRgAkEm+HikQRvh3UyZUw8H9aqKhMFPnChIHWdWDZpxXxeRoK4Up7pLieIRkxsf5DABwnfz+K XoQGf/VkGFokB4AwMSxtkaYa6EKjn8Y/ySHulR0cf/j6OUVfW4f+Hw+1ogcyUX8OmhD2hMNXyPCV eIeRq1NwFQwrHuurFvyalJ+fCcPLEo+d50tYH0maMoC/AmuLiVukVM7oJeC2iLCDKHtx7PaVRuMe qqLEtClL3FPMeKhtdl6raene3hnw7kBKnsnEP465EZg+1IT0MoZ3fbL9ixnm1TMpmS5rvxw3Jlh0 XRXiarwCRbHs2JRRhHL084oOgu2VDEJZbidp4IAvJTwxxbgr3sIMzREYsAomYRuXtHjDQkj7FMIq EN1VxDn0ncjAPqvNnz2hxyPLnB3UJi+3OtL1+8Y9lRmgwpEun38HsFoDmrkokSRkdPPWCQ76PJa3 y8eRBkvzV1Vog6jBm2qfSz5lhj17cLlUxo51TqrUtm3tszfKqOMUIiYRnxZUtfLEhDPO6FqegrAv lxYCqeGBxbCUOI/Po03uWTIvqbmKD0ZvE5cuilC07AaP/Ze89ERgrCZwdJ/B6x1XS1q4XppJi3T2 6MGexPFauiVDFZ2hFZxhPDPpFHu8zVW4r/ThvhB0gAUYlhigXhm8urBnulh6SqrVYNdUfswJxbGO jA9RS1ugaDx58knJAO95soHHHCLS/7e3TWNZfTFAGBAUl9snMye3Sp2HzOlpD1IldqU6sa6emWZg cFOY+u7J1IZhNC9YsY3kBC5xg64+O5h2aCFic2VhgH78OO7KNI/odfyWC8NJeu0QcXvawAYB5akB IBR+p63Kgkm3hXfyG58Qep+/+sGIVTGhbsvBpMg6rrKZNU6BuODZWYXXxytYFF64j7Zwu1R8DXL3 6poKLMJXSRC3DMym0zJxapSHICjNg+5a27Ov1y9SoaE/T34/ZraHsaNRgNQB7KQ/pHsd0BiWamlh ZuYzS9n/x8/9oKlaSWl38rOOqXCyxrCKxA6z8kmzbEXPasTXnGZIvmSZlGuI4oV6G/CFmTtDqw3Y /8JJDwdEoUdJThEdwq8EBebda1QzSEz9a43kgznkmFkgHkJ5kAk7wNRkTUIdZwEnjKmAPtGaLlBL YINTFd3xccsemWXbgX6k1yTPedDOij6WMv9W9IoPoZk+tfBhUCyaqRxZYg7ExotOZ6O84/NRzzUU 727LeJxAVJQXoX0R8oIbC1+Qlp8uWcuTjdDKYsHhtm3siSNftg5J5qatAjU4UjsZ4w/QljD6+BYI XXzXauuP+lxqmm0jKlrV9PQZvdlnEFe/e6OZCsBo56yGU5VwQhqP57c5kLwWDKm5a2K/Bvp420wy 2UULUP8+ZRBCg4Mqx10ZzA0lT5c52QLFW9VMZLQZ0Uf5rq6zy8PyTAnvCmpOvPL7bgmH7g27beqc vb0gf2DaizssPbmFDpaizNT5hemkIv+N40sbFrv5Go/mlMGxEFBhCLMGUsx3dsjBAFAOLbzoXi40 HtNfAA2rheoZnkArX6UxOvrP5y8kYBd06fcBm8ui13H4BJWIk649oKMhm7XyiN6Mtv5uvngmVjYT EcZe1+jzFCnxdrxgIdrgcNIjx4Ew1qn/V6zCN2NarhkwoU1AKhGnH8kHlL1JRzumMF8MxBmLKlfG +fTFA0gcFSu9xF/m7LyGq2puHgUEm2yxl3qMRy1m+t8D/EHMKtQI4P0xL5JWkuDycslFW6+J2PGz UemCAyqNNVfCyFhMs9M5VxUZUtbAbCQRCoJ1RhZMwfwaI3BRzJ3xvM4uUlmYXrRphuY3zS7t2Vgy g+yXfFovg09zhcjLWx5QQX8gvDosqeESIvTj2pLIctn5ENCZyTKv/krYiVVpCecA9pWo+IQ73QgC L+qthRfqAp2TgMiFpGvkM9CYAnuzWiBuDZcgB3JIhCxylwPGzPfyglbQgxG7rg6Um3W6y1j/KZiF DT0uxd+vEHpfXQTDTcd0TVAc/iJA0ATclG68rC0Y29m3kZ5YV8sNSJsM5RHW4zWKkSDA6PrYyuiF 9qiiiehv173Y7Hx3nQ67CoadLYqqq54C/Paxtav1SlZJxSX2Gns82zsZcEG+8eSr/5Ucm4fSPUwi YJZQWI7vi3956oBB+b8l5JFxlxFXKt0Aa7Gb/esY7HifKHcXOFbLzbtjQgIsqpHMjyWZWqSdmcaA Nc4v8M89lEGcTBJa/OM6QD5hmgxleK05sArzIsWT1VUCaLyXQ7oWgT6K19RFwr/W54lrU62LZI0H 821KRzBXrwEzTdvSiTlWBdaOHvOW4N7LPgo7qX/TAoMm3O4odaqOGOQ9n1pC4nNysUgQAAP92vnr 0nInLE/NzYujNtOs67hvYpFGv6ZuclT736eAk3BorjAJ4ucWgTpe7BP/jW6HhDtCi3hjGmnvvBrj TvRe5tV1apcqnGHpM4ZYqC93po+YlRhwT+SZjFVRChjHNaN04nEmYuiOdDKn4Va5pFPdAJS1F1qW 6dwkm5Yegc1Zgj1/QJbqN23VXCfK/7oLlz3M1mD2RpejjB8CKGjudtmiPMRaR+4rl9WwUdxTwmnQ ylrWtLxiqPuvSOVrPIudeI7tILnS1QhLQA/fDtPSszhGOrM6b1GMx0dwhCNRDEtvHZW3SFAmnQR1 vJjorOOehp1vB6rrFZAGELpR50S6HHhjSVEULBjoGz7bJDVlm4FSwnsCl65Y8da7gTtTrt7hZQvA hbSP2y+F4l/7W1+9KlZWIV6ykGEVQm4+W4SAKZSSdXzK9PPavnz6jnXR+xXRqhFsQzQ0EP9K1i+I 6RGO1H8srJ1/k9N9C2UN8ygeUzqpyvh8zL7cc8mM5oHP4JjtZshawglzur/UrN4KkTT4RfX5y9Y4 JsB8mKiDxaNdnSPIYhmAP+MLdU05sRrtke4EfG4VXp+HQwUm4ynHHVVK3qGo+24j0T1NUdtJogok HRpKYvPhNtouFeOmnH3Et+flFJ/n5FI813LVywd6g43M+okVNt33e6L/nrH+HyMdcdj/puORA4ST aldxX0bpajlceULQx86VjcJGXFCj6pfHa6ziXsovFF/z9ICCfCJjZkSXZkBvMQIpv//82GVZKaHB wRISkt9jKA9aRtelkTuizuRVUzFIwShhvGv72KOC7NxSBxuhp1swqIKUAX3YbK1VP0tEvhFoGslN SSAngBOlED/B4wnj6vvZQnzbXwui2CiVLSOIPW+f/MXgmdzA+NF5yOOAIA7CZ4EqMHhK8q8Zg7Lk Oqhk2qrCp2ahc5Q6BVuDuxfNqw6vJ8ESRcYrylGt74jesAtMkK2aQcXkd2UZVEWMZ/iNaiU4RSUS 5jIL7GeoHyRzXXjrHNztlql7Ftwpf+a6Mn71mQ+URv/smoRQasYpMzWWUaEs+i1dZkLX0OyF4cPI /Qi+30RNEchM/9J7zI3wp4b8VZexT8a8DTRdied0T7R1Pj6YDcQXpVNXhsWuO/lET6O8bCCCjj8x 8bZ2jAR/pdhYt/WWpkfVURklPP5CJHB3azjhPMT6KdDglH+g9iNUNKseztOmVzKBWw249FHbFp/w F7pb8BMN7Kw/w+8e7bSlVQ4hxW1V8kNiQaN2Yj2QgbIn2ikC7mtH1ARadW3TOr5lmcMYk5TFZ3Tb ltDQyHK2nZBCmm+qSdrtDVsgTPgCr7tByMyKNA//tf7Cq5TvckjZZI2J0USg5bzsOGr0XvFtsuBH H9oKwEIxX9Eo3madoiko60htRNpAQrYxBk1i56Ce0JyOdoyRMwl3QLFTCVJIP0XfIj6OrandpnQg mKJ0Mu0lBHlVMMwLA+t2FuvDZyAYryFXGh4GfKu4LbFzG66Buz0EakSnOG7P+6lsP6m7S75HQn68 5H8ztFtfblgfFPH1L8eAtXbXgLh4qo4tfAD46BRybKVK4sZ6kP8nMeZ7zYQSqBR/ttWFUNYi+BCZ w+g3GB/SYabS7xhm9s761n6u2IfONskXXO4J8UeIUCFULArTCFCLz4vJfmhAZ0FVbBAXeWUiwkox 47/r8cmouUDx1lIpwhIxHao0/72uaWpN46Z67EF83fhZ4NZF5mCxqmcbgMBxg1UWRVxgJPw59j3H h0AmWYo6TXT5qrG1J2UXXA6rxzffWrO6VFIg76pHW+140b/KiHTVmE51IWKg0WPJssB69wq42S9I kpx/01Yn71+ncH97Z+OMQg6Lpdb0gWPvD8/imXDclVNpqudPpRPHnG6FAyRgEx/SQKM2fNyWL7rb 7UnvJCnRv5Fnd1kKojIdbKQMT/5jUG3A2j/lEJo4UXte/71exTSRLan0Xgfug9l5ts3IAoc8Keo/ puCLH2A2TNo2vWdEt87Rm4HOl5maEGguvopinX+KTvFI5i/WM9FcNhGGyhJKntcd4KYj4ASYZkTy LpzShZKTRYw9aQVuUjapCQvVmguZeQW+BeYL/ZTdc0dK4mOo0Z8clWppGpCOfbRGwre3c2IxRbk4 ww24BO7zK7rXBkwimKr10Yteu6Z5tHzi2FRqi6WsXvr8SldurXdmfLjHngD93Nh/qVEPrhUKsbDt P09KV/gNteScmczW0lgcqQxfrcEQhDzGLyVubLrGXY/SaDMVvFQbTC7PGf780ldAQTyijCt2oAjM wpT+WA3VQlHRWJVkc/YsbOQrie2uiFWEW2b+jPXyOQdRBmN8iurbmz5X3uTqLtmUL73E9Cd2ccmH T/n9EBZILFtOrXt6tlw60eSt9TOL5f5CECYg3HQ6o9TTv/4GClJletdNKtyPYmxQjOLyG1arbVVg FgdfIWevp2J5Czt6yho+yIJORMKN4O6KIssfU4eMcq6r6s5a1tp5nEJI7UiU4u3Pts9xTgccf2/j SO0lVsHaL3dtPR/Sf32ruM6w4ymvdTMFsVgMjU/179wIg1263STuRFpYs6WeDSXWGDcfLQeDBsz9 7731VPnbXfhvbzTq+eED+kqMHhaXct8k+0699CkqQjPgLzLh/xOn7vZCmibCpmqfOoWoP1jSrzYi 1B4UveBTMjRdokUW3HBiUfW0miabV0ZwebeXIVxPlDJ/UjoT4mS23U5jcPzR7JPYl/phefGn6McU YdzSksXg+4mhEpU9wJOhHB0JCvO86tGzo/u0Ov0Z2ibjZL3F2sbPyjGk+Gi+t8ob9TjMdbWNX78J 1hdNX+0gp3YvGNUaIqGbZ8eqDFgJY8vp/VOno5ogJT8XQIGFwATIZ2q8bCnqj4nIGO/EGzU9sF6b x5RqNEWGLCQn7NiPzeEkGC7mtGMmUPmGalWA66ifwwzXqof0AAApoA1++iIapRXHancnMrs+q9Tl FJgeacbWFf0STvQ3TGsjUsTApM4aLJakDuDrL1w2H5Q8xTE2xhkTubVjKoxgV8UjMoRMAl8iTsH3 Gq211M2V4KnteOr4zFhRWJgEBUkh2lHN0uFWhH21Tjcw8N9ZWAGpoh4/sH+poJZNvwJk/g+0dxUA M7KXwwHmyq3WDt+vhzz8wo4QVDXMct1gNlU7xP8RJtS3FHfm/2IFJ4bwY52YpkAGp34GGv6NNacz nwvAs+DPd3YLizeFTwEOxdpO9ZVZEH48c5f67EaeHx1wUPATvN96SknwWsFSkRiNUsgpt/PqnOZA hqRSHyMQT3Gh+Lx5M5WE9jp2dt01LiIjwh32+r8qEti8192Ld/MOG2cLl/ihFlLhfa3UAkXsBa7X UVWjTmNIdpoUJG3XagnuTPOKoD1UPk+XqiW57Z8DpKDcBA03V/eJU33pI/VwiyJg+5uusXvcN9Bt 3NOvCpLmsPSSVjM3QN1zyyq6tJR5toxLrT8O3APfi3xUTe0WuUu5gsxYfeldLVUSLLZgetbD2Qkq NTFXJkBA8WTqLL9/iheacx4jRPK6zcC7qhSVkQ8hg3fAEOtkNPVU+si3q1CYtzvHyKqO1zQcSY07 nTb8GnGjXXfogI5w8YWAtUCtIDwom5w459vQPd518DmBBnfQo2P0JkT+oqu5FFw9Awo8YncRsgZP Ru7RcqMz53zz8agEn4Hv3dON2y5vDI0ZUVr35vrArs+DtzANQC+qPtoLA2z4iq1ZjnDQLdK5dRoz S73YYMckyv05J6LJP1R5GcoLhdruPuSN/9uVGoYOnMehW8Wq5kRRRWlD4Oncd7QluQCtqhQjWeCL xe/axMBeWfDmVblC+I3rRcGiQVL2J7i+h2CZ1QaS6MqYxedEoQvj+PfOjgb9gXk+sRWyKDvjB1XY OAWsJDwt8prj761bNKktNjjLfQJgQ4sROdLowY6qULo34Z+xgri7VhxB0kjEXo3hzfPPhItAEqk6 kTSR6S3biTnT4h0BgWRTbqNQEryDLsThiJfyLqTKidVznhrbVdzqFhNo7USJRThvGC7c/vALodGu Izihyce4RbWChE1MOKcm55ZIiF7B+7cYKtHKNjomSndvUTBq82WxAARO8e57+6ptgB3GT5I9zjpc ZWN2JOdWx+E0lnz3+nRUXso+4WGwCkI9RW3Sr+TAF5FYpQ7c7R1bDyzRDnNsPwi7sYbAu31/pxCt G+dDc03wOCgG4r1q12rtuyPLd6EIRCh6mWqaRfO0Gk0kXLTNe7/h0YboLovJ8N3cyeoQphY4vaPP pABN3Sba0uTIX5IMpjkXEvcQs+Uk+Rp6tpdVwytABhNLt19hxk/i5dTo72tHytFdsH8nF2yRinnl QD7qlwTF0IUYWzNo8aLZbTsHve8bRWo/o1iLzvqldbLs3N9ep57cbQ9F0p7EIx+Am4AjnBkP5z1J VpStxfXWsLpiKRnVlLj2wOKV0rthluClGPUMtXKQhabORbQ30AoMTvL4nv/THb4+1Ley6jeCiM8n dt4Qry579vcKzgu6TFAzwLbMdRIB3IeHu5B1I8dCJ6MrE/UZZEpdHH+eIgIqf2U+HWLiboM7GJ+7 t21f7oQ435cIzbT/vAc5pug16jps5Jb1FGOQsXBWOv6pBZLiHoi1nan269vcIRzO1jrFN97qt8wx 1ZExTCRGrtgiTb7c7Z9soY2B0SAFbZcSGpoVnoy1RjuRVqbIpkCg9tF9Kr3KGo+CxjwAvrx7ADIf rq6VAf31hdRwqBD0yGiEBW+lyCDsC6llt2bWXkbqrWJWLcnoQTCu+rmpBd5WW++GEbYuH5qt2t+p P/AcSh8mcxidYKrQzsSGormO9TLwny/VO4Mp7xi3iE3GxUMuVmLjv/btGbf64/I058Zv7QevWNZ4 82B771ORfyewR+SJYpGU2KRtkY/Tkxc4lTSuJ66Av6sLgHIyYpO4lpWLX7LOt1i/iwea5Hg4fXFH 4S9yXmnu1wOP2TBXPB0JH9XxkVIW1jPuNdqBuCCbn7ExDbPrci0eeKX1JhD8+WHGPDqYBfUjiglG PYxwOYnQRdKdQsPD7Y1fVasim3M5fTpqfjfUTyLm2bj6Z4PDMe5opQsbs7sQoyLfiaTkNH4WQabn s5yLc+UzYv/UNN7IH355tSseT8RtBWuo0+Clm1zIEEcrlRlDsZo1RfOR95YSJBoYoFgFjYOr+Tpg ExldO1M9hGenARLYCOjsyoTIOQOTF4dGDMHwOhl8913mhiYY64qpNeq1w4AaBmpxeVaMyzFgoLUh CGGYkkPK2D5wIngrqM3YBj/roQ7JGsXGK0QVYAAfpIiQfIdgQ+LKR2gQe8IQprzSDJHzsxTGDqNT WTJo1anrKIB44ulyDR5lvSppro8ulOC2wpLwW3x1Ldask9+Wz0+LQO7l7iYOvEUbuQXIHjAOtw6B EeSEjqWBmPY41tKpFEO4xyiKuaUoB9KmXk0/C+UcrTbG3A50dW9zWdxZWB+G/EVBEJL52n4MLYKA eFB3Pfp1hYLINI6YMg8V3mvlTVTxxt0iRXgM5hx7ZvcizgPGdRrlpuZ/SK8AwcWkwaqKutAS4r3z pFZDw48Ph7G3T37rejimFDRGyuVpwLC/ubED39k0vlk97auTwNkikQYSMes7NoqgtiUZioSoDD4I DgwrcqyRvURJdgoRu2A/tAFtqm2psLxtDvGhjwVe4coRSrDdMTuJNRMTZHOTIH8oBiqRzUpAcUvZ 1eFIgPbR0s17YNP/W4TOCXfQ8euF5/bWvTU53JGBBTffVqGpPIrTkU+0zcz9GN//wE3Jr+4aMyig r9B6eJ912g1zNHqCL0I2aJtXw5JD7XOd2RN7HIpf9KgYRDPwHp7G12hRflq7MgNLwCE5u9SlOxsP +Mnu5ED+4g8YnQYIKyXoPtpjUFJxu+gbwYxghJ5GRCbRD/3BPmPv5tisXZGBMm14UBKfftEuF6Kg 0f1j7KLs+0zMosz2AmNleTgunxX+TnCJXos2BAAmcYf2LQCcqhh/gMzKwQ7FtJLu2+LqlQxzYrTO srjdSlHG95bc24fXXCRzfQAgtDGcCv4r/hWPQ04MSN5LZ0mZYJ6I0ubnwfFC3GDBz5xWNH1EyFu4 aX5neBs3kVQsWniFJapJqnMOLLSFQGjH6e9ATmp9hwCkNDtB3nTSKf/OJLeC1oBryw9JSJCTJeXk 8gD+PMdZ3vxyl89n5Imnhd9Z/VJ0t9gyZV2IqAhMt6GNhdTfPwpeUAB9zlWxhWDjtjcD8ujiPKzS VmdiLDMQptp0QZi+NmcZentj7Qc1daHaqZ3vxhXXgxpf3pX8KIMYOf2h/I/QfPtHZ0wdFqIUNz2O aoWk/oUO0+omgFfuWN45EelWJ1uMZgAkCOkLruKsOptkosGmkF5OcnCe64+nBzH3LLu7Q6PoBfP3 TWTCJSLg0fe1qzMxxdi9YMM/AtSlWlLEWFnbh9wQqAo4zWLWcNpqjp317IQidk0METMwV9fTmiwo HwM2j/QmhuOhwQKf0yFHVdkA5W8J/1OF/B/0ir9xKfQhrKG4/LDB4CoUD4mZwOInQriIOdo6wMdg E4H/Vad1JCrVqfJbfhx1pUk3cEbi5f8Nt15LusZMDJJY2YoFVQaZ32mvE3FvSzbYRQ5ydt4jxX4b M7rqZogHbr9MQj6zV5YhQ50QG0jvMedwh6mDtlxdgihs6SweCkNAufr4ADCMZxUKb63ZdC+PPVnn jwAw6oXOEN7m7HjivnohnjPbmADEWw/lbcHmqJOzPJ+sN/BTKs9bCTMFzATVJwDaeQ5oVvirRy/P Oj3uKVzmx1xl9G4PRGreAFtcm2JTvl4q/90+XY1MkNQFLkMBhzZvjZiwGLAAZxeD/rwlkwyNaGe4 FDexiou+Ajm7NqA8G6I3dFYHrKJOUXNeB6TQK+5n0rkhsfmfdNRG4TqulMYc5MPR4rYhom8AZOOW d8eC73S/4cAG+XLTvp5iLcNrY58jj1e9HfIx2qNRImzQlqB15adwTCTh5odIMuJyfzbc08svRg37 th+G8GSqGGN4yvcrYvG0FCe8vdFSD+6gJ1n7AoWMXx/URzyKK+RXrOR7G2RfSYEvEz8lXSlLyK4c JHbaboY/93mdzciljhwzQSU2/IYLIx1UM7ehQX5bc028PewNv34lVycm7CDZTHc+CFSJsCOAPV2H zmnLFValI4p1vJMGRMogqY3SEgUvrSLi/4XSeUToRvKXmz4FOQnAZ9KV4nwS6Z/OfvlDkD41wurh iRo3EKNwr+9q+5NYCPk4tpISw9dm7ws/6E70oIvVwV5gbdXiDbqDKKjSma2orHBm1dejzm6Y5HFs QndIuseqaTWo6PONTaOJ3V5X/c54TjONa6QpdArUMLxZ64Pb+nWttM8RIGi+R0UllB5fPvFPmI5x AgUehJnQ98ZM2gXQeI1Pth3FDajENKd8dVfumnaB4QPCgg4FB94Gf4l7nMKDLzK01usUInwwxevy I5Lr2KEGFCI4YmfbPJDfgXWFUVm2qmPUwOapd5ZI4T3+vYaLtHqF9P+daD6dwMwV0G0RrFJ/ldaO BPn7hILjWr2vuDOHynFZhove/alDIPGokgk2PuQUYF+ewnJ7Qfh+xRoC2UMAb4EBhxc/gnF5GRbK LtGS5V+pSXHK0jC5rQPk3FgRNB690iKrpgjQh5x0gXltv8nOmCaTa6AEZiloStRl8VgDRBUZCnTO dlNs8E19422VUjqQ6j5ubAEeGck3GXw+cSMMyCfpy9oPxskhwBWgdRXxYo4MOAPzvP+49zSX1CXO wAtEB0Mpb4P9cRUS7tEp44rn2O2ahS4limP0J6CRQcu2d8A/y0bM+jtSwgngl8SLntSJsGq8mmWA qcWSDboHo+rJqn7ZqiBDXHUkU8AmloqPenRjlXOZmrr9+iqmUSMDmSHeHlSg4Ex0X/P9G0WwI0BA YvgUO1MkyqQNUL4jo9k7Bblo3ewYe3Z0oMrGhPpBJm80fQswFhj+qoVyhUzjy7dRpQwl5K83UaZH rx0jwBSK5r9pHfhkBBrxqfMwgcsVuA+RpMCijaYecAEddQW8LmOXFIyWMmFE0gUPXxX9JngDHCnw aPGwzySPw3UsL/3r2FlMiMpkDtT5BaM0c5LK8vrT01545N9ZvjCf+PkYY8zx4jjnGuWvNgsxGePL Z+EM/0f61BpAhA5L9k2GochHBkdKHXVKG1fdYaLYQEzYPH9k+EoxhDQXcB2dhVh66SVeu1axGyGE POY+hHCQZeJ24XoLTsVyjTyEyXD8MjPcOaPT7Mo5o/lZbPFlmbbwjjwyhGlGF+x95zHY1ZCX+RQj 1vQQsbgZMNgUPaozMDFkn2J7bP+dw9aBlrZv4pN5Vj5ZegER6H+9PIe92iFnCZ6VbERajDqB4bNK KY8V6k7FUWTHLofKivFeck8A64HsEshlZlDJGiFc5FizFWd3/6oXy/f4yl1Hr8vBSDyVRio3SUuz b0SlJqEtv/D5/t4zZ7StO/0R1nQgS5Bt5jE2ruwRjfrnnUDpHr4nhAZ1qpTsk7Y04ww9bnmo7Zg+ rQ5u0h3eCGCGj9xdxXp2cLKlLm4NYPriLwhyeSTmFPR02xVDzMYESdApHY4fJSNPHvOtA+P48vhm P9e9nXHrxf3W4hLIaiL7kQNc7NkslvNf5l4+ID82aoFisB/w14KwxS8jUfPi1d8hemXX2ypyFx8Y Pmob103QUBo2XGmMF5NLxuMG2PP0xoXsX2Ke/mlABK3ZiovhjbWAvEycCZdNPEk0HQKroyy+Zix2 nnU6KHTafC91scZiUEzZHlKaMYTxqmURyMRkcQOhEmeXV9KFiWcHgC94hGI10Zf2vX1Sn4RdgALA QrqGpI9o7fMdqXXv1MK/tbVsjglCjz1OLyoZ4yzb1i0uRMw0vrctPdexZmneqqrQ8i1xMff4lW/F ZIP5UjA/ybGw9VqEpDLQfB+Hh1vhTjROgmG/6h/mtrMDuiOuUSrhv4H6r+zmNhfapD2+xxNHPb27 pApI6/a3ogPzHBSmUuhim5YvpZpegxZcDdaMk4h7fRh4TNF0HmVoO+BDcz3Gctd4h/cgmbjUyh5B y2p7hEikX7wjQCMkido+NHqS0wjPIabMYotkBfD7N/AOr/8fIMEIOXPLQYWxEafxNHsunKi4RdSv M79VcgEkkbyXY32enr4cjC283hgxxjZV/Dwdf0kfOTIlbDBuBLWLjG9+l3TgFMUUk1AbdziKLKvl Q7ZWjJZBw0Y1MED2/hikrLRrpALuEvniNKwAwnffmQtqVEy3OGm2kvbITn0dSPXGHFDFSKtAwnyu KOw3DxcDitQyJ18GJQy/BzYRJ+XIcbEDEbonKN0BDfgOwcaHwcyYNYe7X0Uq2iSBTUwPEqnZALDI HNQfqLUQgfoQVGfBJr0+XjWTuzc4kzfsWIzZ7mfv+SUQmCcTK3IUkU4xuudcM4oyFKlsGoCV2qbE 1uzosjU6En/sOAMUMHtmUy/bSnX9ejqEhRDQcVOels0npxzN6afdVf0YkAu6HAlX5VSOEH4V7Vnu 5gd/p4m4msiaPhxb8RjmErN16YCUojTvsZRwSIwYzos6RGIJyEYAxa+d/PAgEbK+FPr/WTGjvZ9o z42JFfGywwRsc6oLaXdT5fcPq6XWlQUePF7WYIK65i+riMDDMpCBQE7hoqtQmSbQE+YDuSOw2I9r 9SAyGs+E5tzK8DtxBvki85fiqWoCz6Ds4pXi/lCkAIMbO64M5F+ac1FN4PZ26+NfH8chGx31C1ao q0HZq06bHsB2nakCKfCbq98oadRoAa4gpyFvDOku0GFjCjHU2tUOqXsRVqdzHWEJxIJEAM89l0pm V3WKE5lfxmnfoSgYnBQ7Jx7K1uewEDaVFiOMALY1zC+FJ0nED3BtYvZnKlzlCtQn3RpFXN7uGCqg GL3JWHGPz1JaHzvWVQmGpUREsQHX0+a6WNLhRMhQcBnPIjl+QUOsK/LGU9bvMhAO7lqQE4LHs12u Q6h7voyg0ctX9+3SWR/FE/jt1W4b9kiv9JdU0TO2i8QDsxGWqmRPK53VcKWhir8EW4QJ3Not3VmW /uuBwLVEq3UtoTW9vW3o23YzOq/v4bNAyb+QACsk5koq1Fjseo0v4vVsyYj3QIO1L+/IMKCoqc2h 6JwGOQTfEFSLddnmOTLHitT7oLaJ8kDU3mbluS4kJyXkje3cWstCAKyCT2R8idzpD3lWbz7GoRFR F5ZacyrvsPJfeHoR5O53xBlfilK8LeHR5XYM6Ztdj62I9j0TZnhttgWnV5ojVYb6ZIRd4pBph6+Y N+JuudWRlG3DRbubZHdEArrAulUkTC78u9YoLabh2/KPXE5FWXCveEosBesFZWvgfGGG0oPZK0GT mR27pwHonEk67nVKXKppdx/CUqHSnq4izUAmslH1/RzsYEdPdCc5+98bpThIN7IX4mAyN57C2Os5 mtPFHZpJlfW5MooAH9skBZZrO4Sexm2xDj0VfoN8T6n/uXD+DcE0vRdTp2n2i9EijNgyWh6msPKv IaPaKXlgy9LXym2FaO3AKAzdGNihiMJ/whuVpF5KU53ebMzrUAl3635K+HYp+RsL2WNOxzE0GBSq VqbzQWaShx+ygkW3gcos3FNjy3DOjvmemPZCC2VhDV0qcF56ZGf4Kmuf+UDGaNqQpHV1tf/CA374 OgZUDvmx4eZsSU79fX5N0jJpJP7qBVf/RuKmqBWMYiyWC6FzLx0/SWmyvOhIlzD8iugYW5PiJ9K0 IztLgE2Vkg3h/gH/oaAcSUoom6tSSYb99NviKvcGtCy1A6yDl6ylN+VkrAFRI3VjdOkR1pF8H4OM ce0BdZ+1przah/ebDglJkKuca8Koj4iXokSivKTKEY+V0RUMkRWsEeWkY+dAtSXgxzIzU9RC1A0d XSzhK8E/ITaKvLBmNMA5DpsXI4RsA9eAVGD8wkroHhuNjnpesuSCULOVT8yHhyi6EkmZL8mwFovo wvFFX61ibLjo6pteqrRVjDfyf1broOhWgeO7hFR1B5xR4dEkvTjgruCWKCJUxDQsPYTVRGCrgKQN /5s18L25CVbkQsX03qUObxjt5z0wpDlBuHowDOesJpW1h9BBdDWptctCVkZoIhbzafewEKBVc6P3 SeDm3anxE+Ks7d35EHvzFEfOJe+PM8PENzihqvj4oQSh5CvWrJLWwCkrhdoaxmRdQ92TK2wNlOAS uYx9Uq9rz3DyowsBKqWOnT5EzmYMMh9JDjxgpwVoGrOEKd92X5le24zLC4xuM/s7EsV8DOqnTW3Y jNsGHeO73n15Jy1nJ2bS099YkAeJO0HUobzrf33ICPkmz54V6CPr+0t2ISQ7bbq8AwkX/7rDysK0 00ve/MdllAUkUP3yoFn5lWkhaWP5M+jtc+3BFA3ej4qqE6yikK0bJQH3oKqC6xupEInDjI4LxbzA 5B5FQzDJ72us1Hyg7bLV+c7JzkSJDqmCNdEB32pk0thl6bxzEpqGWxk/EzIrhDHoQtXwFxfzZnCj gzr2tMdof/FXI1HOL6gp4QPtEb1Bz/4WBVPnlefXO0KDvnb6qQORGnd0PTcne63If+lOxuqPImwL OjEKYSLocghOGI3JnYRYsewXo04tymyDNkAU/KYpdHZE/IuQy6KrlpJgLjw7IZFS+uIelFeDOEZB LYIU2nr4ezsk+AyFwSJLIdtgjeeS1uMrz1k4TiXJkqaUXuRqdaa/MvtQx3ELHaseylvJLhQm0d2j vLOWvVmRoqtw4PVHNB1ivK1cYq232BSNWWv31zHMF3qGU3VcyHSK7xRn0Ut+m94apxt4FKYRBV/b /LUI97sHS/h5X6l7oY3uQfmo+w0WOH4ubv8oo7ZEHu+/9PE+OHIxFgOvFKHxti9an/XrZ0S3erHp jke8NY0jKfL07wuki1kT7LT0/EIlrvHR+QygypMpuJd5Dt9py0Hz5am8Y8zDdNuY41kXE8E3yFPG nSin8RPLStEp3AVjOjMWm6zkUj/cc/o1RwWrh3ZFDJPEACncK9eBJ508fer8fY6MTw1Av30qcr61 LgUzELyniaoswW7HoFoJ6Kr2Gb8kPNlntZ2kJwDsXfdHz43i6N2JGS0IIKZ/PB+gBFqS1FNcwKH+ WNeDkvoyijbpw5yk2NaW8cscaFTti6mvKo+b94vD0fifEerQ1DqjRrrbQ8yavoJHwIoLI7ftcRG3 I9guyqC9yzGHB0cDFMaan1fXsd3nDFtW7IgVq9cv1u61MXX5CazM+2c4Mr4OCLdmPgb5tp6qlvK/ 2sdxqmCbTDRt07pPhRr4D1fyZsgU4IR5xaLEcL22/F/5aGgq82Ksnf2Xjy8hYyeZe77krQumrT+8 j7jgI6cfLORkpbWqnrBNWv4mhag6Z/DCakcl+l+ELla24fmKXqjKf+eaLlkEzVljBdy6R9UY9yEy pqbF7+1xMN/HEJycChFxxNTufDuPbMZ154m3vKzfzdCACzbosQkU7NEjF63lCsxtPauXZ4fteq+n nTroSzMYcfOBosrQis4MagOte4Sg6DT359bqG+6+ldkOb8+PFCdFcFKc+RkXTUS8870sjbCdqWEG RD33gjS8UPaw0ZdIwcQ8t2ZlWMy7ePTWBi8iEPLMCuruJA5sOQ5WnPByfWPzklboyDdHVxtmaQDz RD6J1PU6T/rvx+hL22VlCHYA6aZ5c7QqNGxrsRDnR/5JCxACQEINgTovx9Qr89V8/7KRvzOFVz+1 9MKHibkWx/Vl3oy2jsmRoQpNJXvCupCywDwomtWxmJW8+4bv1KNQg2P7jsY4vx9TxLpbtvlRtCFN 0N8kgiE1X/gd9CPl+FvGrS0F9cUr6IoT5EM0GGRHB9vgUiDp/4TPtjUb3i0XIuAKDpwC3RjAxgC5 oPYMrhzCaHWnyhejI8skHPMBf8Dgci4YLmfOq9Nz4Uf61eDJIGRQd76YgEU2zlWcDzJgZaG3fci2 pTMbruLjnDFcRYhJn75Frqokc092+3kw5SpiPNJwU1O1X3MY9mqkBGKrp0J8i9RGh/lvG5s/kUa1 VEDVuoDGahf1BY4N2FDvkKzvWPzzZOzBJsm6GTCHOVCXH7L4VmgypsdS75iMBoi66x3WkhjzZmMu 78Tw89pmecKXpP0/KkbgQUrSiXssxr0I80z3cImOl7dDdx9BC9gXZLcaAabsoqCZRL3/9AMAKKO7 d6JAD94fY00NG0dx5sPJLJX6HZNMwSywBYsZtS4N51dGXJ/4rygpbm0GmhiLcaUxFdnaduPEMuMd pH+9NscAE5TaG3pNGROJFf7S6945YGZnbhwTUcWXqWPjBRvlwWDY4+Ugv/7ZmwT200BecMMOQ3d4 gigg3FFlTVKEtrMe0Ul0iNN1pkneIxdXo74hXz+g6pctGJht21esyqKNkWXSp0kG67iC6RzOIgNS M94M4gimJPL/9ZSXjn4SjA/Nm0ftTz/RbYoZkzg9SwiTWeBmRYLH9eCGcj7bHc0HHOFbdj6D+nTD sZP1AAI8n8xOaLQROjZgEougOgUzv1wytQdbD4kP4vCAujNfwRwKDfF5nL1Ly0ciF34qynZ8RDEe AvgLhHqYaS9Q53+g243Vm0tM/2SNzAX7dmf3xXbuYX9vgGAW2mV6DFtjiLTBGSTqhJavh3UNofS2 CzdujXgXOJtiPYkJSCTqU7uTveLgAn6Mi7J7WoFp+8KfRLivwyZyMTsXMFSe1LsejDSBb5235RRF 7hqQelhe7egMXBu0HUKZRkI958R3B7yy13YAGy7+KqW9TchKUkRjknW74LpEK9lrwoNghGMainIu 6ioX4F0GynkmRZVGX/MV0D6SQxUogKC2ehPGPhTi53C1H/mpSOQ1GMLIIjj7fIYQigA4qIdDAPR4 wDZ1d7aF8XbY125Gqosc6NrQYwEcpcGNFArWa+5PmiBZG3bigZOwALLfqFfcFbplnjZfcxcaZtef ViuCVgrF8n4g2TJA9ovbR/yxOkgLK0zRH2mZN/4BJBD5dcJXEuhdYpdbZSihduzg4twMwbiPbIM8 xdrsgmtmyrX6erToIr4i6hjtXdY5EHkNoKMCBMU0NuHsQeTGvL+bjC+U7QfzAdSFV8G3GB1ePsyb 9aMwkyqUmON1ECN59kCa+IaWhLrwlwLFZLoSGdzFc/6JvALbjZRwDh4H5VLaQxDIVaKh/cqTx1jA KVycMO2hAKlQMoI7mF5/dXbT8+4HkYWcBS1n8i8y9wTJDWmyJ16tEWaCk2oW2vuy45nGx47lE2+X qTL7jvSAivdY5gnNXwdSLK7FR2XqBomxc6O04oInSB0wt3uxw/HjFqA4Oi5LAsR34OJBCujF+Np6 wtTHYeLUSFMyqL9BbuW2PUbgbFSjKDnperf7EOL7oUrq2tK1XaHw5/8J9o4uljfqOipq8VfdkApu bhFUFclttsgaygZ9f9e6Jv1rS+/FMv3dlswEQ72s44XoRtxRtwyV/DUyxToR6EWJlichpn/qzs1S +fa5bE4b6BV+ro3SyxtqpjxhB39v+QZTFgiM9mPubz2TKHV7ccIsfhVvRfM/Qz26Q8FNmAAklo0k lQXq9Ecj/Pe1wdsJh3iWf7r7NF7v/EgpCOjyQieygyX33iWxDhiJp0t/iCOwMyPWw68xrHgpUQrs Z0dSRki0Qp0fyLp+Z3kliOe3xLm7HIfk/GMKDvW05LyrTqLVMpUv9dQphnPRUFBx+4yXmmnvIN2z Ok6h1Y3cpariiNIOXKW/h9tCg6y0e/5KIEpAF+b8nCJXiyRGLfsYTYMuPgk6MdBk7Km7yP5xI/dI 03Md+nv5PBfFyzPsm48FLC+HgYXtEjdqZEYbvyn/72H7Cl8M4lXEGLYtI1m7osUtDDLgfFXfzzsB AkaLz0XxaUZWooolE5KdYTvgpFXFRNwU9hToGWEON5KnEtjitB/Zu3VD0v1vgROdPTnptPTVVrCL Da9MZ+GkwB2GYbllY0CdLSTgJqeXUIgl2PxQMmEgJQ0oeHBMPqkyhFnbAHRYpLX2b5/l7D89o/v7 9BmKehq4eAfw1WMAdwj+hw4DTLcyQnjoycK1Uk5yiJ+Uc9Pbkkkqn1aWB5aw8yQwlIzHC7fT6vIs 2v2W5NvzmruHwjHSS9lw/sFYKRUN04ZorkObKk8U8FdUEfcwFyzB4XQkvhQ3TBwKMLoLxHjX39bW Tk3XHEGzL9DkDtzsHErRoYWKzkAUU8wtMkUGgZ/3KpBYIwHhSks8G6temNazdsVHaZataua8irOT t8yY52XmgG/eN6i/GOagj+tdlO0Zq5uaLr2SJySrC1fv/CwnvC5xqe51AzRbqiwLIAD+pnyj8H7h 8KPU5DHMemNgN66EF2gQLt5uy1K2zjsuSYdQaRxRdWeSpgIKbnI/9KwFPDYYUuWkpPXa2wTH1C5O JzLh91CkqJ+j/2qP/hc7gh5HnieJ9Hxl1j1l0YJpVK6F9jIpu7TDE7aRB1Th8HMf1y8Lh65FKyyw 1BmKnXT7iGTne8xIzfhRXjcQH00cEo0iADHPQMxkwtYKR0Og2cPvnKBvxFJ3YQjzlwAZ+EqtxkR5 rLEL42BHladSO+0i7JvuPb4qbXkr8LquzGjYJ15rIiU0RmryK1rySl2oYozIE7L85znkw6x5wkw2 QekZCKSsy2InbFcYclJGiJVocM9FeIiTar+pYKnyKovNM8vLnl/drl3emLVhRtX1Tcf9EkyJuooQ QiTO1tmhBcHiLxfHQR3w9WpmWzmo91/CZEiXvf28/CTgDLd840BPXkZ/6DM3b/9AwTQNd4gIU6Ze auT/Ixa0Gqi90PzCg+5zyh6N0f5Ufgsu5JTA/ZaXDaezF79pdOrTpMIXCLyBTbpDChqOETaCEe03 6MsMyrcd6UvuwqP0eZne5MTtcJ2/qLm86jzY1O2rMKgQfUuwVhKwQCpm2kZSz9jtolTliNFDW723 6yftNjOpctX+yQc6jushQWuV+m7oRIGWBucdhKy7X8eKWdDU8bJCWvz0g6zgZ+Ezz9K0OAMCoVOf K6VSjsePScI63wk2aTJQ8QfpCnEWw1rjLaod1jP6G09LNgeegbCJ6DPghGytrCEKWCAOhqvTOsLJ 4n6IeIVH/PCZQpHWpkWXRgSYe9XUgNp8NNcKAs8y034EYhLwcWalNZ9vPxeFm/00ubUxVL1Rs0GO f562nench9g5QmH2ZiOb9C5XQlffh2IgaknQ3gMNQjXiUJ9MaaUsyUu6ol0DPhTOpMjFLoJR6nc7 RUKZQBmyIHiyWfy0XXJipegCE/KuGDzTTK9xUwo5EVVaK0k0y0kWN8ik+P5tQWCwbr0FM1WzogNg zuY/w2XKTjTn5JjaPAaOXAE/4xRXPigle0Hhi1ALzJmBd0ptgj4Ku8DPZJT6vb9OPVQJYtgfxj5a cD/9MZS4Pz++YWVMI3GMUR87/cFxPwL5QRZUQwnq09q+42/tqjbzkdNjgjVIDDV8PWEG/c+Mb65b vNsVKMZRXfLQ0mzVPB9Lzc/wY/9q3bHD13ScYWm3O7KShyq7vE0OPpIIHlTPZOeXvf91530GTHtZ cD13xiz7nzDdvjbVlp0HqzA7lcrz4kG851++YV32SO+egYzYY8cjdO5m5+VdnW/whjuP+YFIUwFq p6T3sKbYNgUYFhQUCBlmCKSSl00eZd0AD4Jz1ZdlpzAoqHgFvQUXRiih2QJoBTNUDShq+fauhi6n 5siep2Xgg6DOHnR2hdY9AfJBR0BxdDT4TRPngFxDOH0QrJgVDVhj9JQfPom8MeuaRGx/N34SsJMn KQvlZqGoqmobFwB/GnYMZ/mJxlIoOBTJczOg5rep8KWIzYqwlqSJdvYP3vYskunzzZghfJM4jqrx h0z8DNEkUD+/vIo7harhbMt3C3ADMzmkBmMQRCmQJvaKs5cObM0BJafJnQSeajRcrElVu3TGEQrv 4mM+I4wWp9nrmqWutlxnf1YzzuS9PDx1ciLKWSwxdxzDnFkYbe0oBP9xJvkij/BnK8DWdHCkU4rh yQWgUQnNG8x3k0uNXZqZ9IUYcqPf98zw9ENpDBskEnl8JSk1EHRPWZvonA3BVY0ohBhPSdf3dZfy NrRPLFy4gInZLWCuT2okuEFD9hOwy7S/nvRTJFw9lX4+nX1zeU0BoPszPqECRtBNSYBbB8Zyk9/q ccEOFVz7mCM9Z0NL1MXd6+A1b0tuwBfWWuI3kbLfcEczfBrHazPZvhwBdmBhoheKCcNk7cTqGGMA ISr+PanuPkGoz5cHp3A7YmP4r1jRpEKIsjXxGTHDwvoShNsDyPsBS0+Z5kXHA7pRSOndubLnIoe0 ndvphR/2btJn2WPEWasdvjgel41qC92qa+bDRedXP+SMc4T0KkbTfnYdIkKE+jmLyQ2aZCZ6LTmB Chpu87PIzdt8Y+oxy6si `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c Y2O4fk1xOw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV FIedseAJGSJjdgeT43M= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0 dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc mYqTUQDFFlehrx6Wh0E= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8 SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16944) `protect data_block PKlpisMKFINH4hoELw81Ae+vpIr0xr/BIQZISQh02QmAYRngfWchi+A+2gXJ0ErM+PWm3fbvLHaf UADT/opvnHMCrmwuOuQX48J/a1y0sztlHgsA7XTu3se9+qgRV127obwgzAH3seijk6T4T83+BIiM dqBiuzcvDODvMXOytGyBvxHFJhnBdeLNFVjuAEwQtXx+NLvW9Ik+HNBAm4k0Ad0dETSB7ORWmkDR DRXoKuxvt1m91hXPGm9W+J1jmzaV1zO8uUexlaqHpOLLfSrTib0UPUdyYV9lyHthm4ScBEp3XGP3 evqHI/uPPFhq9kZ1kFlKWVz7eFgL3Gj8HYNAj7CQvC3VoPY3iYi0eWFn4B9KULjtlTPCL7lxAEVh y/HyuNA1Oqr/wX1WT1MAj4YG0pcjisW2p/0FOHW36w9xWnk+sKJ/HcbPK89eKRs/MAhVny8IPYP6 W3Qw6R+Zv+LpSWdgj0wCTxeLPOXxmnB1Er2Qm7gVAmJ8vOC+vlVG3+jv3hDCn6AOgp7UWB3jEJ5w rH9dnr+bT5vDes0mY/Kcp9yT6oxwEBiyH9z+7hXSjX+dxZqULx5LNw0ZDwJdYS0N/QnxP0ruo2i+ Ov7jSBcMSp2j/B6J0fPhmGy6cIgD+0Da/7MTlyndn4HhckuYAP98SoMxPvgkZhymAE2oMKUGXpII W/bYfjvLNpPhMrYr/oQIFB0zD5waMwoQc95QzmLsC7O0SdbLckIK4KNVOWJM/rWubIX88LKMeZGS A0K6MDVwTnuKjfw9SuZiEW2ypIeB2yDghJB7SZVxvT/B0ysnlXn5IteKiq3gFGpcc74T91WjJzxB m7a24wdGw7uaEvir7moo95/jVJWFoCvN8fRDsFU3H1BRXOQsAzo2G9Os31l9Kw5dxbjaXCQ5kH4X ouBs8j8ISD66qV/Wu+h72DWmIjt4fHDJBg5WZ2K+/8Zti7a+ZIYYqGHaLL6g80786GwJa7JsFieC yxzHAv58octT7IRd4hzu+/Z28gWq8FFMNJbZpYhKAdnTd121+JRAHQ6UDAiBwm4sPtoeqBv45Ck3 ienXfT9FCUKKDea6xZNjHZvdJCvdfACsObHt+MBAcMZyPKQUkUsvbg+sqg2P5yJUnu1MNLd9CfL0 zEAmAJJY7VHMc9wwZNxOoL4pvjrGyPfRgolYKZkm3wORL58QSIaDGDXPgr1VAybWA9njfdm9SYvD uXCfhVaw95H63W9cLBH/O4igKXbWAfJmfxfEquZM7IG6yHccsGd/7o+vK1tsabFhCxasUzE+p31F Rqd1D7Nu3y0KTb9aAB6G6NAlkxrVLCgkuK4NR9Y/9gqjw0PDfUJMCH6gNth2AxzWI/1wgXkua7E7 dwNt9gVD0jnDJO4VRpdUvVU0wy/jIK2icBoNsi65YzvPikKsSeVM4qKqrV+i/Dad76aEkGqzk6XO 4RCRKbXPp4rcTE9uA8N3AKxnxqphZ1n9+mL5/VrS5bccdGxBB2lVnDlBot+xbJrfOlt8MsJfyqAo EB+Fg9fRdHjaCDdFa3zpaiFctQ3OlOQ0a08WUKYAw98bIlSlLpvtVd6QDJ1cIXTXexBde1yoJ+jA o1DsBnghjlYkhEj6twP5GGNVqDs6AWHLV0oXKD7nQfZNN163CKmHAd68Ix0GgXud400bGE2HSKpM u1R4tNNuhxv5QbK0INb3vSb2gM0lTW/w9X9zuR+StP6iI8tJFbujs/FM4rnsdh8OQRGxNlcUnEuz JETGZmWxKRxjFUVrwanBii3C7u63NGC4t8P0vATZ/AEjIDIe+aFpDS1YtAFWRjkFPhVNSK0vnHJC suneSPCcXAUlgXNAzYnM5ZzV+/MbybbpynV6TTyfOZl6jt7icCgZPwFRDK/MXR1KMdhNgtARvPnF MPYv+dXtwm42DJoTAAmYvv3bC45GStIcljpr3jRn5up4DG0RqOL8VhuV2quh2lHnOw/hgdX1fcKE P9+6dTmDC5wMO4dF0PRuaRjK/YtoDHgsoc6HeGZoX6HmGwDyG86pwDK7ctGjkYbqFP4QvJxbVD9D kvJ4fHdxOp/omtLLhd/KWQX6YMHAh435bflhtEA8IfL8DQVrPfXDFeeiI2N9To7sJPJp9++AFE7W ikTbb2Njutht+9AHN7h/aPeiSYrpvTnc9XD2SXomiGNEWD4nvvsgNnJHNu5J79mHpIKbVHxd8TL0 NnBOXlJfw0btblrNL38otJIQ4UyYYXub+DSnVKIyrpk5yoPk3CjXKdv5iHF/oHCrGOVHkVxNTZFj cZfMQFTpxGazLi0O8qFEBi87+2iV8Q2HxWT9aVpCMmBmBHa5wogg9iEP/9GrUIoKEsgZU7mPR8hl JwDnfm4Cb3ZmfKeXM/Fus0q12L0nNcNTnUB0Idp4KZq4PyAirOioZyE9GDnKhT4vkngcsUauPYPv C4EDeveltUzBmE4Ksh9w/BvE7eDIWhKiDlxLN3Yz6W3sGOZvP1fixzGaqkf9JP3R0PbAbyMLkZe9 wJPJUyWq0Agt18/f5goXRqlJVMTdzhbslM2qX2rdwhbHgOA8M4wn87kkV3nvFI4qt7yvIi1WlhUg o3N0wPpLMvqatoSQHkK1dYe0bU7CPkzdde6V92a7bAlbl0wAjp3McRTQzGdQRJVi/iqGJQGJkmzq a0+kxcCdeoy8EhWZ+4PhROOIyoxGyMBUc/GayiVk5jknJHSDUdkjoD03vWD+pW2B7cNTfDLfKE+v K2gU4/yQASmYygb8Z3/pNDZO4ZvmT1zeNLpZKSrmqS8YhSacTCatpQp3q1mILPA4PIQt8AKFlaqa AL8xqhlN8hc/qt5t+Bz0NtP+xparqiNlCN9RnkESG3hg8UG671vIlC/rTjyFRmUI9cXvqpKxu5W8 HekLRgAkEm+HikQRvh3UyZUw8H9aqKhMFPnChIHWdWDZpxXxeRoK4Up7pLieIRkxsf5DABwnfz+K XoQGf/VkGFokB4AwMSxtkaYa6EKjn8Y/ySHulR0cf/j6OUVfW4f+Hw+1ogcyUX8OmhD2hMNXyPCV eIeRq1NwFQwrHuurFvyalJ+fCcPLEo+d50tYH0maMoC/AmuLiVukVM7oJeC2iLCDKHtx7PaVRuMe qqLEtClL3FPMeKhtdl6raene3hnw7kBKnsnEP465EZg+1IT0MoZ3fbL9ixnm1TMpmS5rvxw3Jlh0 XRXiarwCRbHs2JRRhHL084oOgu2VDEJZbidp4IAvJTwxxbgr3sIMzREYsAomYRuXtHjDQkj7FMIq EN1VxDn0ncjAPqvNnz2hxyPLnB3UJi+3OtL1+8Y9lRmgwpEun38HsFoDmrkokSRkdPPWCQ76PJa3 y8eRBkvzV1Vog6jBm2qfSz5lhj17cLlUxo51TqrUtm3tszfKqOMUIiYRnxZUtfLEhDPO6FqegrAv lxYCqeGBxbCUOI/Po03uWTIvqbmKD0ZvE5cuilC07AaP/Ze89ERgrCZwdJ/B6x1XS1q4XppJi3T2 6MGexPFauiVDFZ2hFZxhPDPpFHu8zVW4r/ThvhB0gAUYlhigXhm8urBnulh6SqrVYNdUfswJxbGO jA9RS1ugaDx58knJAO95soHHHCLS/7e3TWNZfTFAGBAUl9snMye3Sp2HzOlpD1IldqU6sa6emWZg cFOY+u7J1IZhNC9YsY3kBC5xg64+O5h2aCFic2VhgH78OO7KNI/odfyWC8NJeu0QcXvawAYB5akB IBR+p63Kgkm3hXfyG58Qep+/+sGIVTGhbsvBpMg6rrKZNU6BuODZWYXXxytYFF64j7Zwu1R8DXL3 6poKLMJXSRC3DMym0zJxapSHICjNg+5a27Ov1y9SoaE/T34/ZraHsaNRgNQB7KQ/pHsd0BiWamlh ZuYzS9n/x8/9oKlaSWl38rOOqXCyxrCKxA6z8kmzbEXPasTXnGZIvmSZlGuI4oV6G/CFmTtDqw3Y /8JJDwdEoUdJThEdwq8EBebda1QzSEz9a43kgznkmFkgHkJ5kAk7wNRkTUIdZwEnjKmAPtGaLlBL YINTFd3xccsemWXbgX6k1yTPedDOij6WMv9W9IoPoZk+tfBhUCyaqRxZYg7ExotOZ6O84/NRzzUU 727LeJxAVJQXoX0R8oIbC1+Qlp8uWcuTjdDKYsHhtm3siSNftg5J5qatAjU4UjsZ4w/QljD6+BYI XXzXauuP+lxqmm0jKlrV9PQZvdlnEFe/e6OZCsBo56yGU5VwQhqP57c5kLwWDKm5a2K/Bvp420wy 2UULUP8+ZRBCg4Mqx10ZzA0lT5c52QLFW9VMZLQZ0Uf5rq6zy8PyTAnvCmpOvPL7bgmH7g27beqc vb0gf2DaizssPbmFDpaizNT5hemkIv+N40sbFrv5Go/mlMGxEFBhCLMGUsx3dsjBAFAOLbzoXi40 HtNfAA2rheoZnkArX6UxOvrP5y8kYBd06fcBm8ui13H4BJWIk649oKMhm7XyiN6Mtv5uvngmVjYT EcZe1+jzFCnxdrxgIdrgcNIjx4Ew1qn/V6zCN2NarhkwoU1AKhGnH8kHlL1JRzumMF8MxBmLKlfG +fTFA0gcFSu9xF/m7LyGq2puHgUEm2yxl3qMRy1m+t8D/EHMKtQI4P0xL5JWkuDycslFW6+J2PGz UemCAyqNNVfCyFhMs9M5VxUZUtbAbCQRCoJ1RhZMwfwaI3BRzJ3xvM4uUlmYXrRphuY3zS7t2Vgy g+yXfFovg09zhcjLWx5QQX8gvDosqeESIvTj2pLIctn5ENCZyTKv/krYiVVpCecA9pWo+IQ73QgC L+qthRfqAp2TgMiFpGvkM9CYAnuzWiBuDZcgB3JIhCxylwPGzPfyglbQgxG7rg6Um3W6y1j/KZiF DT0uxd+vEHpfXQTDTcd0TVAc/iJA0ATclG68rC0Y29m3kZ5YV8sNSJsM5RHW4zWKkSDA6PrYyuiF 9qiiiehv173Y7Hx3nQ67CoadLYqqq54C/Paxtav1SlZJxSX2Gns82zsZcEG+8eSr/5Ucm4fSPUwi YJZQWI7vi3956oBB+b8l5JFxlxFXKt0Aa7Gb/esY7HifKHcXOFbLzbtjQgIsqpHMjyWZWqSdmcaA Nc4v8M89lEGcTBJa/OM6QD5hmgxleK05sArzIsWT1VUCaLyXQ7oWgT6K19RFwr/W54lrU62LZI0H 821KRzBXrwEzTdvSiTlWBdaOHvOW4N7LPgo7qX/TAoMm3O4odaqOGOQ9n1pC4nNysUgQAAP92vnr 0nInLE/NzYujNtOs67hvYpFGv6ZuclT736eAk3BorjAJ4ucWgTpe7BP/jW6HhDtCi3hjGmnvvBrj TvRe5tV1apcqnGHpM4ZYqC93po+YlRhwT+SZjFVRChjHNaN04nEmYuiOdDKn4Va5pFPdAJS1F1qW 6dwkm5Yegc1Zgj1/QJbqN23VXCfK/7oLlz3M1mD2RpejjB8CKGjudtmiPMRaR+4rl9WwUdxTwmnQ ylrWtLxiqPuvSOVrPIudeI7tILnS1QhLQA/fDtPSszhGOrM6b1GMx0dwhCNRDEtvHZW3SFAmnQR1 vJjorOOehp1vB6rrFZAGELpR50S6HHhjSVEULBjoGz7bJDVlm4FSwnsCl65Y8da7gTtTrt7hZQvA hbSP2y+F4l/7W1+9KlZWIV6ykGEVQm4+W4SAKZSSdXzK9PPavnz6jnXR+xXRqhFsQzQ0EP9K1i+I 6RGO1H8srJ1/k9N9C2UN8ygeUzqpyvh8zL7cc8mM5oHP4JjtZshawglzur/UrN4KkTT4RfX5y9Y4 JsB8mKiDxaNdnSPIYhmAP+MLdU05sRrtke4EfG4VXp+HQwUm4ynHHVVK3qGo+24j0T1NUdtJogok HRpKYvPhNtouFeOmnH3Et+flFJ/n5FI813LVywd6g43M+okVNt33e6L/nrH+HyMdcdj/puORA4ST aldxX0bpajlceULQx86VjcJGXFCj6pfHa6ziXsovFF/z9ICCfCJjZkSXZkBvMQIpv//82GVZKaHB wRISkt9jKA9aRtelkTuizuRVUzFIwShhvGv72KOC7NxSBxuhp1swqIKUAX3YbK1VP0tEvhFoGslN SSAngBOlED/B4wnj6vvZQnzbXwui2CiVLSOIPW+f/MXgmdzA+NF5yOOAIA7CZ4EqMHhK8q8Zg7Lk Oqhk2qrCp2ahc5Q6BVuDuxfNqw6vJ8ESRcYrylGt74jesAtMkK2aQcXkd2UZVEWMZ/iNaiU4RSUS 5jIL7GeoHyRzXXjrHNztlql7Ftwpf+a6Mn71mQ+URv/smoRQasYpMzWWUaEs+i1dZkLX0OyF4cPI /Qi+30RNEchM/9J7zI3wp4b8VZexT8a8DTRdied0T7R1Pj6YDcQXpVNXhsWuO/lET6O8bCCCjj8x 8bZ2jAR/pdhYt/WWpkfVURklPP5CJHB3azjhPMT6KdDglH+g9iNUNKseztOmVzKBWw249FHbFp/w F7pb8BMN7Kw/w+8e7bSlVQ4hxW1V8kNiQaN2Yj2QgbIn2ikC7mtH1ARadW3TOr5lmcMYk5TFZ3Tb ltDQyHK2nZBCmm+qSdrtDVsgTPgCr7tByMyKNA//tf7Cq5TvckjZZI2J0USg5bzsOGr0XvFtsuBH H9oKwEIxX9Eo3madoiko60htRNpAQrYxBk1i56Ce0JyOdoyRMwl3QLFTCVJIP0XfIj6OrandpnQg mKJ0Mu0lBHlVMMwLA+t2FuvDZyAYryFXGh4GfKu4LbFzG66Buz0EakSnOG7P+6lsP6m7S75HQn68 5H8ztFtfblgfFPH1L8eAtXbXgLh4qo4tfAD46BRybKVK4sZ6kP8nMeZ7zYQSqBR/ttWFUNYi+BCZ w+g3GB/SYabS7xhm9s761n6u2IfONskXXO4J8UeIUCFULArTCFCLz4vJfmhAZ0FVbBAXeWUiwkox 47/r8cmouUDx1lIpwhIxHao0/72uaWpN46Z67EF83fhZ4NZF5mCxqmcbgMBxg1UWRVxgJPw59j3H h0AmWYo6TXT5qrG1J2UXXA6rxzffWrO6VFIg76pHW+140b/KiHTVmE51IWKg0WPJssB69wq42S9I kpx/01Yn71+ncH97Z+OMQg6Lpdb0gWPvD8/imXDclVNpqudPpRPHnG6FAyRgEx/SQKM2fNyWL7rb 7UnvJCnRv5Fnd1kKojIdbKQMT/5jUG3A2j/lEJo4UXte/71exTSRLan0Xgfug9l5ts3IAoc8Keo/ puCLH2A2TNo2vWdEt87Rm4HOl5maEGguvopinX+KTvFI5i/WM9FcNhGGyhJKntcd4KYj4ASYZkTy LpzShZKTRYw9aQVuUjapCQvVmguZeQW+BeYL/ZTdc0dK4mOo0Z8clWppGpCOfbRGwre3c2IxRbk4 ww24BO7zK7rXBkwimKr10Yteu6Z5tHzi2FRqi6WsXvr8SldurXdmfLjHngD93Nh/qVEPrhUKsbDt P09KV/gNteScmczW0lgcqQxfrcEQhDzGLyVubLrGXY/SaDMVvFQbTC7PGf780ldAQTyijCt2oAjM wpT+WA3VQlHRWJVkc/YsbOQrie2uiFWEW2b+jPXyOQdRBmN8iurbmz5X3uTqLtmUL73E9Cd2ccmH T/n9EBZILFtOrXt6tlw60eSt9TOL5f5CECYg3HQ6o9TTv/4GClJletdNKtyPYmxQjOLyG1arbVVg FgdfIWevp2J5Czt6yho+yIJORMKN4O6KIssfU4eMcq6r6s5a1tp5nEJI7UiU4u3Pts9xTgccf2/j SO0lVsHaL3dtPR/Sf32ruM6w4ymvdTMFsVgMjU/179wIg1263STuRFpYs6WeDSXWGDcfLQeDBsz9 7731VPnbXfhvbzTq+eED+kqMHhaXct8k+0699CkqQjPgLzLh/xOn7vZCmibCpmqfOoWoP1jSrzYi 1B4UveBTMjRdokUW3HBiUfW0miabV0ZwebeXIVxPlDJ/UjoT4mS23U5jcPzR7JPYl/phefGn6McU YdzSksXg+4mhEpU9wJOhHB0JCvO86tGzo/u0Ov0Z2ibjZL3F2sbPyjGk+Gi+t8ob9TjMdbWNX78J 1hdNX+0gp3YvGNUaIqGbZ8eqDFgJY8vp/VOno5ogJT8XQIGFwATIZ2q8bCnqj4nIGO/EGzU9sF6b x5RqNEWGLCQn7NiPzeEkGC7mtGMmUPmGalWA66ifwwzXqof0AAApoA1++iIapRXHancnMrs+q9Tl FJgeacbWFf0STvQ3TGsjUsTApM4aLJakDuDrL1w2H5Q8xTE2xhkTubVjKoxgV8UjMoRMAl8iTsH3 Gq211M2V4KnteOr4zFhRWJgEBUkh2lHN0uFWhH21Tjcw8N9ZWAGpoh4/sH+poJZNvwJk/g+0dxUA M7KXwwHmyq3WDt+vhzz8wo4QVDXMct1gNlU7xP8RJtS3FHfm/2IFJ4bwY52YpkAGp34GGv6NNacz nwvAs+DPd3YLizeFTwEOxdpO9ZVZEH48c5f67EaeHx1wUPATvN96SknwWsFSkRiNUsgpt/PqnOZA hqRSHyMQT3Gh+Lx5M5WE9jp2dt01LiIjwh32+r8qEti8192Ld/MOG2cLl/ihFlLhfa3UAkXsBa7X UVWjTmNIdpoUJG3XagnuTPOKoD1UPk+XqiW57Z8DpKDcBA03V/eJU33pI/VwiyJg+5uusXvcN9Bt 3NOvCpLmsPSSVjM3QN1zyyq6tJR5toxLrT8O3APfi3xUTe0WuUu5gsxYfeldLVUSLLZgetbD2Qkq NTFXJkBA8WTqLL9/iheacx4jRPK6zcC7qhSVkQ8hg3fAEOtkNPVU+si3q1CYtzvHyKqO1zQcSY07 nTb8GnGjXXfogI5w8YWAtUCtIDwom5w459vQPd518DmBBnfQo2P0JkT+oqu5FFw9Awo8YncRsgZP Ru7RcqMz53zz8agEn4Hv3dON2y5vDI0ZUVr35vrArs+DtzANQC+qPtoLA2z4iq1ZjnDQLdK5dRoz S73YYMckyv05J6LJP1R5GcoLhdruPuSN/9uVGoYOnMehW8Wq5kRRRWlD4Oncd7QluQCtqhQjWeCL xe/axMBeWfDmVblC+I3rRcGiQVL2J7i+h2CZ1QaS6MqYxedEoQvj+PfOjgb9gXk+sRWyKDvjB1XY OAWsJDwt8prj761bNKktNjjLfQJgQ4sROdLowY6qULo34Z+xgri7VhxB0kjEXo3hzfPPhItAEqk6 kTSR6S3biTnT4h0BgWRTbqNQEryDLsThiJfyLqTKidVznhrbVdzqFhNo7USJRThvGC7c/vALodGu Izihyce4RbWChE1MOKcm55ZIiF7B+7cYKtHKNjomSndvUTBq82WxAARO8e57+6ptgB3GT5I9zjpc ZWN2JOdWx+E0lnz3+nRUXso+4WGwCkI9RW3Sr+TAF5FYpQ7c7R1bDyzRDnNsPwi7sYbAu31/pxCt G+dDc03wOCgG4r1q12rtuyPLd6EIRCh6mWqaRfO0Gk0kXLTNe7/h0YboLovJ8N3cyeoQphY4vaPP pABN3Sba0uTIX5IMpjkXEvcQs+Uk+Rp6tpdVwytABhNLt19hxk/i5dTo72tHytFdsH8nF2yRinnl QD7qlwTF0IUYWzNo8aLZbTsHve8bRWo/o1iLzvqldbLs3N9ep57cbQ9F0p7EIx+Am4AjnBkP5z1J VpStxfXWsLpiKRnVlLj2wOKV0rthluClGPUMtXKQhabORbQ30AoMTvL4nv/THb4+1Ley6jeCiM8n dt4Qry579vcKzgu6TFAzwLbMdRIB3IeHu5B1I8dCJ6MrE/UZZEpdHH+eIgIqf2U+HWLiboM7GJ+7 t21f7oQ435cIzbT/vAc5pug16jps5Jb1FGOQsXBWOv6pBZLiHoi1nan269vcIRzO1jrFN97qt8wx 1ZExTCRGrtgiTb7c7Z9soY2B0SAFbZcSGpoVnoy1RjuRVqbIpkCg9tF9Kr3KGo+CxjwAvrx7ADIf rq6VAf31hdRwqBD0yGiEBW+lyCDsC6llt2bWXkbqrWJWLcnoQTCu+rmpBd5WW++GEbYuH5qt2t+p P/AcSh8mcxidYKrQzsSGormO9TLwny/VO4Mp7xi3iE3GxUMuVmLjv/btGbf64/I058Zv7QevWNZ4 82B771ORfyewR+SJYpGU2KRtkY/Tkxc4lTSuJ66Av6sLgHIyYpO4lpWLX7LOt1i/iwea5Hg4fXFH 4S9yXmnu1wOP2TBXPB0JH9XxkVIW1jPuNdqBuCCbn7ExDbPrci0eeKX1JhD8+WHGPDqYBfUjiglG PYxwOYnQRdKdQsPD7Y1fVasim3M5fTpqfjfUTyLm2bj6Z4PDMe5opQsbs7sQoyLfiaTkNH4WQabn s5yLc+UzYv/UNN7IH355tSseT8RtBWuo0+Clm1zIEEcrlRlDsZo1RfOR95YSJBoYoFgFjYOr+Tpg ExldO1M9hGenARLYCOjsyoTIOQOTF4dGDMHwOhl8913mhiYY64qpNeq1w4AaBmpxeVaMyzFgoLUh CGGYkkPK2D5wIngrqM3YBj/roQ7JGsXGK0QVYAAfpIiQfIdgQ+LKR2gQe8IQprzSDJHzsxTGDqNT WTJo1anrKIB44ulyDR5lvSppro8ulOC2wpLwW3x1Ldask9+Wz0+LQO7l7iYOvEUbuQXIHjAOtw6B EeSEjqWBmPY41tKpFEO4xyiKuaUoB9KmXk0/C+UcrTbG3A50dW9zWdxZWB+G/EVBEJL52n4MLYKA eFB3Pfp1hYLINI6YMg8V3mvlTVTxxt0iRXgM5hx7ZvcizgPGdRrlpuZ/SK8AwcWkwaqKutAS4r3z pFZDw48Ph7G3T37rejimFDRGyuVpwLC/ubED39k0vlk97auTwNkikQYSMes7NoqgtiUZioSoDD4I DgwrcqyRvURJdgoRu2A/tAFtqm2psLxtDvGhjwVe4coRSrDdMTuJNRMTZHOTIH8oBiqRzUpAcUvZ 1eFIgPbR0s17YNP/W4TOCXfQ8euF5/bWvTU53JGBBTffVqGpPIrTkU+0zcz9GN//wE3Jr+4aMyig r9B6eJ912g1zNHqCL0I2aJtXw5JD7XOd2RN7HIpf9KgYRDPwHp7G12hRflq7MgNLwCE5u9SlOxsP +Mnu5ED+4g8YnQYIKyXoPtpjUFJxu+gbwYxghJ5GRCbRD/3BPmPv5tisXZGBMm14UBKfftEuF6Kg 0f1j7KLs+0zMosz2AmNleTgunxX+TnCJXos2BAAmcYf2LQCcqhh/gMzKwQ7FtJLu2+LqlQxzYrTO srjdSlHG95bc24fXXCRzfQAgtDGcCv4r/hWPQ04MSN5LZ0mZYJ6I0ubnwfFC3GDBz5xWNH1EyFu4 aX5neBs3kVQsWniFJapJqnMOLLSFQGjH6e9ATmp9hwCkNDtB3nTSKf/OJLeC1oBryw9JSJCTJeXk 8gD+PMdZ3vxyl89n5Imnhd9Z/VJ0t9gyZV2IqAhMt6GNhdTfPwpeUAB9zlWxhWDjtjcD8ujiPKzS VmdiLDMQptp0QZi+NmcZentj7Qc1daHaqZ3vxhXXgxpf3pX8KIMYOf2h/I/QfPtHZ0wdFqIUNz2O aoWk/oUO0+omgFfuWN45EelWJ1uMZgAkCOkLruKsOptkosGmkF5OcnCe64+nBzH3LLu7Q6PoBfP3 TWTCJSLg0fe1qzMxxdi9YMM/AtSlWlLEWFnbh9wQqAo4zWLWcNpqjp317IQidk0METMwV9fTmiwo HwM2j/QmhuOhwQKf0yFHVdkA5W8J/1OF/B/0ir9xKfQhrKG4/LDB4CoUD4mZwOInQriIOdo6wMdg E4H/Vad1JCrVqfJbfhx1pUk3cEbi5f8Nt15LusZMDJJY2YoFVQaZ32mvE3FvSzbYRQ5ydt4jxX4b M7rqZogHbr9MQj6zV5YhQ50QG0jvMedwh6mDtlxdgihs6SweCkNAufr4ADCMZxUKb63ZdC+PPVnn jwAw6oXOEN7m7HjivnohnjPbmADEWw/lbcHmqJOzPJ+sN/BTKs9bCTMFzATVJwDaeQ5oVvirRy/P Oj3uKVzmx1xl9G4PRGreAFtcm2JTvl4q/90+XY1MkNQFLkMBhzZvjZiwGLAAZxeD/rwlkwyNaGe4 FDexiou+Ajm7NqA8G6I3dFYHrKJOUXNeB6TQK+5n0rkhsfmfdNRG4TqulMYc5MPR4rYhom8AZOOW d8eC73S/4cAG+XLTvp5iLcNrY58jj1e9HfIx2qNRImzQlqB15adwTCTh5odIMuJyfzbc08svRg37 th+G8GSqGGN4yvcrYvG0FCe8vdFSD+6gJ1n7AoWMXx/URzyKK+RXrOR7G2RfSYEvEz8lXSlLyK4c JHbaboY/93mdzciljhwzQSU2/IYLIx1UM7ehQX5bc028PewNv34lVycm7CDZTHc+CFSJsCOAPV2H zmnLFValI4p1vJMGRMogqY3SEgUvrSLi/4XSeUToRvKXmz4FOQnAZ9KV4nwS6Z/OfvlDkD41wurh iRo3EKNwr+9q+5NYCPk4tpISw9dm7ws/6E70oIvVwV5gbdXiDbqDKKjSma2orHBm1dejzm6Y5HFs QndIuseqaTWo6PONTaOJ3V5X/c54TjONa6QpdArUMLxZ64Pb+nWttM8RIGi+R0UllB5fPvFPmI5x AgUehJnQ98ZM2gXQeI1Pth3FDajENKd8dVfumnaB4QPCgg4FB94Gf4l7nMKDLzK01usUInwwxevy I5Lr2KEGFCI4YmfbPJDfgXWFUVm2qmPUwOapd5ZI4T3+vYaLtHqF9P+daD6dwMwV0G0RrFJ/ldaO BPn7hILjWr2vuDOHynFZhove/alDIPGokgk2PuQUYF+ewnJ7Qfh+xRoC2UMAb4EBhxc/gnF5GRbK LtGS5V+pSXHK0jC5rQPk3FgRNB690iKrpgjQh5x0gXltv8nOmCaTa6AEZiloStRl8VgDRBUZCnTO dlNs8E19422VUjqQ6j5ubAEeGck3GXw+cSMMyCfpy9oPxskhwBWgdRXxYo4MOAPzvP+49zSX1CXO wAtEB0Mpb4P9cRUS7tEp44rn2O2ahS4limP0J6CRQcu2d8A/y0bM+jtSwgngl8SLntSJsGq8mmWA qcWSDboHo+rJqn7ZqiBDXHUkU8AmloqPenRjlXOZmrr9+iqmUSMDmSHeHlSg4Ex0X/P9G0WwI0BA YvgUO1MkyqQNUL4jo9k7Bblo3ewYe3Z0oMrGhPpBJm80fQswFhj+qoVyhUzjy7dRpQwl5K83UaZH rx0jwBSK5r9pHfhkBBrxqfMwgcsVuA+RpMCijaYecAEddQW8LmOXFIyWMmFE0gUPXxX9JngDHCnw aPGwzySPw3UsL/3r2FlMiMpkDtT5BaM0c5LK8vrT01545N9ZvjCf+PkYY8zx4jjnGuWvNgsxGePL Z+EM/0f61BpAhA5L9k2GochHBkdKHXVKG1fdYaLYQEzYPH9k+EoxhDQXcB2dhVh66SVeu1axGyGE POY+hHCQZeJ24XoLTsVyjTyEyXD8MjPcOaPT7Mo5o/lZbPFlmbbwjjwyhGlGF+x95zHY1ZCX+RQj 1vQQsbgZMNgUPaozMDFkn2J7bP+dw9aBlrZv4pN5Vj5ZegER6H+9PIe92iFnCZ6VbERajDqB4bNK KY8V6k7FUWTHLofKivFeck8A64HsEshlZlDJGiFc5FizFWd3/6oXy/f4yl1Hr8vBSDyVRio3SUuz b0SlJqEtv/D5/t4zZ7StO/0R1nQgS5Bt5jE2ruwRjfrnnUDpHr4nhAZ1qpTsk7Y04ww9bnmo7Zg+ rQ5u0h3eCGCGj9xdxXp2cLKlLm4NYPriLwhyeSTmFPR02xVDzMYESdApHY4fJSNPHvOtA+P48vhm P9e9nXHrxf3W4hLIaiL7kQNc7NkslvNf5l4+ID82aoFisB/w14KwxS8jUfPi1d8hemXX2ypyFx8Y Pmob103QUBo2XGmMF5NLxuMG2PP0xoXsX2Ke/mlABK3ZiovhjbWAvEycCZdNPEk0HQKroyy+Zix2 nnU6KHTafC91scZiUEzZHlKaMYTxqmURyMRkcQOhEmeXV9KFiWcHgC94hGI10Zf2vX1Sn4RdgALA QrqGpI9o7fMdqXXv1MK/tbVsjglCjz1OLyoZ4yzb1i0uRMw0vrctPdexZmneqqrQ8i1xMff4lW/F ZIP5UjA/ybGw9VqEpDLQfB+Hh1vhTjROgmG/6h/mtrMDuiOuUSrhv4H6r+zmNhfapD2+xxNHPb27 pApI6/a3ogPzHBSmUuhim5YvpZpegxZcDdaMk4h7fRh4TNF0HmVoO+BDcz3Gctd4h/cgmbjUyh5B y2p7hEikX7wjQCMkido+NHqS0wjPIabMYotkBfD7N/AOr/8fIMEIOXPLQYWxEafxNHsunKi4RdSv M79VcgEkkbyXY32enr4cjC283hgxxjZV/Dwdf0kfOTIlbDBuBLWLjG9+l3TgFMUUk1AbdziKLKvl Q7ZWjJZBw0Y1MED2/hikrLRrpALuEvniNKwAwnffmQtqVEy3OGm2kvbITn0dSPXGHFDFSKtAwnyu KOw3DxcDitQyJ18GJQy/BzYRJ+XIcbEDEbonKN0BDfgOwcaHwcyYNYe7X0Uq2iSBTUwPEqnZALDI HNQfqLUQgfoQVGfBJr0+XjWTuzc4kzfsWIzZ7mfv+SUQmCcTK3IUkU4xuudcM4oyFKlsGoCV2qbE 1uzosjU6En/sOAMUMHtmUy/bSnX9ejqEhRDQcVOels0npxzN6afdVf0YkAu6HAlX5VSOEH4V7Vnu 5gd/p4m4msiaPhxb8RjmErN16YCUojTvsZRwSIwYzos6RGIJyEYAxa+d/PAgEbK+FPr/WTGjvZ9o z42JFfGywwRsc6oLaXdT5fcPq6XWlQUePF7WYIK65i+riMDDMpCBQE7hoqtQmSbQE+YDuSOw2I9r 9SAyGs+E5tzK8DtxBvki85fiqWoCz6Ds4pXi/lCkAIMbO64M5F+ac1FN4PZ26+NfH8chGx31C1ao q0HZq06bHsB2nakCKfCbq98oadRoAa4gpyFvDOku0GFjCjHU2tUOqXsRVqdzHWEJxIJEAM89l0pm V3WKE5lfxmnfoSgYnBQ7Jx7K1uewEDaVFiOMALY1zC+FJ0nED3BtYvZnKlzlCtQn3RpFXN7uGCqg GL3JWHGPz1JaHzvWVQmGpUREsQHX0+a6WNLhRMhQcBnPIjl+QUOsK/LGU9bvMhAO7lqQE4LHs12u Q6h7voyg0ctX9+3SWR/FE/jt1W4b9kiv9JdU0TO2i8QDsxGWqmRPK53VcKWhir8EW4QJ3Not3VmW /uuBwLVEq3UtoTW9vW3o23YzOq/v4bNAyb+QACsk5koq1Fjseo0v4vVsyYj3QIO1L+/IMKCoqc2h 6JwGOQTfEFSLddnmOTLHitT7oLaJ8kDU3mbluS4kJyXkje3cWstCAKyCT2R8idzpD3lWbz7GoRFR F5ZacyrvsPJfeHoR5O53xBlfilK8LeHR5XYM6Ztdj62I9j0TZnhttgWnV5ojVYb6ZIRd4pBph6+Y N+JuudWRlG3DRbubZHdEArrAulUkTC78u9YoLabh2/KPXE5FWXCveEosBesFZWvgfGGG0oPZK0GT mR27pwHonEk67nVKXKppdx/CUqHSnq4izUAmslH1/RzsYEdPdCc5+98bpThIN7IX4mAyN57C2Os5 mtPFHZpJlfW5MooAH9skBZZrO4Sexm2xDj0VfoN8T6n/uXD+DcE0vRdTp2n2i9EijNgyWh6msPKv IaPaKXlgy9LXym2FaO3AKAzdGNihiMJ/whuVpF5KU53ebMzrUAl3635K+HYp+RsL2WNOxzE0GBSq VqbzQWaShx+ygkW3gcos3FNjy3DOjvmemPZCC2VhDV0qcF56ZGf4Kmuf+UDGaNqQpHV1tf/CA374 OgZUDvmx4eZsSU79fX5N0jJpJP7qBVf/RuKmqBWMYiyWC6FzLx0/SWmyvOhIlzD8iugYW5PiJ9K0 IztLgE2Vkg3h/gH/oaAcSUoom6tSSYb99NviKvcGtCy1A6yDl6ylN+VkrAFRI3VjdOkR1pF8H4OM ce0BdZ+1przah/ebDglJkKuca8Koj4iXokSivKTKEY+V0RUMkRWsEeWkY+dAtSXgxzIzU9RC1A0d XSzhK8E/ITaKvLBmNMA5DpsXI4RsA9eAVGD8wkroHhuNjnpesuSCULOVT8yHhyi6EkmZL8mwFovo wvFFX61ibLjo6pteqrRVjDfyf1broOhWgeO7hFR1B5xR4dEkvTjgruCWKCJUxDQsPYTVRGCrgKQN /5s18L25CVbkQsX03qUObxjt5z0wpDlBuHowDOesJpW1h9BBdDWptctCVkZoIhbzafewEKBVc6P3 SeDm3anxE+Ks7d35EHvzFEfOJe+PM8PENzihqvj4oQSh5CvWrJLWwCkrhdoaxmRdQ92TK2wNlOAS uYx9Uq9rz3DyowsBKqWOnT5EzmYMMh9JDjxgpwVoGrOEKd92X5le24zLC4xuM/s7EsV8DOqnTW3Y jNsGHeO73n15Jy1nJ2bS099YkAeJO0HUobzrf33ICPkmz54V6CPr+0t2ISQ7bbq8AwkX/7rDysK0 00ve/MdllAUkUP3yoFn5lWkhaWP5M+jtc+3BFA3ej4qqE6yikK0bJQH3oKqC6xupEInDjI4LxbzA 5B5FQzDJ72us1Hyg7bLV+c7JzkSJDqmCNdEB32pk0thl6bxzEpqGWxk/EzIrhDHoQtXwFxfzZnCj gzr2tMdof/FXI1HOL6gp4QPtEb1Bz/4WBVPnlefXO0KDvnb6qQORGnd0PTcne63If+lOxuqPImwL OjEKYSLocghOGI3JnYRYsewXo04tymyDNkAU/KYpdHZE/IuQy6KrlpJgLjw7IZFS+uIelFeDOEZB LYIU2nr4ezsk+AyFwSJLIdtgjeeS1uMrz1k4TiXJkqaUXuRqdaa/MvtQx3ELHaseylvJLhQm0d2j vLOWvVmRoqtw4PVHNB1ivK1cYq232BSNWWv31zHMF3qGU3VcyHSK7xRn0Ut+m94apxt4FKYRBV/b /LUI97sHS/h5X6l7oY3uQfmo+w0WOH4ubv8oo7ZEHu+/9PE+OHIxFgOvFKHxti9an/XrZ0S3erHp jke8NY0jKfL07wuki1kT7LT0/EIlrvHR+QygypMpuJd5Dt9py0Hz5am8Y8zDdNuY41kXE8E3yFPG nSin8RPLStEp3AVjOjMWm6zkUj/cc/o1RwWrh3ZFDJPEACncK9eBJ508fer8fY6MTw1Av30qcr61 LgUzELyniaoswW7HoFoJ6Kr2Gb8kPNlntZ2kJwDsXfdHz43i6N2JGS0IIKZ/PB+gBFqS1FNcwKH+ WNeDkvoyijbpw5yk2NaW8cscaFTti6mvKo+b94vD0fifEerQ1DqjRrrbQ8yavoJHwIoLI7ftcRG3 I9guyqC9yzGHB0cDFMaan1fXsd3nDFtW7IgVq9cv1u61MXX5CazM+2c4Mr4OCLdmPgb5tp6qlvK/ 2sdxqmCbTDRt07pPhRr4D1fyZsgU4IR5xaLEcL22/F/5aGgq82Ksnf2Xjy8hYyeZe77krQumrT+8 j7jgI6cfLORkpbWqnrBNWv4mhag6Z/DCakcl+l+ELla24fmKXqjKf+eaLlkEzVljBdy6R9UY9yEy pqbF7+1xMN/HEJycChFxxNTufDuPbMZ154m3vKzfzdCACzbosQkU7NEjF63lCsxtPauXZ4fteq+n nTroSzMYcfOBosrQis4MagOte4Sg6DT359bqG+6+ldkOb8+PFCdFcFKc+RkXTUS8870sjbCdqWEG RD33gjS8UPaw0ZdIwcQ8t2ZlWMy7ePTWBi8iEPLMCuruJA5sOQ5WnPByfWPzklboyDdHVxtmaQDz RD6J1PU6T/rvx+hL22VlCHYA6aZ5c7QqNGxrsRDnR/5JCxACQEINgTovx9Qr89V8/7KRvzOFVz+1 9MKHibkWx/Vl3oy2jsmRoQpNJXvCupCywDwomtWxmJW8+4bv1KNQg2P7jsY4vx9TxLpbtvlRtCFN 0N8kgiE1X/gd9CPl+FvGrS0F9cUr6IoT5EM0GGRHB9vgUiDp/4TPtjUb3i0XIuAKDpwC3RjAxgC5 oPYMrhzCaHWnyhejI8skHPMBf8Dgci4YLmfOq9Nz4Uf61eDJIGRQd76YgEU2zlWcDzJgZaG3fci2 pTMbruLjnDFcRYhJn75Frqokc092+3kw5SpiPNJwU1O1X3MY9mqkBGKrp0J8i9RGh/lvG5s/kUa1 VEDVuoDGahf1BY4N2FDvkKzvWPzzZOzBJsm6GTCHOVCXH7L4VmgypsdS75iMBoi66x3WkhjzZmMu 78Tw89pmecKXpP0/KkbgQUrSiXssxr0I80z3cImOl7dDdx9BC9gXZLcaAabsoqCZRL3/9AMAKKO7 d6JAD94fY00NG0dx5sPJLJX6HZNMwSywBYsZtS4N51dGXJ/4rygpbm0GmhiLcaUxFdnaduPEMuMd pH+9NscAE5TaG3pNGROJFf7S6945YGZnbhwTUcWXqWPjBRvlwWDY4+Ugv/7ZmwT200BecMMOQ3d4 gigg3FFlTVKEtrMe0Ul0iNN1pkneIxdXo74hXz+g6pctGJht21esyqKNkWXSp0kG67iC6RzOIgNS M94M4gimJPL/9ZSXjn4SjA/Nm0ftTz/RbYoZkzg9SwiTWeBmRYLH9eCGcj7bHc0HHOFbdj6D+nTD sZP1AAI8n8xOaLQROjZgEougOgUzv1wytQdbD4kP4vCAujNfwRwKDfF5nL1Ly0ciF34qynZ8RDEe AvgLhHqYaS9Q53+g243Vm0tM/2SNzAX7dmf3xXbuYX9vgGAW2mV6DFtjiLTBGSTqhJavh3UNofS2 CzdujXgXOJtiPYkJSCTqU7uTveLgAn6Mi7J7WoFp+8KfRLivwyZyMTsXMFSe1LsejDSBb5235RRF 7hqQelhe7egMXBu0HUKZRkI958R3B7yy13YAGy7+KqW9TchKUkRjknW74LpEK9lrwoNghGMainIu 6ioX4F0GynkmRZVGX/MV0D6SQxUogKC2ehPGPhTi53C1H/mpSOQ1GMLIIjj7fIYQigA4qIdDAPR4 wDZ1d7aF8XbY125Gqosc6NrQYwEcpcGNFArWa+5PmiBZG3bigZOwALLfqFfcFbplnjZfcxcaZtef ViuCVgrF8n4g2TJA9ovbR/yxOkgLK0zRH2mZN/4BJBD5dcJXEuhdYpdbZSihduzg4twMwbiPbIM8 xdrsgmtmyrX6erToIr4i6hjtXdY5EHkNoKMCBMU0NuHsQeTGvL+bjC+U7QfzAdSFV8G3GB1ePsyb 9aMwkyqUmON1ECN59kCa+IaWhLrwlwLFZLoSGdzFc/6JvALbjZRwDh4H5VLaQxDIVaKh/cqTx1jA KVycMO2hAKlQMoI7mF5/dXbT8+4HkYWcBS1n8i8y9wTJDWmyJ16tEWaCk2oW2vuy45nGx47lE2+X qTL7jvSAivdY5gnNXwdSLK7FR2XqBomxc6O04oInSB0wt3uxw/HjFqA4Oi5LAsR34OJBCujF+Np6 wtTHYeLUSFMyqL9BbuW2PUbgbFSjKDnperf7EOL7oUrq2tK1XaHw5/8J9o4uljfqOipq8VfdkApu bhFUFclttsgaygZ9f9e6Jv1rS+/FMv3dlswEQ72s44XoRtxRtwyV/DUyxToR6EWJlichpn/qzs1S +fa5bE4b6BV+ro3SyxtqpjxhB39v+QZTFgiM9mPubz2TKHV7ccIsfhVvRfM/Qz26Q8FNmAAklo0k lQXq9Ecj/Pe1wdsJh3iWf7r7NF7v/EgpCOjyQieygyX33iWxDhiJp0t/iCOwMyPWw68xrHgpUQrs Z0dSRki0Qp0fyLp+Z3kliOe3xLm7HIfk/GMKDvW05LyrTqLVMpUv9dQphnPRUFBx+4yXmmnvIN2z Ok6h1Y3cpariiNIOXKW/h9tCg6y0e/5KIEpAF+b8nCJXiyRGLfsYTYMuPgk6MdBk7Km7yP5xI/dI 03Md+nv5PBfFyzPsm48FLC+HgYXtEjdqZEYbvyn/72H7Cl8M4lXEGLYtI1m7osUtDDLgfFXfzzsB AkaLz0XxaUZWooolE5KdYTvgpFXFRNwU9hToGWEON5KnEtjitB/Zu3VD0v1vgROdPTnptPTVVrCL Da9MZ+GkwB2GYbllY0CdLSTgJqeXUIgl2PxQMmEgJQ0oeHBMPqkyhFnbAHRYpLX2b5/l7D89o/v7 9BmKehq4eAfw1WMAdwj+hw4DTLcyQnjoycK1Uk5yiJ+Uc9Pbkkkqn1aWB5aw8yQwlIzHC7fT6vIs 2v2W5NvzmruHwjHSS9lw/sFYKRUN04ZorkObKk8U8FdUEfcwFyzB4XQkvhQ3TBwKMLoLxHjX39bW Tk3XHEGzL9DkDtzsHErRoYWKzkAUU8wtMkUGgZ/3KpBYIwHhSks8G6temNazdsVHaZataua8irOT t8yY52XmgG/eN6i/GOagj+tdlO0Zq5uaLr2SJySrC1fv/CwnvC5xqe51AzRbqiwLIAD+pnyj8H7h 8KPU5DHMemNgN66EF2gQLt5uy1K2zjsuSYdQaRxRdWeSpgIKbnI/9KwFPDYYUuWkpPXa2wTH1C5O JzLh91CkqJ+j/2qP/hc7gh5HnieJ9Hxl1j1l0YJpVK6F9jIpu7TDE7aRB1Th8HMf1y8Lh65FKyyw 1BmKnXT7iGTne8xIzfhRXjcQH00cEo0iADHPQMxkwtYKR0Og2cPvnKBvxFJ3YQjzlwAZ+EqtxkR5 rLEL42BHladSO+0i7JvuPb4qbXkr8LquzGjYJ15rIiU0RmryK1rySl2oYozIE7L85znkw6x5wkw2 QekZCKSsy2InbFcYclJGiJVocM9FeIiTar+pYKnyKovNM8vLnl/drl3emLVhRtX1Tcf9EkyJuooQ QiTO1tmhBcHiLxfHQR3w9WpmWzmo91/CZEiXvf28/CTgDLd840BPXkZ/6DM3b/9AwTQNd4gIU6Ze auT/Ixa0Gqi90PzCg+5zyh6N0f5Ufgsu5JTA/ZaXDaezF79pdOrTpMIXCLyBTbpDChqOETaCEe03 6MsMyrcd6UvuwqP0eZne5MTtcJ2/qLm86jzY1O2rMKgQfUuwVhKwQCpm2kZSz9jtolTliNFDW723 6yftNjOpctX+yQc6jushQWuV+m7oRIGWBucdhKy7X8eKWdDU8bJCWvz0g6zgZ+Ezz9K0OAMCoVOf K6VSjsePScI63wk2aTJQ8QfpCnEWw1rjLaod1jP6G09LNgeegbCJ6DPghGytrCEKWCAOhqvTOsLJ 4n6IeIVH/PCZQpHWpkWXRgSYe9XUgNp8NNcKAs8y034EYhLwcWalNZ9vPxeFm/00ubUxVL1Rs0GO f562nench9g5QmH2ZiOb9C5XQlffh2IgaknQ3gMNQjXiUJ9MaaUsyUu6ol0DPhTOpMjFLoJR6nc7 RUKZQBmyIHiyWfy0XXJipegCE/KuGDzTTK9xUwo5EVVaK0k0y0kWN8ik+P5tQWCwbr0FM1WzogNg zuY/w2XKTjTn5JjaPAaOXAE/4xRXPigle0Hhi1ALzJmBd0ptgj4Ku8DPZJT6vb9OPVQJYtgfxj5a cD/9MZS4Pz++YWVMI3GMUR87/cFxPwL5QRZUQwnq09q+42/tqjbzkdNjgjVIDDV8PWEG/c+Mb65b vNsVKMZRXfLQ0mzVPB9Lzc/wY/9q3bHD13ScYWm3O7KShyq7vE0OPpIIHlTPZOeXvf91530GTHtZ cD13xiz7nzDdvjbVlp0HqzA7lcrz4kG851++YV32SO+egYzYY8cjdO5m5+VdnW/whjuP+YFIUwFq p6T3sKbYNgUYFhQUCBlmCKSSl00eZd0AD4Jz1ZdlpzAoqHgFvQUXRiih2QJoBTNUDShq+fauhi6n 5siep2Xgg6DOHnR2hdY9AfJBR0BxdDT4TRPngFxDOH0QrJgVDVhj9JQfPom8MeuaRGx/N34SsJMn KQvlZqGoqmobFwB/GnYMZ/mJxlIoOBTJczOg5rep8KWIzYqwlqSJdvYP3vYskunzzZghfJM4jqrx h0z8DNEkUD+/vIo7harhbMt3C3ADMzmkBmMQRCmQJvaKs5cObM0BJafJnQSeajRcrElVu3TGEQrv 4mM+I4wWp9nrmqWutlxnf1YzzuS9PDx1ciLKWSwxdxzDnFkYbe0oBP9xJvkij/BnK8DWdHCkU4rh yQWgUQnNG8x3k0uNXZqZ9IUYcqPf98zw9ENpDBskEnl8JSk1EHRPWZvonA3BVY0ohBhPSdf3dZfy NrRPLFy4gInZLWCuT2okuEFD9hOwy7S/nvRTJFw9lX4+nX1zeU0BoPszPqECRtBNSYBbB8Zyk9/q ccEOFVz7mCM9Z0NL1MXd6+A1b0tuwBfWWuI3kbLfcEczfBrHazPZvhwBdmBhoheKCcNk7cTqGGMA ISr+PanuPkGoz5cHp3A7YmP4r1jRpEKIsjXxGTHDwvoShNsDyPsBS0+Z5kXHA7pRSOndubLnIoe0 ndvphR/2btJn2WPEWasdvjgel41qC92qa+bDRedXP+SMc4T0KkbTfnYdIkKE+jmLyQ2aZCZ6LTmB Chpu87PIzdt8Y+oxy6si `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c Y2O4fk1xOw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV FIedseAJGSJjdgeT43M= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0 dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc mYqTUQDFFlehrx6Wh0E= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8 SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16944) `protect data_block PKlpisMKFINH4hoELw81Ae+vpIr0xr/BIQZISQh02QmAYRngfWchi+A+2gXJ0ErM+PWm3fbvLHaf UADT/opvnHMCrmwuOuQX48J/a1y0sztlHgsA7XTu3se9+qgRV127obwgzAH3seijk6T4T83+BIiM dqBiuzcvDODvMXOytGyBvxHFJhnBdeLNFVjuAEwQtXx+NLvW9Ik+HNBAm4k0Ad0dETSB7ORWmkDR DRXoKuxvt1m91hXPGm9W+J1jmzaV1zO8uUexlaqHpOLLfSrTib0UPUdyYV9lyHthm4ScBEp3XGP3 evqHI/uPPFhq9kZ1kFlKWVz7eFgL3Gj8HYNAj7CQvC3VoPY3iYi0eWFn4B9KULjtlTPCL7lxAEVh y/HyuNA1Oqr/wX1WT1MAj4YG0pcjisW2p/0FOHW36w9xWnk+sKJ/HcbPK89eKRs/MAhVny8IPYP6 W3Qw6R+Zv+LpSWdgj0wCTxeLPOXxmnB1Er2Qm7gVAmJ8vOC+vlVG3+jv3hDCn6AOgp7UWB3jEJ5w rH9dnr+bT5vDes0mY/Kcp9yT6oxwEBiyH9z+7hXSjX+dxZqULx5LNw0ZDwJdYS0N/QnxP0ruo2i+ Ov7jSBcMSp2j/B6J0fPhmGy6cIgD+0Da/7MTlyndn4HhckuYAP98SoMxPvgkZhymAE2oMKUGXpII W/bYfjvLNpPhMrYr/oQIFB0zD5waMwoQc95QzmLsC7O0SdbLckIK4KNVOWJM/rWubIX88LKMeZGS A0K6MDVwTnuKjfw9SuZiEW2ypIeB2yDghJB7SZVxvT/B0ysnlXn5IteKiq3gFGpcc74T91WjJzxB m7a24wdGw7uaEvir7moo95/jVJWFoCvN8fRDsFU3H1BRXOQsAzo2G9Os31l9Kw5dxbjaXCQ5kH4X ouBs8j8ISD66qV/Wu+h72DWmIjt4fHDJBg5WZ2K+/8Zti7a+ZIYYqGHaLL6g80786GwJa7JsFieC yxzHAv58octT7IRd4hzu+/Z28gWq8FFMNJbZpYhKAdnTd121+JRAHQ6UDAiBwm4sPtoeqBv45Ck3 ienXfT9FCUKKDea6xZNjHZvdJCvdfACsObHt+MBAcMZyPKQUkUsvbg+sqg2P5yJUnu1MNLd9CfL0 zEAmAJJY7VHMc9wwZNxOoL4pvjrGyPfRgolYKZkm3wORL58QSIaDGDXPgr1VAybWA9njfdm9SYvD uXCfhVaw95H63W9cLBH/O4igKXbWAfJmfxfEquZM7IG6yHccsGd/7o+vK1tsabFhCxasUzE+p31F Rqd1D7Nu3y0KTb9aAB6G6NAlkxrVLCgkuK4NR9Y/9gqjw0PDfUJMCH6gNth2AxzWI/1wgXkua7E7 dwNt9gVD0jnDJO4VRpdUvVU0wy/jIK2icBoNsi65YzvPikKsSeVM4qKqrV+i/Dad76aEkGqzk6XO 4RCRKbXPp4rcTE9uA8N3AKxnxqphZ1n9+mL5/VrS5bccdGxBB2lVnDlBot+xbJrfOlt8MsJfyqAo EB+Fg9fRdHjaCDdFa3zpaiFctQ3OlOQ0a08WUKYAw98bIlSlLpvtVd6QDJ1cIXTXexBde1yoJ+jA o1DsBnghjlYkhEj6twP5GGNVqDs6AWHLV0oXKD7nQfZNN163CKmHAd68Ix0GgXud400bGE2HSKpM u1R4tNNuhxv5QbK0INb3vSb2gM0lTW/w9X9zuR+StP6iI8tJFbujs/FM4rnsdh8OQRGxNlcUnEuz JETGZmWxKRxjFUVrwanBii3C7u63NGC4t8P0vATZ/AEjIDIe+aFpDS1YtAFWRjkFPhVNSK0vnHJC suneSPCcXAUlgXNAzYnM5ZzV+/MbybbpynV6TTyfOZl6jt7icCgZPwFRDK/MXR1KMdhNgtARvPnF MPYv+dXtwm42DJoTAAmYvv3bC45GStIcljpr3jRn5up4DG0RqOL8VhuV2quh2lHnOw/hgdX1fcKE P9+6dTmDC5wMO4dF0PRuaRjK/YtoDHgsoc6HeGZoX6HmGwDyG86pwDK7ctGjkYbqFP4QvJxbVD9D kvJ4fHdxOp/omtLLhd/KWQX6YMHAh435bflhtEA8IfL8DQVrPfXDFeeiI2N9To7sJPJp9++AFE7W ikTbb2Njutht+9AHN7h/aPeiSYrpvTnc9XD2SXomiGNEWD4nvvsgNnJHNu5J79mHpIKbVHxd8TL0 NnBOXlJfw0btblrNL38otJIQ4UyYYXub+DSnVKIyrpk5yoPk3CjXKdv5iHF/oHCrGOVHkVxNTZFj cZfMQFTpxGazLi0O8qFEBi87+2iV8Q2HxWT9aVpCMmBmBHa5wogg9iEP/9GrUIoKEsgZU7mPR8hl JwDnfm4Cb3ZmfKeXM/Fus0q12L0nNcNTnUB0Idp4KZq4PyAirOioZyE9GDnKhT4vkngcsUauPYPv C4EDeveltUzBmE4Ksh9w/BvE7eDIWhKiDlxLN3Yz6W3sGOZvP1fixzGaqkf9JP3R0PbAbyMLkZe9 wJPJUyWq0Agt18/f5goXRqlJVMTdzhbslM2qX2rdwhbHgOA8M4wn87kkV3nvFI4qt7yvIi1WlhUg o3N0wPpLMvqatoSQHkK1dYe0bU7CPkzdde6V92a7bAlbl0wAjp3McRTQzGdQRJVi/iqGJQGJkmzq a0+kxcCdeoy8EhWZ+4PhROOIyoxGyMBUc/GayiVk5jknJHSDUdkjoD03vWD+pW2B7cNTfDLfKE+v K2gU4/yQASmYygb8Z3/pNDZO4ZvmT1zeNLpZKSrmqS8YhSacTCatpQp3q1mILPA4PIQt8AKFlaqa AL8xqhlN8hc/qt5t+Bz0NtP+xparqiNlCN9RnkESG3hg8UG671vIlC/rTjyFRmUI9cXvqpKxu5W8 HekLRgAkEm+HikQRvh3UyZUw8H9aqKhMFPnChIHWdWDZpxXxeRoK4Up7pLieIRkxsf5DABwnfz+K XoQGf/VkGFokB4AwMSxtkaYa6EKjn8Y/ySHulR0cf/j6OUVfW4f+Hw+1ogcyUX8OmhD2hMNXyPCV eIeRq1NwFQwrHuurFvyalJ+fCcPLEo+d50tYH0maMoC/AmuLiVukVM7oJeC2iLCDKHtx7PaVRuMe qqLEtClL3FPMeKhtdl6raene3hnw7kBKnsnEP465EZg+1IT0MoZ3fbL9ixnm1TMpmS5rvxw3Jlh0 XRXiarwCRbHs2JRRhHL084oOgu2VDEJZbidp4IAvJTwxxbgr3sIMzREYsAomYRuXtHjDQkj7FMIq EN1VxDn0ncjAPqvNnz2hxyPLnB3UJi+3OtL1+8Y9lRmgwpEun38HsFoDmrkokSRkdPPWCQ76PJa3 y8eRBkvzV1Vog6jBm2qfSz5lhj17cLlUxo51TqrUtm3tszfKqOMUIiYRnxZUtfLEhDPO6FqegrAv lxYCqeGBxbCUOI/Po03uWTIvqbmKD0ZvE5cuilC07AaP/Ze89ERgrCZwdJ/B6x1XS1q4XppJi3T2 6MGexPFauiVDFZ2hFZxhPDPpFHu8zVW4r/ThvhB0gAUYlhigXhm8urBnulh6SqrVYNdUfswJxbGO jA9RS1ugaDx58knJAO95soHHHCLS/7e3TWNZfTFAGBAUl9snMye3Sp2HzOlpD1IldqU6sa6emWZg cFOY+u7J1IZhNC9YsY3kBC5xg64+O5h2aCFic2VhgH78OO7KNI/odfyWC8NJeu0QcXvawAYB5akB IBR+p63Kgkm3hXfyG58Qep+/+sGIVTGhbsvBpMg6rrKZNU6BuODZWYXXxytYFF64j7Zwu1R8DXL3 6poKLMJXSRC3DMym0zJxapSHICjNg+5a27Ov1y9SoaE/T34/ZraHsaNRgNQB7KQ/pHsd0BiWamlh ZuYzS9n/x8/9oKlaSWl38rOOqXCyxrCKxA6z8kmzbEXPasTXnGZIvmSZlGuI4oV6G/CFmTtDqw3Y /8JJDwdEoUdJThEdwq8EBebda1QzSEz9a43kgznkmFkgHkJ5kAk7wNRkTUIdZwEnjKmAPtGaLlBL YINTFd3xccsemWXbgX6k1yTPedDOij6WMv9W9IoPoZk+tfBhUCyaqRxZYg7ExotOZ6O84/NRzzUU 727LeJxAVJQXoX0R8oIbC1+Qlp8uWcuTjdDKYsHhtm3siSNftg5J5qatAjU4UjsZ4w/QljD6+BYI XXzXauuP+lxqmm0jKlrV9PQZvdlnEFe/e6OZCsBo56yGU5VwQhqP57c5kLwWDKm5a2K/Bvp420wy 2UULUP8+ZRBCg4Mqx10ZzA0lT5c52QLFW9VMZLQZ0Uf5rq6zy8PyTAnvCmpOvPL7bgmH7g27beqc vb0gf2DaizssPbmFDpaizNT5hemkIv+N40sbFrv5Go/mlMGxEFBhCLMGUsx3dsjBAFAOLbzoXi40 HtNfAA2rheoZnkArX6UxOvrP5y8kYBd06fcBm8ui13H4BJWIk649oKMhm7XyiN6Mtv5uvngmVjYT EcZe1+jzFCnxdrxgIdrgcNIjx4Ew1qn/V6zCN2NarhkwoU1AKhGnH8kHlL1JRzumMF8MxBmLKlfG +fTFA0gcFSu9xF/m7LyGq2puHgUEm2yxl3qMRy1m+t8D/EHMKtQI4P0xL5JWkuDycslFW6+J2PGz UemCAyqNNVfCyFhMs9M5VxUZUtbAbCQRCoJ1RhZMwfwaI3BRzJ3xvM4uUlmYXrRphuY3zS7t2Vgy g+yXfFovg09zhcjLWx5QQX8gvDosqeESIvTj2pLIctn5ENCZyTKv/krYiVVpCecA9pWo+IQ73QgC L+qthRfqAp2TgMiFpGvkM9CYAnuzWiBuDZcgB3JIhCxylwPGzPfyglbQgxG7rg6Um3W6y1j/KZiF DT0uxd+vEHpfXQTDTcd0TVAc/iJA0ATclG68rC0Y29m3kZ5YV8sNSJsM5RHW4zWKkSDA6PrYyuiF 9qiiiehv173Y7Hx3nQ67CoadLYqqq54C/Paxtav1SlZJxSX2Gns82zsZcEG+8eSr/5Ucm4fSPUwi YJZQWI7vi3956oBB+b8l5JFxlxFXKt0Aa7Gb/esY7HifKHcXOFbLzbtjQgIsqpHMjyWZWqSdmcaA Nc4v8M89lEGcTBJa/OM6QD5hmgxleK05sArzIsWT1VUCaLyXQ7oWgT6K19RFwr/W54lrU62LZI0H 821KRzBXrwEzTdvSiTlWBdaOHvOW4N7LPgo7qX/TAoMm3O4odaqOGOQ9n1pC4nNysUgQAAP92vnr 0nInLE/NzYujNtOs67hvYpFGv6ZuclT736eAk3BorjAJ4ucWgTpe7BP/jW6HhDtCi3hjGmnvvBrj TvRe5tV1apcqnGHpM4ZYqC93po+YlRhwT+SZjFVRChjHNaN04nEmYuiOdDKn4Va5pFPdAJS1F1qW 6dwkm5Yegc1Zgj1/QJbqN23VXCfK/7oLlz3M1mD2RpejjB8CKGjudtmiPMRaR+4rl9WwUdxTwmnQ ylrWtLxiqPuvSOVrPIudeI7tILnS1QhLQA/fDtPSszhGOrM6b1GMx0dwhCNRDEtvHZW3SFAmnQR1 vJjorOOehp1vB6rrFZAGELpR50S6HHhjSVEULBjoGz7bJDVlm4FSwnsCl65Y8da7gTtTrt7hZQvA hbSP2y+F4l/7W1+9KlZWIV6ykGEVQm4+W4SAKZSSdXzK9PPavnz6jnXR+xXRqhFsQzQ0EP9K1i+I 6RGO1H8srJ1/k9N9C2UN8ygeUzqpyvh8zL7cc8mM5oHP4JjtZshawglzur/UrN4KkTT4RfX5y9Y4 JsB8mKiDxaNdnSPIYhmAP+MLdU05sRrtke4EfG4VXp+HQwUm4ynHHVVK3qGo+24j0T1NUdtJogok HRpKYvPhNtouFeOmnH3Et+flFJ/n5FI813LVywd6g43M+okVNt33e6L/nrH+HyMdcdj/puORA4ST aldxX0bpajlceULQx86VjcJGXFCj6pfHa6ziXsovFF/z9ICCfCJjZkSXZkBvMQIpv//82GVZKaHB wRISkt9jKA9aRtelkTuizuRVUzFIwShhvGv72KOC7NxSBxuhp1swqIKUAX3YbK1VP0tEvhFoGslN SSAngBOlED/B4wnj6vvZQnzbXwui2CiVLSOIPW+f/MXgmdzA+NF5yOOAIA7CZ4EqMHhK8q8Zg7Lk Oqhk2qrCp2ahc5Q6BVuDuxfNqw6vJ8ESRcYrylGt74jesAtMkK2aQcXkd2UZVEWMZ/iNaiU4RSUS 5jIL7GeoHyRzXXjrHNztlql7Ftwpf+a6Mn71mQ+URv/smoRQasYpMzWWUaEs+i1dZkLX0OyF4cPI /Qi+30RNEchM/9J7zI3wp4b8VZexT8a8DTRdied0T7R1Pj6YDcQXpVNXhsWuO/lET6O8bCCCjj8x 8bZ2jAR/pdhYt/WWpkfVURklPP5CJHB3azjhPMT6KdDglH+g9iNUNKseztOmVzKBWw249FHbFp/w F7pb8BMN7Kw/w+8e7bSlVQ4hxW1V8kNiQaN2Yj2QgbIn2ikC7mtH1ARadW3TOr5lmcMYk5TFZ3Tb ltDQyHK2nZBCmm+qSdrtDVsgTPgCr7tByMyKNA//tf7Cq5TvckjZZI2J0USg5bzsOGr0XvFtsuBH H9oKwEIxX9Eo3madoiko60htRNpAQrYxBk1i56Ce0JyOdoyRMwl3QLFTCVJIP0XfIj6OrandpnQg mKJ0Mu0lBHlVMMwLA+t2FuvDZyAYryFXGh4GfKu4LbFzG66Buz0EakSnOG7P+6lsP6m7S75HQn68 5H8ztFtfblgfFPH1L8eAtXbXgLh4qo4tfAD46BRybKVK4sZ6kP8nMeZ7zYQSqBR/ttWFUNYi+BCZ w+g3GB/SYabS7xhm9s761n6u2IfONskXXO4J8UeIUCFULArTCFCLz4vJfmhAZ0FVbBAXeWUiwkox 47/r8cmouUDx1lIpwhIxHao0/72uaWpN46Z67EF83fhZ4NZF5mCxqmcbgMBxg1UWRVxgJPw59j3H h0AmWYo6TXT5qrG1J2UXXA6rxzffWrO6VFIg76pHW+140b/KiHTVmE51IWKg0WPJssB69wq42S9I kpx/01Yn71+ncH97Z+OMQg6Lpdb0gWPvD8/imXDclVNpqudPpRPHnG6FAyRgEx/SQKM2fNyWL7rb 7UnvJCnRv5Fnd1kKojIdbKQMT/5jUG3A2j/lEJo4UXte/71exTSRLan0Xgfug9l5ts3IAoc8Keo/ puCLH2A2TNo2vWdEt87Rm4HOl5maEGguvopinX+KTvFI5i/WM9FcNhGGyhJKntcd4KYj4ASYZkTy LpzShZKTRYw9aQVuUjapCQvVmguZeQW+BeYL/ZTdc0dK4mOo0Z8clWppGpCOfbRGwre3c2IxRbk4 ww24BO7zK7rXBkwimKr10Yteu6Z5tHzi2FRqi6WsXvr8SldurXdmfLjHngD93Nh/qVEPrhUKsbDt P09KV/gNteScmczW0lgcqQxfrcEQhDzGLyVubLrGXY/SaDMVvFQbTC7PGf780ldAQTyijCt2oAjM wpT+WA3VQlHRWJVkc/YsbOQrie2uiFWEW2b+jPXyOQdRBmN8iurbmz5X3uTqLtmUL73E9Cd2ccmH T/n9EBZILFtOrXt6tlw60eSt9TOL5f5CECYg3HQ6o9TTv/4GClJletdNKtyPYmxQjOLyG1arbVVg FgdfIWevp2J5Czt6yho+yIJORMKN4O6KIssfU4eMcq6r6s5a1tp5nEJI7UiU4u3Pts9xTgccf2/j SO0lVsHaL3dtPR/Sf32ruM6w4ymvdTMFsVgMjU/179wIg1263STuRFpYs6WeDSXWGDcfLQeDBsz9 7731VPnbXfhvbzTq+eED+kqMHhaXct8k+0699CkqQjPgLzLh/xOn7vZCmibCpmqfOoWoP1jSrzYi 1B4UveBTMjRdokUW3HBiUfW0miabV0ZwebeXIVxPlDJ/UjoT4mS23U5jcPzR7JPYl/phefGn6McU YdzSksXg+4mhEpU9wJOhHB0JCvO86tGzo/u0Ov0Z2ibjZL3F2sbPyjGk+Gi+t8ob9TjMdbWNX78J 1hdNX+0gp3YvGNUaIqGbZ8eqDFgJY8vp/VOno5ogJT8XQIGFwATIZ2q8bCnqj4nIGO/EGzU9sF6b x5RqNEWGLCQn7NiPzeEkGC7mtGMmUPmGalWA66ifwwzXqof0AAApoA1++iIapRXHancnMrs+q9Tl FJgeacbWFf0STvQ3TGsjUsTApM4aLJakDuDrL1w2H5Q8xTE2xhkTubVjKoxgV8UjMoRMAl8iTsH3 Gq211M2V4KnteOr4zFhRWJgEBUkh2lHN0uFWhH21Tjcw8N9ZWAGpoh4/sH+poJZNvwJk/g+0dxUA M7KXwwHmyq3WDt+vhzz8wo4QVDXMct1gNlU7xP8RJtS3FHfm/2IFJ4bwY52YpkAGp34GGv6NNacz nwvAs+DPd3YLizeFTwEOxdpO9ZVZEH48c5f67EaeHx1wUPATvN96SknwWsFSkRiNUsgpt/PqnOZA hqRSHyMQT3Gh+Lx5M5WE9jp2dt01LiIjwh32+r8qEti8192Ld/MOG2cLl/ihFlLhfa3UAkXsBa7X UVWjTmNIdpoUJG3XagnuTPOKoD1UPk+XqiW57Z8DpKDcBA03V/eJU33pI/VwiyJg+5uusXvcN9Bt 3NOvCpLmsPSSVjM3QN1zyyq6tJR5toxLrT8O3APfi3xUTe0WuUu5gsxYfeldLVUSLLZgetbD2Qkq NTFXJkBA8WTqLL9/iheacx4jRPK6zcC7qhSVkQ8hg3fAEOtkNPVU+si3q1CYtzvHyKqO1zQcSY07 nTb8GnGjXXfogI5w8YWAtUCtIDwom5w459vQPd518DmBBnfQo2P0JkT+oqu5FFw9Awo8YncRsgZP Ru7RcqMz53zz8agEn4Hv3dON2y5vDI0ZUVr35vrArs+DtzANQC+qPtoLA2z4iq1ZjnDQLdK5dRoz S73YYMckyv05J6LJP1R5GcoLhdruPuSN/9uVGoYOnMehW8Wq5kRRRWlD4Oncd7QluQCtqhQjWeCL xe/axMBeWfDmVblC+I3rRcGiQVL2J7i+h2CZ1QaS6MqYxedEoQvj+PfOjgb9gXk+sRWyKDvjB1XY OAWsJDwt8prj761bNKktNjjLfQJgQ4sROdLowY6qULo34Z+xgri7VhxB0kjEXo3hzfPPhItAEqk6 kTSR6S3biTnT4h0BgWRTbqNQEryDLsThiJfyLqTKidVznhrbVdzqFhNo7USJRThvGC7c/vALodGu Izihyce4RbWChE1MOKcm55ZIiF7B+7cYKtHKNjomSndvUTBq82WxAARO8e57+6ptgB3GT5I9zjpc ZWN2JOdWx+E0lnz3+nRUXso+4WGwCkI9RW3Sr+TAF5FYpQ7c7R1bDyzRDnNsPwi7sYbAu31/pxCt G+dDc03wOCgG4r1q12rtuyPLd6EIRCh6mWqaRfO0Gk0kXLTNe7/h0YboLovJ8N3cyeoQphY4vaPP pABN3Sba0uTIX5IMpjkXEvcQs+Uk+Rp6tpdVwytABhNLt19hxk/i5dTo72tHytFdsH8nF2yRinnl QD7qlwTF0IUYWzNo8aLZbTsHve8bRWo/o1iLzvqldbLs3N9ep57cbQ9F0p7EIx+Am4AjnBkP5z1J VpStxfXWsLpiKRnVlLj2wOKV0rthluClGPUMtXKQhabORbQ30AoMTvL4nv/THb4+1Ley6jeCiM8n dt4Qry579vcKzgu6TFAzwLbMdRIB3IeHu5B1I8dCJ6MrE/UZZEpdHH+eIgIqf2U+HWLiboM7GJ+7 t21f7oQ435cIzbT/vAc5pug16jps5Jb1FGOQsXBWOv6pBZLiHoi1nan269vcIRzO1jrFN97qt8wx 1ZExTCRGrtgiTb7c7Z9soY2B0SAFbZcSGpoVnoy1RjuRVqbIpkCg9tF9Kr3KGo+CxjwAvrx7ADIf rq6VAf31hdRwqBD0yGiEBW+lyCDsC6llt2bWXkbqrWJWLcnoQTCu+rmpBd5WW++GEbYuH5qt2t+p P/AcSh8mcxidYKrQzsSGormO9TLwny/VO4Mp7xi3iE3GxUMuVmLjv/btGbf64/I058Zv7QevWNZ4 82B771ORfyewR+SJYpGU2KRtkY/Tkxc4lTSuJ66Av6sLgHIyYpO4lpWLX7LOt1i/iwea5Hg4fXFH 4S9yXmnu1wOP2TBXPB0JH9XxkVIW1jPuNdqBuCCbn7ExDbPrci0eeKX1JhD8+WHGPDqYBfUjiglG PYxwOYnQRdKdQsPD7Y1fVasim3M5fTpqfjfUTyLm2bj6Z4PDMe5opQsbs7sQoyLfiaTkNH4WQabn s5yLc+UzYv/UNN7IH355tSseT8RtBWuo0+Clm1zIEEcrlRlDsZo1RfOR95YSJBoYoFgFjYOr+Tpg ExldO1M9hGenARLYCOjsyoTIOQOTF4dGDMHwOhl8913mhiYY64qpNeq1w4AaBmpxeVaMyzFgoLUh CGGYkkPK2D5wIngrqM3YBj/roQ7JGsXGK0QVYAAfpIiQfIdgQ+LKR2gQe8IQprzSDJHzsxTGDqNT WTJo1anrKIB44ulyDR5lvSppro8ulOC2wpLwW3x1Ldask9+Wz0+LQO7l7iYOvEUbuQXIHjAOtw6B EeSEjqWBmPY41tKpFEO4xyiKuaUoB9KmXk0/C+UcrTbG3A50dW9zWdxZWB+G/EVBEJL52n4MLYKA eFB3Pfp1hYLINI6YMg8V3mvlTVTxxt0iRXgM5hx7ZvcizgPGdRrlpuZ/SK8AwcWkwaqKutAS4r3z pFZDw48Ph7G3T37rejimFDRGyuVpwLC/ubED39k0vlk97auTwNkikQYSMes7NoqgtiUZioSoDD4I DgwrcqyRvURJdgoRu2A/tAFtqm2psLxtDvGhjwVe4coRSrDdMTuJNRMTZHOTIH8oBiqRzUpAcUvZ 1eFIgPbR0s17YNP/W4TOCXfQ8euF5/bWvTU53JGBBTffVqGpPIrTkU+0zcz9GN//wE3Jr+4aMyig r9B6eJ912g1zNHqCL0I2aJtXw5JD7XOd2RN7HIpf9KgYRDPwHp7G12hRflq7MgNLwCE5u9SlOxsP +Mnu5ED+4g8YnQYIKyXoPtpjUFJxu+gbwYxghJ5GRCbRD/3BPmPv5tisXZGBMm14UBKfftEuF6Kg 0f1j7KLs+0zMosz2AmNleTgunxX+TnCJXos2BAAmcYf2LQCcqhh/gMzKwQ7FtJLu2+LqlQxzYrTO srjdSlHG95bc24fXXCRzfQAgtDGcCv4r/hWPQ04MSN5LZ0mZYJ6I0ubnwfFC3GDBz5xWNH1EyFu4 aX5neBs3kVQsWniFJapJqnMOLLSFQGjH6e9ATmp9hwCkNDtB3nTSKf/OJLeC1oBryw9JSJCTJeXk 8gD+PMdZ3vxyl89n5Imnhd9Z/VJ0t9gyZV2IqAhMt6GNhdTfPwpeUAB9zlWxhWDjtjcD8ujiPKzS VmdiLDMQptp0QZi+NmcZentj7Qc1daHaqZ3vxhXXgxpf3pX8KIMYOf2h/I/QfPtHZ0wdFqIUNz2O aoWk/oUO0+omgFfuWN45EelWJ1uMZgAkCOkLruKsOptkosGmkF5OcnCe64+nBzH3LLu7Q6PoBfP3 TWTCJSLg0fe1qzMxxdi9YMM/AtSlWlLEWFnbh9wQqAo4zWLWcNpqjp317IQidk0METMwV9fTmiwo HwM2j/QmhuOhwQKf0yFHVdkA5W8J/1OF/B/0ir9xKfQhrKG4/LDB4CoUD4mZwOInQriIOdo6wMdg E4H/Vad1JCrVqfJbfhx1pUk3cEbi5f8Nt15LusZMDJJY2YoFVQaZ32mvE3FvSzbYRQ5ydt4jxX4b M7rqZogHbr9MQj6zV5YhQ50QG0jvMedwh6mDtlxdgihs6SweCkNAufr4ADCMZxUKb63ZdC+PPVnn jwAw6oXOEN7m7HjivnohnjPbmADEWw/lbcHmqJOzPJ+sN/BTKs9bCTMFzATVJwDaeQ5oVvirRy/P Oj3uKVzmx1xl9G4PRGreAFtcm2JTvl4q/90+XY1MkNQFLkMBhzZvjZiwGLAAZxeD/rwlkwyNaGe4 FDexiou+Ajm7NqA8G6I3dFYHrKJOUXNeB6TQK+5n0rkhsfmfdNRG4TqulMYc5MPR4rYhom8AZOOW d8eC73S/4cAG+XLTvp5iLcNrY58jj1e9HfIx2qNRImzQlqB15adwTCTh5odIMuJyfzbc08svRg37 th+G8GSqGGN4yvcrYvG0FCe8vdFSD+6gJ1n7AoWMXx/URzyKK+RXrOR7G2RfSYEvEz8lXSlLyK4c JHbaboY/93mdzciljhwzQSU2/IYLIx1UM7ehQX5bc028PewNv34lVycm7CDZTHc+CFSJsCOAPV2H zmnLFValI4p1vJMGRMogqY3SEgUvrSLi/4XSeUToRvKXmz4FOQnAZ9KV4nwS6Z/OfvlDkD41wurh iRo3EKNwr+9q+5NYCPk4tpISw9dm7ws/6E70oIvVwV5gbdXiDbqDKKjSma2orHBm1dejzm6Y5HFs QndIuseqaTWo6PONTaOJ3V5X/c54TjONa6QpdArUMLxZ64Pb+nWttM8RIGi+R0UllB5fPvFPmI5x AgUehJnQ98ZM2gXQeI1Pth3FDajENKd8dVfumnaB4QPCgg4FB94Gf4l7nMKDLzK01usUInwwxevy I5Lr2KEGFCI4YmfbPJDfgXWFUVm2qmPUwOapd5ZI4T3+vYaLtHqF9P+daD6dwMwV0G0RrFJ/ldaO BPn7hILjWr2vuDOHynFZhove/alDIPGokgk2PuQUYF+ewnJ7Qfh+xRoC2UMAb4EBhxc/gnF5GRbK LtGS5V+pSXHK0jC5rQPk3FgRNB690iKrpgjQh5x0gXltv8nOmCaTa6AEZiloStRl8VgDRBUZCnTO dlNs8E19422VUjqQ6j5ubAEeGck3GXw+cSMMyCfpy9oPxskhwBWgdRXxYo4MOAPzvP+49zSX1CXO wAtEB0Mpb4P9cRUS7tEp44rn2O2ahS4limP0J6CRQcu2d8A/y0bM+jtSwgngl8SLntSJsGq8mmWA qcWSDboHo+rJqn7ZqiBDXHUkU8AmloqPenRjlXOZmrr9+iqmUSMDmSHeHlSg4Ex0X/P9G0WwI0BA YvgUO1MkyqQNUL4jo9k7Bblo3ewYe3Z0oMrGhPpBJm80fQswFhj+qoVyhUzjy7dRpQwl5K83UaZH rx0jwBSK5r9pHfhkBBrxqfMwgcsVuA+RpMCijaYecAEddQW8LmOXFIyWMmFE0gUPXxX9JngDHCnw aPGwzySPw3UsL/3r2FlMiMpkDtT5BaM0c5LK8vrT01545N9ZvjCf+PkYY8zx4jjnGuWvNgsxGePL Z+EM/0f61BpAhA5L9k2GochHBkdKHXVKG1fdYaLYQEzYPH9k+EoxhDQXcB2dhVh66SVeu1axGyGE POY+hHCQZeJ24XoLTsVyjTyEyXD8MjPcOaPT7Mo5o/lZbPFlmbbwjjwyhGlGF+x95zHY1ZCX+RQj 1vQQsbgZMNgUPaozMDFkn2J7bP+dw9aBlrZv4pN5Vj5ZegER6H+9PIe92iFnCZ6VbERajDqB4bNK KY8V6k7FUWTHLofKivFeck8A64HsEshlZlDJGiFc5FizFWd3/6oXy/f4yl1Hr8vBSDyVRio3SUuz b0SlJqEtv/D5/t4zZ7StO/0R1nQgS5Bt5jE2ruwRjfrnnUDpHr4nhAZ1qpTsk7Y04ww9bnmo7Zg+ rQ5u0h3eCGCGj9xdxXp2cLKlLm4NYPriLwhyeSTmFPR02xVDzMYESdApHY4fJSNPHvOtA+P48vhm P9e9nXHrxf3W4hLIaiL7kQNc7NkslvNf5l4+ID82aoFisB/w14KwxS8jUfPi1d8hemXX2ypyFx8Y Pmob103QUBo2XGmMF5NLxuMG2PP0xoXsX2Ke/mlABK3ZiovhjbWAvEycCZdNPEk0HQKroyy+Zix2 nnU6KHTafC91scZiUEzZHlKaMYTxqmURyMRkcQOhEmeXV9KFiWcHgC94hGI10Zf2vX1Sn4RdgALA QrqGpI9o7fMdqXXv1MK/tbVsjglCjz1OLyoZ4yzb1i0uRMw0vrctPdexZmneqqrQ8i1xMff4lW/F ZIP5UjA/ybGw9VqEpDLQfB+Hh1vhTjROgmG/6h/mtrMDuiOuUSrhv4H6r+zmNhfapD2+xxNHPb27 pApI6/a3ogPzHBSmUuhim5YvpZpegxZcDdaMk4h7fRh4TNF0HmVoO+BDcz3Gctd4h/cgmbjUyh5B y2p7hEikX7wjQCMkido+NHqS0wjPIabMYotkBfD7N/AOr/8fIMEIOXPLQYWxEafxNHsunKi4RdSv M79VcgEkkbyXY32enr4cjC283hgxxjZV/Dwdf0kfOTIlbDBuBLWLjG9+l3TgFMUUk1AbdziKLKvl Q7ZWjJZBw0Y1MED2/hikrLRrpALuEvniNKwAwnffmQtqVEy3OGm2kvbITn0dSPXGHFDFSKtAwnyu KOw3DxcDitQyJ18GJQy/BzYRJ+XIcbEDEbonKN0BDfgOwcaHwcyYNYe7X0Uq2iSBTUwPEqnZALDI HNQfqLUQgfoQVGfBJr0+XjWTuzc4kzfsWIzZ7mfv+SUQmCcTK3IUkU4xuudcM4oyFKlsGoCV2qbE 1uzosjU6En/sOAMUMHtmUy/bSnX9ejqEhRDQcVOels0npxzN6afdVf0YkAu6HAlX5VSOEH4V7Vnu 5gd/p4m4msiaPhxb8RjmErN16YCUojTvsZRwSIwYzos6RGIJyEYAxa+d/PAgEbK+FPr/WTGjvZ9o z42JFfGywwRsc6oLaXdT5fcPq6XWlQUePF7WYIK65i+riMDDMpCBQE7hoqtQmSbQE+YDuSOw2I9r 9SAyGs+E5tzK8DtxBvki85fiqWoCz6Ds4pXi/lCkAIMbO64M5F+ac1FN4PZ26+NfH8chGx31C1ao q0HZq06bHsB2nakCKfCbq98oadRoAa4gpyFvDOku0GFjCjHU2tUOqXsRVqdzHWEJxIJEAM89l0pm V3WKE5lfxmnfoSgYnBQ7Jx7K1uewEDaVFiOMALY1zC+FJ0nED3BtYvZnKlzlCtQn3RpFXN7uGCqg GL3JWHGPz1JaHzvWVQmGpUREsQHX0+a6WNLhRMhQcBnPIjl+QUOsK/LGU9bvMhAO7lqQE4LHs12u Q6h7voyg0ctX9+3SWR/FE/jt1W4b9kiv9JdU0TO2i8QDsxGWqmRPK53VcKWhir8EW4QJ3Not3VmW /uuBwLVEq3UtoTW9vW3o23YzOq/v4bNAyb+QACsk5koq1Fjseo0v4vVsyYj3QIO1L+/IMKCoqc2h 6JwGOQTfEFSLddnmOTLHitT7oLaJ8kDU3mbluS4kJyXkje3cWstCAKyCT2R8idzpD3lWbz7GoRFR F5ZacyrvsPJfeHoR5O53xBlfilK8LeHR5XYM6Ztdj62I9j0TZnhttgWnV5ojVYb6ZIRd4pBph6+Y N+JuudWRlG3DRbubZHdEArrAulUkTC78u9YoLabh2/KPXE5FWXCveEosBesFZWvgfGGG0oPZK0GT mR27pwHonEk67nVKXKppdx/CUqHSnq4izUAmslH1/RzsYEdPdCc5+98bpThIN7IX4mAyN57C2Os5 mtPFHZpJlfW5MooAH9skBZZrO4Sexm2xDj0VfoN8T6n/uXD+DcE0vRdTp2n2i9EijNgyWh6msPKv IaPaKXlgy9LXym2FaO3AKAzdGNihiMJ/whuVpF5KU53ebMzrUAl3635K+HYp+RsL2WNOxzE0GBSq VqbzQWaShx+ygkW3gcos3FNjy3DOjvmemPZCC2VhDV0qcF56ZGf4Kmuf+UDGaNqQpHV1tf/CA374 OgZUDvmx4eZsSU79fX5N0jJpJP7qBVf/RuKmqBWMYiyWC6FzLx0/SWmyvOhIlzD8iugYW5PiJ9K0 IztLgE2Vkg3h/gH/oaAcSUoom6tSSYb99NviKvcGtCy1A6yDl6ylN+VkrAFRI3VjdOkR1pF8H4OM ce0BdZ+1przah/ebDglJkKuca8Koj4iXokSivKTKEY+V0RUMkRWsEeWkY+dAtSXgxzIzU9RC1A0d XSzhK8E/ITaKvLBmNMA5DpsXI4RsA9eAVGD8wkroHhuNjnpesuSCULOVT8yHhyi6EkmZL8mwFovo wvFFX61ibLjo6pteqrRVjDfyf1broOhWgeO7hFR1B5xR4dEkvTjgruCWKCJUxDQsPYTVRGCrgKQN /5s18L25CVbkQsX03qUObxjt5z0wpDlBuHowDOesJpW1h9BBdDWptctCVkZoIhbzafewEKBVc6P3 SeDm3anxE+Ks7d35EHvzFEfOJe+PM8PENzihqvj4oQSh5CvWrJLWwCkrhdoaxmRdQ92TK2wNlOAS uYx9Uq9rz3DyowsBKqWOnT5EzmYMMh9JDjxgpwVoGrOEKd92X5le24zLC4xuM/s7EsV8DOqnTW3Y jNsGHeO73n15Jy1nJ2bS099YkAeJO0HUobzrf33ICPkmz54V6CPr+0t2ISQ7bbq8AwkX/7rDysK0 00ve/MdllAUkUP3yoFn5lWkhaWP5M+jtc+3BFA3ej4qqE6yikK0bJQH3oKqC6xupEInDjI4LxbzA 5B5FQzDJ72us1Hyg7bLV+c7JzkSJDqmCNdEB32pk0thl6bxzEpqGWxk/EzIrhDHoQtXwFxfzZnCj gzr2tMdof/FXI1HOL6gp4QPtEb1Bz/4WBVPnlefXO0KDvnb6qQORGnd0PTcne63If+lOxuqPImwL OjEKYSLocghOGI3JnYRYsewXo04tymyDNkAU/KYpdHZE/IuQy6KrlpJgLjw7IZFS+uIelFeDOEZB LYIU2nr4ezsk+AyFwSJLIdtgjeeS1uMrz1k4TiXJkqaUXuRqdaa/MvtQx3ELHaseylvJLhQm0d2j vLOWvVmRoqtw4PVHNB1ivK1cYq232BSNWWv31zHMF3qGU3VcyHSK7xRn0Ut+m94apxt4FKYRBV/b /LUI97sHS/h5X6l7oY3uQfmo+w0WOH4ubv8oo7ZEHu+/9PE+OHIxFgOvFKHxti9an/XrZ0S3erHp jke8NY0jKfL07wuki1kT7LT0/EIlrvHR+QygypMpuJd5Dt9py0Hz5am8Y8zDdNuY41kXE8E3yFPG nSin8RPLStEp3AVjOjMWm6zkUj/cc/o1RwWrh3ZFDJPEACncK9eBJ508fer8fY6MTw1Av30qcr61 LgUzELyniaoswW7HoFoJ6Kr2Gb8kPNlntZ2kJwDsXfdHz43i6N2JGS0IIKZ/PB+gBFqS1FNcwKH+ WNeDkvoyijbpw5yk2NaW8cscaFTti6mvKo+b94vD0fifEerQ1DqjRrrbQ8yavoJHwIoLI7ftcRG3 I9guyqC9yzGHB0cDFMaan1fXsd3nDFtW7IgVq9cv1u61MXX5CazM+2c4Mr4OCLdmPgb5tp6qlvK/ 2sdxqmCbTDRt07pPhRr4D1fyZsgU4IR5xaLEcL22/F/5aGgq82Ksnf2Xjy8hYyeZe77krQumrT+8 j7jgI6cfLORkpbWqnrBNWv4mhag6Z/DCakcl+l+ELla24fmKXqjKf+eaLlkEzVljBdy6R9UY9yEy pqbF7+1xMN/HEJycChFxxNTufDuPbMZ154m3vKzfzdCACzbosQkU7NEjF63lCsxtPauXZ4fteq+n nTroSzMYcfOBosrQis4MagOte4Sg6DT359bqG+6+ldkOb8+PFCdFcFKc+RkXTUS8870sjbCdqWEG RD33gjS8UPaw0ZdIwcQ8t2ZlWMy7ePTWBi8iEPLMCuruJA5sOQ5WnPByfWPzklboyDdHVxtmaQDz RD6J1PU6T/rvx+hL22VlCHYA6aZ5c7QqNGxrsRDnR/5JCxACQEINgTovx9Qr89V8/7KRvzOFVz+1 9MKHibkWx/Vl3oy2jsmRoQpNJXvCupCywDwomtWxmJW8+4bv1KNQg2P7jsY4vx9TxLpbtvlRtCFN 0N8kgiE1X/gd9CPl+FvGrS0F9cUr6IoT5EM0GGRHB9vgUiDp/4TPtjUb3i0XIuAKDpwC3RjAxgC5 oPYMrhzCaHWnyhejI8skHPMBf8Dgci4YLmfOq9Nz4Uf61eDJIGRQd76YgEU2zlWcDzJgZaG3fci2 pTMbruLjnDFcRYhJn75Frqokc092+3kw5SpiPNJwU1O1X3MY9mqkBGKrp0J8i9RGh/lvG5s/kUa1 VEDVuoDGahf1BY4N2FDvkKzvWPzzZOzBJsm6GTCHOVCXH7L4VmgypsdS75iMBoi66x3WkhjzZmMu 78Tw89pmecKXpP0/KkbgQUrSiXssxr0I80z3cImOl7dDdx9BC9gXZLcaAabsoqCZRL3/9AMAKKO7 d6JAD94fY00NG0dx5sPJLJX6HZNMwSywBYsZtS4N51dGXJ/4rygpbm0GmhiLcaUxFdnaduPEMuMd pH+9NscAE5TaG3pNGROJFf7S6945YGZnbhwTUcWXqWPjBRvlwWDY4+Ugv/7ZmwT200BecMMOQ3d4 gigg3FFlTVKEtrMe0Ul0iNN1pkneIxdXo74hXz+g6pctGJht21esyqKNkWXSp0kG67iC6RzOIgNS M94M4gimJPL/9ZSXjn4SjA/Nm0ftTz/RbYoZkzg9SwiTWeBmRYLH9eCGcj7bHc0HHOFbdj6D+nTD sZP1AAI8n8xOaLQROjZgEougOgUzv1wytQdbD4kP4vCAujNfwRwKDfF5nL1Ly0ciF34qynZ8RDEe AvgLhHqYaS9Q53+g243Vm0tM/2SNzAX7dmf3xXbuYX9vgGAW2mV6DFtjiLTBGSTqhJavh3UNofS2 CzdujXgXOJtiPYkJSCTqU7uTveLgAn6Mi7J7WoFp+8KfRLivwyZyMTsXMFSe1LsejDSBb5235RRF 7hqQelhe7egMXBu0HUKZRkI958R3B7yy13YAGy7+KqW9TchKUkRjknW74LpEK9lrwoNghGMainIu 6ioX4F0GynkmRZVGX/MV0D6SQxUogKC2ehPGPhTi53C1H/mpSOQ1GMLIIjj7fIYQigA4qIdDAPR4 wDZ1d7aF8XbY125Gqosc6NrQYwEcpcGNFArWa+5PmiBZG3bigZOwALLfqFfcFbplnjZfcxcaZtef ViuCVgrF8n4g2TJA9ovbR/yxOkgLK0zRH2mZN/4BJBD5dcJXEuhdYpdbZSihduzg4twMwbiPbIM8 xdrsgmtmyrX6erToIr4i6hjtXdY5EHkNoKMCBMU0NuHsQeTGvL+bjC+U7QfzAdSFV8G3GB1ePsyb 9aMwkyqUmON1ECN59kCa+IaWhLrwlwLFZLoSGdzFc/6JvALbjZRwDh4H5VLaQxDIVaKh/cqTx1jA KVycMO2hAKlQMoI7mF5/dXbT8+4HkYWcBS1n8i8y9wTJDWmyJ16tEWaCk2oW2vuy45nGx47lE2+X qTL7jvSAivdY5gnNXwdSLK7FR2XqBomxc6O04oInSB0wt3uxw/HjFqA4Oi5LAsR34OJBCujF+Np6 wtTHYeLUSFMyqL9BbuW2PUbgbFSjKDnperf7EOL7oUrq2tK1XaHw5/8J9o4uljfqOipq8VfdkApu bhFUFclttsgaygZ9f9e6Jv1rS+/FMv3dlswEQ72s44XoRtxRtwyV/DUyxToR6EWJlichpn/qzs1S +fa5bE4b6BV+ro3SyxtqpjxhB39v+QZTFgiM9mPubz2TKHV7ccIsfhVvRfM/Qz26Q8FNmAAklo0k lQXq9Ecj/Pe1wdsJh3iWf7r7NF7v/EgpCOjyQieygyX33iWxDhiJp0t/iCOwMyPWw68xrHgpUQrs Z0dSRki0Qp0fyLp+Z3kliOe3xLm7HIfk/GMKDvW05LyrTqLVMpUv9dQphnPRUFBx+4yXmmnvIN2z Ok6h1Y3cpariiNIOXKW/h9tCg6y0e/5KIEpAF+b8nCJXiyRGLfsYTYMuPgk6MdBk7Km7yP5xI/dI 03Md+nv5PBfFyzPsm48FLC+HgYXtEjdqZEYbvyn/72H7Cl8M4lXEGLYtI1m7osUtDDLgfFXfzzsB AkaLz0XxaUZWooolE5KdYTvgpFXFRNwU9hToGWEON5KnEtjitB/Zu3VD0v1vgROdPTnptPTVVrCL Da9MZ+GkwB2GYbllY0CdLSTgJqeXUIgl2PxQMmEgJQ0oeHBMPqkyhFnbAHRYpLX2b5/l7D89o/v7 9BmKehq4eAfw1WMAdwj+hw4DTLcyQnjoycK1Uk5yiJ+Uc9Pbkkkqn1aWB5aw8yQwlIzHC7fT6vIs 2v2W5NvzmruHwjHSS9lw/sFYKRUN04ZorkObKk8U8FdUEfcwFyzB4XQkvhQ3TBwKMLoLxHjX39bW Tk3XHEGzL9DkDtzsHErRoYWKzkAUU8wtMkUGgZ/3KpBYIwHhSks8G6temNazdsVHaZataua8irOT t8yY52XmgG/eN6i/GOagj+tdlO0Zq5uaLr2SJySrC1fv/CwnvC5xqe51AzRbqiwLIAD+pnyj8H7h 8KPU5DHMemNgN66EF2gQLt5uy1K2zjsuSYdQaRxRdWeSpgIKbnI/9KwFPDYYUuWkpPXa2wTH1C5O JzLh91CkqJ+j/2qP/hc7gh5HnieJ9Hxl1j1l0YJpVK6F9jIpu7TDE7aRB1Th8HMf1y8Lh65FKyyw 1BmKnXT7iGTne8xIzfhRXjcQH00cEo0iADHPQMxkwtYKR0Og2cPvnKBvxFJ3YQjzlwAZ+EqtxkR5 rLEL42BHladSO+0i7JvuPb4qbXkr8LquzGjYJ15rIiU0RmryK1rySl2oYozIE7L85znkw6x5wkw2 QekZCKSsy2InbFcYclJGiJVocM9FeIiTar+pYKnyKovNM8vLnl/drl3emLVhRtX1Tcf9EkyJuooQ QiTO1tmhBcHiLxfHQR3w9WpmWzmo91/CZEiXvf28/CTgDLd840BPXkZ/6DM3b/9AwTQNd4gIU6Ze auT/Ixa0Gqi90PzCg+5zyh6N0f5Ufgsu5JTA/ZaXDaezF79pdOrTpMIXCLyBTbpDChqOETaCEe03 6MsMyrcd6UvuwqP0eZne5MTtcJ2/qLm86jzY1O2rMKgQfUuwVhKwQCpm2kZSz9jtolTliNFDW723 6yftNjOpctX+yQc6jushQWuV+m7oRIGWBucdhKy7X8eKWdDU8bJCWvz0g6zgZ+Ezz9K0OAMCoVOf K6VSjsePScI63wk2aTJQ8QfpCnEWw1rjLaod1jP6G09LNgeegbCJ6DPghGytrCEKWCAOhqvTOsLJ 4n6IeIVH/PCZQpHWpkWXRgSYe9XUgNp8NNcKAs8y034EYhLwcWalNZ9vPxeFm/00ubUxVL1Rs0GO f562nench9g5QmH2ZiOb9C5XQlffh2IgaknQ3gMNQjXiUJ9MaaUsyUu6ol0DPhTOpMjFLoJR6nc7 RUKZQBmyIHiyWfy0XXJipegCE/KuGDzTTK9xUwo5EVVaK0k0y0kWN8ik+P5tQWCwbr0FM1WzogNg zuY/w2XKTjTn5JjaPAaOXAE/4xRXPigle0Hhi1ALzJmBd0ptgj4Ku8DPZJT6vb9OPVQJYtgfxj5a cD/9MZS4Pz++YWVMI3GMUR87/cFxPwL5QRZUQwnq09q+42/tqjbzkdNjgjVIDDV8PWEG/c+Mb65b vNsVKMZRXfLQ0mzVPB9Lzc/wY/9q3bHD13ScYWm3O7KShyq7vE0OPpIIHlTPZOeXvf91530GTHtZ cD13xiz7nzDdvjbVlp0HqzA7lcrz4kG851++YV32SO+egYzYY8cjdO5m5+VdnW/whjuP+YFIUwFq p6T3sKbYNgUYFhQUCBlmCKSSl00eZd0AD4Jz1ZdlpzAoqHgFvQUXRiih2QJoBTNUDShq+fauhi6n 5siep2Xgg6DOHnR2hdY9AfJBR0BxdDT4TRPngFxDOH0QrJgVDVhj9JQfPom8MeuaRGx/N34SsJMn KQvlZqGoqmobFwB/GnYMZ/mJxlIoOBTJczOg5rep8KWIzYqwlqSJdvYP3vYskunzzZghfJM4jqrx h0z8DNEkUD+/vIo7harhbMt3C3ADMzmkBmMQRCmQJvaKs5cObM0BJafJnQSeajRcrElVu3TGEQrv 4mM+I4wWp9nrmqWutlxnf1YzzuS9PDx1ciLKWSwxdxzDnFkYbe0oBP9xJvkij/BnK8DWdHCkU4rh yQWgUQnNG8x3k0uNXZqZ9IUYcqPf98zw9ENpDBskEnl8JSk1EHRPWZvonA3BVY0ohBhPSdf3dZfy NrRPLFy4gInZLWCuT2okuEFD9hOwy7S/nvRTJFw9lX4+nX1zeU0BoPszPqECRtBNSYBbB8Zyk9/q ccEOFVz7mCM9Z0NL1MXd6+A1b0tuwBfWWuI3kbLfcEczfBrHazPZvhwBdmBhoheKCcNk7cTqGGMA ISr+PanuPkGoz5cHp3A7YmP4r1jRpEKIsjXxGTHDwvoShNsDyPsBS0+Z5kXHA7pRSOndubLnIoe0 ndvphR/2btJn2WPEWasdvjgel41qC92qa+bDRedXP+SMc4T0KkbTfnYdIkKE+jmLyQ2aZCZ6LTmB Chpu87PIzdt8Y+oxy6si `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c Y2O4fk1xOw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV FIedseAJGSJjdgeT43M= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0 dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc mYqTUQDFFlehrx6Wh0E= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8 SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16944) `protect data_block PKlpisMKFINH4hoELw81Ae+vpIr0xr/BIQZISQh02QmAYRngfWchi+A+2gXJ0ErM+PWm3fbvLHaf UADT/opvnHMCrmwuOuQX48J/a1y0sztlHgsA7XTu3se9+qgRV127obwgzAH3seijk6T4T83+BIiM dqBiuzcvDODvMXOytGyBvxHFJhnBdeLNFVjuAEwQtXx+NLvW9Ik+HNBAm4k0Ad0dETSB7ORWmkDR DRXoKuxvt1m91hXPGm9W+J1jmzaV1zO8uUexlaqHpOLLfSrTib0UPUdyYV9lyHthm4ScBEp3XGP3 evqHI/uPPFhq9kZ1kFlKWVz7eFgL3Gj8HYNAj7CQvC3VoPY3iYi0eWFn4B9KULjtlTPCL7lxAEVh y/HyuNA1Oqr/wX1WT1MAj4YG0pcjisW2p/0FOHW36w9xWnk+sKJ/HcbPK89eKRs/MAhVny8IPYP6 W3Qw6R+Zv+LpSWdgj0wCTxeLPOXxmnB1Er2Qm7gVAmJ8vOC+vlVG3+jv3hDCn6AOgp7UWB3jEJ5w rH9dnr+bT5vDes0mY/Kcp9yT6oxwEBiyH9z+7hXSjX+dxZqULx5LNw0ZDwJdYS0N/QnxP0ruo2i+ Ov7jSBcMSp2j/B6J0fPhmGy6cIgD+0Da/7MTlyndn4HhckuYAP98SoMxPvgkZhymAE2oMKUGXpII W/bYfjvLNpPhMrYr/oQIFB0zD5waMwoQc95QzmLsC7O0SdbLckIK4KNVOWJM/rWubIX88LKMeZGS A0K6MDVwTnuKjfw9SuZiEW2ypIeB2yDghJB7SZVxvT/B0ysnlXn5IteKiq3gFGpcc74T91WjJzxB m7a24wdGw7uaEvir7moo95/jVJWFoCvN8fRDsFU3H1BRXOQsAzo2G9Os31l9Kw5dxbjaXCQ5kH4X ouBs8j8ISD66qV/Wu+h72DWmIjt4fHDJBg5WZ2K+/8Zti7a+ZIYYqGHaLL6g80786GwJa7JsFieC yxzHAv58octT7IRd4hzu+/Z28gWq8FFMNJbZpYhKAdnTd121+JRAHQ6UDAiBwm4sPtoeqBv45Ck3 ienXfT9FCUKKDea6xZNjHZvdJCvdfACsObHt+MBAcMZyPKQUkUsvbg+sqg2P5yJUnu1MNLd9CfL0 zEAmAJJY7VHMc9wwZNxOoL4pvjrGyPfRgolYKZkm3wORL58QSIaDGDXPgr1VAybWA9njfdm9SYvD uXCfhVaw95H63W9cLBH/O4igKXbWAfJmfxfEquZM7IG6yHccsGd/7o+vK1tsabFhCxasUzE+p31F Rqd1D7Nu3y0KTb9aAB6G6NAlkxrVLCgkuK4NR9Y/9gqjw0PDfUJMCH6gNth2AxzWI/1wgXkua7E7 dwNt9gVD0jnDJO4VRpdUvVU0wy/jIK2icBoNsi65YzvPikKsSeVM4qKqrV+i/Dad76aEkGqzk6XO 4RCRKbXPp4rcTE9uA8N3AKxnxqphZ1n9+mL5/VrS5bccdGxBB2lVnDlBot+xbJrfOlt8MsJfyqAo EB+Fg9fRdHjaCDdFa3zpaiFctQ3OlOQ0a08WUKYAw98bIlSlLpvtVd6QDJ1cIXTXexBde1yoJ+jA o1DsBnghjlYkhEj6twP5GGNVqDs6AWHLV0oXKD7nQfZNN163CKmHAd68Ix0GgXud400bGE2HSKpM u1R4tNNuhxv5QbK0INb3vSb2gM0lTW/w9X9zuR+StP6iI8tJFbujs/FM4rnsdh8OQRGxNlcUnEuz JETGZmWxKRxjFUVrwanBii3C7u63NGC4t8P0vATZ/AEjIDIe+aFpDS1YtAFWRjkFPhVNSK0vnHJC suneSPCcXAUlgXNAzYnM5ZzV+/MbybbpynV6TTyfOZl6jt7icCgZPwFRDK/MXR1KMdhNgtARvPnF MPYv+dXtwm42DJoTAAmYvv3bC45GStIcljpr3jRn5up4DG0RqOL8VhuV2quh2lHnOw/hgdX1fcKE P9+6dTmDC5wMO4dF0PRuaRjK/YtoDHgsoc6HeGZoX6HmGwDyG86pwDK7ctGjkYbqFP4QvJxbVD9D kvJ4fHdxOp/omtLLhd/KWQX6YMHAh435bflhtEA8IfL8DQVrPfXDFeeiI2N9To7sJPJp9++AFE7W ikTbb2Njutht+9AHN7h/aPeiSYrpvTnc9XD2SXomiGNEWD4nvvsgNnJHNu5J79mHpIKbVHxd8TL0 NnBOXlJfw0btblrNL38otJIQ4UyYYXub+DSnVKIyrpk5yoPk3CjXKdv5iHF/oHCrGOVHkVxNTZFj cZfMQFTpxGazLi0O8qFEBi87+2iV8Q2HxWT9aVpCMmBmBHa5wogg9iEP/9GrUIoKEsgZU7mPR8hl JwDnfm4Cb3ZmfKeXM/Fus0q12L0nNcNTnUB0Idp4KZq4PyAirOioZyE9GDnKhT4vkngcsUauPYPv C4EDeveltUzBmE4Ksh9w/BvE7eDIWhKiDlxLN3Yz6W3sGOZvP1fixzGaqkf9JP3R0PbAbyMLkZe9 wJPJUyWq0Agt18/f5goXRqlJVMTdzhbslM2qX2rdwhbHgOA8M4wn87kkV3nvFI4qt7yvIi1WlhUg o3N0wPpLMvqatoSQHkK1dYe0bU7CPkzdde6V92a7bAlbl0wAjp3McRTQzGdQRJVi/iqGJQGJkmzq a0+kxcCdeoy8EhWZ+4PhROOIyoxGyMBUc/GayiVk5jknJHSDUdkjoD03vWD+pW2B7cNTfDLfKE+v K2gU4/yQASmYygb8Z3/pNDZO4ZvmT1zeNLpZKSrmqS8YhSacTCatpQp3q1mILPA4PIQt8AKFlaqa AL8xqhlN8hc/qt5t+Bz0NtP+xparqiNlCN9RnkESG3hg8UG671vIlC/rTjyFRmUI9cXvqpKxu5W8 HekLRgAkEm+HikQRvh3UyZUw8H9aqKhMFPnChIHWdWDZpxXxeRoK4Up7pLieIRkxsf5DABwnfz+K XoQGf/VkGFokB4AwMSxtkaYa6EKjn8Y/ySHulR0cf/j6OUVfW4f+Hw+1ogcyUX8OmhD2hMNXyPCV eIeRq1NwFQwrHuurFvyalJ+fCcPLEo+d50tYH0maMoC/AmuLiVukVM7oJeC2iLCDKHtx7PaVRuMe qqLEtClL3FPMeKhtdl6raene3hnw7kBKnsnEP465EZg+1IT0MoZ3fbL9ixnm1TMpmS5rvxw3Jlh0 XRXiarwCRbHs2JRRhHL084oOgu2VDEJZbidp4IAvJTwxxbgr3sIMzREYsAomYRuXtHjDQkj7FMIq EN1VxDn0ncjAPqvNnz2hxyPLnB3UJi+3OtL1+8Y9lRmgwpEun38HsFoDmrkokSRkdPPWCQ76PJa3 y8eRBkvzV1Vog6jBm2qfSz5lhj17cLlUxo51TqrUtm3tszfKqOMUIiYRnxZUtfLEhDPO6FqegrAv lxYCqeGBxbCUOI/Po03uWTIvqbmKD0ZvE5cuilC07AaP/Ze89ERgrCZwdJ/B6x1XS1q4XppJi3T2 6MGexPFauiVDFZ2hFZxhPDPpFHu8zVW4r/ThvhB0gAUYlhigXhm8urBnulh6SqrVYNdUfswJxbGO jA9RS1ugaDx58knJAO95soHHHCLS/7e3TWNZfTFAGBAUl9snMye3Sp2HzOlpD1IldqU6sa6emWZg cFOY+u7J1IZhNC9YsY3kBC5xg64+O5h2aCFic2VhgH78OO7KNI/odfyWC8NJeu0QcXvawAYB5akB IBR+p63Kgkm3hXfyG58Qep+/+sGIVTGhbsvBpMg6rrKZNU6BuODZWYXXxytYFF64j7Zwu1R8DXL3 6poKLMJXSRC3DMym0zJxapSHICjNg+5a27Ov1y9SoaE/T34/ZraHsaNRgNQB7KQ/pHsd0BiWamlh ZuYzS9n/x8/9oKlaSWl38rOOqXCyxrCKxA6z8kmzbEXPasTXnGZIvmSZlGuI4oV6G/CFmTtDqw3Y /8JJDwdEoUdJThEdwq8EBebda1QzSEz9a43kgznkmFkgHkJ5kAk7wNRkTUIdZwEnjKmAPtGaLlBL YINTFd3xccsemWXbgX6k1yTPedDOij6WMv9W9IoPoZk+tfBhUCyaqRxZYg7ExotOZ6O84/NRzzUU 727LeJxAVJQXoX0R8oIbC1+Qlp8uWcuTjdDKYsHhtm3siSNftg5J5qatAjU4UjsZ4w/QljD6+BYI XXzXauuP+lxqmm0jKlrV9PQZvdlnEFe/e6OZCsBo56yGU5VwQhqP57c5kLwWDKm5a2K/Bvp420wy 2UULUP8+ZRBCg4Mqx10ZzA0lT5c52QLFW9VMZLQZ0Uf5rq6zy8PyTAnvCmpOvPL7bgmH7g27beqc vb0gf2DaizssPbmFDpaizNT5hemkIv+N40sbFrv5Go/mlMGxEFBhCLMGUsx3dsjBAFAOLbzoXi40 HtNfAA2rheoZnkArX6UxOvrP5y8kYBd06fcBm8ui13H4BJWIk649oKMhm7XyiN6Mtv5uvngmVjYT EcZe1+jzFCnxdrxgIdrgcNIjx4Ew1qn/V6zCN2NarhkwoU1AKhGnH8kHlL1JRzumMF8MxBmLKlfG +fTFA0gcFSu9xF/m7LyGq2puHgUEm2yxl3qMRy1m+t8D/EHMKtQI4P0xL5JWkuDycslFW6+J2PGz UemCAyqNNVfCyFhMs9M5VxUZUtbAbCQRCoJ1RhZMwfwaI3BRzJ3xvM4uUlmYXrRphuY3zS7t2Vgy g+yXfFovg09zhcjLWx5QQX8gvDosqeESIvTj2pLIctn5ENCZyTKv/krYiVVpCecA9pWo+IQ73QgC L+qthRfqAp2TgMiFpGvkM9CYAnuzWiBuDZcgB3JIhCxylwPGzPfyglbQgxG7rg6Um3W6y1j/KZiF DT0uxd+vEHpfXQTDTcd0TVAc/iJA0ATclG68rC0Y29m3kZ5YV8sNSJsM5RHW4zWKkSDA6PrYyuiF 9qiiiehv173Y7Hx3nQ67CoadLYqqq54C/Paxtav1SlZJxSX2Gns82zsZcEG+8eSr/5Ucm4fSPUwi YJZQWI7vi3956oBB+b8l5JFxlxFXKt0Aa7Gb/esY7HifKHcXOFbLzbtjQgIsqpHMjyWZWqSdmcaA Nc4v8M89lEGcTBJa/OM6QD5hmgxleK05sArzIsWT1VUCaLyXQ7oWgT6K19RFwr/W54lrU62LZI0H 821KRzBXrwEzTdvSiTlWBdaOHvOW4N7LPgo7qX/TAoMm3O4odaqOGOQ9n1pC4nNysUgQAAP92vnr 0nInLE/NzYujNtOs67hvYpFGv6ZuclT736eAk3BorjAJ4ucWgTpe7BP/jW6HhDtCi3hjGmnvvBrj TvRe5tV1apcqnGHpM4ZYqC93po+YlRhwT+SZjFVRChjHNaN04nEmYuiOdDKn4Va5pFPdAJS1F1qW 6dwkm5Yegc1Zgj1/QJbqN23VXCfK/7oLlz3M1mD2RpejjB8CKGjudtmiPMRaR+4rl9WwUdxTwmnQ ylrWtLxiqPuvSOVrPIudeI7tILnS1QhLQA/fDtPSszhGOrM6b1GMx0dwhCNRDEtvHZW3SFAmnQR1 vJjorOOehp1vB6rrFZAGELpR50S6HHhjSVEULBjoGz7bJDVlm4FSwnsCl65Y8da7gTtTrt7hZQvA hbSP2y+F4l/7W1+9KlZWIV6ykGEVQm4+W4SAKZSSdXzK9PPavnz6jnXR+xXRqhFsQzQ0EP9K1i+I 6RGO1H8srJ1/k9N9C2UN8ygeUzqpyvh8zL7cc8mM5oHP4JjtZshawglzur/UrN4KkTT4RfX5y9Y4 JsB8mKiDxaNdnSPIYhmAP+MLdU05sRrtke4EfG4VXp+HQwUm4ynHHVVK3qGo+24j0T1NUdtJogok HRpKYvPhNtouFeOmnH3Et+flFJ/n5FI813LVywd6g43M+okVNt33e6L/nrH+HyMdcdj/puORA4ST aldxX0bpajlceULQx86VjcJGXFCj6pfHa6ziXsovFF/z9ICCfCJjZkSXZkBvMQIpv//82GVZKaHB wRISkt9jKA9aRtelkTuizuRVUzFIwShhvGv72KOC7NxSBxuhp1swqIKUAX3YbK1VP0tEvhFoGslN SSAngBOlED/B4wnj6vvZQnzbXwui2CiVLSOIPW+f/MXgmdzA+NF5yOOAIA7CZ4EqMHhK8q8Zg7Lk Oqhk2qrCp2ahc5Q6BVuDuxfNqw6vJ8ESRcYrylGt74jesAtMkK2aQcXkd2UZVEWMZ/iNaiU4RSUS 5jIL7GeoHyRzXXjrHNztlql7Ftwpf+a6Mn71mQ+URv/smoRQasYpMzWWUaEs+i1dZkLX0OyF4cPI /Qi+30RNEchM/9J7zI3wp4b8VZexT8a8DTRdied0T7R1Pj6YDcQXpVNXhsWuO/lET6O8bCCCjj8x 8bZ2jAR/pdhYt/WWpkfVURklPP5CJHB3azjhPMT6KdDglH+g9iNUNKseztOmVzKBWw249FHbFp/w F7pb8BMN7Kw/w+8e7bSlVQ4hxW1V8kNiQaN2Yj2QgbIn2ikC7mtH1ARadW3TOr5lmcMYk5TFZ3Tb ltDQyHK2nZBCmm+qSdrtDVsgTPgCr7tByMyKNA//tf7Cq5TvckjZZI2J0USg5bzsOGr0XvFtsuBH H9oKwEIxX9Eo3madoiko60htRNpAQrYxBk1i56Ce0JyOdoyRMwl3QLFTCVJIP0XfIj6OrandpnQg mKJ0Mu0lBHlVMMwLA+t2FuvDZyAYryFXGh4GfKu4LbFzG66Buz0EakSnOG7P+6lsP6m7S75HQn68 5H8ztFtfblgfFPH1L8eAtXbXgLh4qo4tfAD46BRybKVK4sZ6kP8nMeZ7zYQSqBR/ttWFUNYi+BCZ w+g3GB/SYabS7xhm9s761n6u2IfONskXXO4J8UeIUCFULArTCFCLz4vJfmhAZ0FVbBAXeWUiwkox 47/r8cmouUDx1lIpwhIxHao0/72uaWpN46Z67EF83fhZ4NZF5mCxqmcbgMBxg1UWRVxgJPw59j3H h0AmWYo6TXT5qrG1J2UXXA6rxzffWrO6VFIg76pHW+140b/KiHTVmE51IWKg0WPJssB69wq42S9I kpx/01Yn71+ncH97Z+OMQg6Lpdb0gWPvD8/imXDclVNpqudPpRPHnG6FAyRgEx/SQKM2fNyWL7rb 7UnvJCnRv5Fnd1kKojIdbKQMT/5jUG3A2j/lEJo4UXte/71exTSRLan0Xgfug9l5ts3IAoc8Keo/ puCLH2A2TNo2vWdEt87Rm4HOl5maEGguvopinX+KTvFI5i/WM9FcNhGGyhJKntcd4KYj4ASYZkTy LpzShZKTRYw9aQVuUjapCQvVmguZeQW+BeYL/ZTdc0dK4mOo0Z8clWppGpCOfbRGwre3c2IxRbk4 ww24BO7zK7rXBkwimKr10Yteu6Z5tHzi2FRqi6WsXvr8SldurXdmfLjHngD93Nh/qVEPrhUKsbDt P09KV/gNteScmczW0lgcqQxfrcEQhDzGLyVubLrGXY/SaDMVvFQbTC7PGf780ldAQTyijCt2oAjM wpT+WA3VQlHRWJVkc/YsbOQrie2uiFWEW2b+jPXyOQdRBmN8iurbmz5X3uTqLtmUL73E9Cd2ccmH T/n9EBZILFtOrXt6tlw60eSt9TOL5f5CECYg3HQ6o9TTv/4GClJletdNKtyPYmxQjOLyG1arbVVg FgdfIWevp2J5Czt6yho+yIJORMKN4O6KIssfU4eMcq6r6s5a1tp5nEJI7UiU4u3Pts9xTgccf2/j SO0lVsHaL3dtPR/Sf32ruM6w4ymvdTMFsVgMjU/179wIg1263STuRFpYs6WeDSXWGDcfLQeDBsz9 7731VPnbXfhvbzTq+eED+kqMHhaXct8k+0699CkqQjPgLzLh/xOn7vZCmibCpmqfOoWoP1jSrzYi 1B4UveBTMjRdokUW3HBiUfW0miabV0ZwebeXIVxPlDJ/UjoT4mS23U5jcPzR7JPYl/phefGn6McU YdzSksXg+4mhEpU9wJOhHB0JCvO86tGzo/u0Ov0Z2ibjZL3F2sbPyjGk+Gi+t8ob9TjMdbWNX78J 1hdNX+0gp3YvGNUaIqGbZ8eqDFgJY8vp/VOno5ogJT8XQIGFwATIZ2q8bCnqj4nIGO/EGzU9sF6b x5RqNEWGLCQn7NiPzeEkGC7mtGMmUPmGalWA66ifwwzXqof0AAApoA1++iIapRXHancnMrs+q9Tl FJgeacbWFf0STvQ3TGsjUsTApM4aLJakDuDrL1w2H5Q8xTE2xhkTubVjKoxgV8UjMoRMAl8iTsH3 Gq211M2V4KnteOr4zFhRWJgEBUkh2lHN0uFWhH21Tjcw8N9ZWAGpoh4/sH+poJZNvwJk/g+0dxUA M7KXwwHmyq3WDt+vhzz8wo4QVDXMct1gNlU7xP8RJtS3FHfm/2IFJ4bwY52YpkAGp34GGv6NNacz nwvAs+DPd3YLizeFTwEOxdpO9ZVZEH48c5f67EaeHx1wUPATvN96SknwWsFSkRiNUsgpt/PqnOZA hqRSHyMQT3Gh+Lx5M5WE9jp2dt01LiIjwh32+r8qEti8192Ld/MOG2cLl/ihFlLhfa3UAkXsBa7X UVWjTmNIdpoUJG3XagnuTPOKoD1UPk+XqiW57Z8DpKDcBA03V/eJU33pI/VwiyJg+5uusXvcN9Bt 3NOvCpLmsPSSVjM3QN1zyyq6tJR5toxLrT8O3APfi3xUTe0WuUu5gsxYfeldLVUSLLZgetbD2Qkq NTFXJkBA8WTqLL9/iheacx4jRPK6zcC7qhSVkQ8hg3fAEOtkNPVU+si3q1CYtzvHyKqO1zQcSY07 nTb8GnGjXXfogI5w8YWAtUCtIDwom5w459vQPd518DmBBnfQo2P0JkT+oqu5FFw9Awo8YncRsgZP Ru7RcqMz53zz8agEn4Hv3dON2y5vDI0ZUVr35vrArs+DtzANQC+qPtoLA2z4iq1ZjnDQLdK5dRoz S73YYMckyv05J6LJP1R5GcoLhdruPuSN/9uVGoYOnMehW8Wq5kRRRWlD4Oncd7QluQCtqhQjWeCL xe/axMBeWfDmVblC+I3rRcGiQVL2J7i+h2CZ1QaS6MqYxedEoQvj+PfOjgb9gXk+sRWyKDvjB1XY OAWsJDwt8prj761bNKktNjjLfQJgQ4sROdLowY6qULo34Z+xgri7VhxB0kjEXo3hzfPPhItAEqk6 kTSR6S3biTnT4h0BgWRTbqNQEryDLsThiJfyLqTKidVznhrbVdzqFhNo7USJRThvGC7c/vALodGu Izihyce4RbWChE1MOKcm55ZIiF7B+7cYKtHKNjomSndvUTBq82WxAARO8e57+6ptgB3GT5I9zjpc ZWN2JOdWx+E0lnz3+nRUXso+4WGwCkI9RW3Sr+TAF5FYpQ7c7R1bDyzRDnNsPwi7sYbAu31/pxCt G+dDc03wOCgG4r1q12rtuyPLd6EIRCh6mWqaRfO0Gk0kXLTNe7/h0YboLovJ8N3cyeoQphY4vaPP pABN3Sba0uTIX5IMpjkXEvcQs+Uk+Rp6tpdVwytABhNLt19hxk/i5dTo72tHytFdsH8nF2yRinnl QD7qlwTF0IUYWzNo8aLZbTsHve8bRWo/o1iLzvqldbLs3N9ep57cbQ9F0p7EIx+Am4AjnBkP5z1J VpStxfXWsLpiKRnVlLj2wOKV0rthluClGPUMtXKQhabORbQ30AoMTvL4nv/THb4+1Ley6jeCiM8n dt4Qry579vcKzgu6TFAzwLbMdRIB3IeHu5B1I8dCJ6MrE/UZZEpdHH+eIgIqf2U+HWLiboM7GJ+7 t21f7oQ435cIzbT/vAc5pug16jps5Jb1FGOQsXBWOv6pBZLiHoi1nan269vcIRzO1jrFN97qt8wx 1ZExTCRGrtgiTb7c7Z9soY2B0SAFbZcSGpoVnoy1RjuRVqbIpkCg9tF9Kr3KGo+CxjwAvrx7ADIf rq6VAf31hdRwqBD0yGiEBW+lyCDsC6llt2bWXkbqrWJWLcnoQTCu+rmpBd5WW++GEbYuH5qt2t+p P/AcSh8mcxidYKrQzsSGormO9TLwny/VO4Mp7xi3iE3GxUMuVmLjv/btGbf64/I058Zv7QevWNZ4 82B771ORfyewR+SJYpGU2KRtkY/Tkxc4lTSuJ66Av6sLgHIyYpO4lpWLX7LOt1i/iwea5Hg4fXFH 4S9yXmnu1wOP2TBXPB0JH9XxkVIW1jPuNdqBuCCbn7ExDbPrci0eeKX1JhD8+WHGPDqYBfUjiglG PYxwOYnQRdKdQsPD7Y1fVasim3M5fTpqfjfUTyLm2bj6Z4PDMe5opQsbs7sQoyLfiaTkNH4WQabn s5yLc+UzYv/UNN7IH355tSseT8RtBWuo0+Clm1zIEEcrlRlDsZo1RfOR95YSJBoYoFgFjYOr+Tpg ExldO1M9hGenARLYCOjsyoTIOQOTF4dGDMHwOhl8913mhiYY64qpNeq1w4AaBmpxeVaMyzFgoLUh CGGYkkPK2D5wIngrqM3YBj/roQ7JGsXGK0QVYAAfpIiQfIdgQ+LKR2gQe8IQprzSDJHzsxTGDqNT WTJo1anrKIB44ulyDR5lvSppro8ulOC2wpLwW3x1Ldask9+Wz0+LQO7l7iYOvEUbuQXIHjAOtw6B EeSEjqWBmPY41tKpFEO4xyiKuaUoB9KmXk0/C+UcrTbG3A50dW9zWdxZWB+G/EVBEJL52n4MLYKA eFB3Pfp1hYLINI6YMg8V3mvlTVTxxt0iRXgM5hx7ZvcizgPGdRrlpuZ/SK8AwcWkwaqKutAS4r3z pFZDw48Ph7G3T37rejimFDRGyuVpwLC/ubED39k0vlk97auTwNkikQYSMes7NoqgtiUZioSoDD4I DgwrcqyRvURJdgoRu2A/tAFtqm2psLxtDvGhjwVe4coRSrDdMTuJNRMTZHOTIH8oBiqRzUpAcUvZ 1eFIgPbR0s17YNP/W4TOCXfQ8euF5/bWvTU53JGBBTffVqGpPIrTkU+0zcz9GN//wE3Jr+4aMyig r9B6eJ912g1zNHqCL0I2aJtXw5JD7XOd2RN7HIpf9KgYRDPwHp7G12hRflq7MgNLwCE5u9SlOxsP +Mnu5ED+4g8YnQYIKyXoPtpjUFJxu+gbwYxghJ5GRCbRD/3BPmPv5tisXZGBMm14UBKfftEuF6Kg 0f1j7KLs+0zMosz2AmNleTgunxX+TnCJXos2BAAmcYf2LQCcqhh/gMzKwQ7FtJLu2+LqlQxzYrTO srjdSlHG95bc24fXXCRzfQAgtDGcCv4r/hWPQ04MSN5LZ0mZYJ6I0ubnwfFC3GDBz5xWNH1EyFu4 aX5neBs3kVQsWniFJapJqnMOLLSFQGjH6e9ATmp9hwCkNDtB3nTSKf/OJLeC1oBryw9JSJCTJeXk 8gD+PMdZ3vxyl89n5Imnhd9Z/VJ0t9gyZV2IqAhMt6GNhdTfPwpeUAB9zlWxhWDjtjcD8ujiPKzS VmdiLDMQptp0QZi+NmcZentj7Qc1daHaqZ3vxhXXgxpf3pX8KIMYOf2h/I/QfPtHZ0wdFqIUNz2O aoWk/oUO0+omgFfuWN45EelWJ1uMZgAkCOkLruKsOptkosGmkF5OcnCe64+nBzH3LLu7Q6PoBfP3 TWTCJSLg0fe1qzMxxdi9YMM/AtSlWlLEWFnbh9wQqAo4zWLWcNpqjp317IQidk0METMwV9fTmiwo HwM2j/QmhuOhwQKf0yFHVdkA5W8J/1OF/B/0ir9xKfQhrKG4/LDB4CoUD4mZwOInQriIOdo6wMdg E4H/Vad1JCrVqfJbfhx1pUk3cEbi5f8Nt15LusZMDJJY2YoFVQaZ32mvE3FvSzbYRQ5ydt4jxX4b M7rqZogHbr9MQj6zV5YhQ50QG0jvMedwh6mDtlxdgihs6SweCkNAufr4ADCMZxUKb63ZdC+PPVnn jwAw6oXOEN7m7HjivnohnjPbmADEWw/lbcHmqJOzPJ+sN/BTKs9bCTMFzATVJwDaeQ5oVvirRy/P Oj3uKVzmx1xl9G4PRGreAFtcm2JTvl4q/90+XY1MkNQFLkMBhzZvjZiwGLAAZxeD/rwlkwyNaGe4 FDexiou+Ajm7NqA8G6I3dFYHrKJOUXNeB6TQK+5n0rkhsfmfdNRG4TqulMYc5MPR4rYhom8AZOOW d8eC73S/4cAG+XLTvp5iLcNrY58jj1e9HfIx2qNRImzQlqB15adwTCTh5odIMuJyfzbc08svRg37 th+G8GSqGGN4yvcrYvG0FCe8vdFSD+6gJ1n7AoWMXx/URzyKK+RXrOR7G2RfSYEvEz8lXSlLyK4c JHbaboY/93mdzciljhwzQSU2/IYLIx1UM7ehQX5bc028PewNv34lVycm7CDZTHc+CFSJsCOAPV2H zmnLFValI4p1vJMGRMogqY3SEgUvrSLi/4XSeUToRvKXmz4FOQnAZ9KV4nwS6Z/OfvlDkD41wurh iRo3EKNwr+9q+5NYCPk4tpISw9dm7ws/6E70oIvVwV5gbdXiDbqDKKjSma2orHBm1dejzm6Y5HFs QndIuseqaTWo6PONTaOJ3V5X/c54TjONa6QpdArUMLxZ64Pb+nWttM8RIGi+R0UllB5fPvFPmI5x AgUehJnQ98ZM2gXQeI1Pth3FDajENKd8dVfumnaB4QPCgg4FB94Gf4l7nMKDLzK01usUInwwxevy I5Lr2KEGFCI4YmfbPJDfgXWFUVm2qmPUwOapd5ZI4T3+vYaLtHqF9P+daD6dwMwV0G0RrFJ/ldaO BPn7hILjWr2vuDOHynFZhove/alDIPGokgk2PuQUYF+ewnJ7Qfh+xRoC2UMAb4EBhxc/gnF5GRbK LtGS5V+pSXHK0jC5rQPk3FgRNB690iKrpgjQh5x0gXltv8nOmCaTa6AEZiloStRl8VgDRBUZCnTO dlNs8E19422VUjqQ6j5ubAEeGck3GXw+cSMMyCfpy9oPxskhwBWgdRXxYo4MOAPzvP+49zSX1CXO wAtEB0Mpb4P9cRUS7tEp44rn2O2ahS4limP0J6CRQcu2d8A/y0bM+jtSwgngl8SLntSJsGq8mmWA qcWSDboHo+rJqn7ZqiBDXHUkU8AmloqPenRjlXOZmrr9+iqmUSMDmSHeHlSg4Ex0X/P9G0WwI0BA YvgUO1MkyqQNUL4jo9k7Bblo3ewYe3Z0oMrGhPpBJm80fQswFhj+qoVyhUzjy7dRpQwl5K83UaZH rx0jwBSK5r9pHfhkBBrxqfMwgcsVuA+RpMCijaYecAEddQW8LmOXFIyWMmFE0gUPXxX9JngDHCnw aPGwzySPw3UsL/3r2FlMiMpkDtT5BaM0c5LK8vrT01545N9ZvjCf+PkYY8zx4jjnGuWvNgsxGePL Z+EM/0f61BpAhA5L9k2GochHBkdKHXVKG1fdYaLYQEzYPH9k+EoxhDQXcB2dhVh66SVeu1axGyGE POY+hHCQZeJ24XoLTsVyjTyEyXD8MjPcOaPT7Mo5o/lZbPFlmbbwjjwyhGlGF+x95zHY1ZCX+RQj 1vQQsbgZMNgUPaozMDFkn2J7bP+dw9aBlrZv4pN5Vj5ZegER6H+9PIe92iFnCZ6VbERajDqB4bNK KY8V6k7FUWTHLofKivFeck8A64HsEshlZlDJGiFc5FizFWd3/6oXy/f4yl1Hr8vBSDyVRio3SUuz b0SlJqEtv/D5/t4zZ7StO/0R1nQgS5Bt5jE2ruwRjfrnnUDpHr4nhAZ1qpTsk7Y04ww9bnmo7Zg+ rQ5u0h3eCGCGj9xdxXp2cLKlLm4NYPriLwhyeSTmFPR02xVDzMYESdApHY4fJSNPHvOtA+P48vhm P9e9nXHrxf3W4hLIaiL7kQNc7NkslvNf5l4+ID82aoFisB/w14KwxS8jUfPi1d8hemXX2ypyFx8Y Pmob103QUBo2XGmMF5NLxuMG2PP0xoXsX2Ke/mlABK3ZiovhjbWAvEycCZdNPEk0HQKroyy+Zix2 nnU6KHTafC91scZiUEzZHlKaMYTxqmURyMRkcQOhEmeXV9KFiWcHgC94hGI10Zf2vX1Sn4RdgALA QrqGpI9o7fMdqXXv1MK/tbVsjglCjz1OLyoZ4yzb1i0uRMw0vrctPdexZmneqqrQ8i1xMff4lW/F ZIP5UjA/ybGw9VqEpDLQfB+Hh1vhTjROgmG/6h/mtrMDuiOuUSrhv4H6r+zmNhfapD2+xxNHPb27 pApI6/a3ogPzHBSmUuhim5YvpZpegxZcDdaMk4h7fRh4TNF0HmVoO+BDcz3Gctd4h/cgmbjUyh5B y2p7hEikX7wjQCMkido+NHqS0wjPIabMYotkBfD7N/AOr/8fIMEIOXPLQYWxEafxNHsunKi4RdSv M79VcgEkkbyXY32enr4cjC283hgxxjZV/Dwdf0kfOTIlbDBuBLWLjG9+l3TgFMUUk1AbdziKLKvl Q7ZWjJZBw0Y1MED2/hikrLRrpALuEvniNKwAwnffmQtqVEy3OGm2kvbITn0dSPXGHFDFSKtAwnyu KOw3DxcDitQyJ18GJQy/BzYRJ+XIcbEDEbonKN0BDfgOwcaHwcyYNYe7X0Uq2iSBTUwPEqnZALDI HNQfqLUQgfoQVGfBJr0+XjWTuzc4kzfsWIzZ7mfv+SUQmCcTK3IUkU4xuudcM4oyFKlsGoCV2qbE 1uzosjU6En/sOAMUMHtmUy/bSnX9ejqEhRDQcVOels0npxzN6afdVf0YkAu6HAlX5VSOEH4V7Vnu 5gd/p4m4msiaPhxb8RjmErN16YCUojTvsZRwSIwYzos6RGIJyEYAxa+d/PAgEbK+FPr/WTGjvZ9o z42JFfGywwRsc6oLaXdT5fcPq6XWlQUePF7WYIK65i+riMDDMpCBQE7hoqtQmSbQE+YDuSOw2I9r 9SAyGs+E5tzK8DtxBvki85fiqWoCz6Ds4pXi/lCkAIMbO64M5F+ac1FN4PZ26+NfH8chGx31C1ao q0HZq06bHsB2nakCKfCbq98oadRoAa4gpyFvDOku0GFjCjHU2tUOqXsRVqdzHWEJxIJEAM89l0pm V3WKE5lfxmnfoSgYnBQ7Jx7K1uewEDaVFiOMALY1zC+FJ0nED3BtYvZnKlzlCtQn3RpFXN7uGCqg GL3JWHGPz1JaHzvWVQmGpUREsQHX0+a6WNLhRMhQcBnPIjl+QUOsK/LGU9bvMhAO7lqQE4LHs12u Q6h7voyg0ctX9+3SWR/FE/jt1W4b9kiv9JdU0TO2i8QDsxGWqmRPK53VcKWhir8EW4QJ3Not3VmW /uuBwLVEq3UtoTW9vW3o23YzOq/v4bNAyb+QACsk5koq1Fjseo0v4vVsyYj3QIO1L+/IMKCoqc2h 6JwGOQTfEFSLddnmOTLHitT7oLaJ8kDU3mbluS4kJyXkje3cWstCAKyCT2R8idzpD3lWbz7GoRFR F5ZacyrvsPJfeHoR5O53xBlfilK8LeHR5XYM6Ztdj62I9j0TZnhttgWnV5ojVYb6ZIRd4pBph6+Y N+JuudWRlG3DRbubZHdEArrAulUkTC78u9YoLabh2/KPXE5FWXCveEosBesFZWvgfGGG0oPZK0GT mR27pwHonEk67nVKXKppdx/CUqHSnq4izUAmslH1/RzsYEdPdCc5+98bpThIN7IX4mAyN57C2Os5 mtPFHZpJlfW5MooAH9skBZZrO4Sexm2xDj0VfoN8T6n/uXD+DcE0vRdTp2n2i9EijNgyWh6msPKv IaPaKXlgy9LXym2FaO3AKAzdGNihiMJ/whuVpF5KU53ebMzrUAl3635K+HYp+RsL2WNOxzE0GBSq VqbzQWaShx+ygkW3gcos3FNjy3DOjvmemPZCC2VhDV0qcF56ZGf4Kmuf+UDGaNqQpHV1tf/CA374 OgZUDvmx4eZsSU79fX5N0jJpJP7qBVf/RuKmqBWMYiyWC6FzLx0/SWmyvOhIlzD8iugYW5PiJ9K0 IztLgE2Vkg3h/gH/oaAcSUoom6tSSYb99NviKvcGtCy1A6yDl6ylN+VkrAFRI3VjdOkR1pF8H4OM ce0BdZ+1przah/ebDglJkKuca8Koj4iXokSivKTKEY+V0RUMkRWsEeWkY+dAtSXgxzIzU9RC1A0d XSzhK8E/ITaKvLBmNMA5DpsXI4RsA9eAVGD8wkroHhuNjnpesuSCULOVT8yHhyi6EkmZL8mwFovo wvFFX61ibLjo6pteqrRVjDfyf1broOhWgeO7hFR1B5xR4dEkvTjgruCWKCJUxDQsPYTVRGCrgKQN /5s18L25CVbkQsX03qUObxjt5z0wpDlBuHowDOesJpW1h9BBdDWptctCVkZoIhbzafewEKBVc6P3 SeDm3anxE+Ks7d35EHvzFEfOJe+PM8PENzihqvj4oQSh5CvWrJLWwCkrhdoaxmRdQ92TK2wNlOAS uYx9Uq9rz3DyowsBKqWOnT5EzmYMMh9JDjxgpwVoGrOEKd92X5le24zLC4xuM/s7EsV8DOqnTW3Y jNsGHeO73n15Jy1nJ2bS099YkAeJO0HUobzrf33ICPkmz54V6CPr+0t2ISQ7bbq8AwkX/7rDysK0 00ve/MdllAUkUP3yoFn5lWkhaWP5M+jtc+3BFA3ej4qqE6yikK0bJQH3oKqC6xupEInDjI4LxbzA 5B5FQzDJ72us1Hyg7bLV+c7JzkSJDqmCNdEB32pk0thl6bxzEpqGWxk/EzIrhDHoQtXwFxfzZnCj gzr2tMdof/FXI1HOL6gp4QPtEb1Bz/4WBVPnlefXO0KDvnb6qQORGnd0PTcne63If+lOxuqPImwL OjEKYSLocghOGI3JnYRYsewXo04tymyDNkAU/KYpdHZE/IuQy6KrlpJgLjw7IZFS+uIelFeDOEZB LYIU2nr4ezsk+AyFwSJLIdtgjeeS1uMrz1k4TiXJkqaUXuRqdaa/MvtQx3ELHaseylvJLhQm0d2j vLOWvVmRoqtw4PVHNB1ivK1cYq232BSNWWv31zHMF3qGU3VcyHSK7xRn0Ut+m94apxt4FKYRBV/b /LUI97sHS/h5X6l7oY3uQfmo+w0WOH4ubv8oo7ZEHu+/9PE+OHIxFgOvFKHxti9an/XrZ0S3erHp jke8NY0jKfL07wuki1kT7LT0/EIlrvHR+QygypMpuJd5Dt9py0Hz5am8Y8zDdNuY41kXE8E3yFPG nSin8RPLStEp3AVjOjMWm6zkUj/cc/o1RwWrh3ZFDJPEACncK9eBJ508fer8fY6MTw1Av30qcr61 LgUzELyniaoswW7HoFoJ6Kr2Gb8kPNlntZ2kJwDsXfdHz43i6N2JGS0IIKZ/PB+gBFqS1FNcwKH+ WNeDkvoyijbpw5yk2NaW8cscaFTti6mvKo+b94vD0fifEerQ1DqjRrrbQ8yavoJHwIoLI7ftcRG3 I9guyqC9yzGHB0cDFMaan1fXsd3nDFtW7IgVq9cv1u61MXX5CazM+2c4Mr4OCLdmPgb5tp6qlvK/ 2sdxqmCbTDRt07pPhRr4D1fyZsgU4IR5xaLEcL22/F/5aGgq82Ksnf2Xjy8hYyeZe77krQumrT+8 j7jgI6cfLORkpbWqnrBNWv4mhag6Z/DCakcl+l+ELla24fmKXqjKf+eaLlkEzVljBdy6R9UY9yEy pqbF7+1xMN/HEJycChFxxNTufDuPbMZ154m3vKzfzdCACzbosQkU7NEjF63lCsxtPauXZ4fteq+n nTroSzMYcfOBosrQis4MagOte4Sg6DT359bqG+6+ldkOb8+PFCdFcFKc+RkXTUS8870sjbCdqWEG RD33gjS8UPaw0ZdIwcQ8t2ZlWMy7ePTWBi8iEPLMCuruJA5sOQ5WnPByfWPzklboyDdHVxtmaQDz RD6J1PU6T/rvx+hL22VlCHYA6aZ5c7QqNGxrsRDnR/5JCxACQEINgTovx9Qr89V8/7KRvzOFVz+1 9MKHibkWx/Vl3oy2jsmRoQpNJXvCupCywDwomtWxmJW8+4bv1KNQg2P7jsY4vx9TxLpbtvlRtCFN 0N8kgiE1X/gd9CPl+FvGrS0F9cUr6IoT5EM0GGRHB9vgUiDp/4TPtjUb3i0XIuAKDpwC3RjAxgC5 oPYMrhzCaHWnyhejI8skHPMBf8Dgci4YLmfOq9Nz4Uf61eDJIGRQd76YgEU2zlWcDzJgZaG3fci2 pTMbruLjnDFcRYhJn75Frqokc092+3kw5SpiPNJwU1O1X3MY9mqkBGKrp0J8i9RGh/lvG5s/kUa1 VEDVuoDGahf1BY4N2FDvkKzvWPzzZOzBJsm6GTCHOVCXH7L4VmgypsdS75iMBoi66x3WkhjzZmMu 78Tw89pmecKXpP0/KkbgQUrSiXssxr0I80z3cImOl7dDdx9BC9gXZLcaAabsoqCZRL3/9AMAKKO7 d6JAD94fY00NG0dx5sPJLJX6HZNMwSywBYsZtS4N51dGXJ/4rygpbm0GmhiLcaUxFdnaduPEMuMd pH+9NscAE5TaG3pNGROJFf7S6945YGZnbhwTUcWXqWPjBRvlwWDY4+Ugv/7ZmwT200BecMMOQ3d4 gigg3FFlTVKEtrMe0Ul0iNN1pkneIxdXo74hXz+g6pctGJht21esyqKNkWXSp0kG67iC6RzOIgNS M94M4gimJPL/9ZSXjn4SjA/Nm0ftTz/RbYoZkzg9SwiTWeBmRYLH9eCGcj7bHc0HHOFbdj6D+nTD sZP1AAI8n8xOaLQROjZgEougOgUzv1wytQdbD4kP4vCAujNfwRwKDfF5nL1Ly0ciF34qynZ8RDEe AvgLhHqYaS9Q53+g243Vm0tM/2SNzAX7dmf3xXbuYX9vgGAW2mV6DFtjiLTBGSTqhJavh3UNofS2 CzdujXgXOJtiPYkJSCTqU7uTveLgAn6Mi7J7WoFp+8KfRLivwyZyMTsXMFSe1LsejDSBb5235RRF 7hqQelhe7egMXBu0HUKZRkI958R3B7yy13YAGy7+KqW9TchKUkRjknW74LpEK9lrwoNghGMainIu 6ioX4F0GynkmRZVGX/MV0D6SQxUogKC2ehPGPhTi53C1H/mpSOQ1GMLIIjj7fIYQigA4qIdDAPR4 wDZ1d7aF8XbY125Gqosc6NrQYwEcpcGNFArWa+5PmiBZG3bigZOwALLfqFfcFbplnjZfcxcaZtef ViuCVgrF8n4g2TJA9ovbR/yxOkgLK0zRH2mZN/4BJBD5dcJXEuhdYpdbZSihduzg4twMwbiPbIM8 xdrsgmtmyrX6erToIr4i6hjtXdY5EHkNoKMCBMU0NuHsQeTGvL+bjC+U7QfzAdSFV8G3GB1ePsyb 9aMwkyqUmON1ECN59kCa+IaWhLrwlwLFZLoSGdzFc/6JvALbjZRwDh4H5VLaQxDIVaKh/cqTx1jA KVycMO2hAKlQMoI7mF5/dXbT8+4HkYWcBS1n8i8y9wTJDWmyJ16tEWaCk2oW2vuy45nGx47lE2+X qTL7jvSAivdY5gnNXwdSLK7FR2XqBomxc6O04oInSB0wt3uxw/HjFqA4Oi5LAsR34OJBCujF+Np6 wtTHYeLUSFMyqL9BbuW2PUbgbFSjKDnperf7EOL7oUrq2tK1XaHw5/8J9o4uljfqOipq8VfdkApu bhFUFclttsgaygZ9f9e6Jv1rS+/FMv3dlswEQ72s44XoRtxRtwyV/DUyxToR6EWJlichpn/qzs1S +fa5bE4b6BV+ro3SyxtqpjxhB39v+QZTFgiM9mPubz2TKHV7ccIsfhVvRfM/Qz26Q8FNmAAklo0k lQXq9Ecj/Pe1wdsJh3iWf7r7NF7v/EgpCOjyQieygyX33iWxDhiJp0t/iCOwMyPWw68xrHgpUQrs Z0dSRki0Qp0fyLp+Z3kliOe3xLm7HIfk/GMKDvW05LyrTqLVMpUv9dQphnPRUFBx+4yXmmnvIN2z Ok6h1Y3cpariiNIOXKW/h9tCg6y0e/5KIEpAF+b8nCJXiyRGLfsYTYMuPgk6MdBk7Km7yP5xI/dI 03Md+nv5PBfFyzPsm48FLC+HgYXtEjdqZEYbvyn/72H7Cl8M4lXEGLYtI1m7osUtDDLgfFXfzzsB AkaLz0XxaUZWooolE5KdYTvgpFXFRNwU9hToGWEON5KnEtjitB/Zu3VD0v1vgROdPTnptPTVVrCL Da9MZ+GkwB2GYbllY0CdLSTgJqeXUIgl2PxQMmEgJQ0oeHBMPqkyhFnbAHRYpLX2b5/l7D89o/v7 9BmKehq4eAfw1WMAdwj+hw4DTLcyQnjoycK1Uk5yiJ+Uc9Pbkkkqn1aWB5aw8yQwlIzHC7fT6vIs 2v2W5NvzmruHwjHSS9lw/sFYKRUN04ZorkObKk8U8FdUEfcwFyzB4XQkvhQ3TBwKMLoLxHjX39bW Tk3XHEGzL9DkDtzsHErRoYWKzkAUU8wtMkUGgZ/3KpBYIwHhSks8G6temNazdsVHaZataua8irOT t8yY52XmgG/eN6i/GOagj+tdlO0Zq5uaLr2SJySrC1fv/CwnvC5xqe51AzRbqiwLIAD+pnyj8H7h 8KPU5DHMemNgN66EF2gQLt5uy1K2zjsuSYdQaRxRdWeSpgIKbnI/9KwFPDYYUuWkpPXa2wTH1C5O JzLh91CkqJ+j/2qP/hc7gh5HnieJ9Hxl1j1l0YJpVK6F9jIpu7TDE7aRB1Th8HMf1y8Lh65FKyyw 1BmKnXT7iGTne8xIzfhRXjcQH00cEo0iADHPQMxkwtYKR0Og2cPvnKBvxFJ3YQjzlwAZ+EqtxkR5 rLEL42BHladSO+0i7JvuPb4qbXkr8LquzGjYJ15rIiU0RmryK1rySl2oYozIE7L85znkw6x5wkw2 QekZCKSsy2InbFcYclJGiJVocM9FeIiTar+pYKnyKovNM8vLnl/drl3emLVhRtX1Tcf9EkyJuooQ QiTO1tmhBcHiLxfHQR3w9WpmWzmo91/CZEiXvf28/CTgDLd840BPXkZ/6DM3b/9AwTQNd4gIU6Ze auT/Ixa0Gqi90PzCg+5zyh6N0f5Ufgsu5JTA/ZaXDaezF79pdOrTpMIXCLyBTbpDChqOETaCEe03 6MsMyrcd6UvuwqP0eZne5MTtcJ2/qLm86jzY1O2rMKgQfUuwVhKwQCpm2kZSz9jtolTliNFDW723 6yftNjOpctX+yQc6jushQWuV+m7oRIGWBucdhKy7X8eKWdDU8bJCWvz0g6zgZ+Ezz9K0OAMCoVOf K6VSjsePScI63wk2aTJQ8QfpCnEWw1rjLaod1jP6G09LNgeegbCJ6DPghGytrCEKWCAOhqvTOsLJ 4n6IeIVH/PCZQpHWpkWXRgSYe9XUgNp8NNcKAs8y034EYhLwcWalNZ9vPxeFm/00ubUxVL1Rs0GO f562nench9g5QmH2ZiOb9C5XQlffh2IgaknQ3gMNQjXiUJ9MaaUsyUu6ol0DPhTOpMjFLoJR6nc7 RUKZQBmyIHiyWfy0XXJipegCE/KuGDzTTK9xUwo5EVVaK0k0y0kWN8ik+P5tQWCwbr0FM1WzogNg zuY/w2XKTjTn5JjaPAaOXAE/4xRXPigle0Hhi1ALzJmBd0ptgj4Ku8DPZJT6vb9OPVQJYtgfxj5a cD/9MZS4Pz++YWVMI3GMUR87/cFxPwL5QRZUQwnq09q+42/tqjbzkdNjgjVIDDV8PWEG/c+Mb65b vNsVKMZRXfLQ0mzVPB9Lzc/wY/9q3bHD13ScYWm3O7KShyq7vE0OPpIIHlTPZOeXvf91530GTHtZ cD13xiz7nzDdvjbVlp0HqzA7lcrz4kG851++YV32SO+egYzYY8cjdO5m5+VdnW/whjuP+YFIUwFq p6T3sKbYNgUYFhQUCBlmCKSSl00eZd0AD4Jz1ZdlpzAoqHgFvQUXRiih2QJoBTNUDShq+fauhi6n 5siep2Xgg6DOHnR2hdY9AfJBR0BxdDT4TRPngFxDOH0QrJgVDVhj9JQfPom8MeuaRGx/N34SsJMn KQvlZqGoqmobFwB/GnYMZ/mJxlIoOBTJczOg5rep8KWIzYqwlqSJdvYP3vYskunzzZghfJM4jqrx h0z8DNEkUD+/vIo7harhbMt3C3ADMzmkBmMQRCmQJvaKs5cObM0BJafJnQSeajRcrElVu3TGEQrv 4mM+I4wWp9nrmqWutlxnf1YzzuS9PDx1ciLKWSwxdxzDnFkYbe0oBP9xJvkij/BnK8DWdHCkU4rh yQWgUQnNG8x3k0uNXZqZ9IUYcqPf98zw9ENpDBskEnl8JSk1EHRPWZvonA3BVY0ohBhPSdf3dZfy NrRPLFy4gInZLWCuT2okuEFD9hOwy7S/nvRTJFw9lX4+nX1zeU0BoPszPqECRtBNSYBbB8Zyk9/q ccEOFVz7mCM9Z0NL1MXd6+A1b0tuwBfWWuI3kbLfcEczfBrHazPZvhwBdmBhoheKCcNk7cTqGGMA ISr+PanuPkGoz5cHp3A7YmP4r1jRpEKIsjXxGTHDwvoShNsDyPsBS0+Z5kXHA7pRSOndubLnIoe0 ndvphR/2btJn2WPEWasdvjgel41qC92qa+bDRedXP+SMc4T0KkbTfnYdIkKE+jmLyQ2aZCZ6LTmB Chpu87PIzdt8Y+oxy6si `protect end_protected
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity Adder is generic (gWidth : natural); port( iA : in std_ulogic_vector(gWidth-1 downto 0); iB : in std_ulogic_vector(gWidth-1 downto 0); oCarry: out std_ulogic; oRes : out std_ulogic_vector(gWidth-1 downto 0) ); end entity Adder; architecture RTL of Adder is begin (oCarry, oRes) <= std_ulogic_vector(unsigned('0' & iA) + unsigned('0' & iB)); end architecture RTL;
component system1 is port ( clk_clk : in std_logic := 'X'; -- clk input0_extern_con_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export input1_extern_con_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export input2_extern_con_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export input3_extern_con_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export output0_extern_con_export : out std_logic_vector(31 downto 0); -- export output1_extern_con_export : out std_logic_vector(31 downto 0); -- export output2_extern_con_export : out std_logic_vector(31 downto 0); -- export output3_extern_con_export : out std_logic_vector(31 downto 0); -- export reset_reset_n : in std_logic := 'X' -- reset_n ); end component system1; u0 : component system1 port map ( clk_clk => CONNECTED_TO_clk_clk, -- clk.clk input0_extern_con_export => CONNECTED_TO_input0_extern_con_export, -- input0_extern_con.export input1_extern_con_export => CONNECTED_TO_input1_extern_con_export, -- input1_extern_con.export input2_extern_con_export => CONNECTED_TO_input2_extern_con_export, -- input2_extern_con.export input3_extern_con_export => CONNECTED_TO_input3_extern_con_export, -- input3_extern_con.export output0_extern_con_export => CONNECTED_TO_output0_extern_con_export, -- output0_extern_con.export output1_extern_con_export => CONNECTED_TO_output1_extern_con_export, -- output1_extern_con.export output2_extern_con_export => CONNECTED_TO_output2_extern_con_export, -- output2_extern_con.export output3_extern_con_export => CONNECTED_TO_output3_extern_con_export, -- output3_extern_con.export reset_reset_n => CONNECTED_TO_reset_reset_n -- reset.reset_n );
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2603.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c13s03b01x00p02n01i02603ent IS END c13s03b01x00p02n01i02603ent; ARCHITECTURE c13s03b01x00p02n01i02603arch OF c13s03b01x00p02n01i02603ent IS BEGIN TESTING: PROCESS variable k. : integer := 0; BEGIN assert FALSE report "***FAILED TEST: c13s03b01x00p02n01i02603 - Identifier can not end with '.'." severity ERROR; wait; END PROCESS TESTING; END c13s03b01x00p02n01i02603arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2603.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c13s03b01x00p02n01i02603ent IS END c13s03b01x00p02n01i02603ent; ARCHITECTURE c13s03b01x00p02n01i02603arch OF c13s03b01x00p02n01i02603ent IS BEGIN TESTING: PROCESS variable k. : integer := 0; BEGIN assert FALSE report "***FAILED TEST: c13s03b01x00p02n01i02603 - Identifier can not end with '.'." severity ERROR; wait; END PROCESS TESTING; END c13s03b01x00p02n01i02603arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2603.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c13s03b01x00p02n01i02603ent IS END c13s03b01x00p02n01i02603ent; ARCHITECTURE c13s03b01x00p02n01i02603arch OF c13s03b01x00p02n01i02603ent IS BEGIN TESTING: PROCESS variable k. : integer := 0; BEGIN assert FALSE report "***FAILED TEST: c13s03b01x00p02n01i02603 - Identifier can not end with '.'." severity ERROR; wait; END PROCESS TESTING; END c13s03b01x00p02n01i02603arch;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; entity chaoticFSM is generic ( N : integer := 14; NumOfMoves : integer := 6500 ); port ( clk : in std_logic; rst : in std_logic; Done : in std_logic; mask : in std_logic_vector(N-1 downto 0); randomNum : in std_logic_vector(2 ** N-1 downto 0); outAddress : out integer ); end chaoticFSM; architecture bhv of chaoticFSM is signal address : integer; signal newAddress : std_logic_vector(N-1 downto 0); signal moves : integer := NumOfMoves; signal isNew : std_logic; signal cycle : std_logic_vector(N-1 downto 0); signal randomState : std_logic_vector(2 ** N-1 downto 0); -- signal mask : std_logic_vector(N-1 downto 0) := "00000101010101"; -- 341dec -- signal mask : std_logic_vector(N-1 downto 0) := "01010011011100"; -- 5340dec type array_type1 is array (0 to NumOfMoves - 1) of integer; signal addressArray : array_type1; type statetype is (S0,S1,S2,S3,S4,S5,S6,S7,S8,S9,S10); signal state, nextstate : statetype; begin -- Next State Register -- process(rst,clk) begin if (rst = '1') then state <= S0; elsif (rising_edge(clk)) then state <= nextstate; end if; end process; -- Next State Logic -- process(state,rst,clk,Done,moves,address) begin if rst = '1' then nextstate <= S0; elsif (rising_edge(clk)) then case state is when S0 => if Done = '1' then nextstate <= S1; else nextstate <= S0; end if; when S1 => if address > (2**N-1 - N/2 - 1) then nextstate <= S3; elsif address < (N/2 - 2) then nextstate <= S4; else nextstate <= S2; end if; when S2 => nextstate <= S5; when S3 => nextstate <= S5; when S4 => nextstate <= S5; when S5 => nextstate <= S6; when S6 => nextstate <= S7; when S7 => if isNew = '1' then nextstate <= S8; else nextstate <= S1; end if; when S8 => nextstate <= S9; when S9 => if moves > 0 then nextstate <= S1; elsif moves = 0 then nextstate <= S10; else nextstate <= state; end if; when S10 => nextstate <= state; end case; end if; end process; -- Output Logic -- process(rst,state) begin if (rst = '1') then newAddress <= (others => '0'); isNew <= '1'; moves <= NumOfMoves; for i in 0 to NumOfMoves - 1 loop addressArray(i) <= 0; end loop; else case state is -- when S0 => if Done = '1' then -- -- randomState <= randomNum; -- randomState <= std_logic_vector(to_unsigned((2**N / 2) + 8, 2 ** N)); -- end if; when S0 => randomState <= randomNum; when S1 => isNew <= '1'; -- Rule 101 -- for i in 1 to 2 ** N - 2 loop randomState(i) <= (( randomState(i-1) and ( not randomState(i) ) and randomState(i+1)) or ((not randomState(i-1)) and (not randomState(i+1))) or (randomState(i) and (not randomState(i+1)))); end loop; randomState(0) <= (( randomState(2 ** N - 1) and ( not randomState(0) ) and randomState(1)) or ((not randomState(2 ** N - 1)) and (not randomState(1))) or (randomState(0) and (not randomState(1)))); randomState(2 ** N - 1) <= (( randomState(2 ** N - 2) and ( not randomState(2 ** N - 1) ) and randomState(0)) or ((not randomState(2 ** N - 2)) and (not randomState(0))) or (randomState(2 ** N - 1) and (not randomState(0)))); when S2 => cycle <= randomState(address + N/2 downto address - (N/2 - 1)); when S3 => cycle <= randomState((N/2 - 1 -((2**N-1) - address)) downto 0) & randomState((2**N-1) downto (address - (N/2 -1))); when S4 => cycle <= randomState((address + (N/2-1)) downto 0) & randomState ((2**N-1) downto ((2**N-1) - (N/2-1 - address))); -- randomState(16377 downto 13683) & randomState(0 downto (address + (6))); when S5 => newAddress <= mask xor cycle; when S6 => for i in 0 to NumOfMoves - 1 loop if address = addressArray(i) then isNew <= '0'; end if; end loop; when S7 => when S8 => addressArray(NumOfMoves - moves) <= address; outAddress <= address; moves <= moves - 1; randomState(address) <= not randomState(address); when S9 => when S10 => when others => end case; end if; end process; address <= to_integer(unsigned(newAddress)); end;
--======================================================================================================================== -- Copyright (c) 2018 by Bitvis AS. All rights reserved. -- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not, -- contact Bitvis AS <[email protected]>. -- -- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE -- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS -- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR -- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM. --======================================================================================================================== ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ context vvc_context is library bitvis_vip_axistream; use bitvis_vip_axistream.vvc_cmd_pkg.all; use bitvis_vip_axistream.vvc_methods_pkg.all; use bitvis_vip_axistream.td_vvc_framework_common_methods_pkg.all; end context;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- -- Package: pt_pkg -- File: pt_pkg.vhd -- Author: Nils-Johan Wessman, Aeroflex Gaisler -- Description: PCI Test Framework - Main package ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library grlib; --use grlib.amba.all; --use grlib.testlib.all; use grlib.stdlib.all; package pt_pkg is ----------------------------------------------------------------------------- -- Constants and PCI signal ----------------------------------------------------------------------------- -- Constants for PCI commands constant INT_ACK : std_logic_vector(3 downto 0) := "0000"; constant SPEC_CYCLE : std_logic_vector(3 downto 0) := "0001"; constant IO_READ : std_logic_vector(3 downto 0) := "0010"; constant IO_WRITE : std_logic_vector(3 downto 0) := "0011"; constant MEM_READ : std_logic_vector(3 downto 0) := "0110"; constant MEM_WRITE : std_logic_vector(3 downto 0) := "0111"; constant CONF_READ : std_logic_vector(3 downto 0) := "1010"; constant CONF_WRITE : std_logic_vector(3 downto 0) := "1011"; constant MEM_R_MULT : std_logic_vector(3 downto 0) := "1100"; constant DAC : std_logic_vector(3 downto 0) := "1101"; constant MEM_R_LINE : std_logic_vector(3 downto 0) := "1110"; constant MEM_W_INV : std_logic_vector(3 downto 0) := "1111"; type bar_type is array(0 to 5) of std_logic_vector(31 downto 0); constant bar_init : bar_type := ((others => '0'),(others => '0'),(others => '0'),(others => '0'),(others => '0'),(others => '0')); type config_header_type is record devid : std_logic_vector(15 downto 0); vendid : std_logic_vector(15 downto 0); status : std_logic_vector(15 downto 0); command : std_logic_vector(15 downto 0); class_code : std_logic_vector(23 downto 0); revid : std_logic_vector(7 downto 0); bist : std_logic_vector(7 downto 0); header_type : std_logic_vector(7 downto 0); lat_timer : std_logic_vector(7 downto 0); cache_lsize : std_logic_vector(7 downto 0); bar : bar_type; cis_p : std_logic_vector(31 downto 0); subid : std_logic_vector(15 downto 0); subvendid : std_logic_vector(15 downto 0); exp_rom_ba : std_logic_vector(31 downto 0); max_lat : std_logic_vector(7 downto 0); min_gnt : std_logic_vector(7 downto 0); int_pin : std_logic_vector(7 downto 0); int_line : std_logic_vector(7 downto 0); end record; constant config_init : config_header_type := ( devid => conv_std_logic_vector(16#0BAD#,16), vendid => conv_std_logic_vector(16#AFFE#,16), status => (others => '0'), command => (others => '0'), class_code => conv_std_logic_vector(16#050000#,24), revid => conv_std_logic_vector(16#01#,8), bist => (others => '0'), header_type => (others => '0'), lat_timer => (others => '0'), cache_lsize => (others => '0'), bar => bar_init, cis_p => (others => '0'), subid => (others => '0'), subvendid => (others => '0'), exp_rom_ba => (others => '0'), max_lat => (others => '0'), min_gnt => (others => '0'), int_pin => (others => '0'), int_line => (others => '0')); -- These types defines the TB PCI bus type pci_ad_type is record ad : std_logic_vector(31 downto 0); cbe : std_logic_vector(3 downto 0); par : std_logic; end record; constant ad_const : pci_ad_type := ( ad => (others => 'Z'), cbe => (others => 'Z'), par => 'Z'); type pci_ifc_type is record frame : std_logic; irdy : std_logic; trdy : std_logic; stop : std_logic; devsel : std_logic; idsel : std_logic_vector(20 downto 0); lock : std_logic; end record; constant ifc_const : pci_ifc_type := ( frame => 'H', irdy => 'H', trdy => 'H', stop => 'H', lock => 'H', idsel => (others => 'L'), devsel => 'H'); type pci_err_type is record perr : std_logic; serr : std_logic; end record; constant err_const : pci_err_type := ( perr => 'H', serr => 'H'); type pci_arb_type is record req : std_logic_vector(20 downto 0); gnt : std_logic_vector(20 downto 0); end record; constant arb_const : pci_arb_type := ( req => (others => 'H'), gnt => (others => 'H')); type pci_syst_type is record clk : std_logic; rst : std_logic; end record; constant syst_const : pci_syst_type := ( clk => 'H', rst => 'H'); type pci_ext64_type is record ad : std_logic_vector(63 downto 32); cbe : std_logic_vector(7 downto 4); par64 : std_logic; req64 : std_logic; ack64 : std_logic; end record; constant ext64_const : pci_ext64_type := ( ad => (others => 'Z'), cbe => (others => 'Z'), par64 => 'Z', req64 => 'Z', ack64 => 'Z'); --type pci_int_type is record -- inta : std_logic; -- intb : std_logic; -- intc : std_logic; -- intd : std_logic; --end record; --constant int_const : pci_int_type := ( -- inta => 'H', -- intb => 'H', -- intc => 'H', -- intd => 'H'); constant int_const : std_logic_vector(3 downto 0) := "HHHH"; type pci_cache_type is record sbo : std_logic; sdone : std_logic; end record; constant cache_const : pci_cache_type := ( sbo => 'U', sdone => 'U'); type pci_type is record ad : pci_ad_type; ifc : pci_ifc_type; err : pci_err_type; arb : pci_arb_type; syst : pci_syst_type; ext64 : pci_ext64_type; --int : pci_int_type; int : std_logic_vector(3 downto 0); cache : pci_cache_type; end record; constant pci_idle : pci_type := ( ad_const, ifc_const, err_const, arb_const, syst_const, ext64_const, int_const, cache_const); ----------------------------------------------------------------------------- -- Types for PCI master ----------------------------------------------------------------------------- type pt_pci_access_type is record addr : std_logic_vector(31 downto 0); cbe_cmd : std_logic_vector(3 downto 0); data : std_logic_vector(31 downto 0); cbe_data : std_logic_vector(3 downto 0); ws : integer; status : integer range 0 to 3; id : integer; debug : integer range 0 to 3; last : boolean; idle : boolean; list_res : boolean; valid : boolean; parerr : integer range 0 to 2; cod : integer range 0 to 2; -- Cancel on disconnect end record; type pt_pci_master_in_type is record req : std_logic; add : boolean; remove : boolean; rmall : boolean; get_res : boolean; add_res : boolean; acc : pt_pci_access_type; end record; type pt_pci_master_out_type is record ack : std_logic; res_found : std_logic; acc : pt_pci_access_type; valid : boolean; end record; ----------------------------------------------------------------------------- -- PCI master procedures ----------------------------------------------------------------------------- procedure pt_pci_master_sync_with_core( signal dbgi : out pt_pci_master_in_type; signal dbgo : in pt_pci_master_out_type); procedure pt_add_acc_nb( constant addr : std_logic_vector(31 downto 0); constant cbe_cmd : std_logic_vector(3 downto 0); constant data : std_logic_vector(31 downto 0); constant cbe_data : std_logic_vector(3 downto 0); constant waits : integer; constant last : boolean; constant id : integer; constant debug : integer; signal dbgi : out pt_pci_master_in_type; signal dbgo : in pt_pci_master_out_type; constant list_res : boolean := false); procedure pt_add_acc_nb( constant addr : std_logic_vector(31 downto 0); constant cbe_cmd : std_logic_vector(3 downto 0); constant data : std_logic_vector(31 downto 0); constant cbe_data : std_logic_vector(3 downto 0); constant waits : integer; constant last : boolean; constant parerr : integer; constant id : integer; constant debug : integer; signal dbgi : out pt_pci_master_in_type; signal dbgo : in pt_pci_master_out_type; constant list_res : boolean := false); procedure pt_add_acc_nb( constant addr : std_logic_vector(31 downto 0); constant cbe_cmd : std_logic_vector(3 downto 0); constant data : std_logic_vector(31 downto 0); constant cbe_data : std_logic_vector(3 downto 0); constant waits : integer; constant last : boolean; constant parerr : integer; constant cod : integer; constant id : integer; constant debug : integer; signal dbgi : out pt_pci_master_in_type; signal dbgo : in pt_pci_master_out_type; constant list_res : boolean := false); procedure pt_add_acc( constant addr : std_logic_vector(31 downto 0); constant cbe_cmd : std_logic_vector(3 downto 0); constant data : std_logic_vector(31 downto 0); constant cbe_data : std_logic_vector(3 downto 0); constant waits : integer; constant last : boolean; constant id : integer; constant debug : integer; signal dbgi : out pt_pci_master_in_type; signal dbgo : in pt_pci_master_out_type); procedure pt_add_acc( constant addr : std_logic_vector(31 downto 0); constant cbe_cmd : std_logic_vector(3 downto 0); constant data : std_logic_vector(31 downto 0); constant cbe_data : std_logic_vector(3 downto 0); constant waits : integer; constant last : boolean; constant parerr : integer; constant id : integer; constant debug : integer; signal dbgi : out pt_pci_master_in_type; signal dbgo : in pt_pci_master_out_type); procedure pt_add_acc( constant addr : std_logic_vector(31 downto 0); constant cbe_cmd : std_logic_vector(3 downto 0); constant data : std_logic_vector(31 downto 0); constant cbe_data : std_logic_vector(3 downto 0); constant waits : integer; constant last : boolean; constant parerr : integer; constant cod : integer; constant id : integer; constant debug : integer; signal dbgi : out pt_pci_master_in_type; signal dbgo : in pt_pci_master_out_type); procedure pt_add_idle_nb( constant waits : integer; constant id : integer; constant debug : integer; signal dbgi : out pt_pci_master_in_type; signal dbgo : in pt_pci_master_out_type; constant list_res : boolean := false); procedure pt_add_idle( constant waits : integer; constant id : integer; constant debug : integer; signal dbgi : out pt_pci_master_in_type; signal dbgo : in pt_pci_master_out_type); ----------------------------------------------------------------------------- -- Types for PCI target ----------------------------------------------------------------------------- type pt_pci_response_type is record addr : std_logic_vector(31 downto 0); retry : integer; ws : integer; diswithout : integer; diswith : integer; abort : integer; parerr : integer; debug : integer; valid : boolean; end record; type pt_pci_target_in_type is record req : std_logic; insert: std_logic; remove: std_logic; rmall : std_logic; addr : std_logic_vector(31 downto 0); resp : pt_pci_response_type; end record; type pt_pci_target_out_type is record ack : std_logic; resp : pt_pci_response_type; valid : std_logic; end record; ----------------------------------------------------------------------------- -- PCI target procedures ----------------------------------------------------------------------------- procedure pt_pci_target_sync_with_core( signal dbgi : out pt_pci_target_in_type; signal dbgo : in pt_pci_target_out_type); procedure pt_insert_resp( constant addr : std_logic_vector(31 downto 0); constant retry : integer; constant waits : integer; constant discon: integer; constant parerr: integer; constant abort : integer; constant debug : integer; signal dbgi : out pt_pci_target_in_type; signal dbgo : in pt_pci_target_out_type); procedure pt_remove_resp( constant addr : std_logic_vector(31 downto 0); constant rmall : boolean; signal dbgi : out pt_pci_target_in_type; signal dbgo : in pt_pci_target_out_type); ----------------------------------------------------------------------------- -- Component declarations ----------------------------------------------------------------------------- component pt_pci_master -- A PCI master that is accessed through a Testbench vector generic ( slot : integer := 0; -- Slot number for this unit tval : time := 7 ns); -- Output delay for signals that are driven by this unit port ( pciin : in pci_type; pciout : out pci_type; dbgi : in pt_pci_master_in_type; dbgo : out pt_pci_master_out_type ); end component; component pt_pci_target -- Represents a simple memory on the PCI bus generic ( slot : integer := 0; -- Slot number for this unit abits : integer := 10; -- Memory size. Size is 2^abits 32-bit words bars : integer := 1; -- Number of bars for this target. Min 1, Max 6 resptime : integer := 2; -- The initial response time in clks for this target latency : integer := 0; -- The latency in clks for every dataphase for a burst access rbuf : integer := 8; -- The maximum no of words this target can transfer in a continuous burst stopwd : boolean := true; -- Target disconnect type. true = disconnect WITH data, false = disconnect WITHOUT data tval : time := 7 ns; -- Output delay for signals that are driven by this unit conf : config_header_type := config_init; -- The reset condition of the configuration space of this target dbglevel : integer := 1); -- Debug level. Higher value means more debug information port ( pciin : in pci_type; pciout : out pci_type; dbgi : in pt_pci_target_in_type; dbgo : out pt_pci_target_out_type ); end component; component pt_pci_arb generic ( slots : integer := 5; -- The number of slots in the test system tval : time := 7 ns); -- Output delay for signals that are driven by this unit port ( systclk : in pci_syst_type; ifcin : in pci_ifc_type; arbin : in pci_arb_type; arbout : out pci_arb_type); end component; --component pt_pci_monitor is -- generic (dbglevel : integer := 1); -- Debug level. Higher value means more debug information -- port (pciin : in pci_type); --end component; end package pt_pkg; package body pt_pkg is ----------------------------------------------------------------------------- -- PCI master procedures ----------------------------------------------------------------------------- procedure pt_pci_master_sync_with_core( signal dbgi : out pt_pci_master_in_type; signal dbgo : in pt_pci_master_out_type) is begin dbgi.req <= '1'; wait until dbgo.ack = '1'; dbgi.req <= '0'; wait until dbgo.ack = '0'; end procedure pt_pci_master_sync_with_core; procedure pt_add_acc_nb( constant addr : std_logic_vector(31 downto 0); constant cbe_cmd : std_logic_vector(3 downto 0); constant data : std_logic_vector(31 downto 0); constant cbe_data : std_logic_vector(3 downto 0); constant waits : integer; constant last : boolean; constant id : integer; constant debug : integer; signal dbgi : out pt_pci_master_in_type; signal dbgo : in pt_pci_master_out_type; constant list_res : boolean := false) is begin dbgi.add <= true; dbgi.remove <= false; dbgi.get_res <= false; dbgi.add_res <= false; dbgi.acc.id <= id; dbgi.acc.addr <= addr; dbgi.acc.cbe_cmd <= cbe_cmd; dbgi.acc.data <= data; dbgi.acc.cbe_data <= cbe_data; dbgi.acc.ws <= waits; dbgi.acc.last <= last; dbgi.acc.idle <= false; dbgi.acc.list_res <= list_res; dbgi.acc.valid <= true; dbgi.acc.debug <= debug; dbgi.acc.cod <= 0; pt_pci_master_sync_with_core(dbgi, dbgo); dbgi.add <= false; dbgi.acc.valid <= false; end procedure; procedure pt_add_acc_nb( constant addr : std_logic_vector(31 downto 0); constant cbe_cmd : std_logic_vector(3 downto 0); constant data : std_logic_vector(31 downto 0); constant cbe_data : std_logic_vector(3 downto 0); constant waits : integer; constant last : boolean; constant parerr : integer; constant id : integer; constant debug : integer; signal dbgi : out pt_pci_master_in_type; signal dbgo : in pt_pci_master_out_type; constant list_res : boolean := false) is begin dbgi.add <= true; dbgi.remove <= false; dbgi.get_res <= false; dbgi.add_res <= false; dbgi.acc.id <= id; dbgi.acc.addr <= addr; dbgi.acc.cbe_cmd <= cbe_cmd; dbgi.acc.data <= data; dbgi.acc.cbe_data <= cbe_data; dbgi.acc.ws <= waits; dbgi.acc.last <= last; dbgi.acc.parerr <= parerr; dbgi.acc.idle <= false; dbgi.acc.list_res <= list_res; dbgi.acc.valid <= true; dbgi.acc.debug <= debug; dbgi.acc.cod <= 0; pt_pci_master_sync_with_core(dbgi, dbgo); dbgi.add <= false; dbgi.acc.valid <= false; end procedure; procedure pt_add_acc_nb( constant addr : std_logic_vector(31 downto 0); constant cbe_cmd : std_logic_vector(3 downto 0); constant data : std_logic_vector(31 downto 0); constant cbe_data : std_logic_vector(3 downto 0); constant waits : integer; constant last : boolean; constant parerr : integer; constant cod : integer; constant id : integer; constant debug : integer; signal dbgi : out pt_pci_master_in_type; signal dbgo : in pt_pci_master_out_type; constant list_res : boolean := false) is begin dbgi.add <= true; dbgi.remove <= false; dbgi.get_res <= false; dbgi.add_res <= false; dbgi.acc.id <= id; dbgi.acc.addr <= addr; dbgi.acc.cbe_cmd <= cbe_cmd; dbgi.acc.data <= data; dbgi.acc.cbe_data <= cbe_data; dbgi.acc.ws <= waits; dbgi.acc.last <= last; dbgi.acc.parerr <= parerr; dbgi.acc.idle <= false; dbgi.acc.list_res <= list_res; dbgi.acc.valid <= true; dbgi.acc.debug <= debug; dbgi.acc.cod <= cod; pt_pci_master_sync_with_core(dbgi, dbgo); dbgi.add <= false; dbgi.acc.valid <= false; end procedure; procedure pt_add_acc( constant addr : std_logic_vector(31 downto 0); constant cbe_cmd : std_logic_vector(3 downto 0); constant data : std_logic_vector(31 downto 0); constant cbe_data : std_logic_vector(3 downto 0); constant waits : integer; constant last : boolean; constant parerr : integer; constant id : integer; constant debug : integer; signal dbgi : out pt_pci_master_in_type; signal dbgo : in pt_pci_master_out_type) is begin pt_add_acc_nb(addr, cbe_cmd , data, cbe_data, waits, last, parerr, id, debug, dbgi, dbgo, true); while true loop dbgi.get_res <= true; dbgi.add <= false; dbgi.remove <= false; dbgi.add_res <= false; dbgi.acc.id <= id; dbgi.acc.addr <= (others => '0'); dbgi.acc.cbe_cmd <= (others => '0'); dbgi.acc.data <= (others => '0'); dbgi.acc.cbe_data <= (others => '0'); dbgi.acc.ws <= 0; dbgi.acc.idle <= false; dbgi.acc.list_res <= false; dbgi.acc.valid <= true; dbgi.acc.debug <= debug; dbgi.acc.cod <= 0; pt_pci_master_sync_with_core(dbgi, dbgo); dbgi.add <= false; dbgi.get_res <= false; dbgi.acc.valid <= false; if dbgo.valid = false then while dbgo.res_found /= '1' loop wait until dbgo.res_found = '1'; end loop; else exit; end if; end loop; end procedure; procedure pt_add_acc( constant addr : std_logic_vector(31 downto 0); constant cbe_cmd : std_logic_vector(3 downto 0); constant data : std_logic_vector(31 downto 0); constant cbe_data : std_logic_vector(3 downto 0); constant waits : integer; constant last : boolean; constant parerr : integer; constant cod : integer; constant id : integer; constant debug : integer; signal dbgi : out pt_pci_master_in_type; signal dbgo : in pt_pci_master_out_type) is begin pt_add_acc_nb(addr, cbe_cmd , data, cbe_data, waits, last, parerr, cod, id, debug, dbgi, dbgo, true); while true loop dbgi.get_res <= true; dbgi.add <= false; dbgi.remove <= false; dbgi.add_res <= false; dbgi.acc.id <= id; dbgi.acc.addr <= (others => '0'); dbgi.acc.cbe_cmd <= (others => '0'); dbgi.acc.data <= (others => '0'); dbgi.acc.cbe_data <= (others => '0'); dbgi.acc.ws <= 0; dbgi.acc.idle <= false; dbgi.acc.list_res <= false; dbgi.acc.valid <= true; dbgi.acc.debug <= debug; dbgi.acc.cod <= 0; pt_pci_master_sync_with_core(dbgi, dbgo); dbgi.add <= false; dbgi.get_res <= false; dbgi.acc.valid <= false; if dbgo.valid = false then while dbgo.res_found /= '1' loop wait until dbgo.res_found = '1'; end loop; else exit; end if; end loop; end procedure; procedure pt_add_acc( constant addr : std_logic_vector(31 downto 0); constant cbe_cmd : std_logic_vector(3 downto 0); constant data : std_logic_vector(31 downto 0); constant cbe_data : std_logic_vector(3 downto 0); constant waits : integer; constant last : boolean; constant id : integer; constant debug : integer; signal dbgi : out pt_pci_master_in_type; signal dbgo : in pt_pci_master_out_type) is begin pt_add_acc_nb(addr, cbe_cmd , data, cbe_data, waits, last, id, debug, dbgi, dbgo, true); while true loop dbgi.get_res <= true; dbgi.add <= false; dbgi.remove <= false; dbgi.add_res <= false; dbgi.acc.id <= id; dbgi.acc.addr <= (others => '0'); dbgi.acc.cbe_cmd <= (others => '0'); dbgi.acc.data <= (others => '0'); dbgi.acc.cbe_data <= (others => '0'); dbgi.acc.ws <= 0; dbgi.acc.idle <= false; dbgi.acc.list_res <= false; dbgi.acc.valid <= true; dbgi.acc.debug <= debug; dbgi.acc.cod <= 0; pt_pci_master_sync_with_core(dbgi, dbgo); dbgi.add <= false; dbgi.get_res <= false; dbgi.acc.valid <= false; if dbgo.valid = false then while dbgo.res_found /= '1' loop wait until dbgo.res_found = '1'; end loop; else exit; end if; end loop; end procedure; procedure pt_add_idle_nb( constant waits : integer; constant id : integer; constant debug : integer; signal dbgi : out pt_pci_master_in_type; signal dbgo : in pt_pci_master_out_type; constant list_res : boolean := false) is begin dbgi.add <= true; dbgi.remove <= false; dbgi.get_res <= false; dbgi.add_res <= false; dbgi.acc.id <= id; dbgi.acc.addr <= (others => '0'); dbgi.acc.cbe_cmd <= (others => '0'); dbgi.acc.data <= (others => '0'); dbgi.acc.cbe_data <= (others => '0'); dbgi.acc.ws <= waits; dbgi.acc.idle <= true; dbgi.acc.list_res <= list_res; dbgi.acc.valid <= true; dbgi.acc.debug <= debug; dbgi.acc.cod <= 0; pt_pci_master_sync_with_core(dbgi, dbgo); dbgi.add <= false; dbgi.acc.valid <= false; end procedure; procedure pt_add_idle( constant waits : integer; constant id : integer; constant debug : integer; signal dbgi : out pt_pci_master_in_type; signal dbgo : in pt_pci_master_out_type) is begin -- Add acc pt_add_idle_nb(waits, id, debug, dbgi, dbgo, true); while true loop dbgi.get_res <= true; dbgi.add <= false; dbgi.remove <= false; dbgi.add_res <= false; dbgi.acc.id <= id; dbgi.acc.addr <= (others => '0'); dbgi.acc.cbe_cmd <= (others => '0'); dbgi.acc.data <= (others => '0'); dbgi.acc.cbe_data <= (others => '0'); dbgi.acc.ws <= 0; dbgi.acc.idle <= false; dbgi.acc.list_res <= false; dbgi.acc.valid <= true; dbgi.acc.debug <= debug; dbgi.acc.cod <= 0; pt_pci_master_sync_with_core(dbgi, dbgo); dbgi.add <= false; dbgi.get_res <= false; dbgi.acc.valid <= false; if dbgo.valid = false then while dbgo.res_found /= '1' loop wait until dbgo.res_found = '1'; end loop; else exit; end if; end loop; end procedure; ----------------------------------------------------------------------------- -- PCI target procedures ----------------------------------------------------------------------------- procedure pt_pci_target_sync_with_core( signal dbgi : out pt_pci_target_in_type; signal dbgo : in pt_pci_target_out_type) is begin dbgi.req <= '1'; wait until dbgo.ack = '1'; dbgi.req <= '0'; wait until dbgo.ack = '0'; end procedure pt_pci_target_sync_with_core; procedure pt_insert_resp( constant addr : std_logic_vector(31 downto 0); constant retry : integer; constant waits : integer; constant discon: integer; constant parerr: integer; constant abort : integer; constant debug : integer; signal dbgi : out pt_pci_target_in_type; signal dbgo : in pt_pci_target_out_type) is begin dbgi.insert <= '1'; dbgi.remove <= '0'; dbgi.resp.addr <= addr; dbgi.resp.retry <= retry; dbgi.resp.ws <= waits; dbgi.resp.parerr <= parerr; dbgi.resp.abort <= abort; dbgi.resp.debug <= debug; if discon = 1 then dbgi.resp.diswith <= 1; elsif discon = 2 then dbgi.resp.diswithout <= 1; else dbgi.resp.diswith <= 0; dbgi.resp.diswithout <= 0; end if; pt_pci_target_sync_with_core(dbgi, dbgo); dbgi.insert <= '0'; end procedure; procedure pt_remove_resp( constant addr : std_logic_vector(31 downto 0); constant rmall : boolean; signal dbgi : out pt_pci_target_in_type; signal dbgo : in pt_pci_target_out_type) is begin dbgi.insert <= '0'; dbgi.remove <= '1'; if rmall = true then dbgi.rmall <= '1'; else dbgi.rmall <= '0'; end if; dbgi.addr <= addr; pt_pci_target_sync_with_core(dbgi, dbgo); dbgi.remove <= '0'; dbgi.rmall <= '0'; end procedure; end pt_pkg;
-- -------------------------------------------------*- coding: latin-1; -*----- -- Copyright (C) 2011-2022 Nick Gasson -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- STANDARD package as defined by IEEE 1076-1993. ------------------------------------------------------------------------------- package STANDARD is type BOOLEAN is (FALSE, TRUE); type BIT is ('0', '1'); type CHARACTER is ( NUL, SOH, STX, ETX, EOT, ENQ, ACK, BEL, BS, HT, LF, VT, FF, CR, SO, SI, DLE, DC1, DC2, DC3, DC4, NAK, SYN, ETB, CAN, EM, SUB, ESC, FSP, GSP, RSP, USP, ' ', '!', '"', '#', '$', '%', '&', ''', '(', ')', '*', '+', ',', '-', '.', '/', '0', '1', '2', '3', '4', '5', '6', '7', '8', '9', ':', ';', '<', '=', '>', '?', '@', 'A', 'B', 'C', 'D', 'E', 'F', 'G', 'H', 'I', 'J', 'K', 'L', 'M', 'N', 'O', 'P', 'Q', 'R', 'S', 'T', 'U', 'V', 'W', 'X', 'Y', 'Z', '[', '\', ']', '^', '_', '`', 'a', 'b', 'c', 'd', 'e', 'f', 'g', 'h', 'i', 'j', 'k', 'l', 'm', 'n', 'o', 'p', 'q', 'r', 's', 't', 'u', 'v', 'w', 'x', 'y', 'z', '{', '|', '}', '~', DEL, C128, C129, C130, C131, C132, C133, C134, C135, C136, C137, C138, C139, C140, C141, C142, C143, C144, C145, C146, C147, C148, C149, C150, C151, C152, C153, C154, C155, C156, C157, C158, C159, ' ', '¡', '¢', '£', '¤', '¥', '¦', '§', '¨', '©', 'ª', '«', '¬', '­', '®', '¯', '°', '±', '²', '³', '´', 'µ', '¶', '¹', C184, C185, C186, C187, C188, C189, C190, C191, C192, C193, C194, C195, C196, C197, C198, C199, C200, C201, C202, C203, C204, C205, C206, C207, C208, C209, C210, C211, C212, C213, C214, C215, C216, C217, C218, C219, C220, C221, C222, C223, C224, C225, C226, C227, C228, C229, C230, C231, C232, C233, C234, C235, C236, C237, C238, C239, C240, C241, C242, C243, C244, C245, C246, C247, C248, C249, C250, C251, C252, C253, C254, C255 ); type SEVERITY_LEVEL is (NOTE, WARNING, ERROR, FAILURE); -- type universal_integer is range implementation_defined; type INTEGER is range -2147483648 to 2147483647; -- type universal_real is range implementation_defined; type REAL is range -1.7976931348623157e308 to 1.7976931348623157e308; type TIME is range -9223372036854775807 - 1 to 9223372036854775807 units fs; ps = 1000 fs; ns = 1000 ps; us = 1000 ns; ms = 1000 us; sec = 1000 ms; min = 60 sec; hr = 60 min; end units; subtype DELAY_LENGTH is TIME range 0 fs to TIME'HIGH; impure function NOW return DELAY_LENGTH; subtype NATURAL is INTEGER range 0 to INTEGER'HIGH; subtype POSITIVE is INTEGER range 1 to INTEGER'HIGH; type STRING is array (POSITIVE range <>) of CHARACTER; type BIT_VECTOR is array (NATURAL range <>) of BIT; type FILE_OPEN_KIND is (READ_MODE, WRITE_MODE, APPEND_MODE); type FILE_OPEN_STATUS is (OPEN_OK, STATUS_ERROR, NAME_ERROR, MODE_ERROR); attribute FOREIGN : STRING; attribute FOREIGN of NOW : function is "_std_standard_now"; end package;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA library ieee_proposed; use ieee_proposed.electrical_systems.all; entity sensor is generic ( threshold : real; -- voltage threshold tipd_clk : delay_length; -- input prop delay on clk tipd_input : real; -- input prop delay on sensor input topd_q : delay_length ); -- output prop delay on q port ( terminal input : electrical; -- sensor analog input signal clk : in bit; -- edge–triggered clock input signal q : out bit ); -- sensor digital output end entity sensor; architecture detailed_timing of sensor is quantity vin across input; -- analog input values quantity v_delayed : voltage; -- input voltage delayed signal clk_delayed : bit; -- clk input port delayed signal q_int : bit; -- q output with zero delay begin input_port_delay : block is begin v_delayed == vin'delayed(tipd_input); clk_delayed <= clk'delayed(tipd_clk); end block input_port_delay; AD_conversion : block is begin q_int <= '1' when vin'above(threshold) else '0'; end block AD_conversion; output_port_delay : block is begin q <= q_int'delayed(topd_q); end block output_port_delay; end architecture detailed_timing;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA library ieee_proposed; use ieee_proposed.electrical_systems.all; entity sensor is generic ( threshold : real; -- voltage threshold tipd_clk : delay_length; -- input prop delay on clk tipd_input : real; -- input prop delay on sensor input topd_q : delay_length ); -- output prop delay on q port ( terminal input : electrical; -- sensor analog input signal clk : in bit; -- edge–triggered clock input signal q : out bit ); -- sensor digital output end entity sensor; architecture detailed_timing of sensor is quantity vin across input; -- analog input values quantity v_delayed : voltage; -- input voltage delayed signal clk_delayed : bit; -- clk input port delayed signal q_int : bit; -- q output with zero delay begin input_port_delay : block is begin v_delayed == vin'delayed(tipd_input); clk_delayed <= clk'delayed(tipd_clk); end block input_port_delay; AD_conversion : block is begin q_int <= '1' when vin'above(threshold) else '0'; end block AD_conversion; output_port_delay : block is begin q <= q_int'delayed(topd_q); end block output_port_delay; end architecture detailed_timing;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA library ieee_proposed; use ieee_proposed.electrical_systems.all; entity sensor is generic ( threshold : real; -- voltage threshold tipd_clk : delay_length; -- input prop delay on clk tipd_input : real; -- input prop delay on sensor input topd_q : delay_length ); -- output prop delay on q port ( terminal input : electrical; -- sensor analog input signal clk : in bit; -- edge–triggered clock input signal q : out bit ); -- sensor digital output end entity sensor; architecture detailed_timing of sensor is quantity vin across input; -- analog input values quantity v_delayed : voltage; -- input voltage delayed signal clk_delayed : bit; -- clk input port delayed signal q_int : bit; -- q output with zero delay begin input_port_delay : block is begin v_delayed == vin'delayed(tipd_input); clk_delayed <= clk'delayed(tipd_clk); end block input_port_delay; AD_conversion : block is begin q_int <= '1' when vin'above(threshold) else '0'; end block AD_conversion; output_port_delay : block is begin q <= q_int'delayed(topd_q); end block output_port_delay; end architecture detailed_timing;
-- ------------------------------------------------------------- -- -- Entity Declaration for PORTLIST_i_e -- -- Generated -- by: wig -- on: Sat Mar 3 18:36:52 2007 -- cmd: /home/wig/work/MIX/mix_0.pl -report portlist ../portlist.xls -- -- !!! Do not edit this file! Autogenerated by MIX !!! -- $Author: wig $ -- $Id: portlist_i_e-e.vhd,v 1.1 2007/03/05 15:35:27 wig Exp $ -- $Date: 2007/03/05 15:35:27 $ -- $Log: portlist_i_e-e.vhd,v $ -- Revision 1.1 2007/03/05 15:35:27 wig -- Changed case of filenames. -- -- -- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v -- Id: MixWriter.pm,v 1.104 2007/03/03 17:24:06 wig Exp -- -- Generator: mix_0.pl Version: Revision: 1.47 , [email protected] -- (C) 2003,2005 Micronas GmbH -- -- -------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; -- No project specific VHDL libraries/enty -- -- -- Start of Generated Entity PORTLIST_i_e -- entity PORTLIST_i_e is -- Generics: -- No Generated Generics for Entity PORTLIST_i_e -- Generated Port Declaration: port( -- Generated Port for Entity PORTLIST_i_e hclk_i : in std_ulogic; -- num 1 ::out: ::in: PORTLIST_i/hclk_i hresetn_i : in std_ulogic; -- num 2 ::out: ::in: PORTLIST_i/hresetn_i clk_1_i : in std_ulogic; -- num 3 ::out: ::in: PORTLIST_i/clk_1_i rpm_en_i : in std_ulogic; -- num 4 ::out: ::in: PORTLIST_i/rpm_en_i hsel_i2c_i : in std_ulogic; -- num 5 ::out: ::in: PORTLIST_i/hsel_i2c_i haddr_s_i : in std_ulogic_vector(31 downto 0); -- num 6 ::out: ::in: PORTLIST_i/haddr_s_i htrans_s_i : in std_ulogic_vector(1 downto 0); -- num 7 ::out: ::in: PORTLIST_i/htrans_s_i hwrite_s_i : in std_ulogic; -- num 8 ::out: ::in: PORTLIST_i/hwrite_s_i hwdata_s_i : in std_ulogic_vector(31 downto 0); -- num 9 ::out: ::in: PORTLIST_i/hwdata_s_i gb_hready_i : in std_ulogic; -- num 10 ::out: ::in: PORTLIST_i/gb_hready_i hresp_m_i : in std_ulogic_vector(1 downto 0); -- num 11 ::out: ::in: PORTLIST_i/hresp_m_i hgrant_m_i : in std_ulogic; -- num 12 ::out: ::in: PORTLIST_i/hgrant_m_i hrdata_m_i : in std_ulogic_vector(31 downto 0); -- num 13 ::out: ::in: PORTLIST_i/hrdata_m_i sda_in_i : in std_ulogic; -- num 14 ::out: ::in: PORTLIST_i/sda_in_i scl_in_i : in std_ulogic; -- num 15 ::out: ::in: PORTLIST_i/scl_in_i scan_test_i : in std_ulogic; -- num 16 ::out: ::in: PORTLIST_i/scan_test_i i2c_mux_o : out std_ulogic; -- num 17 ::out: PORTLIST_i/i2c_mux_o ::in: irq_o : out std_ulogic; -- num 18 ::out: PORTLIST_i/irq_o ::in: hready_resp_i2c_s_o : out std_ulogic; -- num 19 ::out: PORTLIST_i/hready_resp_i2c_s_o ::in: hresp_i2c_s_o : out std_ulogic_vector(1 downto 0); -- num 20 ::out: PORTLIST_i/hresp_i2c_s_o ::in: hrdata_i2c_s_o : out std_ulogic_vector(31 downto 0); -- num 21 ::out: PORTLIST_i/hrdata_i2c_s_o ::in: haddr_m_o : out std_ulogic_vector(31 downto 0); -- num 22 ::out: PORTLIST_i/haddr_m_o ::in: hwrite_m_o : out std_ulogic; -- num 23 ::out: PORTLIST_i/hwrite_m_o ::in: hsize_m_o : out std_ulogic_vector(2 downto 0); -- num 24 ::out: PORTLIST_i/hsize_m_o ::in: htrans_m_o : out std_ulogic_vector(1 downto 0); -- num 25 ::out: PORTLIST_i/htrans_m_o ::in: hburst_m_o : out std_ulogic_vector(2 downto 0); -- num 26 ::out: PORTLIST_i/hburst_m_o ::in: hprot_m_o : out std_ulogic_vector(3 downto 0); -- num 27 ::out: PORTLIST_i/hprot_m_o ::in: hwdata_m_o : out std_ulogic_vector(31 downto 0); -- num 28 ::out: PORTLIST_i/hwdata_m_o ::in: hbusreq_m_o : out std_ulogic; -- num 29 ::out: PORTLIST_i/hbusreq_m_o ::in: hlock_m_o : out std_ulogic; -- num 30 ::out: PORTLIST_i/hlock_m_o ::in: sda_out_o : out std_ulogic; -- num 31 ::out: PORTLIST_i/sda_out_o ::in: scl_out_o : out std_ulogic -- num 32 ::out: PORTLIST_i/scl_out_o ::in: -- End of Generated Port for Entity PORTLIST_i_e ); end PORTLIST_i_e; -- -- End of Generated Entity PORTLIST_i_e -- -- --!End of Entity/ies -- --------------------------------------------------------------
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:fifo_generator:13.1 -- IP Revision: 3 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY fifo_generator_v13_1_3; USE fifo_generator_v13_1_3.fifo_generator_v13_1_3; ENTITY input_fifo IS PORT ( clk : IN STD_LOGIC; srst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(7 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); full : OUT STD_LOGIC; wr_ack : OUT STD_LOGIC; empty : OUT STD_LOGIC ); END input_fifo; ARCHITECTURE input_fifo_arch OF input_fifo IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF input_fifo_arch: ARCHITECTURE IS "yes"; COMPONENT fifo_generator_v13_1_3 IS GENERIC ( C_COMMON_CLOCK : INTEGER; C_SELECT_XPM : INTEGER; C_COUNT_TYPE : INTEGER; C_DATA_COUNT_WIDTH : INTEGER; C_DEFAULT_VALUE : STRING; C_DIN_WIDTH : INTEGER; C_DOUT_RST_VAL : STRING; C_DOUT_WIDTH : INTEGER; C_ENABLE_RLOCS : INTEGER; C_FAMILY : STRING; C_FULL_FLAGS_RST_VAL : INTEGER; C_HAS_ALMOST_EMPTY : INTEGER; C_HAS_ALMOST_FULL : INTEGER; C_HAS_BACKUP : INTEGER; C_HAS_DATA_COUNT : INTEGER; C_HAS_INT_CLK : INTEGER; C_HAS_MEMINIT_FILE : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_RD_DATA_COUNT : INTEGER; C_HAS_RD_RST : INTEGER; C_HAS_RST : INTEGER; C_HAS_SRST : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_VALID : INTEGER; C_HAS_WR_ACK : INTEGER; C_HAS_WR_DATA_COUNT : INTEGER; C_HAS_WR_RST : INTEGER; C_IMPLEMENTATION_TYPE : INTEGER; C_INIT_WR_PNTR_VAL : INTEGER; C_MEMORY_TYPE : INTEGER; C_MIF_FILE_NAME : STRING; C_OPTIMIZATION_MODE : INTEGER; C_OVERFLOW_LOW : INTEGER; C_PRELOAD_LATENCY : INTEGER; C_PRELOAD_REGS : INTEGER; C_PRIM_FIFO_TYPE : STRING; C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER; C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER; C_PROG_EMPTY_TYPE : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER; C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER; C_PROG_FULL_TYPE : INTEGER; C_RD_DATA_COUNT_WIDTH : INTEGER; C_RD_DEPTH : INTEGER; C_RD_FREQ : INTEGER; C_RD_PNTR_WIDTH : INTEGER; C_UNDERFLOW_LOW : INTEGER; C_USE_DOUT_RST : INTEGER; C_USE_ECC : INTEGER; C_USE_EMBEDDED_REG : INTEGER; C_USE_PIPELINE_REG : INTEGER; C_POWER_SAVING_MODE : INTEGER; C_USE_FIFO16_FLAGS : INTEGER; C_USE_FWFT_DATA_COUNT : INTEGER; C_VALID_LOW : INTEGER; C_WR_ACK_LOW : INTEGER; C_WR_DATA_COUNT_WIDTH : INTEGER; C_WR_DEPTH : INTEGER; C_WR_FREQ : INTEGER; C_WR_PNTR_WIDTH : INTEGER; C_WR_RESPONSE_LATENCY : INTEGER; C_MSGON_VAL : INTEGER; C_ENABLE_RST_SYNC : INTEGER; C_EN_SAFETY_CKT : INTEGER; C_ERROR_INJECTION_TYPE : INTEGER; C_SYNCHRONIZER_STAGE : INTEGER; C_INTERFACE_TYPE : INTEGER; C_AXI_TYPE : INTEGER; C_HAS_AXI_WR_CHANNEL : INTEGER; C_HAS_AXI_RD_CHANNEL : INTEGER; C_HAS_SLAVE_CE : INTEGER; C_HAS_MASTER_CE : INTEGER; C_ADD_NGC_CONSTRAINT : INTEGER; C_USE_COMMON_OVERFLOW : INTEGER; C_USE_COMMON_UNDERFLOW : INTEGER; C_USE_DEFAULT_SETTINGS : INTEGER; C_AXI_ID_WIDTH : INTEGER; C_AXI_ADDR_WIDTH : INTEGER; C_AXI_DATA_WIDTH : INTEGER; C_AXI_LEN_WIDTH : INTEGER; C_AXI_LOCK_WIDTH : INTEGER; C_HAS_AXI_ID : INTEGER; C_HAS_AXI_AWUSER : INTEGER; C_HAS_AXI_WUSER : INTEGER; C_HAS_AXI_BUSER : INTEGER; C_HAS_AXI_ARUSER : INTEGER; C_HAS_AXI_RUSER : INTEGER; C_AXI_ARUSER_WIDTH : INTEGER; C_AXI_AWUSER_WIDTH : INTEGER; C_AXI_WUSER_WIDTH : INTEGER; C_AXI_BUSER_WIDTH : INTEGER; C_AXI_RUSER_WIDTH : INTEGER; C_HAS_AXIS_TDATA : INTEGER; C_HAS_AXIS_TID : INTEGER; C_HAS_AXIS_TDEST : INTEGER; C_HAS_AXIS_TUSER : INTEGER; C_HAS_AXIS_TREADY : INTEGER; C_HAS_AXIS_TLAST : INTEGER; C_HAS_AXIS_TSTRB : INTEGER; C_HAS_AXIS_TKEEP : INTEGER; C_AXIS_TDATA_WIDTH : INTEGER; C_AXIS_TID_WIDTH : INTEGER; C_AXIS_TDEST_WIDTH : INTEGER; C_AXIS_TUSER_WIDTH : INTEGER; C_AXIS_TSTRB_WIDTH : INTEGER; C_AXIS_TKEEP_WIDTH : INTEGER; C_WACH_TYPE : INTEGER; C_WDCH_TYPE : INTEGER; C_WRCH_TYPE : INTEGER; C_RACH_TYPE : INTEGER; C_RDCH_TYPE : INTEGER; C_AXIS_TYPE : INTEGER; C_IMPLEMENTATION_TYPE_WACH : INTEGER; C_IMPLEMENTATION_TYPE_WDCH : INTEGER; C_IMPLEMENTATION_TYPE_WRCH : INTEGER; C_IMPLEMENTATION_TYPE_RACH : INTEGER; C_IMPLEMENTATION_TYPE_RDCH : INTEGER; C_IMPLEMENTATION_TYPE_AXIS : INTEGER; C_APPLICATION_TYPE_WACH : INTEGER; C_APPLICATION_TYPE_WDCH : INTEGER; C_APPLICATION_TYPE_WRCH : INTEGER; C_APPLICATION_TYPE_RACH : INTEGER; C_APPLICATION_TYPE_RDCH : INTEGER; C_APPLICATION_TYPE_AXIS : INTEGER; C_PRIM_FIFO_TYPE_WACH : STRING; C_PRIM_FIFO_TYPE_WDCH : STRING; C_PRIM_FIFO_TYPE_WRCH : STRING; C_PRIM_FIFO_TYPE_RACH : STRING; C_PRIM_FIFO_TYPE_RDCH : STRING; C_PRIM_FIFO_TYPE_AXIS : STRING; C_USE_ECC_WACH : INTEGER; C_USE_ECC_WDCH : INTEGER; C_USE_ECC_WRCH : INTEGER; C_USE_ECC_RACH : INTEGER; C_USE_ECC_RDCH : INTEGER; C_USE_ECC_AXIS : INTEGER; C_ERROR_INJECTION_TYPE_WACH : INTEGER; C_ERROR_INJECTION_TYPE_WDCH : INTEGER; C_ERROR_INJECTION_TYPE_WRCH : INTEGER; C_ERROR_INJECTION_TYPE_RACH : INTEGER; C_ERROR_INJECTION_TYPE_RDCH : INTEGER; C_ERROR_INJECTION_TYPE_AXIS : INTEGER; C_DIN_WIDTH_WACH : INTEGER; C_DIN_WIDTH_WDCH : INTEGER; C_DIN_WIDTH_WRCH : INTEGER; C_DIN_WIDTH_RACH : INTEGER; C_DIN_WIDTH_RDCH : INTEGER; C_DIN_WIDTH_AXIS : INTEGER; C_WR_DEPTH_WACH : INTEGER; C_WR_DEPTH_WDCH : INTEGER; C_WR_DEPTH_WRCH : INTEGER; C_WR_DEPTH_RACH : INTEGER; C_WR_DEPTH_RDCH : INTEGER; C_WR_DEPTH_AXIS : INTEGER; C_WR_PNTR_WIDTH_WACH : INTEGER; C_WR_PNTR_WIDTH_WDCH : INTEGER; C_WR_PNTR_WIDTH_WRCH : INTEGER; C_WR_PNTR_WIDTH_RACH : INTEGER; C_WR_PNTR_WIDTH_RDCH : INTEGER; C_WR_PNTR_WIDTH_AXIS : INTEGER; C_HAS_DATA_COUNTS_WACH : INTEGER; C_HAS_DATA_COUNTS_WDCH : INTEGER; C_HAS_DATA_COUNTS_WRCH : INTEGER; C_HAS_DATA_COUNTS_RACH : INTEGER; C_HAS_DATA_COUNTS_RDCH : INTEGER; C_HAS_DATA_COUNTS_AXIS : INTEGER; C_HAS_PROG_FLAGS_WACH : INTEGER; C_HAS_PROG_FLAGS_WDCH : INTEGER; C_HAS_PROG_FLAGS_WRCH : INTEGER; C_HAS_PROG_FLAGS_RACH : INTEGER; C_HAS_PROG_FLAGS_RDCH : INTEGER; C_HAS_PROG_FLAGS_AXIS : INTEGER; C_PROG_FULL_TYPE_WACH : INTEGER; C_PROG_FULL_TYPE_WDCH : INTEGER; C_PROG_FULL_TYPE_WRCH : INTEGER; C_PROG_FULL_TYPE_RACH : INTEGER; C_PROG_FULL_TYPE_RDCH : INTEGER; C_PROG_FULL_TYPE_AXIS : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER; C_PROG_EMPTY_TYPE_WACH : INTEGER; C_PROG_EMPTY_TYPE_WDCH : INTEGER; C_PROG_EMPTY_TYPE_WRCH : INTEGER; C_PROG_EMPTY_TYPE_RACH : INTEGER; C_PROG_EMPTY_TYPE_RDCH : INTEGER; C_PROG_EMPTY_TYPE_AXIS : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER; C_REG_SLICE_MODE_WACH : INTEGER; C_REG_SLICE_MODE_WDCH : INTEGER; C_REG_SLICE_MODE_WRCH : INTEGER; C_REG_SLICE_MODE_RACH : INTEGER; C_REG_SLICE_MODE_RDCH : INTEGER; C_REG_SLICE_MODE_AXIS : INTEGER ); PORT ( backup : IN STD_LOGIC; backup_marker : IN STD_LOGIC; clk : IN STD_LOGIC; rst : IN STD_LOGIC; srst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; wr_rst : IN STD_LOGIC; rd_clk : IN STD_LOGIC; rd_rst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(7 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(9 DOWNTO 0); prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(9 DOWNTO 0); prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); prog_full_thresh_assert : IN STD_LOGIC_VECTOR(9 DOWNTO 0); prog_full_thresh_negate : IN STD_LOGIC_VECTOR(9 DOWNTO 0); int_clk : IN STD_LOGIC; injectdbiterr : IN STD_LOGIC; injectsbiterr : IN STD_LOGIC; sleep : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); full : OUT STD_LOGIC; almost_full : OUT STD_LOGIC; wr_ack : OUT STD_LOGIC; overflow : OUT STD_LOGIC; empty : OUT STD_LOGIC; almost_empty : OUT STD_LOGIC; valid : OUT STD_LOGIC; underflow : OUT STD_LOGIC; data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); prog_full : OUT STD_LOGIC; prog_empty : OUT STD_LOGIC; sbiterr : OUT STD_LOGIC; dbiterr : OUT STD_LOGIC; wr_rst_busy : OUT STD_LOGIC; rd_rst_busy : OUT STD_LOGIC; m_aclk : IN STD_LOGIC; s_aclk : IN STD_LOGIC; s_aresetn : IN STD_LOGIC; m_aclk_en : IN STD_LOGIC; s_aclk_en : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awvalid : OUT STD_LOGIC; m_axi_awready : IN STD_LOGIC; m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_wlast : OUT STD_LOGIC; m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wvalid : OUT STD_LOGIC; m_axi_wready : IN STD_LOGIC; m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bvalid : IN STD_LOGIC; m_axi_bready : OUT STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arvalid : OUT STD_LOGIC; m_axi_arready : IN STD_LOGIC; m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_rlast : IN STD_LOGIC; m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rvalid : IN STD_LOGIC; m_axi_rready : OUT STD_LOGIC; s_axis_tvalid : IN STD_LOGIC; s_axis_tready : OUT STD_LOGIC; s_axis_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_tstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tlast : IN STD_LOGIC; s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_tvalid : OUT STD_LOGIC; m_axis_tready : IN STD_LOGIC; m_axis_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_tstrb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tlast : OUT STD_LOGIC; m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_injectsbiterr : IN STD_LOGIC; axi_aw_injectdbiterr : IN STD_LOGIC; axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_sbiterr : OUT STD_LOGIC; axi_aw_dbiterr : OUT STD_LOGIC; axi_aw_overflow : OUT STD_LOGIC; axi_aw_underflow : OUT STD_LOGIC; axi_aw_prog_full : OUT STD_LOGIC; axi_aw_prog_empty : OUT STD_LOGIC; axi_w_injectsbiterr : IN STD_LOGIC; axi_w_injectdbiterr : IN STD_LOGIC; axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_sbiterr : OUT STD_LOGIC; axi_w_dbiterr : OUT STD_LOGIC; axi_w_overflow : OUT STD_LOGIC; axi_w_underflow : OUT STD_LOGIC; axi_w_prog_full : OUT STD_LOGIC; axi_w_prog_empty : OUT STD_LOGIC; axi_b_injectsbiterr : IN STD_LOGIC; axi_b_injectdbiterr : IN STD_LOGIC; axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_sbiterr : OUT STD_LOGIC; axi_b_dbiterr : OUT STD_LOGIC; axi_b_overflow : OUT STD_LOGIC; axi_b_underflow : OUT STD_LOGIC; axi_b_prog_full : OUT STD_LOGIC; axi_b_prog_empty : OUT STD_LOGIC; axi_ar_injectsbiterr : IN STD_LOGIC; axi_ar_injectdbiterr : IN STD_LOGIC; axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_sbiterr : OUT STD_LOGIC; axi_ar_dbiterr : OUT STD_LOGIC; axi_ar_overflow : OUT STD_LOGIC; axi_ar_underflow : OUT STD_LOGIC; axi_ar_prog_full : OUT STD_LOGIC; axi_ar_prog_empty : OUT STD_LOGIC; axi_r_injectsbiterr : IN STD_LOGIC; axi_r_injectdbiterr : IN STD_LOGIC; axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_sbiterr : OUT STD_LOGIC; axi_r_dbiterr : OUT STD_LOGIC; axi_r_overflow : OUT STD_LOGIC; axi_r_underflow : OUT STD_LOGIC; axi_r_prog_full : OUT STD_LOGIC; axi_r_prog_empty : OUT STD_LOGIC; axis_injectsbiterr : IN STD_LOGIC; axis_injectdbiterr : IN STD_LOGIC; axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_sbiterr : OUT STD_LOGIC; axis_dbiterr : OUT STD_LOGIC; axis_overflow : OUT STD_LOGIC; axis_underflow : OUT STD_LOGIC; axis_prog_full : OUT STD_LOGIC; axis_prog_empty : OUT STD_LOGIC ); END COMPONENT fifo_generator_v13_1_3; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF input_fifo_arch: ARCHITECTURE IS "fifo_generator_v13_1_3,Vivado 2016.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF input_fifo_arch : ARCHITECTURE IS "input_fifo,fifo_generator_v13_1_3,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF input_fifo_arch: ARCHITECTURE IS "input_fifo,fifo_generator_v13_1_3,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=13.1,x_ipCoreRevision=3,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=1,C_SELECT_XPM=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=11,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=8,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=8,C_ENABLE_RLOCS=0,C_FAMILY=zynq,C_FULL_FLAGS_RST_VAL=0,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMINIT_" & "FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=0,C_HAS_SRST=1,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=1,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=0,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=1,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=0,C_PRELOAD_REGS=1,C_PRIM_FIFO_TYPE=1kx18,C_PROG_EMPTY_THRESH_ASSERT_VAL=4,C_PROG_EMPTY_THRESH_NEGATE_VAL=5,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=1023,C_PROG_FULL_THRESH_NE" & "GATE_VAL=1022,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=11,C_RD_DEPTH=1024,C_RD_FREQ=1,C_RD_PNTR_WIDTH=10,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=1,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=11,C_WR_DEPTH=1024,C_WR_FREQ=1,C_WR_PNTR_WIDTH=10,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_EN_SAFETY_CKT=0,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_TY" & "PE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C" & "_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH" & "=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_I" & "NJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=1,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_" & "PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_P" & "ROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL" & "_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF clk: SIGNAL IS "xilinx.com:signal:clock:1.0 core_clk CLK"; ATTRIBUTE X_INTERFACE_INFO OF din: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA"; ATTRIBUTE X_INTERFACE_INFO OF wr_en: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN"; ATTRIBUTE X_INTERFACE_INFO OF rd_en: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN"; ATTRIBUTE X_INTERFACE_INFO OF dout: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA"; ATTRIBUTE X_INTERFACE_INFO OF full: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL"; ATTRIBUTE X_INTERFACE_INFO OF empty: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY"; BEGIN U0 : fifo_generator_v13_1_3 GENERIC MAP ( C_COMMON_CLOCK => 1, C_SELECT_XPM => 0, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => 11, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => 8, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => 8, C_ENABLE_RLOCS => 0, C_FAMILY => "zynq", C_FULL_FLAGS_RST_VAL => 0, C_HAS_ALMOST_EMPTY => 0, C_HAS_ALMOST_FULL => 0, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => 0, C_HAS_RD_DATA_COUNT => 0, C_HAS_RD_RST => 0, C_HAS_RST => 0, C_HAS_SRST => 1, C_HAS_UNDERFLOW => 0, C_HAS_VALID => 0, C_HAS_WR_ACK => 1, C_HAS_WR_DATA_COUNT => 0, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => 0, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => 1, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => 0, C_PRELOAD_LATENCY => 0, C_PRELOAD_REGS => 1, C_PRIM_FIFO_TYPE => "1kx18", C_PROG_EMPTY_THRESH_ASSERT_VAL => 4, C_PROG_EMPTY_THRESH_NEGATE_VAL => 5, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => 1023, C_PROG_FULL_THRESH_NEGATE_VAL => 1022, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => 11, C_RD_DEPTH => 1024, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => 10, C_UNDERFLOW_LOW => 0, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => 0, C_USE_PIPELINE_REG => 0, C_POWER_SAVING_MODE => 0, C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 1, C_VALID_LOW => 0, C_WR_ACK_LOW => 0, C_WR_DATA_COUNT_WIDTH => 11, C_WR_DEPTH => 1024, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => 10, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_EN_SAFETY_CKT => 0, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => 2, C_INTERFACE_TYPE => 0, C_AXI_TYPE => 1, C_HAS_AXI_WR_CHANNEL => 1, C_HAS_AXI_RD_CHANNEL => 1, C_HAS_SLAVE_CE => 0, C_HAS_MASTER_CE => 0, C_ADD_NGC_CONSTRAINT => 0, C_USE_COMMON_OVERFLOW => 0, C_USE_COMMON_UNDERFLOW => 0, C_USE_DEFAULT_SETTINGS => 0, C_AXI_ID_WIDTH => 1, C_AXI_ADDR_WIDTH => 32, C_AXI_DATA_WIDTH => 64, C_AXI_LEN_WIDTH => 8, C_AXI_LOCK_WIDTH => 1, C_HAS_AXI_ID => 0, C_HAS_AXI_AWUSER => 0, C_HAS_AXI_WUSER => 0, C_HAS_AXI_BUSER => 0, C_HAS_AXI_ARUSER => 0, C_HAS_AXI_RUSER => 0, C_AXI_ARUSER_WIDTH => 1, C_AXI_AWUSER_WIDTH => 1, C_AXI_WUSER_WIDTH => 1, C_AXI_BUSER_WIDTH => 1, C_AXI_RUSER_WIDTH => 1, C_HAS_AXIS_TDATA => 1, C_HAS_AXIS_TID => 0, C_HAS_AXIS_TDEST => 0, C_HAS_AXIS_TUSER => 1, C_HAS_AXIS_TREADY => 1, C_HAS_AXIS_TLAST => 0, C_HAS_AXIS_TSTRB => 0, C_HAS_AXIS_TKEEP => 0, C_AXIS_TDATA_WIDTH => 8, C_AXIS_TID_WIDTH => 1, C_AXIS_TDEST_WIDTH => 1, C_AXIS_TUSER_WIDTH => 4, C_AXIS_TSTRB_WIDTH => 1, C_AXIS_TKEEP_WIDTH => 1, C_WACH_TYPE => 0, C_WDCH_TYPE => 0, C_WRCH_TYPE => 0, C_RACH_TYPE => 0, C_RDCH_TYPE => 0, C_AXIS_TYPE => 0, C_IMPLEMENTATION_TYPE_WACH => 1, C_IMPLEMENTATION_TYPE_WDCH => 1, C_IMPLEMENTATION_TYPE_WRCH => 1, C_IMPLEMENTATION_TYPE_RACH => 1, C_IMPLEMENTATION_TYPE_RDCH => 1, C_IMPLEMENTATION_TYPE_AXIS => 1, C_APPLICATION_TYPE_WACH => 0, C_APPLICATION_TYPE_WDCH => 0, C_APPLICATION_TYPE_WRCH => 0, C_APPLICATION_TYPE_RACH => 0, C_APPLICATION_TYPE_RDCH => 0, C_APPLICATION_TYPE_AXIS => 0, C_PRIM_FIFO_TYPE_WACH => "512x36", C_PRIM_FIFO_TYPE_WDCH => "1kx36", C_PRIM_FIFO_TYPE_WRCH => "512x36", C_PRIM_FIFO_TYPE_RACH => "512x36", C_PRIM_FIFO_TYPE_RDCH => "1kx36", C_PRIM_FIFO_TYPE_AXIS => "1kx18", C_USE_ECC_WACH => 0, C_USE_ECC_WDCH => 0, C_USE_ECC_WRCH => 0, C_USE_ECC_RACH => 0, C_USE_ECC_RDCH => 0, C_USE_ECC_AXIS => 0, C_ERROR_INJECTION_TYPE_WACH => 0, C_ERROR_INJECTION_TYPE_WDCH => 0, C_ERROR_INJECTION_TYPE_WRCH => 0, C_ERROR_INJECTION_TYPE_RACH => 0, C_ERROR_INJECTION_TYPE_RDCH => 0, C_ERROR_INJECTION_TYPE_AXIS => 0, C_DIN_WIDTH_WACH => 1, C_DIN_WIDTH_WDCH => 64, C_DIN_WIDTH_WRCH => 2, C_DIN_WIDTH_RACH => 32, C_DIN_WIDTH_RDCH => 64, C_DIN_WIDTH_AXIS => 1, C_WR_DEPTH_WACH => 16, C_WR_DEPTH_WDCH => 1024, C_WR_DEPTH_WRCH => 16, C_WR_DEPTH_RACH => 16, C_WR_DEPTH_RDCH => 1024, C_WR_DEPTH_AXIS => 1024, C_WR_PNTR_WIDTH_WACH => 4, C_WR_PNTR_WIDTH_WDCH => 10, C_WR_PNTR_WIDTH_WRCH => 4, C_WR_PNTR_WIDTH_RACH => 4, C_WR_PNTR_WIDTH_RDCH => 10, C_WR_PNTR_WIDTH_AXIS => 10, C_HAS_DATA_COUNTS_WACH => 0, C_HAS_DATA_COUNTS_WDCH => 0, C_HAS_DATA_COUNTS_WRCH => 0, C_HAS_DATA_COUNTS_RACH => 0, C_HAS_DATA_COUNTS_RDCH => 0, C_HAS_DATA_COUNTS_AXIS => 0, C_HAS_PROG_FLAGS_WACH => 0, C_HAS_PROG_FLAGS_WDCH => 0, C_HAS_PROG_FLAGS_WRCH => 0, C_HAS_PROG_FLAGS_RACH => 0, C_HAS_PROG_FLAGS_RDCH => 0, C_HAS_PROG_FLAGS_AXIS => 0, C_PROG_FULL_TYPE_WACH => 0, C_PROG_FULL_TYPE_WDCH => 0, C_PROG_FULL_TYPE_WRCH => 0, C_PROG_FULL_TYPE_RACH => 0, C_PROG_FULL_TYPE_RDCH => 0, C_PROG_FULL_TYPE_AXIS => 0, C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, C_PROG_EMPTY_TYPE_WACH => 0, C_PROG_EMPTY_TYPE_WDCH => 0, C_PROG_EMPTY_TYPE_WRCH => 0, C_PROG_EMPTY_TYPE_RACH => 0, C_PROG_EMPTY_TYPE_RDCH => 0, C_PROG_EMPTY_TYPE_AXIS => 0, C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, C_REG_SLICE_MODE_WACH => 0, C_REG_SLICE_MODE_WDCH => 0, C_REG_SLICE_MODE_WRCH => 0, C_REG_SLICE_MODE_RACH => 0, C_REG_SLICE_MODE_RDCH => 0, C_REG_SLICE_MODE_AXIS => 0 ) PORT MAP ( backup => '0', backup_marker => '0', clk => clk, rst => '0', srst => srst, wr_clk => '0', wr_rst => '0', rd_clk => '0', rd_rst => '0', din => din, wr_en => wr_en, rd_en => rd_en, prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), int_clk => '0', injectdbiterr => '0', injectsbiterr => '0', sleep => '0', dout => dout, full => full, wr_ack => wr_ack, empty => empty, m_aclk => '0', s_aclk => '0', s_aresetn => '0', m_aclk_en => '0', s_aclk_en => '0', s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awvalid => '0', s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_wlast => '0', s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wvalid => '0', s_axi_bready => '0', m_axi_awready => '0', m_axi_wready => '0', m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bvalid => '0', s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arvalid => '0', s_axi_rready => '0', m_axi_arready => '0', m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_rlast => '0', m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rvalid => '0', s_axis_tvalid => '0', s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tlast => '0', s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), m_axis_tready => '0', axi_aw_injectsbiterr => '0', axi_aw_injectdbiterr => '0', axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_w_injectsbiterr => '0', axi_w_injectdbiterr => '0', axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_b_injectsbiterr => '0', axi_b_injectdbiterr => '0', axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_injectsbiterr => '0', axi_ar_injectdbiterr => '0', axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_r_injectsbiterr => '0', axi_r_injectdbiterr => '0', axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_injectsbiterr => '0', axis_injectdbiterr => '0', axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)) ); END input_fifo_arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:fifo_generator:13.1 -- IP Revision: 3 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY fifo_generator_v13_1_3; USE fifo_generator_v13_1_3.fifo_generator_v13_1_3; ENTITY input_fifo IS PORT ( clk : IN STD_LOGIC; srst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(7 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); full : OUT STD_LOGIC; wr_ack : OUT STD_LOGIC; empty : OUT STD_LOGIC ); END input_fifo; ARCHITECTURE input_fifo_arch OF input_fifo IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF input_fifo_arch: ARCHITECTURE IS "yes"; COMPONENT fifo_generator_v13_1_3 IS GENERIC ( C_COMMON_CLOCK : INTEGER; C_SELECT_XPM : INTEGER; C_COUNT_TYPE : INTEGER; C_DATA_COUNT_WIDTH : INTEGER; C_DEFAULT_VALUE : STRING; C_DIN_WIDTH : INTEGER; C_DOUT_RST_VAL : STRING; C_DOUT_WIDTH : INTEGER; C_ENABLE_RLOCS : INTEGER; C_FAMILY : STRING; C_FULL_FLAGS_RST_VAL : INTEGER; C_HAS_ALMOST_EMPTY : INTEGER; C_HAS_ALMOST_FULL : INTEGER; C_HAS_BACKUP : INTEGER; C_HAS_DATA_COUNT : INTEGER; C_HAS_INT_CLK : INTEGER; C_HAS_MEMINIT_FILE : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_RD_DATA_COUNT : INTEGER; C_HAS_RD_RST : INTEGER; C_HAS_RST : INTEGER; C_HAS_SRST : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_VALID : INTEGER; C_HAS_WR_ACK : INTEGER; C_HAS_WR_DATA_COUNT : INTEGER; C_HAS_WR_RST : INTEGER; C_IMPLEMENTATION_TYPE : INTEGER; C_INIT_WR_PNTR_VAL : INTEGER; C_MEMORY_TYPE : INTEGER; C_MIF_FILE_NAME : STRING; C_OPTIMIZATION_MODE : INTEGER; C_OVERFLOW_LOW : INTEGER; C_PRELOAD_LATENCY : INTEGER; C_PRELOAD_REGS : INTEGER; C_PRIM_FIFO_TYPE : STRING; C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER; C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER; C_PROG_EMPTY_TYPE : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER; C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER; C_PROG_FULL_TYPE : INTEGER; C_RD_DATA_COUNT_WIDTH : INTEGER; C_RD_DEPTH : INTEGER; C_RD_FREQ : INTEGER; C_RD_PNTR_WIDTH : INTEGER; C_UNDERFLOW_LOW : INTEGER; C_USE_DOUT_RST : INTEGER; C_USE_ECC : INTEGER; C_USE_EMBEDDED_REG : INTEGER; C_USE_PIPELINE_REG : INTEGER; C_POWER_SAVING_MODE : INTEGER; C_USE_FIFO16_FLAGS : INTEGER; C_USE_FWFT_DATA_COUNT : INTEGER; C_VALID_LOW : INTEGER; C_WR_ACK_LOW : INTEGER; C_WR_DATA_COUNT_WIDTH : INTEGER; C_WR_DEPTH : INTEGER; C_WR_FREQ : INTEGER; C_WR_PNTR_WIDTH : INTEGER; C_WR_RESPONSE_LATENCY : INTEGER; C_MSGON_VAL : INTEGER; C_ENABLE_RST_SYNC : INTEGER; C_EN_SAFETY_CKT : INTEGER; C_ERROR_INJECTION_TYPE : INTEGER; C_SYNCHRONIZER_STAGE : INTEGER; C_INTERFACE_TYPE : INTEGER; C_AXI_TYPE : INTEGER; C_HAS_AXI_WR_CHANNEL : INTEGER; C_HAS_AXI_RD_CHANNEL : INTEGER; C_HAS_SLAVE_CE : INTEGER; C_HAS_MASTER_CE : INTEGER; C_ADD_NGC_CONSTRAINT : INTEGER; C_USE_COMMON_OVERFLOW : INTEGER; C_USE_COMMON_UNDERFLOW : INTEGER; C_USE_DEFAULT_SETTINGS : INTEGER; C_AXI_ID_WIDTH : INTEGER; C_AXI_ADDR_WIDTH : INTEGER; C_AXI_DATA_WIDTH : INTEGER; C_AXI_LEN_WIDTH : INTEGER; C_AXI_LOCK_WIDTH : INTEGER; C_HAS_AXI_ID : INTEGER; C_HAS_AXI_AWUSER : INTEGER; C_HAS_AXI_WUSER : INTEGER; C_HAS_AXI_BUSER : INTEGER; C_HAS_AXI_ARUSER : INTEGER; C_HAS_AXI_RUSER : INTEGER; C_AXI_ARUSER_WIDTH : INTEGER; C_AXI_AWUSER_WIDTH : INTEGER; C_AXI_WUSER_WIDTH : INTEGER; C_AXI_BUSER_WIDTH : INTEGER; C_AXI_RUSER_WIDTH : INTEGER; C_HAS_AXIS_TDATA : INTEGER; C_HAS_AXIS_TID : INTEGER; C_HAS_AXIS_TDEST : INTEGER; C_HAS_AXIS_TUSER : INTEGER; C_HAS_AXIS_TREADY : INTEGER; C_HAS_AXIS_TLAST : INTEGER; C_HAS_AXIS_TSTRB : INTEGER; C_HAS_AXIS_TKEEP : INTEGER; C_AXIS_TDATA_WIDTH : INTEGER; C_AXIS_TID_WIDTH : INTEGER; C_AXIS_TDEST_WIDTH : INTEGER; C_AXIS_TUSER_WIDTH : INTEGER; C_AXIS_TSTRB_WIDTH : INTEGER; C_AXIS_TKEEP_WIDTH : INTEGER; C_WACH_TYPE : INTEGER; C_WDCH_TYPE : INTEGER; C_WRCH_TYPE : INTEGER; C_RACH_TYPE : INTEGER; C_RDCH_TYPE : INTEGER; C_AXIS_TYPE : INTEGER; C_IMPLEMENTATION_TYPE_WACH : INTEGER; C_IMPLEMENTATION_TYPE_WDCH : INTEGER; C_IMPLEMENTATION_TYPE_WRCH : INTEGER; C_IMPLEMENTATION_TYPE_RACH : INTEGER; C_IMPLEMENTATION_TYPE_RDCH : INTEGER; C_IMPLEMENTATION_TYPE_AXIS : INTEGER; C_APPLICATION_TYPE_WACH : INTEGER; C_APPLICATION_TYPE_WDCH : INTEGER; C_APPLICATION_TYPE_WRCH : INTEGER; C_APPLICATION_TYPE_RACH : INTEGER; C_APPLICATION_TYPE_RDCH : INTEGER; C_APPLICATION_TYPE_AXIS : INTEGER; C_PRIM_FIFO_TYPE_WACH : STRING; C_PRIM_FIFO_TYPE_WDCH : STRING; C_PRIM_FIFO_TYPE_WRCH : STRING; C_PRIM_FIFO_TYPE_RACH : STRING; C_PRIM_FIFO_TYPE_RDCH : STRING; C_PRIM_FIFO_TYPE_AXIS : STRING; C_USE_ECC_WACH : INTEGER; C_USE_ECC_WDCH : INTEGER; C_USE_ECC_WRCH : INTEGER; C_USE_ECC_RACH : INTEGER; C_USE_ECC_RDCH : INTEGER; C_USE_ECC_AXIS : INTEGER; C_ERROR_INJECTION_TYPE_WACH : INTEGER; C_ERROR_INJECTION_TYPE_WDCH : INTEGER; C_ERROR_INJECTION_TYPE_WRCH : INTEGER; C_ERROR_INJECTION_TYPE_RACH : INTEGER; C_ERROR_INJECTION_TYPE_RDCH : INTEGER; C_ERROR_INJECTION_TYPE_AXIS : INTEGER; C_DIN_WIDTH_WACH : INTEGER; C_DIN_WIDTH_WDCH : INTEGER; C_DIN_WIDTH_WRCH : INTEGER; C_DIN_WIDTH_RACH : INTEGER; C_DIN_WIDTH_RDCH : INTEGER; C_DIN_WIDTH_AXIS : INTEGER; C_WR_DEPTH_WACH : INTEGER; C_WR_DEPTH_WDCH : INTEGER; C_WR_DEPTH_WRCH : INTEGER; C_WR_DEPTH_RACH : INTEGER; C_WR_DEPTH_RDCH : INTEGER; C_WR_DEPTH_AXIS : INTEGER; C_WR_PNTR_WIDTH_WACH : INTEGER; C_WR_PNTR_WIDTH_WDCH : INTEGER; C_WR_PNTR_WIDTH_WRCH : INTEGER; C_WR_PNTR_WIDTH_RACH : INTEGER; C_WR_PNTR_WIDTH_RDCH : INTEGER; C_WR_PNTR_WIDTH_AXIS : INTEGER; C_HAS_DATA_COUNTS_WACH : INTEGER; C_HAS_DATA_COUNTS_WDCH : INTEGER; C_HAS_DATA_COUNTS_WRCH : INTEGER; C_HAS_DATA_COUNTS_RACH : INTEGER; C_HAS_DATA_COUNTS_RDCH : INTEGER; C_HAS_DATA_COUNTS_AXIS : INTEGER; C_HAS_PROG_FLAGS_WACH : INTEGER; C_HAS_PROG_FLAGS_WDCH : INTEGER; C_HAS_PROG_FLAGS_WRCH : INTEGER; C_HAS_PROG_FLAGS_RACH : INTEGER; C_HAS_PROG_FLAGS_RDCH : INTEGER; C_HAS_PROG_FLAGS_AXIS : INTEGER; C_PROG_FULL_TYPE_WACH : INTEGER; C_PROG_FULL_TYPE_WDCH : INTEGER; C_PROG_FULL_TYPE_WRCH : INTEGER; C_PROG_FULL_TYPE_RACH : INTEGER; C_PROG_FULL_TYPE_RDCH : INTEGER; C_PROG_FULL_TYPE_AXIS : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER; C_PROG_EMPTY_TYPE_WACH : INTEGER; C_PROG_EMPTY_TYPE_WDCH : INTEGER; C_PROG_EMPTY_TYPE_WRCH : INTEGER; C_PROG_EMPTY_TYPE_RACH : INTEGER; C_PROG_EMPTY_TYPE_RDCH : INTEGER; C_PROG_EMPTY_TYPE_AXIS : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER; C_REG_SLICE_MODE_WACH : INTEGER; C_REG_SLICE_MODE_WDCH : INTEGER; C_REG_SLICE_MODE_WRCH : INTEGER; C_REG_SLICE_MODE_RACH : INTEGER; C_REG_SLICE_MODE_RDCH : INTEGER; C_REG_SLICE_MODE_AXIS : INTEGER ); PORT ( backup : IN STD_LOGIC; backup_marker : IN STD_LOGIC; clk : IN STD_LOGIC; rst : IN STD_LOGIC; srst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; wr_rst : IN STD_LOGIC; rd_clk : IN STD_LOGIC; rd_rst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(7 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(9 DOWNTO 0); prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(9 DOWNTO 0); prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); prog_full_thresh_assert : IN STD_LOGIC_VECTOR(9 DOWNTO 0); prog_full_thresh_negate : IN STD_LOGIC_VECTOR(9 DOWNTO 0); int_clk : IN STD_LOGIC; injectdbiterr : IN STD_LOGIC; injectsbiterr : IN STD_LOGIC; sleep : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); full : OUT STD_LOGIC; almost_full : OUT STD_LOGIC; wr_ack : OUT STD_LOGIC; overflow : OUT STD_LOGIC; empty : OUT STD_LOGIC; almost_empty : OUT STD_LOGIC; valid : OUT STD_LOGIC; underflow : OUT STD_LOGIC; data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); prog_full : OUT STD_LOGIC; prog_empty : OUT STD_LOGIC; sbiterr : OUT STD_LOGIC; dbiterr : OUT STD_LOGIC; wr_rst_busy : OUT STD_LOGIC; rd_rst_busy : OUT STD_LOGIC; m_aclk : IN STD_LOGIC; s_aclk : IN STD_LOGIC; s_aresetn : IN STD_LOGIC; m_aclk_en : IN STD_LOGIC; s_aclk_en : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awvalid : OUT STD_LOGIC; m_axi_awready : IN STD_LOGIC; m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_wlast : OUT STD_LOGIC; m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wvalid : OUT STD_LOGIC; m_axi_wready : IN STD_LOGIC; m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bvalid : IN STD_LOGIC; m_axi_bready : OUT STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arvalid : OUT STD_LOGIC; m_axi_arready : IN STD_LOGIC; m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_rlast : IN STD_LOGIC; m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rvalid : IN STD_LOGIC; m_axi_rready : OUT STD_LOGIC; s_axis_tvalid : IN STD_LOGIC; s_axis_tready : OUT STD_LOGIC; s_axis_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_tstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tlast : IN STD_LOGIC; s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_tvalid : OUT STD_LOGIC; m_axis_tready : IN STD_LOGIC; m_axis_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_tstrb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tlast : OUT STD_LOGIC; m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_injectsbiterr : IN STD_LOGIC; axi_aw_injectdbiterr : IN STD_LOGIC; axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_sbiterr : OUT STD_LOGIC; axi_aw_dbiterr : OUT STD_LOGIC; axi_aw_overflow : OUT STD_LOGIC; axi_aw_underflow : OUT STD_LOGIC; axi_aw_prog_full : OUT STD_LOGIC; axi_aw_prog_empty : OUT STD_LOGIC; axi_w_injectsbiterr : IN STD_LOGIC; axi_w_injectdbiterr : IN STD_LOGIC; axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_sbiterr : OUT STD_LOGIC; axi_w_dbiterr : OUT STD_LOGIC; axi_w_overflow : OUT STD_LOGIC; axi_w_underflow : OUT STD_LOGIC; axi_w_prog_full : OUT STD_LOGIC; axi_w_prog_empty : OUT STD_LOGIC; axi_b_injectsbiterr : IN STD_LOGIC; axi_b_injectdbiterr : IN STD_LOGIC; axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_sbiterr : OUT STD_LOGIC; axi_b_dbiterr : OUT STD_LOGIC; axi_b_overflow : OUT STD_LOGIC; axi_b_underflow : OUT STD_LOGIC; axi_b_prog_full : OUT STD_LOGIC; axi_b_prog_empty : OUT STD_LOGIC; axi_ar_injectsbiterr : IN STD_LOGIC; axi_ar_injectdbiterr : IN STD_LOGIC; axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_sbiterr : OUT STD_LOGIC; axi_ar_dbiterr : OUT STD_LOGIC; axi_ar_overflow : OUT STD_LOGIC; axi_ar_underflow : OUT STD_LOGIC; axi_ar_prog_full : OUT STD_LOGIC; axi_ar_prog_empty : OUT STD_LOGIC; axi_r_injectsbiterr : IN STD_LOGIC; axi_r_injectdbiterr : IN STD_LOGIC; axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_sbiterr : OUT STD_LOGIC; axi_r_dbiterr : OUT STD_LOGIC; axi_r_overflow : OUT STD_LOGIC; axi_r_underflow : OUT STD_LOGIC; axi_r_prog_full : OUT STD_LOGIC; axi_r_prog_empty : OUT STD_LOGIC; axis_injectsbiterr : IN STD_LOGIC; axis_injectdbiterr : IN STD_LOGIC; axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_sbiterr : OUT STD_LOGIC; axis_dbiterr : OUT STD_LOGIC; axis_overflow : OUT STD_LOGIC; axis_underflow : OUT STD_LOGIC; axis_prog_full : OUT STD_LOGIC; axis_prog_empty : OUT STD_LOGIC ); END COMPONENT fifo_generator_v13_1_3; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF input_fifo_arch: ARCHITECTURE IS "fifo_generator_v13_1_3,Vivado 2016.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF input_fifo_arch : ARCHITECTURE IS "input_fifo,fifo_generator_v13_1_3,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF input_fifo_arch: ARCHITECTURE IS "input_fifo,fifo_generator_v13_1_3,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=13.1,x_ipCoreRevision=3,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=1,C_SELECT_XPM=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=11,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=8,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=8,C_ENABLE_RLOCS=0,C_FAMILY=zynq,C_FULL_FLAGS_RST_VAL=0,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMINIT_" & "FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=0,C_HAS_SRST=1,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=1,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=0,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=1,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=0,C_PRELOAD_REGS=1,C_PRIM_FIFO_TYPE=1kx18,C_PROG_EMPTY_THRESH_ASSERT_VAL=4,C_PROG_EMPTY_THRESH_NEGATE_VAL=5,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=1023,C_PROG_FULL_THRESH_NE" & "GATE_VAL=1022,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=11,C_RD_DEPTH=1024,C_RD_FREQ=1,C_RD_PNTR_WIDTH=10,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=1,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=11,C_WR_DEPTH=1024,C_WR_FREQ=1,C_WR_PNTR_WIDTH=10,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_EN_SAFETY_CKT=0,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_TY" & "PE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C" & "_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH" & "=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_I" & "NJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=1,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_" & "PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_P" & "ROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL" & "_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF clk: SIGNAL IS "xilinx.com:signal:clock:1.0 core_clk CLK"; ATTRIBUTE X_INTERFACE_INFO OF din: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA"; ATTRIBUTE X_INTERFACE_INFO OF wr_en: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN"; ATTRIBUTE X_INTERFACE_INFO OF rd_en: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN"; ATTRIBUTE X_INTERFACE_INFO OF dout: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA"; ATTRIBUTE X_INTERFACE_INFO OF full: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL"; ATTRIBUTE X_INTERFACE_INFO OF empty: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY"; BEGIN U0 : fifo_generator_v13_1_3 GENERIC MAP ( C_COMMON_CLOCK => 1, C_SELECT_XPM => 0, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => 11, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => 8, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => 8, C_ENABLE_RLOCS => 0, C_FAMILY => "zynq", C_FULL_FLAGS_RST_VAL => 0, C_HAS_ALMOST_EMPTY => 0, C_HAS_ALMOST_FULL => 0, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => 0, C_HAS_RD_DATA_COUNT => 0, C_HAS_RD_RST => 0, C_HAS_RST => 0, C_HAS_SRST => 1, C_HAS_UNDERFLOW => 0, C_HAS_VALID => 0, C_HAS_WR_ACK => 1, C_HAS_WR_DATA_COUNT => 0, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => 0, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => 1, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => 0, C_PRELOAD_LATENCY => 0, C_PRELOAD_REGS => 1, C_PRIM_FIFO_TYPE => "1kx18", C_PROG_EMPTY_THRESH_ASSERT_VAL => 4, C_PROG_EMPTY_THRESH_NEGATE_VAL => 5, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => 1023, C_PROG_FULL_THRESH_NEGATE_VAL => 1022, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => 11, C_RD_DEPTH => 1024, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => 10, C_UNDERFLOW_LOW => 0, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => 0, C_USE_PIPELINE_REG => 0, C_POWER_SAVING_MODE => 0, C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 1, C_VALID_LOW => 0, C_WR_ACK_LOW => 0, C_WR_DATA_COUNT_WIDTH => 11, C_WR_DEPTH => 1024, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => 10, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_EN_SAFETY_CKT => 0, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => 2, C_INTERFACE_TYPE => 0, C_AXI_TYPE => 1, C_HAS_AXI_WR_CHANNEL => 1, C_HAS_AXI_RD_CHANNEL => 1, C_HAS_SLAVE_CE => 0, C_HAS_MASTER_CE => 0, C_ADD_NGC_CONSTRAINT => 0, C_USE_COMMON_OVERFLOW => 0, C_USE_COMMON_UNDERFLOW => 0, C_USE_DEFAULT_SETTINGS => 0, C_AXI_ID_WIDTH => 1, C_AXI_ADDR_WIDTH => 32, C_AXI_DATA_WIDTH => 64, C_AXI_LEN_WIDTH => 8, C_AXI_LOCK_WIDTH => 1, C_HAS_AXI_ID => 0, C_HAS_AXI_AWUSER => 0, C_HAS_AXI_WUSER => 0, C_HAS_AXI_BUSER => 0, C_HAS_AXI_ARUSER => 0, C_HAS_AXI_RUSER => 0, C_AXI_ARUSER_WIDTH => 1, C_AXI_AWUSER_WIDTH => 1, C_AXI_WUSER_WIDTH => 1, C_AXI_BUSER_WIDTH => 1, C_AXI_RUSER_WIDTH => 1, C_HAS_AXIS_TDATA => 1, C_HAS_AXIS_TID => 0, C_HAS_AXIS_TDEST => 0, C_HAS_AXIS_TUSER => 1, C_HAS_AXIS_TREADY => 1, C_HAS_AXIS_TLAST => 0, C_HAS_AXIS_TSTRB => 0, C_HAS_AXIS_TKEEP => 0, C_AXIS_TDATA_WIDTH => 8, C_AXIS_TID_WIDTH => 1, C_AXIS_TDEST_WIDTH => 1, C_AXIS_TUSER_WIDTH => 4, C_AXIS_TSTRB_WIDTH => 1, C_AXIS_TKEEP_WIDTH => 1, C_WACH_TYPE => 0, C_WDCH_TYPE => 0, C_WRCH_TYPE => 0, C_RACH_TYPE => 0, C_RDCH_TYPE => 0, C_AXIS_TYPE => 0, C_IMPLEMENTATION_TYPE_WACH => 1, C_IMPLEMENTATION_TYPE_WDCH => 1, C_IMPLEMENTATION_TYPE_WRCH => 1, C_IMPLEMENTATION_TYPE_RACH => 1, C_IMPLEMENTATION_TYPE_RDCH => 1, C_IMPLEMENTATION_TYPE_AXIS => 1, C_APPLICATION_TYPE_WACH => 0, C_APPLICATION_TYPE_WDCH => 0, C_APPLICATION_TYPE_WRCH => 0, C_APPLICATION_TYPE_RACH => 0, C_APPLICATION_TYPE_RDCH => 0, C_APPLICATION_TYPE_AXIS => 0, C_PRIM_FIFO_TYPE_WACH => "512x36", C_PRIM_FIFO_TYPE_WDCH => "1kx36", C_PRIM_FIFO_TYPE_WRCH => "512x36", C_PRIM_FIFO_TYPE_RACH => "512x36", C_PRIM_FIFO_TYPE_RDCH => "1kx36", C_PRIM_FIFO_TYPE_AXIS => "1kx18", C_USE_ECC_WACH => 0, C_USE_ECC_WDCH => 0, C_USE_ECC_WRCH => 0, C_USE_ECC_RACH => 0, C_USE_ECC_RDCH => 0, C_USE_ECC_AXIS => 0, C_ERROR_INJECTION_TYPE_WACH => 0, C_ERROR_INJECTION_TYPE_WDCH => 0, C_ERROR_INJECTION_TYPE_WRCH => 0, C_ERROR_INJECTION_TYPE_RACH => 0, C_ERROR_INJECTION_TYPE_RDCH => 0, C_ERROR_INJECTION_TYPE_AXIS => 0, C_DIN_WIDTH_WACH => 1, C_DIN_WIDTH_WDCH => 64, C_DIN_WIDTH_WRCH => 2, C_DIN_WIDTH_RACH => 32, C_DIN_WIDTH_RDCH => 64, C_DIN_WIDTH_AXIS => 1, C_WR_DEPTH_WACH => 16, C_WR_DEPTH_WDCH => 1024, C_WR_DEPTH_WRCH => 16, C_WR_DEPTH_RACH => 16, C_WR_DEPTH_RDCH => 1024, C_WR_DEPTH_AXIS => 1024, C_WR_PNTR_WIDTH_WACH => 4, C_WR_PNTR_WIDTH_WDCH => 10, C_WR_PNTR_WIDTH_WRCH => 4, C_WR_PNTR_WIDTH_RACH => 4, C_WR_PNTR_WIDTH_RDCH => 10, C_WR_PNTR_WIDTH_AXIS => 10, C_HAS_DATA_COUNTS_WACH => 0, C_HAS_DATA_COUNTS_WDCH => 0, C_HAS_DATA_COUNTS_WRCH => 0, C_HAS_DATA_COUNTS_RACH => 0, C_HAS_DATA_COUNTS_RDCH => 0, C_HAS_DATA_COUNTS_AXIS => 0, C_HAS_PROG_FLAGS_WACH => 0, C_HAS_PROG_FLAGS_WDCH => 0, C_HAS_PROG_FLAGS_WRCH => 0, C_HAS_PROG_FLAGS_RACH => 0, C_HAS_PROG_FLAGS_RDCH => 0, C_HAS_PROG_FLAGS_AXIS => 0, C_PROG_FULL_TYPE_WACH => 0, C_PROG_FULL_TYPE_WDCH => 0, C_PROG_FULL_TYPE_WRCH => 0, C_PROG_FULL_TYPE_RACH => 0, C_PROG_FULL_TYPE_RDCH => 0, C_PROG_FULL_TYPE_AXIS => 0, C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, C_PROG_EMPTY_TYPE_WACH => 0, C_PROG_EMPTY_TYPE_WDCH => 0, C_PROG_EMPTY_TYPE_WRCH => 0, C_PROG_EMPTY_TYPE_RACH => 0, C_PROG_EMPTY_TYPE_RDCH => 0, C_PROG_EMPTY_TYPE_AXIS => 0, C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, C_REG_SLICE_MODE_WACH => 0, C_REG_SLICE_MODE_WDCH => 0, C_REG_SLICE_MODE_WRCH => 0, C_REG_SLICE_MODE_RACH => 0, C_REG_SLICE_MODE_RDCH => 0, C_REG_SLICE_MODE_AXIS => 0 ) PORT MAP ( backup => '0', backup_marker => '0', clk => clk, rst => '0', srst => srst, wr_clk => '0', wr_rst => '0', rd_clk => '0', rd_rst => '0', din => din, wr_en => wr_en, rd_en => rd_en, prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), int_clk => '0', injectdbiterr => '0', injectsbiterr => '0', sleep => '0', dout => dout, full => full, wr_ack => wr_ack, empty => empty, m_aclk => '0', s_aclk => '0', s_aresetn => '0', m_aclk_en => '0', s_aclk_en => '0', s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awvalid => '0', s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_wlast => '0', s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wvalid => '0', s_axi_bready => '0', m_axi_awready => '0', m_axi_wready => '0', m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bvalid => '0', s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arvalid => '0', s_axi_rready => '0', m_axi_arready => '0', m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_rlast => '0', m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rvalid => '0', s_axis_tvalid => '0', s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tlast => '0', s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), m_axis_tready => '0', axi_aw_injectsbiterr => '0', axi_aw_injectdbiterr => '0', axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_w_injectsbiterr => '0', axi_w_injectdbiterr => '0', axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_b_injectsbiterr => '0', axi_b_injectdbiterr => '0', axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_injectsbiterr => '0', axi_ar_injectdbiterr => '0', axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_r_injectsbiterr => '0', axi_r_injectdbiterr => '0', axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_injectsbiterr => '0', axis_injectdbiterr => '0', axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)) ); END input_fifo_arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:fifo_generator:13.1 -- IP Revision: 3 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY fifo_generator_v13_1_3; USE fifo_generator_v13_1_3.fifo_generator_v13_1_3; ENTITY input_fifo IS PORT ( clk : IN STD_LOGIC; srst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(7 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); full : OUT STD_LOGIC; wr_ack : OUT STD_LOGIC; empty : OUT STD_LOGIC ); END input_fifo; ARCHITECTURE input_fifo_arch OF input_fifo IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF input_fifo_arch: ARCHITECTURE IS "yes"; COMPONENT fifo_generator_v13_1_3 IS GENERIC ( C_COMMON_CLOCK : INTEGER; C_SELECT_XPM : INTEGER; C_COUNT_TYPE : INTEGER; C_DATA_COUNT_WIDTH : INTEGER; C_DEFAULT_VALUE : STRING; C_DIN_WIDTH : INTEGER; C_DOUT_RST_VAL : STRING; C_DOUT_WIDTH : INTEGER; C_ENABLE_RLOCS : INTEGER; C_FAMILY : STRING; C_FULL_FLAGS_RST_VAL : INTEGER; C_HAS_ALMOST_EMPTY : INTEGER; C_HAS_ALMOST_FULL : INTEGER; C_HAS_BACKUP : INTEGER; C_HAS_DATA_COUNT : INTEGER; C_HAS_INT_CLK : INTEGER; C_HAS_MEMINIT_FILE : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_RD_DATA_COUNT : INTEGER; C_HAS_RD_RST : INTEGER; C_HAS_RST : INTEGER; C_HAS_SRST : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_VALID : INTEGER; C_HAS_WR_ACK : INTEGER; C_HAS_WR_DATA_COUNT : INTEGER; C_HAS_WR_RST : INTEGER; C_IMPLEMENTATION_TYPE : INTEGER; C_INIT_WR_PNTR_VAL : INTEGER; C_MEMORY_TYPE : INTEGER; C_MIF_FILE_NAME : STRING; C_OPTIMIZATION_MODE : INTEGER; C_OVERFLOW_LOW : INTEGER; C_PRELOAD_LATENCY : INTEGER; C_PRELOAD_REGS : INTEGER; C_PRIM_FIFO_TYPE : STRING; C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER; C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER; C_PROG_EMPTY_TYPE : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER; C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER; C_PROG_FULL_TYPE : INTEGER; C_RD_DATA_COUNT_WIDTH : INTEGER; C_RD_DEPTH : INTEGER; C_RD_FREQ : INTEGER; C_RD_PNTR_WIDTH : INTEGER; C_UNDERFLOW_LOW : INTEGER; C_USE_DOUT_RST : INTEGER; C_USE_ECC : INTEGER; C_USE_EMBEDDED_REG : INTEGER; C_USE_PIPELINE_REG : INTEGER; C_POWER_SAVING_MODE : INTEGER; C_USE_FIFO16_FLAGS : INTEGER; C_USE_FWFT_DATA_COUNT : INTEGER; C_VALID_LOW : INTEGER; C_WR_ACK_LOW : INTEGER; C_WR_DATA_COUNT_WIDTH : INTEGER; C_WR_DEPTH : INTEGER; C_WR_FREQ : INTEGER; C_WR_PNTR_WIDTH : INTEGER; C_WR_RESPONSE_LATENCY : INTEGER; C_MSGON_VAL : INTEGER; C_ENABLE_RST_SYNC : INTEGER; C_EN_SAFETY_CKT : INTEGER; C_ERROR_INJECTION_TYPE : INTEGER; C_SYNCHRONIZER_STAGE : INTEGER; C_INTERFACE_TYPE : INTEGER; C_AXI_TYPE : INTEGER; C_HAS_AXI_WR_CHANNEL : INTEGER; C_HAS_AXI_RD_CHANNEL : INTEGER; C_HAS_SLAVE_CE : INTEGER; C_HAS_MASTER_CE : INTEGER; C_ADD_NGC_CONSTRAINT : INTEGER; C_USE_COMMON_OVERFLOW : INTEGER; C_USE_COMMON_UNDERFLOW : INTEGER; C_USE_DEFAULT_SETTINGS : INTEGER; C_AXI_ID_WIDTH : INTEGER; C_AXI_ADDR_WIDTH : INTEGER; C_AXI_DATA_WIDTH : INTEGER; C_AXI_LEN_WIDTH : INTEGER; C_AXI_LOCK_WIDTH : INTEGER; C_HAS_AXI_ID : INTEGER; C_HAS_AXI_AWUSER : INTEGER; C_HAS_AXI_WUSER : INTEGER; C_HAS_AXI_BUSER : INTEGER; C_HAS_AXI_ARUSER : INTEGER; C_HAS_AXI_RUSER : INTEGER; C_AXI_ARUSER_WIDTH : INTEGER; C_AXI_AWUSER_WIDTH : INTEGER; C_AXI_WUSER_WIDTH : INTEGER; C_AXI_BUSER_WIDTH : INTEGER; C_AXI_RUSER_WIDTH : INTEGER; C_HAS_AXIS_TDATA : INTEGER; C_HAS_AXIS_TID : INTEGER; C_HAS_AXIS_TDEST : INTEGER; C_HAS_AXIS_TUSER : INTEGER; C_HAS_AXIS_TREADY : INTEGER; C_HAS_AXIS_TLAST : INTEGER; C_HAS_AXIS_TSTRB : INTEGER; C_HAS_AXIS_TKEEP : INTEGER; C_AXIS_TDATA_WIDTH : INTEGER; C_AXIS_TID_WIDTH : INTEGER; C_AXIS_TDEST_WIDTH : INTEGER; C_AXIS_TUSER_WIDTH : INTEGER; C_AXIS_TSTRB_WIDTH : INTEGER; C_AXIS_TKEEP_WIDTH : INTEGER; C_WACH_TYPE : INTEGER; C_WDCH_TYPE : INTEGER; C_WRCH_TYPE : INTEGER; C_RACH_TYPE : INTEGER; C_RDCH_TYPE : INTEGER; C_AXIS_TYPE : INTEGER; C_IMPLEMENTATION_TYPE_WACH : INTEGER; C_IMPLEMENTATION_TYPE_WDCH : INTEGER; C_IMPLEMENTATION_TYPE_WRCH : INTEGER; C_IMPLEMENTATION_TYPE_RACH : INTEGER; C_IMPLEMENTATION_TYPE_RDCH : INTEGER; C_IMPLEMENTATION_TYPE_AXIS : INTEGER; C_APPLICATION_TYPE_WACH : INTEGER; C_APPLICATION_TYPE_WDCH : INTEGER; C_APPLICATION_TYPE_WRCH : INTEGER; C_APPLICATION_TYPE_RACH : INTEGER; C_APPLICATION_TYPE_RDCH : INTEGER; C_APPLICATION_TYPE_AXIS : INTEGER; C_PRIM_FIFO_TYPE_WACH : STRING; C_PRIM_FIFO_TYPE_WDCH : STRING; C_PRIM_FIFO_TYPE_WRCH : STRING; C_PRIM_FIFO_TYPE_RACH : STRING; C_PRIM_FIFO_TYPE_RDCH : STRING; C_PRIM_FIFO_TYPE_AXIS : STRING; C_USE_ECC_WACH : INTEGER; C_USE_ECC_WDCH : INTEGER; C_USE_ECC_WRCH : INTEGER; C_USE_ECC_RACH : INTEGER; C_USE_ECC_RDCH : INTEGER; C_USE_ECC_AXIS : INTEGER; C_ERROR_INJECTION_TYPE_WACH : INTEGER; C_ERROR_INJECTION_TYPE_WDCH : INTEGER; C_ERROR_INJECTION_TYPE_WRCH : INTEGER; C_ERROR_INJECTION_TYPE_RACH : INTEGER; C_ERROR_INJECTION_TYPE_RDCH : INTEGER; C_ERROR_INJECTION_TYPE_AXIS : INTEGER; C_DIN_WIDTH_WACH : INTEGER; C_DIN_WIDTH_WDCH : INTEGER; C_DIN_WIDTH_WRCH : INTEGER; C_DIN_WIDTH_RACH : INTEGER; C_DIN_WIDTH_RDCH : INTEGER; C_DIN_WIDTH_AXIS : INTEGER; C_WR_DEPTH_WACH : INTEGER; C_WR_DEPTH_WDCH : INTEGER; C_WR_DEPTH_WRCH : INTEGER; C_WR_DEPTH_RACH : INTEGER; C_WR_DEPTH_RDCH : INTEGER; C_WR_DEPTH_AXIS : INTEGER; C_WR_PNTR_WIDTH_WACH : INTEGER; C_WR_PNTR_WIDTH_WDCH : INTEGER; C_WR_PNTR_WIDTH_WRCH : INTEGER; C_WR_PNTR_WIDTH_RACH : INTEGER; C_WR_PNTR_WIDTH_RDCH : INTEGER; C_WR_PNTR_WIDTH_AXIS : INTEGER; C_HAS_DATA_COUNTS_WACH : INTEGER; C_HAS_DATA_COUNTS_WDCH : INTEGER; C_HAS_DATA_COUNTS_WRCH : INTEGER; C_HAS_DATA_COUNTS_RACH : INTEGER; C_HAS_DATA_COUNTS_RDCH : INTEGER; C_HAS_DATA_COUNTS_AXIS : INTEGER; C_HAS_PROG_FLAGS_WACH : INTEGER; C_HAS_PROG_FLAGS_WDCH : INTEGER; C_HAS_PROG_FLAGS_WRCH : INTEGER; C_HAS_PROG_FLAGS_RACH : INTEGER; C_HAS_PROG_FLAGS_RDCH : INTEGER; C_HAS_PROG_FLAGS_AXIS : INTEGER; C_PROG_FULL_TYPE_WACH : INTEGER; C_PROG_FULL_TYPE_WDCH : INTEGER; C_PROG_FULL_TYPE_WRCH : INTEGER; C_PROG_FULL_TYPE_RACH : INTEGER; C_PROG_FULL_TYPE_RDCH : INTEGER; C_PROG_FULL_TYPE_AXIS : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER; C_PROG_EMPTY_TYPE_WACH : INTEGER; C_PROG_EMPTY_TYPE_WDCH : INTEGER; C_PROG_EMPTY_TYPE_WRCH : INTEGER; C_PROG_EMPTY_TYPE_RACH : INTEGER; C_PROG_EMPTY_TYPE_RDCH : INTEGER; C_PROG_EMPTY_TYPE_AXIS : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER; C_REG_SLICE_MODE_WACH : INTEGER; C_REG_SLICE_MODE_WDCH : INTEGER; C_REG_SLICE_MODE_WRCH : INTEGER; C_REG_SLICE_MODE_RACH : INTEGER; C_REG_SLICE_MODE_RDCH : INTEGER; C_REG_SLICE_MODE_AXIS : INTEGER ); PORT ( backup : IN STD_LOGIC; backup_marker : IN STD_LOGIC; clk : IN STD_LOGIC; rst : IN STD_LOGIC; srst : IN STD_LOGIC; wr_clk : IN STD_LOGIC; wr_rst : IN STD_LOGIC; rd_clk : IN STD_LOGIC; rd_rst : IN STD_LOGIC; din : IN STD_LOGIC_VECTOR(7 DOWNTO 0); wr_en : IN STD_LOGIC; rd_en : IN STD_LOGIC; prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(9 DOWNTO 0); prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(9 DOWNTO 0); prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); prog_full_thresh_assert : IN STD_LOGIC_VECTOR(9 DOWNTO 0); prog_full_thresh_negate : IN STD_LOGIC_VECTOR(9 DOWNTO 0); int_clk : IN STD_LOGIC; injectdbiterr : IN STD_LOGIC; injectsbiterr : IN STD_LOGIC; sleep : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); full : OUT STD_LOGIC; almost_full : OUT STD_LOGIC; wr_ack : OUT STD_LOGIC; overflow : OUT STD_LOGIC; empty : OUT STD_LOGIC; almost_empty : OUT STD_LOGIC; valid : OUT STD_LOGIC; underflow : OUT STD_LOGIC; data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); prog_full : OUT STD_LOGIC; prog_empty : OUT STD_LOGIC; sbiterr : OUT STD_LOGIC; dbiterr : OUT STD_LOGIC; wr_rst_busy : OUT STD_LOGIC; rd_rst_busy : OUT STD_LOGIC; m_aclk : IN STD_LOGIC; s_aclk : IN STD_LOGIC; s_aresetn : IN STD_LOGIC; m_aclk_en : IN STD_LOGIC; s_aclk_en : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_awvalid : OUT STD_LOGIC; m_axi_awready : IN STD_LOGIC; m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_wlast : OUT STD_LOGIC; m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_wvalid : OUT STD_LOGIC; m_axi_wready : IN STD_LOGIC; m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_bvalid : IN STD_LOGIC; m_axi_bready : OUT STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_arvalid : OUT STD_LOGIC; m_axi_arready : IN STD_LOGIC; m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_rlast : IN STD_LOGIC; m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axi_rvalid : IN STD_LOGIC; m_axi_rready : OUT STD_LOGIC; s_axis_tvalid : IN STD_LOGIC; s_axis_tready : OUT STD_LOGIC; s_axis_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_tstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tlast : IN STD_LOGIC; s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_tvalid : OUT STD_LOGIC; m_axis_tready : IN STD_LOGIC; m_axis_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_tstrb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tlast : OUT STD_LOGIC; m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_injectsbiterr : IN STD_LOGIC; axi_aw_injectdbiterr : IN STD_LOGIC; axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_aw_sbiterr : OUT STD_LOGIC; axi_aw_dbiterr : OUT STD_LOGIC; axi_aw_overflow : OUT STD_LOGIC; axi_aw_underflow : OUT STD_LOGIC; axi_aw_prog_full : OUT STD_LOGIC; axi_aw_prog_empty : OUT STD_LOGIC; axi_w_injectsbiterr : IN STD_LOGIC; axi_w_injectdbiterr : IN STD_LOGIC; axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_w_sbiterr : OUT STD_LOGIC; axi_w_dbiterr : OUT STD_LOGIC; axi_w_overflow : OUT STD_LOGIC; axi_w_underflow : OUT STD_LOGIC; axi_w_prog_full : OUT STD_LOGIC; axi_w_prog_empty : OUT STD_LOGIC; axi_b_injectsbiterr : IN STD_LOGIC; axi_b_injectdbiterr : IN STD_LOGIC; axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_b_sbiterr : OUT STD_LOGIC; axi_b_dbiterr : OUT STD_LOGIC; axi_b_overflow : OUT STD_LOGIC; axi_b_underflow : OUT STD_LOGIC; axi_b_prog_full : OUT STD_LOGIC; axi_b_prog_empty : OUT STD_LOGIC; axi_ar_injectsbiterr : IN STD_LOGIC; axi_ar_injectdbiterr : IN STD_LOGIC; axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0); axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); axi_ar_sbiterr : OUT STD_LOGIC; axi_ar_dbiterr : OUT STD_LOGIC; axi_ar_overflow : OUT STD_LOGIC; axi_ar_underflow : OUT STD_LOGIC; axi_ar_prog_full : OUT STD_LOGIC; axi_ar_prog_empty : OUT STD_LOGIC; axi_r_injectsbiterr : IN STD_LOGIC; axi_r_injectdbiterr : IN STD_LOGIC; axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axi_r_sbiterr : OUT STD_LOGIC; axi_r_dbiterr : OUT STD_LOGIC; axi_r_overflow : OUT STD_LOGIC; axi_r_underflow : OUT STD_LOGIC; axi_r_prog_full : OUT STD_LOGIC; axi_r_prog_empty : OUT STD_LOGIC; axis_injectsbiterr : IN STD_LOGIC; axis_injectdbiterr : IN STD_LOGIC; axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0); axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); axis_sbiterr : OUT STD_LOGIC; axis_dbiterr : OUT STD_LOGIC; axis_overflow : OUT STD_LOGIC; axis_underflow : OUT STD_LOGIC; axis_prog_full : OUT STD_LOGIC; axis_prog_empty : OUT STD_LOGIC ); END COMPONENT fifo_generator_v13_1_3; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF input_fifo_arch: ARCHITECTURE IS "fifo_generator_v13_1_3,Vivado 2016.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF input_fifo_arch : ARCHITECTURE IS "input_fifo,fifo_generator_v13_1_3,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF input_fifo_arch: ARCHITECTURE IS "input_fifo,fifo_generator_v13_1_3,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=13.1,x_ipCoreRevision=3,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=1,C_SELECT_XPM=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=11,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=8,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=8,C_ENABLE_RLOCS=0,C_FAMILY=zynq,C_FULL_FLAGS_RST_VAL=0,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMINIT_" & "FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=0,C_HAS_SRST=1,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=1,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=0,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=1,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=0,C_PRELOAD_REGS=1,C_PRIM_FIFO_TYPE=1kx18,C_PROG_EMPTY_THRESH_ASSERT_VAL=4,C_PROG_EMPTY_THRESH_NEGATE_VAL=5,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=1023,C_PROG_FULL_THRESH_NE" & "GATE_VAL=1022,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=11,C_RD_DEPTH=1024,C_RD_FREQ=1,C_RD_PNTR_WIDTH=10,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=1,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=11,C_WR_DEPTH=1024,C_WR_FREQ=1,C_WR_PNTR_WIDTH=10,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_EN_SAFETY_CKT=0,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_TY" & "PE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C" & "_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH" & "=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_I" & "NJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=1,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_" & "PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_P" & "ROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL" & "_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF clk: SIGNAL IS "xilinx.com:signal:clock:1.0 core_clk CLK"; ATTRIBUTE X_INTERFACE_INFO OF din: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA"; ATTRIBUTE X_INTERFACE_INFO OF wr_en: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN"; ATTRIBUTE X_INTERFACE_INFO OF rd_en: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN"; ATTRIBUTE X_INTERFACE_INFO OF dout: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA"; ATTRIBUTE X_INTERFACE_INFO OF full: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL"; ATTRIBUTE X_INTERFACE_INFO OF empty: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY"; BEGIN U0 : fifo_generator_v13_1_3 GENERIC MAP ( C_COMMON_CLOCK => 1, C_SELECT_XPM => 0, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => 11, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => 8, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => 8, C_ENABLE_RLOCS => 0, C_FAMILY => "zynq", C_FULL_FLAGS_RST_VAL => 0, C_HAS_ALMOST_EMPTY => 0, C_HAS_ALMOST_FULL => 0, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => 0, C_HAS_RD_DATA_COUNT => 0, C_HAS_RD_RST => 0, C_HAS_RST => 0, C_HAS_SRST => 1, C_HAS_UNDERFLOW => 0, C_HAS_VALID => 0, C_HAS_WR_ACK => 1, C_HAS_WR_DATA_COUNT => 0, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => 0, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => 1, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => 0, C_PRELOAD_LATENCY => 0, C_PRELOAD_REGS => 1, C_PRIM_FIFO_TYPE => "1kx18", C_PROG_EMPTY_THRESH_ASSERT_VAL => 4, C_PROG_EMPTY_THRESH_NEGATE_VAL => 5, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => 1023, C_PROG_FULL_THRESH_NEGATE_VAL => 1022, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => 11, C_RD_DEPTH => 1024, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => 10, C_UNDERFLOW_LOW => 0, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => 0, C_USE_PIPELINE_REG => 0, C_POWER_SAVING_MODE => 0, C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 1, C_VALID_LOW => 0, C_WR_ACK_LOW => 0, C_WR_DATA_COUNT_WIDTH => 11, C_WR_DEPTH => 1024, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => 10, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_EN_SAFETY_CKT => 0, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => 2, C_INTERFACE_TYPE => 0, C_AXI_TYPE => 1, C_HAS_AXI_WR_CHANNEL => 1, C_HAS_AXI_RD_CHANNEL => 1, C_HAS_SLAVE_CE => 0, C_HAS_MASTER_CE => 0, C_ADD_NGC_CONSTRAINT => 0, C_USE_COMMON_OVERFLOW => 0, C_USE_COMMON_UNDERFLOW => 0, C_USE_DEFAULT_SETTINGS => 0, C_AXI_ID_WIDTH => 1, C_AXI_ADDR_WIDTH => 32, C_AXI_DATA_WIDTH => 64, C_AXI_LEN_WIDTH => 8, C_AXI_LOCK_WIDTH => 1, C_HAS_AXI_ID => 0, C_HAS_AXI_AWUSER => 0, C_HAS_AXI_WUSER => 0, C_HAS_AXI_BUSER => 0, C_HAS_AXI_ARUSER => 0, C_HAS_AXI_RUSER => 0, C_AXI_ARUSER_WIDTH => 1, C_AXI_AWUSER_WIDTH => 1, C_AXI_WUSER_WIDTH => 1, C_AXI_BUSER_WIDTH => 1, C_AXI_RUSER_WIDTH => 1, C_HAS_AXIS_TDATA => 1, C_HAS_AXIS_TID => 0, C_HAS_AXIS_TDEST => 0, C_HAS_AXIS_TUSER => 1, C_HAS_AXIS_TREADY => 1, C_HAS_AXIS_TLAST => 0, C_HAS_AXIS_TSTRB => 0, C_HAS_AXIS_TKEEP => 0, C_AXIS_TDATA_WIDTH => 8, C_AXIS_TID_WIDTH => 1, C_AXIS_TDEST_WIDTH => 1, C_AXIS_TUSER_WIDTH => 4, C_AXIS_TSTRB_WIDTH => 1, C_AXIS_TKEEP_WIDTH => 1, C_WACH_TYPE => 0, C_WDCH_TYPE => 0, C_WRCH_TYPE => 0, C_RACH_TYPE => 0, C_RDCH_TYPE => 0, C_AXIS_TYPE => 0, C_IMPLEMENTATION_TYPE_WACH => 1, C_IMPLEMENTATION_TYPE_WDCH => 1, C_IMPLEMENTATION_TYPE_WRCH => 1, C_IMPLEMENTATION_TYPE_RACH => 1, C_IMPLEMENTATION_TYPE_RDCH => 1, C_IMPLEMENTATION_TYPE_AXIS => 1, C_APPLICATION_TYPE_WACH => 0, C_APPLICATION_TYPE_WDCH => 0, C_APPLICATION_TYPE_WRCH => 0, C_APPLICATION_TYPE_RACH => 0, C_APPLICATION_TYPE_RDCH => 0, C_APPLICATION_TYPE_AXIS => 0, C_PRIM_FIFO_TYPE_WACH => "512x36", C_PRIM_FIFO_TYPE_WDCH => "1kx36", C_PRIM_FIFO_TYPE_WRCH => "512x36", C_PRIM_FIFO_TYPE_RACH => "512x36", C_PRIM_FIFO_TYPE_RDCH => "1kx36", C_PRIM_FIFO_TYPE_AXIS => "1kx18", C_USE_ECC_WACH => 0, C_USE_ECC_WDCH => 0, C_USE_ECC_WRCH => 0, C_USE_ECC_RACH => 0, C_USE_ECC_RDCH => 0, C_USE_ECC_AXIS => 0, C_ERROR_INJECTION_TYPE_WACH => 0, C_ERROR_INJECTION_TYPE_WDCH => 0, C_ERROR_INJECTION_TYPE_WRCH => 0, C_ERROR_INJECTION_TYPE_RACH => 0, C_ERROR_INJECTION_TYPE_RDCH => 0, C_ERROR_INJECTION_TYPE_AXIS => 0, C_DIN_WIDTH_WACH => 1, C_DIN_WIDTH_WDCH => 64, C_DIN_WIDTH_WRCH => 2, C_DIN_WIDTH_RACH => 32, C_DIN_WIDTH_RDCH => 64, C_DIN_WIDTH_AXIS => 1, C_WR_DEPTH_WACH => 16, C_WR_DEPTH_WDCH => 1024, C_WR_DEPTH_WRCH => 16, C_WR_DEPTH_RACH => 16, C_WR_DEPTH_RDCH => 1024, C_WR_DEPTH_AXIS => 1024, C_WR_PNTR_WIDTH_WACH => 4, C_WR_PNTR_WIDTH_WDCH => 10, C_WR_PNTR_WIDTH_WRCH => 4, C_WR_PNTR_WIDTH_RACH => 4, C_WR_PNTR_WIDTH_RDCH => 10, C_WR_PNTR_WIDTH_AXIS => 10, C_HAS_DATA_COUNTS_WACH => 0, C_HAS_DATA_COUNTS_WDCH => 0, C_HAS_DATA_COUNTS_WRCH => 0, C_HAS_DATA_COUNTS_RACH => 0, C_HAS_DATA_COUNTS_RDCH => 0, C_HAS_DATA_COUNTS_AXIS => 0, C_HAS_PROG_FLAGS_WACH => 0, C_HAS_PROG_FLAGS_WDCH => 0, C_HAS_PROG_FLAGS_WRCH => 0, C_HAS_PROG_FLAGS_RACH => 0, C_HAS_PROG_FLAGS_RDCH => 0, C_HAS_PROG_FLAGS_AXIS => 0, C_PROG_FULL_TYPE_WACH => 0, C_PROG_FULL_TYPE_WDCH => 0, C_PROG_FULL_TYPE_WRCH => 0, C_PROG_FULL_TYPE_RACH => 0, C_PROG_FULL_TYPE_RDCH => 0, C_PROG_FULL_TYPE_AXIS => 0, C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, C_PROG_EMPTY_TYPE_WACH => 0, C_PROG_EMPTY_TYPE_WDCH => 0, C_PROG_EMPTY_TYPE_WRCH => 0, C_PROG_EMPTY_TYPE_RACH => 0, C_PROG_EMPTY_TYPE_RDCH => 0, C_PROG_EMPTY_TYPE_AXIS => 0, C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, C_REG_SLICE_MODE_WACH => 0, C_REG_SLICE_MODE_WDCH => 0, C_REG_SLICE_MODE_WRCH => 0, C_REG_SLICE_MODE_RACH => 0, C_REG_SLICE_MODE_RDCH => 0, C_REG_SLICE_MODE_AXIS => 0 ) PORT MAP ( backup => '0', backup_marker => '0', clk => clk, rst => '0', srst => srst, wr_clk => '0', wr_rst => '0', rd_clk => '0', rd_rst => '0', din => din, wr_en => wr_en, rd_en => rd_en, prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), int_clk => '0', injectdbiterr => '0', injectsbiterr => '0', sleep => '0', dout => dout, full => full, wr_ack => wr_ack, empty => empty, m_aclk => '0', s_aclk => '0', s_aresetn => '0', m_aclk_en => '0', s_aclk_en => '0', s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awvalid => '0', s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_wlast => '0', s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wvalid => '0', s_axi_bready => '0', m_axi_awready => '0', m_axi_wready => '0', m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_bvalid => '0', s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_arvalid => '0', s_axi_rready => '0', m_axi_arready => '0', m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_rlast => '0', m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axi_rvalid => '0', s_axis_tvalid => '0', s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tlast => '0', s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), m_axis_tready => '0', axi_aw_injectsbiterr => '0', axi_aw_injectdbiterr => '0', axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_w_injectsbiterr => '0', axi_w_injectdbiterr => '0', axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_b_injectsbiterr => '0', axi_b_injectdbiterr => '0', axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_injectsbiterr => '0', axi_ar_injectdbiterr => '0', axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), axi_r_injectsbiterr => '0', axi_r_injectdbiterr => '0', axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_injectsbiterr => '0', axis_injectdbiterr => '0', axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)), axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)) ); END input_fifo_arch;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA entity inline_02 is end entity inline_02; ---------------------------------------------------------------- architecture test of inline_02 is -- code from book: subtype word is bit_vector(0 to 31); type word_array is array (integer range <>) of word; function resolve_words ( words : word_array ) return word; signal s : resolve_words word bus; -- end of code from book function resolve_words ( words : word_array ) return word is begin if words'length > 0 then return words(words'left); else return X"00000000"; end if; end function resolve_words; constant T_delay : delay_length := 2 ns; begin process is begin -- code from book (should fail) s(0 to 15) <= X"003F" after T_delay; s(16 to 31) <= null after T_delay; -- end of code from book wait; end process; end architecture test;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA entity inline_02 is end entity inline_02; ---------------------------------------------------------------- architecture test of inline_02 is -- code from book: subtype word is bit_vector(0 to 31); type word_array is array (integer range <>) of word; function resolve_words ( words : word_array ) return word; signal s : resolve_words word bus; -- end of code from book function resolve_words ( words : word_array ) return word is begin if words'length > 0 then return words(words'left); else return X"00000000"; end if; end function resolve_words; constant T_delay : delay_length := 2 ns; begin process is begin -- code from book (should fail) s(0 to 15) <= X"003F" after T_delay; s(16 to 31) <= null after T_delay; -- end of code from book wait; end process; end architecture test;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA entity inline_02 is end entity inline_02; ---------------------------------------------------------------- architecture test of inline_02 is -- code from book: subtype word is bit_vector(0 to 31); type word_array is array (integer range <>) of word; function resolve_words ( words : word_array ) return word; signal s : resolve_words word bus; -- end of code from book function resolve_words ( words : word_array ) return word is begin if words'length > 0 then return words(words'left); else return X"00000000"; end if; end function resolve_words; constant T_delay : delay_length := 2 ns; begin process is begin -- code from book (should fail) s(0 to 15) <= X"003F" after T_delay; s(16 to 31) <= null after T_delay; -- end of code from book wait; end process; end architecture test;
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 23:49:54 12/19/2009 -- Design Name: -- Module Name: E:/FPGA/Projects/Current Projects/Systems/OZ-3/ID_TB.vhd -- Project Name: OZ-3 -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: ID -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.ALL; ENTITY ID_TB IS END ID_TB; ARCHITECTURE behavior OF ID_TB IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT ID PORT( clock : IN std_logic; reset : IN std_logic; instruction_in : IN std_logic_vector(31 downto 0); rfile_read_addr3 : IN std_logic_vector(4 downto 0); rfile_write_addr : IN std_logic_vector(4 downto 0); rfile_write_data : IN std_logic_vector(31 downto 0); rfile_write_e : IN std_logic; forward_data_EX : IN std_logic_vector(31 downto 0); forward_data_MEMIO : IN std_logic_vector(31 downto 0); forward_data_WB : IN std_logic_vector(31 downto 0); forward_addr_EX : IN std_logic_vector(4 downto 0); forward_addr_MEMIO : IN std_logic_vector(4 downto 0); forward_addr_WB : IN std_logic_vector(4 downto 0); ALU_A_to_ID : OUT std_logic_vector(31 downto 0); ALU_B_to_ID : OUT std_logic_vector(31 downto 0); EX_control : OUT std_logic_vector(11 downto 0); load_store_reg_data : OUT std_logic_vector(31 downto 0); MEMIO_control : OUT std_logic_vector(20 downto 0); WB_control : OUT std_logic_vector(5 downto 0) ); END COMPONENT; --Inputs signal clock : std_logic := '0'; signal reset : std_logic := '0'; signal instruction_in : std_logic_vector(31 downto 0) := (others => '0'); signal rfile_read_addr3 : std_logic_vector(4 downto 0) := (others => '0'); signal rfile_write_addr : std_logic_vector(4 downto 0) := (others => '0'); signal rfile_write_data : std_logic_vector(31 downto 0) := (others => '0'); signal rfile_write_e : std_logic := '0'; signal forward_data_EX : std_logic_vector(31 downto 0) := (others => '0'); signal forward_data_MEMIO : std_logic_vector(31 downto 0) := (others => '0'); signal forward_data_WB : std_logic_vector(31 downto 0) := (others => '0'); signal forward_addr_EX : std_logic_vector(4 downto 0) := (others => '0'); signal forward_addr_MEMIO : std_logic_vector(4 downto 0) := (others => '0'); signal forward_addr_WB : std_logic_vector(4 downto 0) := (others => '0'); --Outputs signal ALU_A_to_ID : std_logic_vector(31 downto 0); signal ALU_B_to_ID : std_logic_vector(31 downto 0); signal EX_control : std_logic_vector(11 downto 0); signal load_store_reg_data : std_logic_vector(31 downto 0); signal MEMIO_control : std_logic_vector(20 downto 0); signal WB_control : std_logic_vector(5 downto 0); -- Clock period definitions constant clock_period : time := 20 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: ID PORT MAP ( clock => clock, reset => reset, instruction_in => instruction_in, rfile_read_addr3 => rfile_read_addr3, rfile_write_addr => rfile_write_addr, rfile_write_data => rfile_write_data, rfile_write_e => rfile_write_e, forward_data_EX => forward_data_EX, forward_data_MEMIO => forward_data_MEMIO, forward_data_WB => forward_data_WB, forward_addr_EX => forward_addr_EX, forward_addr_MEMIO => forward_addr_MEMIO, forward_addr_WB => forward_addr_WB, ALU_A_to_ID => ALU_A_to_ID, ALU_B_to_ID => ALU_B_to_ID, EX_control => EX_control, load_store_reg_data => load_store_reg_data, MEMIO_control => MEMIO_control, WB_control => WB_control ); -- Clock process definitions clock_process :process begin clock <= '0'; wait for clock_period/2; clock <= '1'; wait for clock_period/2; end process; -- Stimulus process stim_proc: process begin instruction_in <= x"00000000"; rfile_write_e <= '1'; wait for 30 ns; rfile_write_addr <= b"00001"; rfile_write_data <= x"00000001"; wait for 20 ns; rfile_write_addr <= b"00010"; rfile_write_data <= x"00000002"; wait for 20 ns; rfile_write_addr <= b"00011"; rfile_write_data <= x"00000003"; forward_addr_EX <= b"00010"; forward_data_EX <= x"0000000F"; forward_addr_MEMIO <= b"00010"; forward_data_MEMIO <= x"0000000E"; forward_addr_WB <= b"00011"; forward_data_WB <= x"0000000D"; wait for 20 ns; instruction_in <= b"00100000001000110000000000000111"; wait; end process; END;
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 23:49:54 12/19/2009 -- Design Name: -- Module Name: E:/FPGA/Projects/Current Projects/Systems/OZ-3/ID_TB.vhd -- Project Name: OZ-3 -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: ID -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.ALL; ENTITY ID_TB IS END ID_TB; ARCHITECTURE behavior OF ID_TB IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT ID PORT( clock : IN std_logic; reset : IN std_logic; instruction_in : IN std_logic_vector(31 downto 0); rfile_read_addr3 : IN std_logic_vector(4 downto 0); rfile_write_addr : IN std_logic_vector(4 downto 0); rfile_write_data : IN std_logic_vector(31 downto 0); rfile_write_e : IN std_logic; forward_data_EX : IN std_logic_vector(31 downto 0); forward_data_MEMIO : IN std_logic_vector(31 downto 0); forward_data_WB : IN std_logic_vector(31 downto 0); forward_addr_EX : IN std_logic_vector(4 downto 0); forward_addr_MEMIO : IN std_logic_vector(4 downto 0); forward_addr_WB : IN std_logic_vector(4 downto 0); ALU_A_to_ID : OUT std_logic_vector(31 downto 0); ALU_B_to_ID : OUT std_logic_vector(31 downto 0); EX_control : OUT std_logic_vector(11 downto 0); load_store_reg_data : OUT std_logic_vector(31 downto 0); MEMIO_control : OUT std_logic_vector(20 downto 0); WB_control : OUT std_logic_vector(5 downto 0) ); END COMPONENT; --Inputs signal clock : std_logic := '0'; signal reset : std_logic := '0'; signal instruction_in : std_logic_vector(31 downto 0) := (others => '0'); signal rfile_read_addr3 : std_logic_vector(4 downto 0) := (others => '0'); signal rfile_write_addr : std_logic_vector(4 downto 0) := (others => '0'); signal rfile_write_data : std_logic_vector(31 downto 0) := (others => '0'); signal rfile_write_e : std_logic := '0'; signal forward_data_EX : std_logic_vector(31 downto 0) := (others => '0'); signal forward_data_MEMIO : std_logic_vector(31 downto 0) := (others => '0'); signal forward_data_WB : std_logic_vector(31 downto 0) := (others => '0'); signal forward_addr_EX : std_logic_vector(4 downto 0) := (others => '0'); signal forward_addr_MEMIO : std_logic_vector(4 downto 0) := (others => '0'); signal forward_addr_WB : std_logic_vector(4 downto 0) := (others => '0'); --Outputs signal ALU_A_to_ID : std_logic_vector(31 downto 0); signal ALU_B_to_ID : std_logic_vector(31 downto 0); signal EX_control : std_logic_vector(11 downto 0); signal load_store_reg_data : std_logic_vector(31 downto 0); signal MEMIO_control : std_logic_vector(20 downto 0); signal WB_control : std_logic_vector(5 downto 0); -- Clock period definitions constant clock_period : time := 20 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: ID PORT MAP ( clock => clock, reset => reset, instruction_in => instruction_in, rfile_read_addr3 => rfile_read_addr3, rfile_write_addr => rfile_write_addr, rfile_write_data => rfile_write_data, rfile_write_e => rfile_write_e, forward_data_EX => forward_data_EX, forward_data_MEMIO => forward_data_MEMIO, forward_data_WB => forward_data_WB, forward_addr_EX => forward_addr_EX, forward_addr_MEMIO => forward_addr_MEMIO, forward_addr_WB => forward_addr_WB, ALU_A_to_ID => ALU_A_to_ID, ALU_B_to_ID => ALU_B_to_ID, EX_control => EX_control, load_store_reg_data => load_store_reg_data, MEMIO_control => MEMIO_control, WB_control => WB_control ); -- Clock process definitions clock_process :process begin clock <= '0'; wait for clock_period/2; clock <= '1'; wait for clock_period/2; end process; -- Stimulus process stim_proc: process begin instruction_in <= x"00000000"; rfile_write_e <= '1'; wait for 30 ns; rfile_write_addr <= b"00001"; rfile_write_data <= x"00000001"; wait for 20 ns; rfile_write_addr <= b"00010"; rfile_write_data <= x"00000002"; wait for 20 ns; rfile_write_addr <= b"00011"; rfile_write_data <= x"00000003"; forward_addr_EX <= b"00010"; forward_data_EX <= x"0000000F"; forward_addr_MEMIO <= b"00010"; forward_data_MEMIO <= x"0000000E"; forward_addr_WB <= b"00011"; forward_data_WB <= x"0000000D"; wait for 20 ns; instruction_in <= b"00100000001000110000000000000111"; wait; end process; END;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2991.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- package c02s05b00x00p02n01i02991pkg is generic ( N : Natural := 2 ) ; -- Failure_here -- ERROR: GENERIC DECLARATIONS NOT ALLOWED IN PACKAGES end c02s05b00x00p02n01i02991pkg; ENTITY c02s05b00x00p02n01i02991ent IS END c02s05b00x00p02n01i02991ent; ARCHITECTURE c02s05b00x00p02n01i02991arch OF c02s05b00x00p02n01i02991ent IS BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c02s05b00x00p02n01i02991 - Generic declarations are not allowed in package declarations." severity ERROR; wait; END PROCESS TESTING; END c02s05b00x00p02n01i02991arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2991.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- package c02s05b00x00p02n01i02991pkg is generic ( N : Natural := 2 ) ; -- Failure_here -- ERROR: GENERIC DECLARATIONS NOT ALLOWED IN PACKAGES end c02s05b00x00p02n01i02991pkg; ENTITY c02s05b00x00p02n01i02991ent IS END c02s05b00x00p02n01i02991ent; ARCHITECTURE c02s05b00x00p02n01i02991arch OF c02s05b00x00p02n01i02991ent IS BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c02s05b00x00p02n01i02991 - Generic declarations are not allowed in package declarations." severity ERROR; wait; END PROCESS TESTING; END c02s05b00x00p02n01i02991arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2991.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- package c02s05b00x00p02n01i02991pkg is generic ( N : Natural := 2 ) ; -- Failure_here -- ERROR: GENERIC DECLARATIONS NOT ALLOWED IN PACKAGES end c02s05b00x00p02n01i02991pkg; ENTITY c02s05b00x00p02n01i02991ent IS END c02s05b00x00p02n01i02991ent; ARCHITECTURE c02s05b00x00p02n01i02991arch OF c02s05b00x00p02n01i02991ent IS BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c02s05b00x00p02n01i02991 - Generic declarations are not allowed in package declarations." severity ERROR; wait; END PROCESS TESTING; END c02s05b00x00p02n01i02991arch;
-- ------------------------------------------------------------- -- -- Generated Architecture Declaration for rtl of inst_shadow_a_e -- -- Generated -- by: wig -- on: Mon Jun 26 17:00:36 2006 -- cmd: /cygdrive/h/work/eclipse/MIX/mix_0.pl ../macro.xls -- -- !!! Do not edit this file! Autogenerated by MIX !!! -- $Author: wig $ -- $Id: inst_shadow_a_e-rtl-a.vhd,v 1.3 2006/07/04 09:54:10 wig Exp $ -- $Date: 2006/07/04 09:54:10 $ -- $Log: inst_shadow_a_e-rtl-a.vhd,v $ -- Revision 1.3 2006/07/04 09:54:10 wig -- Update more testcases, add configuration/cfgfile -- -- -- Based on Mix Architecture Template built into RCSfile: MixWriter.pm,v -- Id: MixWriter.pm,v 1.90 2006/06/22 07:13:21 wig Exp -- -- Generator: mix_0.pl Revision: 1.46 , [email protected] -- (C) 2003,2005 Micronas GmbH -- -- -------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; -- No project specific VHDL libraries/arch -- -- -- Start of Generated Architecture rtl of inst_shadow_a_e -- architecture rtl of inst_shadow_a_e is -- -- Generated Constant Declarations -- -- -- Generated Components -- -- -- Generated Signal List -- -- -- End of Generated Signal List -- begin -- -- Generated Concurrent Statements -- -- -- Generated Signal Assignments -- -- -- Generated Instances and Port Mappings -- end rtl; -- --!End of Architecture/s -- --------------------------------------------------------------
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Aeow6Dar+wPwyCuZ3CpouVllPxC4llA1Ukm5brPkUf6jbA6jqKC6lQwebzsMw19h5bccH9idRwDY jemL0fBrsQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block fhH+aqlEoKE+JZq9qghNfSjPHdRv5hlwG+33iOnHAwoQz3JLEXCjbjK+/OkJ0VZ47mEYRGFh4AMB Kd5bYAsHBVfJU7e93cOCmqMi6q1NZ1B4TYSa9cbXieENl3lcMAWDjVXehJfBz/WX6Dwe2sYVqHas VIMFb+sNilgPS6HDJY8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XK10objy6h7oUNp7hJFakI8VyHyBjdmYLutHMeS7A+cEBZkpecVT07LulHHgQ6KKziOF+tKEi8wJ SseDgde3hi0l4iPQkOV10LTfLrRvJhBfeIZ8giQp1t0SrhVy6N2SSqAn2eht9NkZHhhTN2ptQWFp 0WxnKaCc1sV3GIghFpbS5rrPhG5y92GuyT5FWa/h5Ldzc5bI7CyoJ9vYfzMq58minDKJ0Jm4Y+wp yHLZA+Ov0xHxm9GrfwhCkATL3ruMGZYQt2s5zV4QI9wQ2UIEz+JYy8mzm4GwHollMtB5NRf5scWn l79oM70wWXF4vtffYUC8HG3KNp96Na4QKpBYPQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Ykye4tSzDCZpeQ8JRXb0ob3ATquwfCaSG/ly4GVsy4bD2TWi/SlkZna7zRiLnZAzCamGizuqrWRL lhFyzoZ23CkJDaAHrP4R0DVjNPCXgacmijI2x8zSxwjKptfeusObi+G4cJ4ea9XYuXHdvqkbssiN HQHbZSHeJPlG8zIt/vw= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block j5byzLdNMnEoE5qwywfZ7XMWUUDa/vRU12ws0qpEN4GtoTZgc2FboDnnBkgSUXUolpwgKX2KPVWw P1VJmwcR15FUwZQrqh9sbS63mL7WHPSLNYElbx4uy534LVuKyABLlnHKjoHmVUtp/4E0ZuLGoImt /zsHn4GgLIE+tuBcZ3jTd5dxjmeQWJivwwjH/wFwCAVczK+9bLnUfJbXp5EAOTA495Anp3M7uHef CqLawyLHuTbCQrqf9W/UvFrFP4vYRwsEsllUJ8mnvN8qELVwvhBehS0LrP1gDGa4Rus4OZzQgGv3 gxc6OKVuHGv/NA5wtcEC907wTYphLFlAs3rhjw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10640) `protect data_block XaaX46qy68UqWKNhl6P0kvmryxr4rk+//YoD6Qd1vz1XwvlBrSowOiWseLIdjZVaLVSQsAZEEEbH taruDjzbeB/36r4LzPyjacu9onq4cHbJT1iRyfeVlvKnWI/Gsrw111DHE7A2xmO+9dRKGvAP1xE7 X0tdnaEWtm35c6Gl3rRyu0rPNiajNMIO91N0FSKHFdfENMpiICiwwVdnzEM7wDoUa+saad7Kt1d/ 2n06bZD73fIKRMUlV4iMtQbt78cEhgHzMUl9EDjJbbi/P1jAFPlqis8FFRdDwtBp/MPAPi2lw4RG eHCvyd3lFYPn4LkBibxm2Kq3LpzDBxZV7/hrhH8bvm+b/qiQSu19M8OkLSnK3W6Er4k0/bI824KK j+IFYCEXoqSWXNSC/vQ3E0Q3MZ/xSvbSe9ts+SGMJ1JdWFdsSNs/a/mS/JCX9zg8GpUacbK1BhLX QqElh80gIKOfuipyxs4wA1eXIdvqFoV4+UTYaGFFYxdc266xmDcIUOCRvfES7zz8AElmDT0mlOLN hg8ibgM6eLIQzlWAWThqaHXeKVBuaheVxmmuZTUk6ZpAEMBk37KCM8B8UY3UC/ywvqc62Rfv9QdP oIDE8k3gq+oaOCLTZqnBDS0Yoqte1UZM5yDh8Bez4znfX4GXu0RgH+YMrqNIJ7yC2y/Ay2xkIbzj COLsATDLvNFhOHBHHtdIivJ9ubhmVSLj4TJVLzwVkjEEFVkXKxV1ZzwqYAT7q3jAB7XGQY5ruvTT opQXSDqBkRm9tUy6EmoxtV8cEpiihyev6v4q71biyc5k5Oy1Kf4/7hoHkmPw55x03sjxFo0pKajs 884THddTnuJuKYeu8dEJfOjVlbBsGf3Q6Qij8NCpjGjZ4qD85b0H0LdqHWwsjDwWRIGHioDdkXEb 3jVmKmSWlBjUOci8qsLSeG/87OEJIPP4Kux905nuqet30pLUnMNofu8l4nJWe0LQ4GjtQxhMhaKB VYrqfxo3E+YD5X0ZZHpqXvfNPMUocoJbniGL0Jh1grYUI0+i69dy4yaM7ElhylmjT92EAnSXxC2H 8QUKVVhiTo2Qea2crXme7es983/YCUC0YImKfGHKw9wuUaBpwpIa2r5y/tuqxX4kTJqXDgcrFG2i R+ikysXTMnJH/11A6AzK55TN55QOoUXqH5325Srd8+xXKQr35bkK41GXgXurTlT37qyr2+RCIn9w hSrC2650flFrOx/aiWi2Pb3e4qtPQOMvUOb7hYWcyhspNBo9wjzybv24tKFaexRsN6M7u1rBGHVD CNFY1crygF0pSZGM/9SoNCvlyxs1IlH5qpnbL6WtUbFy72ufIDopplU7jJ+DerIoVotpvygkaTnd z0Mel+3vH05Niq7Aw0UsfPO0ptDHhoidrnnmFzzlfPlgC0LWFW5MPLuKdaC24y4l9tY+25ZNnEkD mDdXzbrvFAf/jYeNpOPlO3cmIPyMmVlzo7MteLPissRrRiJTB7sHck8rQauTYCnfH33jHy0ujh1P IxLD1qMVdaX5ecjsXL4lfnhzHg7DehTpbSBfMIc6st4574pDCLjDPwb2FflUoRI5i3/wckXfqP4k ByKXO1Om5fAdi/huU+FobYD+u6YDGw2WUauqUQFSFdmb1HvPOmMvx8pzF7hugyJhLC/M6HgcoENc MJgF8PTLOjPOaJUNcNyMVn5SVSvwbvP6hyZ1R/pV7swwKfqG1yt0C+1/Cjov2NHzzZo3pDm2pCq4 WwqzJa3ZQvzaM2mPXi0ECvXg51DdJRKz9hjL83QOyB/uLX/jD4znMbyPwDIXQBy8jgxpDSDTUuUL Djhfwrbf7aZCd3pJyk5W2m3ff9YEV1Gec0TX7F3efsHExmXWjib3WidlFpLw56eySBtCZWbJVm6l mk2uTlsxPyeTceVy01Ir49Q30Ds4rW0c8mNfn91GUVNqXEPNCoy3P1a+ImaDUDz7v95KjOEmFTcA vaqKxBnVFQCKulzXK+EMqSUJ82GX2iZffh45qrQYrnSMrxr5Texpq8zN97U/E119XBW0Uy5bwoI5 gdFsbeEBmPJPrkTzICQFiYrWVXK3n8wflI2F5VlNsQwg3VAqYOBEVM88AFxy1FyjK5YqOl9u1Dk9 PWeLh44uwqyiIH0CsADeV9A/dcdz1jcLFgXDJ1ugwB+drv+DVmaDUlz238nihtAFElREZkmoh2zc k6sBlKT/7eTIrhUOyOze5gGi7JdS6Cf45wqZThM0WSa/n6e0CNXYU+0KIXjd+ayDOU5/HIRoou58 KXuRxykUoC9ACMG8Bd6y5BDzmlrMAzu007itK9SgcSUQG9g4QFSUnWD8wH2Kqdnoxm/yIvpl1E2i UPuLkHNNKBilmslLQFNS2ZUSB1hUgaM3jeJI3wr/wG9oY8YCaGlFKG3SjG1ANBMvCrqa1UarMgRo U/etLI1MAPvwW5PC5WTdktIyYoBjtFIqVrhmJmC/bWjMVbdpjd/KdMGS1LEwSGX4oqMnSa/6zeen N5eGkZy8XduKShin7qqBlh6x64IBtxUDd3esalewLOk7mCRBEZ+TMkFD/nhQwzvq2CrpaStTeMXX YJqNvNEXagDli847bHFETVLxGDwBRaQPp1ZbzUKzZJidgiIbteHHdEq4I5V2OS8eoLP3I9l0DncM srtJtl8M5YGnpBFaSoNET37p1nUpfuZrm8IZUKZCbX2CwFnWFHu2kkqZE6qGo1j4Iqe02hiBOZ+t Ww36rBxwNvLA51bVHx/QXBa5GX8mYau/UiOiriClyY/oiUdcSGdZ+y+Zz7vK7iqVn7JxdN2mC4cr K8uA+P2Lj2ogmw2eEHsGacgLI/DdZN4OpQbou9G4svmYCGQrjbYakKh3ExZMuakcEdI3TP/b1GP9 rA/6o1sZV998Y+pCZrFo4RfewAUm3y49IfH/fIzZIMBKesMNNch7okulcb1xjvZABOUlOmkBaVOf ES9vVbu87EFbCGqs36YhrgsWydj6Edt79GnmaP0Eoy+aB8Y89z3ZteZdVat1eSEM+arei21z+sPa RWEvA8WvLE7FhKfTdEFYE81+caHyhiYDXd14S0PfvH/012cF1LGxmvyd8hX/DfDEnozb1tzCu5BU UqXuQUexe9SpeW8odua/8NDYM8DwLyp+35gpk6hSTBiDUlau8l+OLEaLQOANkcZ5laWPZXPgVq6G ZYeMhx459P6nYDNlhLgk1hcDi80ivBSZ8WI7rC8cacEZCLQ0eupjifJwswyX/EWoOV2g31cQhoMP K+19RA+o1TQC4hsQD1lCTjKyCLIvspOL11Vd3HidttiwpASG7lXw1HaVi/Gg/n+kJGXbsUiZxgF0 wVmmPp0g/9Nam6Y1WuMO9vymf8DnVQa4F9/xVdGNIsgHlmQn2KO1v2HMs7Z2Mp+U/AwgBKcSS6tg 4sAw553GrN38e343kMtvm8Tp1D0t+bCPew4jnfdU0on32mPt2KJJVy0ghJaPP19pDVAekNADcMWb kSX/46jDEIf3pSkACzItZwBG8FrZkHb2ay6fp5zr5DNC+P5sgbrGraXqUa98rTBa5jduVBrFcwzJ rdZi6PVhAEjwN/NAcJBOD2QIU6y4v7fm+ryW4+5qOOJoplwqFF1mWGVIm7K2JIP7apQC2ar5396d TWTTN9BL8rLc3hcMHO+lLYU4fzFo6asD6tqhjtiUym9oQ6Vp08sYNXY3ld1dREElLLw7ZT4ZVrdB iUoHJ5fE9NvbHdoM3zxFQKS8tpMNRQ9twbkBqoQgSM4yq1wfv8sju3sUUf/+/4O7fTIJVXLJaVxV ztfJa5XL7ltiGzk5cNaqUg1Qfb397BeSq6WPRAoqYmknTSKVhRpmA9b72YN6bTXcSfK74VUhc/gk eZXNkMMGjiPXiwRIx0onSU3Sg5PKpjnPcZboQjGvrgXSI3AWHnYNUp//yb+/dPwvxWZWlGNAg29Z Eg/opdacFU8zmY8HGIrG3FuSf/PdsxqSbysvFoN7E1V3s6cUL34w78dx03M9Wx2Ypvw/NmLkaET8 FSBZSLEl5Xqnxki07wm832GygJxEdmqKrw/XMLGHYFmZHwkQ2xXjRSZioYhMHUx/3Y35OgTyrLRQ 74wuGEPE7huGj3/YyOgUyVwo6XwtaEuzkzXJcyUf8lDz4ea1eepzbK5/vERaTsN77JB1l3ijqZlo 2JiosbfmB0+gzRhfAG6PliUYxjHmyWgQ48eW3bf4MOcFFHvBfI0QZ1Mv6RM9lX6Ab5M6bQnk3gnn EYUHQT5+mPL0unm4w9/vGGJaWytYQWJFhhRP6Jn9datq9ujQUxpzJJX3qjiAJWkyNKpTaf6VjqvQ pR9FA4D2xDpWMgWL2/uPRKGESXOM9ZddA/GN3/+/CHrdyrA/8TNcouFwFEQUFToBWoGj8CpHPcrY ugq9X4tBAn/heugNCvAsNjWXvhxRKodcPtWFAQIJKPbW3urQ2ejKNHfrG0To7g2A3iVGfF/krj89 0YKqZzaZnrH+TquS6zUMFCMUtgPh7Prsco76YxF0K32Wnb7ISeUd/m5rZjShrPS2CubHQGWzAbZ2 BDvzktrrTIgZsau5gagzBnAhOYoOYQ/+n/O4Oe9jiwOmmpz2PZ3lHVqT6UrOxJumZr/V1rXB60VL roAXQSb4XkAkRbjBx/iaumPt6DxuDTVXvlRF0/ynOEu1JCuC+rhRReGQCaOyojmG7sUVZVQKEQEt P8am9UOSlDXzFALDOV7TinKCFwagVBwJU4aLe3vBTZXa3l65F6H1ljOwHAo0nr1keXSXrbrRQesf bvMfcOg6YY68lyyVNI+yENryF7n1vYHL9QtqReBbK1InVpC5Mi9R1yzAr6srXyVhTNwlQ+pfx3S6 sNKynpMhDezpDoH/uqJSU7kxUNBWYk18DrFbze24ApgLjuRG0dTtKq4+Me8kr1wv0wmwfnhY3CjK x1g2xx18LW5tjkphrX34hy2WAfqSpGREHa7TPWSKzjH8R/6FP/WCXcKFngT7NBvoj3iEX+lboE82 IwjRV6K5zj0//DP3qKymWLewgdaYtotui8eao+ubCvFfbCnyQB87D7Z6xT5ogepjtaaWqmVrO58B q9cS3wCwkyeKEC1rbRg4PuGzlViVYIlDYJlFIwAZzrz/VczToK+4bci2Yg26zRleYyiOX1NVOXKc 9/OpIxtiwPjK+6jzmw9DzVKfUdyJhzXGRCTuJPd2hnVbArPngk+jYh0QcL9aMvGAr/Or13rEkhqB h/GfvlhAdPBwbhugKFdx0jTgJ/IhIUsr1+8IQ1wn7U15lpCi4Lio3ZsLVDzRyDl+sqDmMNJEJfQX rk8O2WafUgH2MjQLy+p16VqLF5uAh0SxOoB0OGQyXhNkGIZO0hRQv+7H59aDq5QzoiYdkG0/Ie9O zH6uF67pjjfvhkH1DiRNjRG2laXVOxf8tJdn8g7Dy0yWnY3pj/xIefATvaqvKta1yyoKq4FyLApM aK2HJX4bZahhiGHkozIiC7pJvyfppTSJqWYB/TJJST1opH8aOr4aAcJrOP9MkKnsU+t5vtWOofoT EOioht8/3EW3FW/LfSTHbNPRE+7VDwCUdj97TEZHWKVg1md/BZV7eDBu67OR9ZlxY5kD+Te2RTFG hxlIT/09tfzkozSG80KCnieE4SLJ+VJIrSj08x4QudkjQm9wHrajkQoZ/OK8oAcKzyZzhBqSfI07 IXasMrhgHrKVuXkA53ShF12/dEH5l6T1JYWSBJQx6f+fLS3Puk99rSwPmM3nVEkRSXrkPSDksH2I qhs+KGLaYhKFhntr0gGFuTj08fiVnN0x2L28yxVupr8AHq7Ua/SOZcF/CTw0h8yo1e8vPLZjCdFA yiXNy3ysdEq6Zx/2vIwPafP/twwhiRUiCV3seSK+U4mf4SV5LPetWwWRh9aBYW6jLSjzkhk8dPb2 78//91vg50FIvrxRfMiUqUwJfz4XFf3TTSBm2Lw4vBz0TljJgxrfag0AnKnkeLkVRwpVczLFKq2l aFmPAmiImmrr+0UeDWxy+9ebaxQJS1+oqOWBISZKU0YWSm8jbwbr6cAQcicsIZqcY4popsw+MC7L mwQy0S61n6cSv8GUT9BQILkGIn364/Vgj0nNPs5Uh1K4LcOm5es+RUq1M/J/Vmb4XoK/jEGkbmly ZerjlvbA1HAHglD0jQigKi7BMrKV5FZumbUscMiV0pN4RUGtc9sxKqZZBCcIWDoisikPf1q7hwiv +n1IuheQ2v9mU5IoVtRYPrgsizVdb/ZHJS1H1DvfbCU4afxHeDu0uaXWDhsxD2OS0DlBJa6vK4Qe ukWQxcFDMVC/GGpuWpkt4UxeIl8qMIq77uKwBQI7zr1u9LUEshrNtasunnSE77T8z4ythRc4j9S2 7LoG6gv5XuvylH+Ro/vSUgKEH9FQsCcpW+3OiURSU6Nq90VKul3oGZJXBeUa2iUCTLzwXpZk228I pFfFKG3peHI0apjBJrG0gvxZeT8yQZ2U/KvH/qzzK6OlldlHDLuXRILbHvBP3tK3UpxswHGij4aH 0CI072fbrLLauZoPaHFc3inuACGYpfjbxBGWnwiVQF6uMbYyYbv9uD8in5L19uOXlH0i+SCmGI4l RFCAeijkDUsWG3sXd50uPm0A7e57M39p39wnq7yrEeQzAYGyI/IAlY5o/cMWuC/1qvujs7fvAFb4 qHkVA54T9xHmJowCNXbx9pU7LD4OnhqR36l2L0ZYeLC0lfapoPumymKfpRb5opoy7jRipBA/LatY LPDlnk526GkDU9+HxoDKI9JhJjEfbhH1IYux6PjxAiNVNVbZiUm0DJONxWqEDxlEBvxbILIbHyXZ 9E0g53akhyuaa58Hvhqpv0eHiFTTfO3TruET4jw22DvsT3romF3Em2ocvI+Mp3kTuCKBK766PVJd gZdJE7qeKroyxvCjRuv1zkCa6pMz3H++e93UAqs2XSN0YGfHjDv78n2cJHWBWApGssQFO0iwn+2d zek0MojIIIAK3BUycDDI29y+hYibopm/REBeOZimqw5rQU2AMjy05T/9v4sQKTYAXfVa2EeVekgJ /i/1TzUJBDxwOEEUt+foqUBGoj9ugLssQDz2EuxI43mBNWyHjZVaFuRg2R1zs31uFJywqJ9hETDa LfZrxVUkg18oZE4OoqH28uRjk37CiD3FEaS1ZgedmlyAo7n7R/4NPr9XTjc4SxLKGxWQLeSlyspC URs27GiRX9h0HkljkKz8M7XzM3qP173da5wTx6nYjQIPxmXjOk2Fi1snF2vcRiBtJRVnGabJ0aIN j5yxYf2xsuvZgTvaQg10ztQMRd70Y7Vr5UE0Y/+ItnvBL71MyWMBtp1wTOfo9Cusds/DlvBbqBap ERD1onWpt1nqnr7+ewlczAzNKTndQy481ox84Zk6O0mURyxd6SOwyhsR/1xzMp5OiRFf8DpUzMCk blK8KUgTzBD8v6zL0WkiLzIGd2ksM5QKGr3QNDA7edgJ0Dk0wRjNMP2xUCt7mRmTsH3OzmW43iAx VZ57JZOhyniOF5KTpEU/kBe+5yZxatoRyow+2FMq7ehdb9j1pC2XF8kvqJqI4MRZOevYs3ZOJN/k /a9+5zZ2vjn8GOCgOH6ctKOoTo6fPgoJU7/0g2VqDlin7dLdYrFBwJBJsZCazlj7i3KlPIQ1YmUz s64JuXu6z8yZ/2EiyQka+YxXSilBw3G40Oks1XfXcEFlfH8rvKgPslsuvC/5UpQa3dj34UWzM5wp XfNuHlnJTcOHO7LTuaDq1+VqUPwHgAKg9b5tw7R4viD+1ePHzta3UOwhqH1RUCeY0Y3QrXjtV3pH kK/xORBDJGWw1wM34OBhlbrN58FGxlg8/+hIlrJJ8EMjjmxtc7Phfh783WYK+3jhiL0JXzKmzn+W n82FjN7/x0YnDOEi8IlsxtdZewZDotAv2p79ulTQsc2eySDthyAMxcS6Kdu5URnOUelZu6e2Q4eb 1qk97D7LmPiC2S7Q9RdT6ZibEwMqqDWqn5wUwL2MnKrZ4uarOgDc1jYhjIgRyuma8jnYgIK66xYf CWz6yb4n+M7E65+tKb5zdFfgy/dcSDCtTVlSNPVGC8pWDURpTxaB2RhD/rr4L1Y8DEkDIceujeyp fSgkgY6/fiU7MTUjKgWfpM1fQa22Azmvj39hFuKAe0zeOvnMUylIHRto3FlBduydjPpekf5PA+PA xqqtMz4wmVrKCW2e8phPBh8tsUGyHGRj8r/xKX+mOTYnn7PwXf1VMYFVJS8xed3P7xf+GboeTZkP 8ZJNa5Hw8tOafJ55TUYcddlfNL5ja6kNJ40VmBHcoDNvic0JSglgX1iFnL8Iv7yoDTsqe9GXl5zH /jPvVRkrAoGdXzw6gBiDgWVsbrWb9sCD5Qe0vhrdUgyrmps8bVDtuYX6dAzWaesb/RjxzP2ZrmDu g9qSCV4eYscJytdqzCk0A36Wm6NhLze/NB+xWtU+jCrLOhFBv+Uu7y9W1yljhnstlgzPgWuAV1Ea QxoRsYOllHJtG5+5sR5EkOLhwx3+GDI/0gZ180sHKs1X0X/OveM2vTuiw0DHgjbvAInp5Ny4kOcw +5gTATzCTrX2l5nIr/0Wa3OLKIShnf5dWB1asKlbjA65MSQ4qSuQFY1Qbr00Vwpc9X9lHLNmTNNc PxElljPJ1CH5prkSriiWwkPmgXSCimKmZ3lO+IVMRLIIYcbRMswadAoanFATintn7jr382jCiAfq 3ZSUn7XuYUWaEmvN1wxl/WPeOZNDv1+9kCiS5TS8M1ZTVqAK/7dirilojFDELoSEFevrBX4DKjHY AZLbemyOw1Dc6m/+iZRU5orcR7WI88UsbjzvmJgE1fbEsNAoeK83ExGpNAMEoAvMpPh/mPJOBJ1X qz4RFvFdUBNSL7LNyRJnkQG3RNS2ant+b8PDTOqU2n+m0c1NuWJoagkNAkrKQ0u9ytv8Cl5npPhJ zhf3jCLhVZfOx89jSVDnKPxXTo/9OdmbbOxqDNOmGwqsVcfQ4I54r1WkYjpirW3j6hslx4H7w56n 5iuORS14/RDQDiA8ekEypr8m0fl/f4Fff7vyEN/SnYKMoqivk2Vu638SQhJbvsKnhLUGGp22Ge1o n5iSSkyAZn99/l/53g/iqrDD8mj8Qgx5ONGg/gyY53FOgXQx6o+yjuJWl0bEOto4NzS2hORyMH/q 9bXJTebK5tTl+fRdopQ6tRtGLMxZJMtEpeR7IWB3qtf7+rNH5crxBI7XzpVR+iSRwAONAlY1v4Wv cPR7xPg+PgS+4k0rxgAec8M93oanF+/cDznG90rxxyOX13LP2WprKbWALSfazEGJ3bJYI+AmZJXC TAB89EjG2wQbCVErrTyC8B8C3D1IQVRVXu7XJm39AkVXUpx76VBwbb2DZ03rnc/Yy3uXWZBMqyNF UDjOAhiZq9uThQU3xFpjF/hG8bQm2jxtPhJ9QoOy4G88HjnPXly3McH5DEXViPq8vYDEhTjE97kd WR6jdjYEUxT+DgV3LrgFO5oIEznGKODhMEwWANZd8eFtnfokEJHMjwMnLeKSeEYUrEgxVZyTnDEv ZNW8mQ7nTxanskGGUl2A1/E9t2j5/kw2VI8IhcF4n4FaFNSkRt0yDwvuqi+xlN8xf2ujSoHCsgke s43RW2s6LhQvi0q6HRLlQ7hqNcIDoeHuqF+4deDm9np2D17T80XlLxGBslY9X+glpKwrE6YoNaRO DSxBfaZ8AEd4zC4xWqCbFxVLpZbN2BuU97sbqi2YWsHv9B05W2orOpXHaJ3mMGz1q0zca+/QpWbW 7kNBBH5AjWhej7T8zkfbAcdU0zgocRuNLWYmdQwGjN3iHwt0tJHt8FPAREirW0u67W72EJ5M7XXQ aDGKh0e7WrjUtqGxyFte49qWkVTcZGz3ZgYMKjsU46xKB1MxkEPkomSiKQ1j48vvSTfzWx9cBlI6 FVJmK1TA2BK4adl4GdYdAZQ2Plk+oQ2/Hq+tZn4xS6uxXIhWTo9fim01O0I4nTgCu52uiK8svlVN 8cEdiEknv/AwkvUjxxH0MyUQDKxT+/vdXfE9L5eSOhyHR8ROIhTBHJu8aPDS0jHiY12F4VFY2KsR twr2MbNiElC/q3AMLdV0a4S4VMHU5nifucPYb63s108ZsvRnRm0kML98SxJTqLy6cjVdEU3j/tF1 pf94gFqoUMxUoxC/IDrNwpFNT37a5c4+c0tRWiFcGxfbT6bP8INX7wskWtxgIw/k3sRYLAzlq4FZ 4tundeJmBv8KKHAVUX60/fvdYA7X5arjovtxTq79lftbfQlAqWbvPfamE67TZNzGSu87ectnKdgG kFJ/4lqPieLl5h0vBuknzvqLRJqnY77B1gDdz8HjfOA3FPLG2slkMuEXrInbV+7Ha6YZpMojVdGj BVK+0Q2HBsvtfrkUBn9MVpw22MvTII42sW7xj/vfn73URuP/NmvXuWCX/7MX/rLvW1PwVHdMWHXP llYS5A+6m/1mgnPJeAS077m/hSgw/UXSw/Km6I1pLhdAmQVsmdDXlFMA2WWFygl44uM89OxXan/R z6Vw8Wtwx+eJ8fJxLtI6crcqFFFuy7tIEYIf68gMvTZYB2Ronn9M/hmmgPeu97ZH0CaKpMo6SO4Q GlXk6KTArmpz1NoNVPvwK59brYbvheOMFJX7FoUgI9lTci9njl5gkOYlx82U5Rrel4um4GvpvPpn hg/+KvFj+iCGBynXHXEZPW0a+9HGiZuvjI0NI4Df/D8rdjRXjuXQpXv0taLeHgcaKy2Qo71A2if9 ez6BYb1I26Dw8VDQBi5QDsUVPhovrAZgA3jlHT7K4ysizzcO1RXOpakjr0xsZgW47qsMjOoWsuKN vNQNIxvqgEKlk5f1xIO8TIT8k7XU17S7Oz/r7VcjTT2dFoHoY7Su8hUXdEtkHElXQFOI1Nf7KzMC 6hwBPOx2lVLIwvQxrOLo6FT6J9j7wyspxxg2kv+n8SRLk9aXZLYcxmitpepGKU1s0bXCGlptKyUi GqlHRzD01mZjYoExBgkmj35vMkbkkd3wWbKZYZT2EuwXUCZyhn00Rtl1Nh2lfGmaadF8XwKzVUBi 4IZzzJfzR79HDWyiH+wp+oC5LEd+1olj+7Dmk5b8S50RMXRNLwZNynGkEVfUiGPq5GPETeR6lQ8f QwNeR3fRkfVsUhFBwQoxEGGFBEDNJPAutL03tz91j/+WQYKcoosIi74rcCgfLPKeZom8O7jCupxN xoBNEqEiDwyoe81oc9hQasRbQFqXg97PkAQ5lBoa6IuQVVoI6//9S/lXCZDu7DJ7sztCqDAmE7Yr DIZ/mejxCApL/61KUGZUj6Uwc+wg/x5GDzMOgY+9ttnzkTUaenkvGF+XdOrU2S+z1dznzSd48q2g gW6jlWiPRzbnRkQTMEyZkeNx5QEZ/qWKVxduJlQ9VhI/TCyq4SZYR1SsdS3g6ipga5yNt2vdA7co 3ICv1J7bfhyY45U7icaN5AcCVhZf/OMkRF204Xot0T+KqUu1OggUEw8MDEW59B7YDcZWR+iJDFtM E1XTNdSux7zD2t2wSqVTIMFNL9aEDwnCKfExdwQApkKS5hBXWY66vikFQPu6wdQwBcemUMsCvpCp fWVMxU+V49mJQ3TvfRSq6dqjENHuaLNy0hs8dLOuhMFMWtLVUwLNtS88y7NkCe2OeLLJSdRZFuT9 pWMgRfoOebohqhS2QEWv+oQR5BgB6n835ClSXNjTx2o0g2C72Ainoqu87KNsBLYawvaZHJDkB9Nw OZTgAabkAwMKsjy1oOVeHRmk+MP7gUxDNFIVZHNYfK3RcqTDN5+sQGqpyZz3/aNDKBOq3Fvgubjf hruWSakUMGI4vyQ88jXfoJUPLe+ef+IeikhNp2I/BvwSND9+b80YeZB2IxLRObxb4y4u1YQEUVNl 3n++3Pd2glmEL7DC2ze/YvqDgrL4oKLkOGplEYWHPLHrFfNhbEE8cH/Wx+kVyqshJWLjWS4cQlBn 2H8oGuEOFdfkaPeXt13+ytwfhb6MWzf1jL0mCEQq0bZ70NsnXCEfefNaDWBaq1uW2ZexuL5wnz3r CL5QK9EviV9jvRSPAcIsj9CstkhJjJ2GlAKu25TW419JH5dJU0n43Su0+vSsw7gvevEH7eQzDZe9 XF/HnXvYQPeyG9v8VRbhjcovpzytZPJU63jm+Aypmyj2DW+JXCxe4l/CgOkWtvpKuUxzb6Af85X9 1T7Jf8j9J8kuvZlcrGBhDJuiSew6Ae1kV8UzKJ+lbJb9TGFZedgLUBn59XQjYFJQMR9YRpPC4v47 DghrV3VHlFpObQ8iZHgdhOhecv1kw3okf6rlDTKCC31LCiWHacoHrryMzWmXnvEfds+YYCgAx9x1 8Xhox9mCRUVutQzDB0Z/8qoftp/0nJtyKWoMnAklO1+iU94Of3Miz0fVRLaNN0KPoZdOq/Wklx4O XlfI4BPdlvyz4plz1+Aayng5esmas90XplECBAPP8VgJ7WCeRpKpRWWpjU3zKQWb4pzvywCXfO+t ufWYd9vNx2gpoyLBdPfOvAmJmzZkWUcqzP1mbOvcjznYzfIpPenYdePRp7TQbxccQrzkM6YUjEdz gGdJxMGgehlq8bjdUIqM6Jp1tJvUci+XAbbsY0q/gftkTR1+ujjeRQM5/TartI9AB2IF/3empJw6 twYpZHMYhNa3t+yo7b1mI5Gb1QFf/cPDZz8vPAdzcBjVonUU2GfCDzOXqObxHCg6Gun4MrYK6wZa 1rfjsXs2NvG8qQA9ObCjdeFgJlqs8bq516T/wIRp/68Rze++SzJJOEoAVi8sbMpOQ+Cz8H9ZkhkH hesDiPA6G+90/nfw6A1J0AXDQV610sjCfW5V8HLJJk3n8tUYYJsmJAYsL7mUZuBFgFz4Dt8OrzhK x4sVf6ZfxOy42lTHrufluinACEm6H4NfKXjBdseRA05erHpppWWE30qrR7ZAciXVT/E7Kbh5bkfT FgVM8a23V7vKgAauprEVLqmPLwUbxTFug51eXWTcPeAWgc0e2R2xllzhUaU6z8j8nPWsR2seHmTE iJA56wZFltsHfvUSJyCzy6V6gbvb8Fo7Gy9nW7gfMzTAT89/zZLEl3wDSZkyXpSDzeYxrf1UZyht mOmhgp6mpA/dfD1QRt/LYyeLq5ikfVNXBLfRlxo7584gcwNjyXndiaTw/l9KE+l5zTsxpAHglLfc iUavIAgBslRQnZ7KH5mW2vflsf81tuolVe+Rr6+E6qSJfLjxob3sHeqkRaJ2Kc+j4Pwp6vNh0N5f b0FaZYXz24QuDkbFWNkeCnKNqqiByrAJb2IhrDQvaOCKyt5wYpIz9FYgGrpSTACRZq4+nHciwKOe of7H2+TfRL8PQD3a5fn9CwurOv0MhQ42MW2jSABNRroIIrdrplaMBpMBmwtk+zj1eLM0y0ys3cy3 ZHWWvuUmG2zUNDlSMTJ5yn8xS8V+i+wpPnx2vS3d4E2nNnvpOQZQaSxHttZqhgRN8YT+mAdrX78h m9YVoYq9jkEcstgCWWrUFFiVNB0fWFZTeIJUMF2NIcESOVUFyGR7ESCOGxeW3v3ddGWUnFOnU2ER U39TfpKXpCrOJsmriQ5VvYjUH0RK8Kem5u2PXzMCiawQNwVeyODqzFQ0iyU6rXRdkWSypUHldTA+ 8aulr+2dUdDjmwvhS9hux9v0Qobh3gh2PdotABOj7eCqFq/obQBCbAkSYEWptxOKR/UJsesQY/QR b6VJXrRqEambu5qrBs2otAXT6oN7SzQj0m2V+ueMpT5NXK/2GwA2xxbsZdR+ExO/8RfkRXkl3540 s1cNfGWP27Hjf2et6q5y3nTxESN7V2dqIbtDiN375YN0uJZaQuHeVagrqcko6sTvb4/HyfYNzYDX SuXfCv69AslNPEXT2JtA6efZpRvj8oCtxZFGkpcF9P1KGDyK8JzaDV9VTjc/7QF6rnaWIMluaBVB ey+IKTbcaWFHv0hqlvYUBM0PVREAqcmn63A/E6pamO8lz6NDMTYa+q3qDvr/PtvlMmLC+J6aB864 ox2Rl+f2iYyGj1UwYcCPyUM99zSj1K7eCtqws1NgZD3KuL/mWpc5/q4sgqCIjLoxJRGXODDEkJA5 m0O0HcWNYO6cnHRRkB53SooXrmBqhl/TA2je6S05mLg3PWsSCPs= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Aeow6Dar+wPwyCuZ3CpouVllPxC4llA1Ukm5brPkUf6jbA6jqKC6lQwebzsMw19h5bccH9idRwDY jemL0fBrsQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block fhH+aqlEoKE+JZq9qghNfSjPHdRv5hlwG+33iOnHAwoQz3JLEXCjbjK+/OkJ0VZ47mEYRGFh4AMB Kd5bYAsHBVfJU7e93cOCmqMi6q1NZ1B4TYSa9cbXieENl3lcMAWDjVXehJfBz/WX6Dwe2sYVqHas VIMFb+sNilgPS6HDJY8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XK10objy6h7oUNp7hJFakI8VyHyBjdmYLutHMeS7A+cEBZkpecVT07LulHHgQ6KKziOF+tKEi8wJ SseDgde3hi0l4iPQkOV10LTfLrRvJhBfeIZ8giQp1t0SrhVy6N2SSqAn2eht9NkZHhhTN2ptQWFp 0WxnKaCc1sV3GIghFpbS5rrPhG5y92GuyT5FWa/h5Ldzc5bI7CyoJ9vYfzMq58minDKJ0Jm4Y+wp yHLZA+Ov0xHxm9GrfwhCkATL3ruMGZYQt2s5zV4QI9wQ2UIEz+JYy8mzm4GwHollMtB5NRf5scWn l79oM70wWXF4vtffYUC8HG3KNp96Na4QKpBYPQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Ykye4tSzDCZpeQ8JRXb0ob3ATquwfCaSG/ly4GVsy4bD2TWi/SlkZna7zRiLnZAzCamGizuqrWRL lhFyzoZ23CkJDaAHrP4R0DVjNPCXgacmijI2x8zSxwjKptfeusObi+G4cJ4ea9XYuXHdvqkbssiN HQHbZSHeJPlG8zIt/vw= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block j5byzLdNMnEoE5qwywfZ7XMWUUDa/vRU12ws0qpEN4GtoTZgc2FboDnnBkgSUXUolpwgKX2KPVWw P1VJmwcR15FUwZQrqh9sbS63mL7WHPSLNYElbx4uy534LVuKyABLlnHKjoHmVUtp/4E0ZuLGoImt /zsHn4GgLIE+tuBcZ3jTd5dxjmeQWJivwwjH/wFwCAVczK+9bLnUfJbXp5EAOTA495Anp3M7uHef CqLawyLHuTbCQrqf9W/UvFrFP4vYRwsEsllUJ8mnvN8qELVwvhBehS0LrP1gDGa4Rus4OZzQgGv3 gxc6OKVuHGv/NA5wtcEC907wTYphLFlAs3rhjw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10640) `protect data_block XaaX46qy68UqWKNhl6P0kvmryxr4rk+//YoD6Qd1vz1XwvlBrSowOiWseLIdjZVaLVSQsAZEEEbH taruDjzbeB/36r4LzPyjacu9onq4cHbJT1iRyfeVlvKnWI/Gsrw111DHE7A2xmO+9dRKGvAP1xE7 X0tdnaEWtm35c6Gl3rRyu0rPNiajNMIO91N0FSKHFdfENMpiICiwwVdnzEM7wDoUa+saad7Kt1d/ 2n06bZD73fIKRMUlV4iMtQbt78cEhgHzMUl9EDjJbbi/P1jAFPlqis8FFRdDwtBp/MPAPi2lw4RG eHCvyd3lFYPn4LkBibxm2Kq3LpzDBxZV7/hrhH8bvm+b/qiQSu19M8OkLSnK3W6Er4k0/bI824KK j+IFYCEXoqSWXNSC/vQ3E0Q3MZ/xSvbSe9ts+SGMJ1JdWFdsSNs/a/mS/JCX9zg8GpUacbK1BhLX QqElh80gIKOfuipyxs4wA1eXIdvqFoV4+UTYaGFFYxdc266xmDcIUOCRvfES7zz8AElmDT0mlOLN hg8ibgM6eLIQzlWAWThqaHXeKVBuaheVxmmuZTUk6ZpAEMBk37KCM8B8UY3UC/ywvqc62Rfv9QdP oIDE8k3gq+oaOCLTZqnBDS0Yoqte1UZM5yDh8Bez4znfX4GXu0RgH+YMrqNIJ7yC2y/Ay2xkIbzj COLsATDLvNFhOHBHHtdIivJ9ubhmVSLj4TJVLzwVkjEEFVkXKxV1ZzwqYAT7q3jAB7XGQY5ruvTT opQXSDqBkRm9tUy6EmoxtV8cEpiihyev6v4q71biyc5k5Oy1Kf4/7hoHkmPw55x03sjxFo0pKajs 884THddTnuJuKYeu8dEJfOjVlbBsGf3Q6Qij8NCpjGjZ4qD85b0H0LdqHWwsjDwWRIGHioDdkXEb 3jVmKmSWlBjUOci8qsLSeG/87OEJIPP4Kux905nuqet30pLUnMNofu8l4nJWe0LQ4GjtQxhMhaKB VYrqfxo3E+YD5X0ZZHpqXvfNPMUocoJbniGL0Jh1grYUI0+i69dy4yaM7ElhylmjT92EAnSXxC2H 8QUKVVhiTo2Qea2crXme7es983/YCUC0YImKfGHKw9wuUaBpwpIa2r5y/tuqxX4kTJqXDgcrFG2i R+ikysXTMnJH/11A6AzK55TN55QOoUXqH5325Srd8+xXKQr35bkK41GXgXurTlT37qyr2+RCIn9w hSrC2650flFrOx/aiWi2Pb3e4qtPQOMvUOb7hYWcyhspNBo9wjzybv24tKFaexRsN6M7u1rBGHVD CNFY1crygF0pSZGM/9SoNCvlyxs1IlH5qpnbL6WtUbFy72ufIDopplU7jJ+DerIoVotpvygkaTnd z0Mel+3vH05Niq7Aw0UsfPO0ptDHhoidrnnmFzzlfPlgC0LWFW5MPLuKdaC24y4l9tY+25ZNnEkD mDdXzbrvFAf/jYeNpOPlO3cmIPyMmVlzo7MteLPissRrRiJTB7sHck8rQauTYCnfH33jHy0ujh1P IxLD1qMVdaX5ecjsXL4lfnhzHg7DehTpbSBfMIc6st4574pDCLjDPwb2FflUoRI5i3/wckXfqP4k ByKXO1Om5fAdi/huU+FobYD+u6YDGw2WUauqUQFSFdmb1HvPOmMvx8pzF7hugyJhLC/M6HgcoENc MJgF8PTLOjPOaJUNcNyMVn5SVSvwbvP6hyZ1R/pV7swwKfqG1yt0C+1/Cjov2NHzzZo3pDm2pCq4 WwqzJa3ZQvzaM2mPXi0ECvXg51DdJRKz9hjL83QOyB/uLX/jD4znMbyPwDIXQBy8jgxpDSDTUuUL Djhfwrbf7aZCd3pJyk5W2m3ff9YEV1Gec0TX7F3efsHExmXWjib3WidlFpLw56eySBtCZWbJVm6l mk2uTlsxPyeTceVy01Ir49Q30Ds4rW0c8mNfn91GUVNqXEPNCoy3P1a+ImaDUDz7v95KjOEmFTcA vaqKxBnVFQCKulzXK+EMqSUJ82GX2iZffh45qrQYrnSMrxr5Texpq8zN97U/E119XBW0Uy5bwoI5 gdFsbeEBmPJPrkTzICQFiYrWVXK3n8wflI2F5VlNsQwg3VAqYOBEVM88AFxy1FyjK5YqOl9u1Dk9 PWeLh44uwqyiIH0CsADeV9A/dcdz1jcLFgXDJ1ugwB+drv+DVmaDUlz238nihtAFElREZkmoh2zc k6sBlKT/7eTIrhUOyOze5gGi7JdS6Cf45wqZThM0WSa/n6e0CNXYU+0KIXjd+ayDOU5/HIRoou58 KXuRxykUoC9ACMG8Bd6y5BDzmlrMAzu007itK9SgcSUQG9g4QFSUnWD8wH2Kqdnoxm/yIvpl1E2i UPuLkHNNKBilmslLQFNS2ZUSB1hUgaM3jeJI3wr/wG9oY8YCaGlFKG3SjG1ANBMvCrqa1UarMgRo U/etLI1MAPvwW5PC5WTdktIyYoBjtFIqVrhmJmC/bWjMVbdpjd/KdMGS1LEwSGX4oqMnSa/6zeen N5eGkZy8XduKShin7qqBlh6x64IBtxUDd3esalewLOk7mCRBEZ+TMkFD/nhQwzvq2CrpaStTeMXX YJqNvNEXagDli847bHFETVLxGDwBRaQPp1ZbzUKzZJidgiIbteHHdEq4I5V2OS8eoLP3I9l0DncM srtJtl8M5YGnpBFaSoNET37p1nUpfuZrm8IZUKZCbX2CwFnWFHu2kkqZE6qGo1j4Iqe02hiBOZ+t Ww36rBxwNvLA51bVHx/QXBa5GX8mYau/UiOiriClyY/oiUdcSGdZ+y+Zz7vK7iqVn7JxdN2mC4cr K8uA+P2Lj2ogmw2eEHsGacgLI/DdZN4OpQbou9G4svmYCGQrjbYakKh3ExZMuakcEdI3TP/b1GP9 rA/6o1sZV998Y+pCZrFo4RfewAUm3y49IfH/fIzZIMBKesMNNch7okulcb1xjvZABOUlOmkBaVOf ES9vVbu87EFbCGqs36YhrgsWydj6Edt79GnmaP0Eoy+aB8Y89z3ZteZdVat1eSEM+arei21z+sPa RWEvA8WvLE7FhKfTdEFYE81+caHyhiYDXd14S0PfvH/012cF1LGxmvyd8hX/DfDEnozb1tzCu5BU UqXuQUexe9SpeW8odua/8NDYM8DwLyp+35gpk6hSTBiDUlau8l+OLEaLQOANkcZ5laWPZXPgVq6G ZYeMhx459P6nYDNlhLgk1hcDi80ivBSZ8WI7rC8cacEZCLQ0eupjifJwswyX/EWoOV2g31cQhoMP K+19RA+o1TQC4hsQD1lCTjKyCLIvspOL11Vd3HidttiwpASG7lXw1HaVi/Gg/n+kJGXbsUiZxgF0 wVmmPp0g/9Nam6Y1WuMO9vymf8DnVQa4F9/xVdGNIsgHlmQn2KO1v2HMs7Z2Mp+U/AwgBKcSS6tg 4sAw553GrN38e343kMtvm8Tp1D0t+bCPew4jnfdU0on32mPt2KJJVy0ghJaPP19pDVAekNADcMWb kSX/46jDEIf3pSkACzItZwBG8FrZkHb2ay6fp5zr5DNC+P5sgbrGraXqUa98rTBa5jduVBrFcwzJ rdZi6PVhAEjwN/NAcJBOD2QIU6y4v7fm+ryW4+5qOOJoplwqFF1mWGVIm7K2JIP7apQC2ar5396d TWTTN9BL8rLc3hcMHO+lLYU4fzFo6asD6tqhjtiUym9oQ6Vp08sYNXY3ld1dREElLLw7ZT4ZVrdB iUoHJ5fE9NvbHdoM3zxFQKS8tpMNRQ9twbkBqoQgSM4yq1wfv8sju3sUUf/+/4O7fTIJVXLJaVxV ztfJa5XL7ltiGzk5cNaqUg1Qfb397BeSq6WPRAoqYmknTSKVhRpmA9b72YN6bTXcSfK74VUhc/gk eZXNkMMGjiPXiwRIx0onSU3Sg5PKpjnPcZboQjGvrgXSI3AWHnYNUp//yb+/dPwvxWZWlGNAg29Z Eg/opdacFU8zmY8HGIrG3FuSf/PdsxqSbysvFoN7E1V3s6cUL34w78dx03M9Wx2Ypvw/NmLkaET8 FSBZSLEl5Xqnxki07wm832GygJxEdmqKrw/XMLGHYFmZHwkQ2xXjRSZioYhMHUx/3Y35OgTyrLRQ 74wuGEPE7huGj3/YyOgUyVwo6XwtaEuzkzXJcyUf8lDz4ea1eepzbK5/vERaTsN77JB1l3ijqZlo 2JiosbfmB0+gzRhfAG6PliUYxjHmyWgQ48eW3bf4MOcFFHvBfI0QZ1Mv6RM9lX6Ab5M6bQnk3gnn EYUHQT5+mPL0unm4w9/vGGJaWytYQWJFhhRP6Jn9datq9ujQUxpzJJX3qjiAJWkyNKpTaf6VjqvQ pR9FA4D2xDpWMgWL2/uPRKGESXOM9ZddA/GN3/+/CHrdyrA/8TNcouFwFEQUFToBWoGj8CpHPcrY ugq9X4tBAn/heugNCvAsNjWXvhxRKodcPtWFAQIJKPbW3urQ2ejKNHfrG0To7g2A3iVGfF/krj89 0YKqZzaZnrH+TquS6zUMFCMUtgPh7Prsco76YxF0K32Wnb7ISeUd/m5rZjShrPS2CubHQGWzAbZ2 BDvzktrrTIgZsau5gagzBnAhOYoOYQ/+n/O4Oe9jiwOmmpz2PZ3lHVqT6UrOxJumZr/V1rXB60VL roAXQSb4XkAkRbjBx/iaumPt6DxuDTVXvlRF0/ynOEu1JCuC+rhRReGQCaOyojmG7sUVZVQKEQEt P8am9UOSlDXzFALDOV7TinKCFwagVBwJU4aLe3vBTZXa3l65F6H1ljOwHAo0nr1keXSXrbrRQesf bvMfcOg6YY68lyyVNI+yENryF7n1vYHL9QtqReBbK1InVpC5Mi9R1yzAr6srXyVhTNwlQ+pfx3S6 sNKynpMhDezpDoH/uqJSU7kxUNBWYk18DrFbze24ApgLjuRG0dTtKq4+Me8kr1wv0wmwfnhY3CjK x1g2xx18LW5tjkphrX34hy2WAfqSpGREHa7TPWSKzjH8R/6FP/WCXcKFngT7NBvoj3iEX+lboE82 IwjRV6K5zj0//DP3qKymWLewgdaYtotui8eao+ubCvFfbCnyQB87D7Z6xT5ogepjtaaWqmVrO58B q9cS3wCwkyeKEC1rbRg4PuGzlViVYIlDYJlFIwAZzrz/VczToK+4bci2Yg26zRleYyiOX1NVOXKc 9/OpIxtiwPjK+6jzmw9DzVKfUdyJhzXGRCTuJPd2hnVbArPngk+jYh0QcL9aMvGAr/Or13rEkhqB h/GfvlhAdPBwbhugKFdx0jTgJ/IhIUsr1+8IQ1wn7U15lpCi4Lio3ZsLVDzRyDl+sqDmMNJEJfQX rk8O2WafUgH2MjQLy+p16VqLF5uAh0SxOoB0OGQyXhNkGIZO0hRQv+7H59aDq5QzoiYdkG0/Ie9O zH6uF67pjjfvhkH1DiRNjRG2laXVOxf8tJdn8g7Dy0yWnY3pj/xIefATvaqvKta1yyoKq4FyLApM aK2HJX4bZahhiGHkozIiC7pJvyfppTSJqWYB/TJJST1opH8aOr4aAcJrOP9MkKnsU+t5vtWOofoT EOioht8/3EW3FW/LfSTHbNPRE+7VDwCUdj97TEZHWKVg1md/BZV7eDBu67OR9ZlxY5kD+Te2RTFG hxlIT/09tfzkozSG80KCnieE4SLJ+VJIrSj08x4QudkjQm9wHrajkQoZ/OK8oAcKzyZzhBqSfI07 IXasMrhgHrKVuXkA53ShF12/dEH5l6T1JYWSBJQx6f+fLS3Puk99rSwPmM3nVEkRSXrkPSDksH2I qhs+KGLaYhKFhntr0gGFuTj08fiVnN0x2L28yxVupr8AHq7Ua/SOZcF/CTw0h8yo1e8vPLZjCdFA yiXNy3ysdEq6Zx/2vIwPafP/twwhiRUiCV3seSK+U4mf4SV5LPetWwWRh9aBYW6jLSjzkhk8dPb2 78//91vg50FIvrxRfMiUqUwJfz4XFf3TTSBm2Lw4vBz0TljJgxrfag0AnKnkeLkVRwpVczLFKq2l aFmPAmiImmrr+0UeDWxy+9ebaxQJS1+oqOWBISZKU0YWSm8jbwbr6cAQcicsIZqcY4popsw+MC7L mwQy0S61n6cSv8GUT9BQILkGIn364/Vgj0nNPs5Uh1K4LcOm5es+RUq1M/J/Vmb4XoK/jEGkbmly ZerjlvbA1HAHglD0jQigKi7BMrKV5FZumbUscMiV0pN4RUGtc9sxKqZZBCcIWDoisikPf1q7hwiv +n1IuheQ2v9mU5IoVtRYPrgsizVdb/ZHJS1H1DvfbCU4afxHeDu0uaXWDhsxD2OS0DlBJa6vK4Qe ukWQxcFDMVC/GGpuWpkt4UxeIl8qMIq77uKwBQI7zr1u9LUEshrNtasunnSE77T8z4ythRc4j9S2 7LoG6gv5XuvylH+Ro/vSUgKEH9FQsCcpW+3OiURSU6Nq90VKul3oGZJXBeUa2iUCTLzwXpZk228I pFfFKG3peHI0apjBJrG0gvxZeT8yQZ2U/KvH/qzzK6OlldlHDLuXRILbHvBP3tK3UpxswHGij4aH 0CI072fbrLLauZoPaHFc3inuACGYpfjbxBGWnwiVQF6uMbYyYbv9uD8in5L19uOXlH0i+SCmGI4l RFCAeijkDUsWG3sXd50uPm0A7e57M39p39wnq7yrEeQzAYGyI/IAlY5o/cMWuC/1qvujs7fvAFb4 qHkVA54T9xHmJowCNXbx9pU7LD4OnhqR36l2L0ZYeLC0lfapoPumymKfpRb5opoy7jRipBA/LatY LPDlnk526GkDU9+HxoDKI9JhJjEfbhH1IYux6PjxAiNVNVbZiUm0DJONxWqEDxlEBvxbILIbHyXZ 9E0g53akhyuaa58Hvhqpv0eHiFTTfO3TruET4jw22DvsT3romF3Em2ocvI+Mp3kTuCKBK766PVJd gZdJE7qeKroyxvCjRuv1zkCa6pMz3H++e93UAqs2XSN0YGfHjDv78n2cJHWBWApGssQFO0iwn+2d zek0MojIIIAK3BUycDDI29y+hYibopm/REBeOZimqw5rQU2AMjy05T/9v4sQKTYAXfVa2EeVekgJ /i/1TzUJBDxwOEEUt+foqUBGoj9ugLssQDz2EuxI43mBNWyHjZVaFuRg2R1zs31uFJywqJ9hETDa LfZrxVUkg18oZE4OoqH28uRjk37CiD3FEaS1ZgedmlyAo7n7R/4NPr9XTjc4SxLKGxWQLeSlyspC URs27GiRX9h0HkljkKz8M7XzM3qP173da5wTx6nYjQIPxmXjOk2Fi1snF2vcRiBtJRVnGabJ0aIN j5yxYf2xsuvZgTvaQg10ztQMRd70Y7Vr5UE0Y/+ItnvBL71MyWMBtp1wTOfo9Cusds/DlvBbqBap ERD1onWpt1nqnr7+ewlczAzNKTndQy481ox84Zk6O0mURyxd6SOwyhsR/1xzMp5OiRFf8DpUzMCk blK8KUgTzBD8v6zL0WkiLzIGd2ksM5QKGr3QNDA7edgJ0Dk0wRjNMP2xUCt7mRmTsH3OzmW43iAx VZ57JZOhyniOF5KTpEU/kBe+5yZxatoRyow+2FMq7ehdb9j1pC2XF8kvqJqI4MRZOevYs3ZOJN/k /a9+5zZ2vjn8GOCgOH6ctKOoTo6fPgoJU7/0g2VqDlin7dLdYrFBwJBJsZCazlj7i3KlPIQ1YmUz s64JuXu6z8yZ/2EiyQka+YxXSilBw3G40Oks1XfXcEFlfH8rvKgPslsuvC/5UpQa3dj34UWzM5wp XfNuHlnJTcOHO7LTuaDq1+VqUPwHgAKg9b5tw7R4viD+1ePHzta3UOwhqH1RUCeY0Y3QrXjtV3pH kK/xORBDJGWw1wM34OBhlbrN58FGxlg8/+hIlrJJ8EMjjmxtc7Phfh783WYK+3jhiL0JXzKmzn+W n82FjN7/x0YnDOEi8IlsxtdZewZDotAv2p79ulTQsc2eySDthyAMxcS6Kdu5URnOUelZu6e2Q4eb 1qk97D7LmPiC2S7Q9RdT6ZibEwMqqDWqn5wUwL2MnKrZ4uarOgDc1jYhjIgRyuma8jnYgIK66xYf CWz6yb4n+M7E65+tKb5zdFfgy/dcSDCtTVlSNPVGC8pWDURpTxaB2RhD/rr4L1Y8DEkDIceujeyp fSgkgY6/fiU7MTUjKgWfpM1fQa22Azmvj39hFuKAe0zeOvnMUylIHRto3FlBduydjPpekf5PA+PA xqqtMz4wmVrKCW2e8phPBh8tsUGyHGRj8r/xKX+mOTYnn7PwXf1VMYFVJS8xed3P7xf+GboeTZkP 8ZJNa5Hw8tOafJ55TUYcddlfNL5ja6kNJ40VmBHcoDNvic0JSglgX1iFnL8Iv7yoDTsqe9GXl5zH /jPvVRkrAoGdXzw6gBiDgWVsbrWb9sCD5Qe0vhrdUgyrmps8bVDtuYX6dAzWaesb/RjxzP2ZrmDu g9qSCV4eYscJytdqzCk0A36Wm6NhLze/NB+xWtU+jCrLOhFBv+Uu7y9W1yljhnstlgzPgWuAV1Ea QxoRsYOllHJtG5+5sR5EkOLhwx3+GDI/0gZ180sHKs1X0X/OveM2vTuiw0DHgjbvAInp5Ny4kOcw +5gTATzCTrX2l5nIr/0Wa3OLKIShnf5dWB1asKlbjA65MSQ4qSuQFY1Qbr00Vwpc9X9lHLNmTNNc PxElljPJ1CH5prkSriiWwkPmgXSCimKmZ3lO+IVMRLIIYcbRMswadAoanFATintn7jr382jCiAfq 3ZSUn7XuYUWaEmvN1wxl/WPeOZNDv1+9kCiS5TS8M1ZTVqAK/7dirilojFDELoSEFevrBX4DKjHY AZLbemyOw1Dc6m/+iZRU5orcR7WI88UsbjzvmJgE1fbEsNAoeK83ExGpNAMEoAvMpPh/mPJOBJ1X qz4RFvFdUBNSL7LNyRJnkQG3RNS2ant+b8PDTOqU2n+m0c1NuWJoagkNAkrKQ0u9ytv8Cl5npPhJ zhf3jCLhVZfOx89jSVDnKPxXTo/9OdmbbOxqDNOmGwqsVcfQ4I54r1WkYjpirW3j6hslx4H7w56n 5iuORS14/RDQDiA8ekEypr8m0fl/f4Fff7vyEN/SnYKMoqivk2Vu638SQhJbvsKnhLUGGp22Ge1o n5iSSkyAZn99/l/53g/iqrDD8mj8Qgx5ONGg/gyY53FOgXQx6o+yjuJWl0bEOto4NzS2hORyMH/q 9bXJTebK5tTl+fRdopQ6tRtGLMxZJMtEpeR7IWB3qtf7+rNH5crxBI7XzpVR+iSRwAONAlY1v4Wv cPR7xPg+PgS+4k0rxgAec8M93oanF+/cDznG90rxxyOX13LP2WprKbWALSfazEGJ3bJYI+AmZJXC TAB89EjG2wQbCVErrTyC8B8C3D1IQVRVXu7XJm39AkVXUpx76VBwbb2DZ03rnc/Yy3uXWZBMqyNF UDjOAhiZq9uThQU3xFpjF/hG8bQm2jxtPhJ9QoOy4G88HjnPXly3McH5DEXViPq8vYDEhTjE97kd WR6jdjYEUxT+DgV3LrgFO5oIEznGKODhMEwWANZd8eFtnfokEJHMjwMnLeKSeEYUrEgxVZyTnDEv ZNW8mQ7nTxanskGGUl2A1/E9t2j5/kw2VI8IhcF4n4FaFNSkRt0yDwvuqi+xlN8xf2ujSoHCsgke s43RW2s6LhQvi0q6HRLlQ7hqNcIDoeHuqF+4deDm9np2D17T80XlLxGBslY9X+glpKwrE6YoNaRO DSxBfaZ8AEd4zC4xWqCbFxVLpZbN2BuU97sbqi2YWsHv9B05W2orOpXHaJ3mMGz1q0zca+/QpWbW 7kNBBH5AjWhej7T8zkfbAcdU0zgocRuNLWYmdQwGjN3iHwt0tJHt8FPAREirW0u67W72EJ5M7XXQ aDGKh0e7WrjUtqGxyFte49qWkVTcZGz3ZgYMKjsU46xKB1MxkEPkomSiKQ1j48vvSTfzWx9cBlI6 FVJmK1TA2BK4adl4GdYdAZQ2Plk+oQ2/Hq+tZn4xS6uxXIhWTo9fim01O0I4nTgCu52uiK8svlVN 8cEdiEknv/AwkvUjxxH0MyUQDKxT+/vdXfE9L5eSOhyHR8ROIhTBHJu8aPDS0jHiY12F4VFY2KsR twr2MbNiElC/q3AMLdV0a4S4VMHU5nifucPYb63s108ZsvRnRm0kML98SxJTqLy6cjVdEU3j/tF1 pf94gFqoUMxUoxC/IDrNwpFNT37a5c4+c0tRWiFcGxfbT6bP8INX7wskWtxgIw/k3sRYLAzlq4FZ 4tundeJmBv8KKHAVUX60/fvdYA7X5arjovtxTq79lftbfQlAqWbvPfamE67TZNzGSu87ectnKdgG kFJ/4lqPieLl5h0vBuknzvqLRJqnY77B1gDdz8HjfOA3FPLG2slkMuEXrInbV+7Ha6YZpMojVdGj BVK+0Q2HBsvtfrkUBn9MVpw22MvTII42sW7xj/vfn73URuP/NmvXuWCX/7MX/rLvW1PwVHdMWHXP llYS5A+6m/1mgnPJeAS077m/hSgw/UXSw/Km6I1pLhdAmQVsmdDXlFMA2WWFygl44uM89OxXan/R z6Vw8Wtwx+eJ8fJxLtI6crcqFFFuy7tIEYIf68gMvTZYB2Ronn9M/hmmgPeu97ZH0CaKpMo6SO4Q GlXk6KTArmpz1NoNVPvwK59brYbvheOMFJX7FoUgI9lTci9njl5gkOYlx82U5Rrel4um4GvpvPpn hg/+KvFj+iCGBynXHXEZPW0a+9HGiZuvjI0NI4Df/D8rdjRXjuXQpXv0taLeHgcaKy2Qo71A2if9 ez6BYb1I26Dw8VDQBi5QDsUVPhovrAZgA3jlHT7K4ysizzcO1RXOpakjr0xsZgW47qsMjOoWsuKN vNQNIxvqgEKlk5f1xIO8TIT8k7XU17S7Oz/r7VcjTT2dFoHoY7Su8hUXdEtkHElXQFOI1Nf7KzMC 6hwBPOx2lVLIwvQxrOLo6FT6J9j7wyspxxg2kv+n8SRLk9aXZLYcxmitpepGKU1s0bXCGlptKyUi GqlHRzD01mZjYoExBgkmj35vMkbkkd3wWbKZYZT2EuwXUCZyhn00Rtl1Nh2lfGmaadF8XwKzVUBi 4IZzzJfzR79HDWyiH+wp+oC5LEd+1olj+7Dmk5b8S50RMXRNLwZNynGkEVfUiGPq5GPETeR6lQ8f QwNeR3fRkfVsUhFBwQoxEGGFBEDNJPAutL03tz91j/+WQYKcoosIi74rcCgfLPKeZom8O7jCupxN xoBNEqEiDwyoe81oc9hQasRbQFqXg97PkAQ5lBoa6IuQVVoI6//9S/lXCZDu7DJ7sztCqDAmE7Yr DIZ/mejxCApL/61KUGZUj6Uwc+wg/x5GDzMOgY+9ttnzkTUaenkvGF+XdOrU2S+z1dznzSd48q2g gW6jlWiPRzbnRkQTMEyZkeNx5QEZ/qWKVxduJlQ9VhI/TCyq4SZYR1SsdS3g6ipga5yNt2vdA7co 3ICv1J7bfhyY45U7icaN5AcCVhZf/OMkRF204Xot0T+KqUu1OggUEw8MDEW59B7YDcZWR+iJDFtM E1XTNdSux7zD2t2wSqVTIMFNL9aEDwnCKfExdwQApkKS5hBXWY66vikFQPu6wdQwBcemUMsCvpCp fWVMxU+V49mJQ3TvfRSq6dqjENHuaLNy0hs8dLOuhMFMWtLVUwLNtS88y7NkCe2OeLLJSdRZFuT9 pWMgRfoOebohqhS2QEWv+oQR5BgB6n835ClSXNjTx2o0g2C72Ainoqu87KNsBLYawvaZHJDkB9Nw OZTgAabkAwMKsjy1oOVeHRmk+MP7gUxDNFIVZHNYfK3RcqTDN5+sQGqpyZz3/aNDKBOq3Fvgubjf hruWSakUMGI4vyQ88jXfoJUPLe+ef+IeikhNp2I/BvwSND9+b80YeZB2IxLRObxb4y4u1YQEUVNl 3n++3Pd2glmEL7DC2ze/YvqDgrL4oKLkOGplEYWHPLHrFfNhbEE8cH/Wx+kVyqshJWLjWS4cQlBn 2H8oGuEOFdfkaPeXt13+ytwfhb6MWzf1jL0mCEQq0bZ70NsnXCEfefNaDWBaq1uW2ZexuL5wnz3r CL5QK9EviV9jvRSPAcIsj9CstkhJjJ2GlAKu25TW419JH5dJU0n43Su0+vSsw7gvevEH7eQzDZe9 XF/HnXvYQPeyG9v8VRbhjcovpzytZPJU63jm+Aypmyj2DW+JXCxe4l/CgOkWtvpKuUxzb6Af85X9 1T7Jf8j9J8kuvZlcrGBhDJuiSew6Ae1kV8UzKJ+lbJb9TGFZedgLUBn59XQjYFJQMR9YRpPC4v47 DghrV3VHlFpObQ8iZHgdhOhecv1kw3okf6rlDTKCC31LCiWHacoHrryMzWmXnvEfds+YYCgAx9x1 8Xhox9mCRUVutQzDB0Z/8qoftp/0nJtyKWoMnAklO1+iU94Of3Miz0fVRLaNN0KPoZdOq/Wklx4O XlfI4BPdlvyz4plz1+Aayng5esmas90XplECBAPP8VgJ7WCeRpKpRWWpjU3zKQWb4pzvywCXfO+t ufWYd9vNx2gpoyLBdPfOvAmJmzZkWUcqzP1mbOvcjznYzfIpPenYdePRp7TQbxccQrzkM6YUjEdz gGdJxMGgehlq8bjdUIqM6Jp1tJvUci+XAbbsY0q/gftkTR1+ujjeRQM5/TartI9AB2IF/3empJw6 twYpZHMYhNa3t+yo7b1mI5Gb1QFf/cPDZz8vPAdzcBjVonUU2GfCDzOXqObxHCg6Gun4MrYK6wZa 1rfjsXs2NvG8qQA9ObCjdeFgJlqs8bq516T/wIRp/68Rze++SzJJOEoAVi8sbMpOQ+Cz8H9ZkhkH hesDiPA6G+90/nfw6A1J0AXDQV610sjCfW5V8HLJJk3n8tUYYJsmJAYsL7mUZuBFgFz4Dt8OrzhK x4sVf6ZfxOy42lTHrufluinACEm6H4NfKXjBdseRA05erHpppWWE30qrR7ZAciXVT/E7Kbh5bkfT FgVM8a23V7vKgAauprEVLqmPLwUbxTFug51eXWTcPeAWgc0e2R2xllzhUaU6z8j8nPWsR2seHmTE iJA56wZFltsHfvUSJyCzy6V6gbvb8Fo7Gy9nW7gfMzTAT89/zZLEl3wDSZkyXpSDzeYxrf1UZyht mOmhgp6mpA/dfD1QRt/LYyeLq5ikfVNXBLfRlxo7584gcwNjyXndiaTw/l9KE+l5zTsxpAHglLfc iUavIAgBslRQnZ7KH5mW2vflsf81tuolVe+Rr6+E6qSJfLjxob3sHeqkRaJ2Kc+j4Pwp6vNh0N5f b0FaZYXz24QuDkbFWNkeCnKNqqiByrAJb2IhrDQvaOCKyt5wYpIz9FYgGrpSTACRZq4+nHciwKOe of7H2+TfRL8PQD3a5fn9CwurOv0MhQ42MW2jSABNRroIIrdrplaMBpMBmwtk+zj1eLM0y0ys3cy3 ZHWWvuUmG2zUNDlSMTJ5yn8xS8V+i+wpPnx2vS3d4E2nNnvpOQZQaSxHttZqhgRN8YT+mAdrX78h m9YVoYq9jkEcstgCWWrUFFiVNB0fWFZTeIJUMF2NIcESOVUFyGR7ESCOGxeW3v3ddGWUnFOnU2ER U39TfpKXpCrOJsmriQ5VvYjUH0RK8Kem5u2PXzMCiawQNwVeyODqzFQ0iyU6rXRdkWSypUHldTA+ 8aulr+2dUdDjmwvhS9hux9v0Qobh3gh2PdotABOj7eCqFq/obQBCbAkSYEWptxOKR/UJsesQY/QR b6VJXrRqEambu5qrBs2otAXT6oN7SzQj0m2V+ueMpT5NXK/2GwA2xxbsZdR+ExO/8RfkRXkl3540 s1cNfGWP27Hjf2et6q5y3nTxESN7V2dqIbtDiN375YN0uJZaQuHeVagrqcko6sTvb4/HyfYNzYDX SuXfCv69AslNPEXT2JtA6efZpRvj8oCtxZFGkpcF9P1KGDyK8JzaDV9VTjc/7QF6rnaWIMluaBVB ey+IKTbcaWFHv0hqlvYUBM0PVREAqcmn63A/E6pamO8lz6NDMTYa+q3qDvr/PtvlMmLC+J6aB864 ox2Rl+f2iYyGj1UwYcCPyUM99zSj1K7eCtqws1NgZD3KuL/mWpc5/q4sgqCIjLoxJRGXODDEkJA5 m0O0HcWNYO6cnHRRkB53SooXrmBqhl/TA2je6S05mLg3PWsSCPs= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Aeow6Dar+wPwyCuZ3CpouVllPxC4llA1Ukm5brPkUf6jbA6jqKC6lQwebzsMw19h5bccH9idRwDY jemL0fBrsQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block fhH+aqlEoKE+JZq9qghNfSjPHdRv5hlwG+33iOnHAwoQz3JLEXCjbjK+/OkJ0VZ47mEYRGFh4AMB Kd5bYAsHBVfJU7e93cOCmqMi6q1NZ1B4TYSa9cbXieENl3lcMAWDjVXehJfBz/WX6Dwe2sYVqHas VIMFb+sNilgPS6HDJY8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XK10objy6h7oUNp7hJFakI8VyHyBjdmYLutHMeS7A+cEBZkpecVT07LulHHgQ6KKziOF+tKEi8wJ SseDgde3hi0l4iPQkOV10LTfLrRvJhBfeIZ8giQp1t0SrhVy6N2SSqAn2eht9NkZHhhTN2ptQWFp 0WxnKaCc1sV3GIghFpbS5rrPhG5y92GuyT5FWa/h5Ldzc5bI7CyoJ9vYfzMq58minDKJ0Jm4Y+wp yHLZA+Ov0xHxm9GrfwhCkATL3ruMGZYQt2s5zV4QI9wQ2UIEz+JYy8mzm4GwHollMtB5NRf5scWn l79oM70wWXF4vtffYUC8HG3KNp96Na4QKpBYPQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Ykye4tSzDCZpeQ8JRXb0ob3ATquwfCaSG/ly4GVsy4bD2TWi/SlkZna7zRiLnZAzCamGizuqrWRL lhFyzoZ23CkJDaAHrP4R0DVjNPCXgacmijI2x8zSxwjKptfeusObi+G4cJ4ea9XYuXHdvqkbssiN HQHbZSHeJPlG8zIt/vw= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block j5byzLdNMnEoE5qwywfZ7XMWUUDa/vRU12ws0qpEN4GtoTZgc2FboDnnBkgSUXUolpwgKX2KPVWw P1VJmwcR15FUwZQrqh9sbS63mL7WHPSLNYElbx4uy534LVuKyABLlnHKjoHmVUtp/4E0ZuLGoImt /zsHn4GgLIE+tuBcZ3jTd5dxjmeQWJivwwjH/wFwCAVczK+9bLnUfJbXp5EAOTA495Anp3M7uHef CqLawyLHuTbCQrqf9W/UvFrFP4vYRwsEsllUJ8mnvN8qELVwvhBehS0LrP1gDGa4Rus4OZzQgGv3 gxc6OKVuHGv/NA5wtcEC907wTYphLFlAs3rhjw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10640) `protect data_block XaaX46qy68UqWKNhl6P0kvmryxr4rk+//YoD6Qd1vz1XwvlBrSowOiWseLIdjZVaLVSQsAZEEEbH taruDjzbeB/36r4LzPyjacu9onq4cHbJT1iRyfeVlvKnWI/Gsrw111DHE7A2xmO+9dRKGvAP1xE7 X0tdnaEWtm35c6Gl3rRyu0rPNiajNMIO91N0FSKHFdfENMpiICiwwVdnzEM7wDoUa+saad7Kt1d/ 2n06bZD73fIKRMUlV4iMtQbt78cEhgHzMUl9EDjJbbi/P1jAFPlqis8FFRdDwtBp/MPAPi2lw4RG eHCvyd3lFYPn4LkBibxm2Kq3LpzDBxZV7/hrhH8bvm+b/qiQSu19M8OkLSnK3W6Er4k0/bI824KK j+IFYCEXoqSWXNSC/vQ3E0Q3MZ/xSvbSe9ts+SGMJ1JdWFdsSNs/a/mS/JCX9zg8GpUacbK1BhLX QqElh80gIKOfuipyxs4wA1eXIdvqFoV4+UTYaGFFYxdc266xmDcIUOCRvfES7zz8AElmDT0mlOLN hg8ibgM6eLIQzlWAWThqaHXeKVBuaheVxmmuZTUk6ZpAEMBk37KCM8B8UY3UC/ywvqc62Rfv9QdP oIDE8k3gq+oaOCLTZqnBDS0Yoqte1UZM5yDh8Bez4znfX4GXu0RgH+YMrqNIJ7yC2y/Ay2xkIbzj COLsATDLvNFhOHBHHtdIivJ9ubhmVSLj4TJVLzwVkjEEFVkXKxV1ZzwqYAT7q3jAB7XGQY5ruvTT opQXSDqBkRm9tUy6EmoxtV8cEpiihyev6v4q71biyc5k5Oy1Kf4/7hoHkmPw55x03sjxFo0pKajs 884THddTnuJuKYeu8dEJfOjVlbBsGf3Q6Qij8NCpjGjZ4qD85b0H0LdqHWwsjDwWRIGHioDdkXEb 3jVmKmSWlBjUOci8qsLSeG/87OEJIPP4Kux905nuqet30pLUnMNofu8l4nJWe0LQ4GjtQxhMhaKB VYrqfxo3E+YD5X0ZZHpqXvfNPMUocoJbniGL0Jh1grYUI0+i69dy4yaM7ElhylmjT92EAnSXxC2H 8QUKVVhiTo2Qea2crXme7es983/YCUC0YImKfGHKw9wuUaBpwpIa2r5y/tuqxX4kTJqXDgcrFG2i R+ikysXTMnJH/11A6AzK55TN55QOoUXqH5325Srd8+xXKQr35bkK41GXgXurTlT37qyr2+RCIn9w hSrC2650flFrOx/aiWi2Pb3e4qtPQOMvUOb7hYWcyhspNBo9wjzybv24tKFaexRsN6M7u1rBGHVD CNFY1crygF0pSZGM/9SoNCvlyxs1IlH5qpnbL6WtUbFy72ufIDopplU7jJ+DerIoVotpvygkaTnd z0Mel+3vH05Niq7Aw0UsfPO0ptDHhoidrnnmFzzlfPlgC0LWFW5MPLuKdaC24y4l9tY+25ZNnEkD mDdXzbrvFAf/jYeNpOPlO3cmIPyMmVlzo7MteLPissRrRiJTB7sHck8rQauTYCnfH33jHy0ujh1P IxLD1qMVdaX5ecjsXL4lfnhzHg7DehTpbSBfMIc6st4574pDCLjDPwb2FflUoRI5i3/wckXfqP4k ByKXO1Om5fAdi/huU+FobYD+u6YDGw2WUauqUQFSFdmb1HvPOmMvx8pzF7hugyJhLC/M6HgcoENc MJgF8PTLOjPOaJUNcNyMVn5SVSvwbvP6hyZ1R/pV7swwKfqG1yt0C+1/Cjov2NHzzZo3pDm2pCq4 WwqzJa3ZQvzaM2mPXi0ECvXg51DdJRKz9hjL83QOyB/uLX/jD4znMbyPwDIXQBy8jgxpDSDTUuUL Djhfwrbf7aZCd3pJyk5W2m3ff9YEV1Gec0TX7F3efsHExmXWjib3WidlFpLw56eySBtCZWbJVm6l mk2uTlsxPyeTceVy01Ir49Q30Ds4rW0c8mNfn91GUVNqXEPNCoy3P1a+ImaDUDz7v95KjOEmFTcA vaqKxBnVFQCKulzXK+EMqSUJ82GX2iZffh45qrQYrnSMrxr5Texpq8zN97U/E119XBW0Uy5bwoI5 gdFsbeEBmPJPrkTzICQFiYrWVXK3n8wflI2F5VlNsQwg3VAqYOBEVM88AFxy1FyjK5YqOl9u1Dk9 PWeLh44uwqyiIH0CsADeV9A/dcdz1jcLFgXDJ1ugwB+drv+DVmaDUlz238nihtAFElREZkmoh2zc k6sBlKT/7eTIrhUOyOze5gGi7JdS6Cf45wqZThM0WSa/n6e0CNXYU+0KIXjd+ayDOU5/HIRoou58 KXuRxykUoC9ACMG8Bd6y5BDzmlrMAzu007itK9SgcSUQG9g4QFSUnWD8wH2Kqdnoxm/yIvpl1E2i UPuLkHNNKBilmslLQFNS2ZUSB1hUgaM3jeJI3wr/wG9oY8YCaGlFKG3SjG1ANBMvCrqa1UarMgRo U/etLI1MAPvwW5PC5WTdktIyYoBjtFIqVrhmJmC/bWjMVbdpjd/KdMGS1LEwSGX4oqMnSa/6zeen N5eGkZy8XduKShin7qqBlh6x64IBtxUDd3esalewLOk7mCRBEZ+TMkFD/nhQwzvq2CrpaStTeMXX YJqNvNEXagDli847bHFETVLxGDwBRaQPp1ZbzUKzZJidgiIbteHHdEq4I5V2OS8eoLP3I9l0DncM srtJtl8M5YGnpBFaSoNET37p1nUpfuZrm8IZUKZCbX2CwFnWFHu2kkqZE6qGo1j4Iqe02hiBOZ+t Ww36rBxwNvLA51bVHx/QXBa5GX8mYau/UiOiriClyY/oiUdcSGdZ+y+Zz7vK7iqVn7JxdN2mC4cr K8uA+P2Lj2ogmw2eEHsGacgLI/DdZN4OpQbou9G4svmYCGQrjbYakKh3ExZMuakcEdI3TP/b1GP9 rA/6o1sZV998Y+pCZrFo4RfewAUm3y49IfH/fIzZIMBKesMNNch7okulcb1xjvZABOUlOmkBaVOf ES9vVbu87EFbCGqs36YhrgsWydj6Edt79GnmaP0Eoy+aB8Y89z3ZteZdVat1eSEM+arei21z+sPa RWEvA8WvLE7FhKfTdEFYE81+caHyhiYDXd14S0PfvH/012cF1LGxmvyd8hX/DfDEnozb1tzCu5BU UqXuQUexe9SpeW8odua/8NDYM8DwLyp+35gpk6hSTBiDUlau8l+OLEaLQOANkcZ5laWPZXPgVq6G ZYeMhx459P6nYDNlhLgk1hcDi80ivBSZ8WI7rC8cacEZCLQ0eupjifJwswyX/EWoOV2g31cQhoMP K+19RA+o1TQC4hsQD1lCTjKyCLIvspOL11Vd3HidttiwpASG7lXw1HaVi/Gg/n+kJGXbsUiZxgF0 wVmmPp0g/9Nam6Y1WuMO9vymf8DnVQa4F9/xVdGNIsgHlmQn2KO1v2HMs7Z2Mp+U/AwgBKcSS6tg 4sAw553GrN38e343kMtvm8Tp1D0t+bCPew4jnfdU0on32mPt2KJJVy0ghJaPP19pDVAekNADcMWb kSX/46jDEIf3pSkACzItZwBG8FrZkHb2ay6fp5zr5DNC+P5sgbrGraXqUa98rTBa5jduVBrFcwzJ rdZi6PVhAEjwN/NAcJBOD2QIU6y4v7fm+ryW4+5qOOJoplwqFF1mWGVIm7K2JIP7apQC2ar5396d TWTTN9BL8rLc3hcMHO+lLYU4fzFo6asD6tqhjtiUym9oQ6Vp08sYNXY3ld1dREElLLw7ZT4ZVrdB iUoHJ5fE9NvbHdoM3zxFQKS8tpMNRQ9twbkBqoQgSM4yq1wfv8sju3sUUf/+/4O7fTIJVXLJaVxV ztfJa5XL7ltiGzk5cNaqUg1Qfb397BeSq6WPRAoqYmknTSKVhRpmA9b72YN6bTXcSfK74VUhc/gk eZXNkMMGjiPXiwRIx0onSU3Sg5PKpjnPcZboQjGvrgXSI3AWHnYNUp//yb+/dPwvxWZWlGNAg29Z Eg/opdacFU8zmY8HGIrG3FuSf/PdsxqSbysvFoN7E1V3s6cUL34w78dx03M9Wx2Ypvw/NmLkaET8 FSBZSLEl5Xqnxki07wm832GygJxEdmqKrw/XMLGHYFmZHwkQ2xXjRSZioYhMHUx/3Y35OgTyrLRQ 74wuGEPE7huGj3/YyOgUyVwo6XwtaEuzkzXJcyUf8lDz4ea1eepzbK5/vERaTsN77JB1l3ijqZlo 2JiosbfmB0+gzRhfAG6PliUYxjHmyWgQ48eW3bf4MOcFFHvBfI0QZ1Mv6RM9lX6Ab5M6bQnk3gnn EYUHQT5+mPL0unm4w9/vGGJaWytYQWJFhhRP6Jn9datq9ujQUxpzJJX3qjiAJWkyNKpTaf6VjqvQ pR9FA4D2xDpWMgWL2/uPRKGESXOM9ZddA/GN3/+/CHrdyrA/8TNcouFwFEQUFToBWoGj8CpHPcrY ugq9X4tBAn/heugNCvAsNjWXvhxRKodcPtWFAQIJKPbW3urQ2ejKNHfrG0To7g2A3iVGfF/krj89 0YKqZzaZnrH+TquS6zUMFCMUtgPh7Prsco76YxF0K32Wnb7ISeUd/m5rZjShrPS2CubHQGWzAbZ2 BDvzktrrTIgZsau5gagzBnAhOYoOYQ/+n/O4Oe9jiwOmmpz2PZ3lHVqT6UrOxJumZr/V1rXB60VL roAXQSb4XkAkRbjBx/iaumPt6DxuDTVXvlRF0/ynOEu1JCuC+rhRReGQCaOyojmG7sUVZVQKEQEt P8am9UOSlDXzFALDOV7TinKCFwagVBwJU4aLe3vBTZXa3l65F6H1ljOwHAo0nr1keXSXrbrRQesf bvMfcOg6YY68lyyVNI+yENryF7n1vYHL9QtqReBbK1InVpC5Mi9R1yzAr6srXyVhTNwlQ+pfx3S6 sNKynpMhDezpDoH/uqJSU7kxUNBWYk18DrFbze24ApgLjuRG0dTtKq4+Me8kr1wv0wmwfnhY3CjK x1g2xx18LW5tjkphrX34hy2WAfqSpGREHa7TPWSKzjH8R/6FP/WCXcKFngT7NBvoj3iEX+lboE82 IwjRV6K5zj0//DP3qKymWLewgdaYtotui8eao+ubCvFfbCnyQB87D7Z6xT5ogepjtaaWqmVrO58B q9cS3wCwkyeKEC1rbRg4PuGzlViVYIlDYJlFIwAZzrz/VczToK+4bci2Yg26zRleYyiOX1NVOXKc 9/OpIxtiwPjK+6jzmw9DzVKfUdyJhzXGRCTuJPd2hnVbArPngk+jYh0QcL9aMvGAr/Or13rEkhqB h/GfvlhAdPBwbhugKFdx0jTgJ/IhIUsr1+8IQ1wn7U15lpCi4Lio3ZsLVDzRyDl+sqDmMNJEJfQX rk8O2WafUgH2MjQLy+p16VqLF5uAh0SxOoB0OGQyXhNkGIZO0hRQv+7H59aDq5QzoiYdkG0/Ie9O zH6uF67pjjfvhkH1DiRNjRG2laXVOxf8tJdn8g7Dy0yWnY3pj/xIefATvaqvKta1yyoKq4FyLApM aK2HJX4bZahhiGHkozIiC7pJvyfppTSJqWYB/TJJST1opH8aOr4aAcJrOP9MkKnsU+t5vtWOofoT EOioht8/3EW3FW/LfSTHbNPRE+7VDwCUdj97TEZHWKVg1md/BZV7eDBu67OR9ZlxY5kD+Te2RTFG hxlIT/09tfzkozSG80KCnieE4SLJ+VJIrSj08x4QudkjQm9wHrajkQoZ/OK8oAcKzyZzhBqSfI07 IXasMrhgHrKVuXkA53ShF12/dEH5l6T1JYWSBJQx6f+fLS3Puk99rSwPmM3nVEkRSXrkPSDksH2I qhs+KGLaYhKFhntr0gGFuTj08fiVnN0x2L28yxVupr8AHq7Ua/SOZcF/CTw0h8yo1e8vPLZjCdFA yiXNy3ysdEq6Zx/2vIwPafP/twwhiRUiCV3seSK+U4mf4SV5LPetWwWRh9aBYW6jLSjzkhk8dPb2 78//91vg50FIvrxRfMiUqUwJfz4XFf3TTSBm2Lw4vBz0TljJgxrfag0AnKnkeLkVRwpVczLFKq2l aFmPAmiImmrr+0UeDWxy+9ebaxQJS1+oqOWBISZKU0YWSm8jbwbr6cAQcicsIZqcY4popsw+MC7L mwQy0S61n6cSv8GUT9BQILkGIn364/Vgj0nNPs5Uh1K4LcOm5es+RUq1M/J/Vmb4XoK/jEGkbmly ZerjlvbA1HAHglD0jQigKi7BMrKV5FZumbUscMiV0pN4RUGtc9sxKqZZBCcIWDoisikPf1q7hwiv +n1IuheQ2v9mU5IoVtRYPrgsizVdb/ZHJS1H1DvfbCU4afxHeDu0uaXWDhsxD2OS0DlBJa6vK4Qe ukWQxcFDMVC/GGpuWpkt4UxeIl8qMIq77uKwBQI7zr1u9LUEshrNtasunnSE77T8z4ythRc4j9S2 7LoG6gv5XuvylH+Ro/vSUgKEH9FQsCcpW+3OiURSU6Nq90VKul3oGZJXBeUa2iUCTLzwXpZk228I pFfFKG3peHI0apjBJrG0gvxZeT8yQZ2U/KvH/qzzK6OlldlHDLuXRILbHvBP3tK3UpxswHGij4aH 0CI072fbrLLauZoPaHFc3inuACGYpfjbxBGWnwiVQF6uMbYyYbv9uD8in5L19uOXlH0i+SCmGI4l RFCAeijkDUsWG3sXd50uPm0A7e57M39p39wnq7yrEeQzAYGyI/IAlY5o/cMWuC/1qvujs7fvAFb4 qHkVA54T9xHmJowCNXbx9pU7LD4OnhqR36l2L0ZYeLC0lfapoPumymKfpRb5opoy7jRipBA/LatY LPDlnk526GkDU9+HxoDKI9JhJjEfbhH1IYux6PjxAiNVNVbZiUm0DJONxWqEDxlEBvxbILIbHyXZ 9E0g53akhyuaa58Hvhqpv0eHiFTTfO3TruET4jw22DvsT3romF3Em2ocvI+Mp3kTuCKBK766PVJd gZdJE7qeKroyxvCjRuv1zkCa6pMz3H++e93UAqs2XSN0YGfHjDv78n2cJHWBWApGssQFO0iwn+2d zek0MojIIIAK3BUycDDI29y+hYibopm/REBeOZimqw5rQU2AMjy05T/9v4sQKTYAXfVa2EeVekgJ /i/1TzUJBDxwOEEUt+foqUBGoj9ugLssQDz2EuxI43mBNWyHjZVaFuRg2R1zs31uFJywqJ9hETDa LfZrxVUkg18oZE4OoqH28uRjk37CiD3FEaS1ZgedmlyAo7n7R/4NPr9XTjc4SxLKGxWQLeSlyspC URs27GiRX9h0HkljkKz8M7XzM3qP173da5wTx6nYjQIPxmXjOk2Fi1snF2vcRiBtJRVnGabJ0aIN j5yxYf2xsuvZgTvaQg10ztQMRd70Y7Vr5UE0Y/+ItnvBL71MyWMBtp1wTOfo9Cusds/DlvBbqBap ERD1onWpt1nqnr7+ewlczAzNKTndQy481ox84Zk6O0mURyxd6SOwyhsR/1xzMp5OiRFf8DpUzMCk blK8KUgTzBD8v6zL0WkiLzIGd2ksM5QKGr3QNDA7edgJ0Dk0wRjNMP2xUCt7mRmTsH3OzmW43iAx VZ57JZOhyniOF5KTpEU/kBe+5yZxatoRyow+2FMq7ehdb9j1pC2XF8kvqJqI4MRZOevYs3ZOJN/k /a9+5zZ2vjn8GOCgOH6ctKOoTo6fPgoJU7/0g2VqDlin7dLdYrFBwJBJsZCazlj7i3KlPIQ1YmUz s64JuXu6z8yZ/2EiyQka+YxXSilBw3G40Oks1XfXcEFlfH8rvKgPslsuvC/5UpQa3dj34UWzM5wp XfNuHlnJTcOHO7LTuaDq1+VqUPwHgAKg9b5tw7R4viD+1ePHzta3UOwhqH1RUCeY0Y3QrXjtV3pH kK/xORBDJGWw1wM34OBhlbrN58FGxlg8/+hIlrJJ8EMjjmxtc7Phfh783WYK+3jhiL0JXzKmzn+W n82FjN7/x0YnDOEi8IlsxtdZewZDotAv2p79ulTQsc2eySDthyAMxcS6Kdu5URnOUelZu6e2Q4eb 1qk97D7LmPiC2S7Q9RdT6ZibEwMqqDWqn5wUwL2MnKrZ4uarOgDc1jYhjIgRyuma8jnYgIK66xYf CWz6yb4n+M7E65+tKb5zdFfgy/dcSDCtTVlSNPVGC8pWDURpTxaB2RhD/rr4L1Y8DEkDIceujeyp fSgkgY6/fiU7MTUjKgWfpM1fQa22Azmvj39hFuKAe0zeOvnMUylIHRto3FlBduydjPpekf5PA+PA xqqtMz4wmVrKCW2e8phPBh8tsUGyHGRj8r/xKX+mOTYnn7PwXf1VMYFVJS8xed3P7xf+GboeTZkP 8ZJNa5Hw8tOafJ55TUYcddlfNL5ja6kNJ40VmBHcoDNvic0JSglgX1iFnL8Iv7yoDTsqe9GXl5zH /jPvVRkrAoGdXzw6gBiDgWVsbrWb9sCD5Qe0vhrdUgyrmps8bVDtuYX6dAzWaesb/RjxzP2ZrmDu g9qSCV4eYscJytdqzCk0A36Wm6NhLze/NB+xWtU+jCrLOhFBv+Uu7y9W1yljhnstlgzPgWuAV1Ea QxoRsYOllHJtG5+5sR5EkOLhwx3+GDI/0gZ180sHKs1X0X/OveM2vTuiw0DHgjbvAInp5Ny4kOcw +5gTATzCTrX2l5nIr/0Wa3OLKIShnf5dWB1asKlbjA65MSQ4qSuQFY1Qbr00Vwpc9X9lHLNmTNNc PxElljPJ1CH5prkSriiWwkPmgXSCimKmZ3lO+IVMRLIIYcbRMswadAoanFATintn7jr382jCiAfq 3ZSUn7XuYUWaEmvN1wxl/WPeOZNDv1+9kCiS5TS8M1ZTVqAK/7dirilojFDELoSEFevrBX4DKjHY AZLbemyOw1Dc6m/+iZRU5orcR7WI88UsbjzvmJgE1fbEsNAoeK83ExGpNAMEoAvMpPh/mPJOBJ1X qz4RFvFdUBNSL7LNyRJnkQG3RNS2ant+b8PDTOqU2n+m0c1NuWJoagkNAkrKQ0u9ytv8Cl5npPhJ zhf3jCLhVZfOx89jSVDnKPxXTo/9OdmbbOxqDNOmGwqsVcfQ4I54r1WkYjpirW3j6hslx4H7w56n 5iuORS14/RDQDiA8ekEypr8m0fl/f4Fff7vyEN/SnYKMoqivk2Vu638SQhJbvsKnhLUGGp22Ge1o n5iSSkyAZn99/l/53g/iqrDD8mj8Qgx5ONGg/gyY53FOgXQx6o+yjuJWl0bEOto4NzS2hORyMH/q 9bXJTebK5tTl+fRdopQ6tRtGLMxZJMtEpeR7IWB3qtf7+rNH5crxBI7XzpVR+iSRwAONAlY1v4Wv cPR7xPg+PgS+4k0rxgAec8M93oanF+/cDznG90rxxyOX13LP2WprKbWALSfazEGJ3bJYI+AmZJXC TAB89EjG2wQbCVErrTyC8B8C3D1IQVRVXu7XJm39AkVXUpx76VBwbb2DZ03rnc/Yy3uXWZBMqyNF UDjOAhiZq9uThQU3xFpjF/hG8bQm2jxtPhJ9QoOy4G88HjnPXly3McH5DEXViPq8vYDEhTjE97kd WR6jdjYEUxT+DgV3LrgFO5oIEznGKODhMEwWANZd8eFtnfokEJHMjwMnLeKSeEYUrEgxVZyTnDEv ZNW8mQ7nTxanskGGUl2A1/E9t2j5/kw2VI8IhcF4n4FaFNSkRt0yDwvuqi+xlN8xf2ujSoHCsgke s43RW2s6LhQvi0q6HRLlQ7hqNcIDoeHuqF+4deDm9np2D17T80XlLxGBslY9X+glpKwrE6YoNaRO DSxBfaZ8AEd4zC4xWqCbFxVLpZbN2BuU97sbqi2YWsHv9B05W2orOpXHaJ3mMGz1q0zca+/QpWbW 7kNBBH5AjWhej7T8zkfbAcdU0zgocRuNLWYmdQwGjN3iHwt0tJHt8FPAREirW0u67W72EJ5M7XXQ aDGKh0e7WrjUtqGxyFte49qWkVTcZGz3ZgYMKjsU46xKB1MxkEPkomSiKQ1j48vvSTfzWx9cBlI6 FVJmK1TA2BK4adl4GdYdAZQ2Plk+oQ2/Hq+tZn4xS6uxXIhWTo9fim01O0I4nTgCu52uiK8svlVN 8cEdiEknv/AwkvUjxxH0MyUQDKxT+/vdXfE9L5eSOhyHR8ROIhTBHJu8aPDS0jHiY12F4VFY2KsR twr2MbNiElC/q3AMLdV0a4S4VMHU5nifucPYb63s108ZsvRnRm0kML98SxJTqLy6cjVdEU3j/tF1 pf94gFqoUMxUoxC/IDrNwpFNT37a5c4+c0tRWiFcGxfbT6bP8INX7wskWtxgIw/k3sRYLAzlq4FZ 4tundeJmBv8KKHAVUX60/fvdYA7X5arjovtxTq79lftbfQlAqWbvPfamE67TZNzGSu87ectnKdgG kFJ/4lqPieLl5h0vBuknzvqLRJqnY77B1gDdz8HjfOA3FPLG2slkMuEXrInbV+7Ha6YZpMojVdGj BVK+0Q2HBsvtfrkUBn9MVpw22MvTII42sW7xj/vfn73URuP/NmvXuWCX/7MX/rLvW1PwVHdMWHXP llYS5A+6m/1mgnPJeAS077m/hSgw/UXSw/Km6I1pLhdAmQVsmdDXlFMA2WWFygl44uM89OxXan/R z6Vw8Wtwx+eJ8fJxLtI6crcqFFFuy7tIEYIf68gMvTZYB2Ronn9M/hmmgPeu97ZH0CaKpMo6SO4Q GlXk6KTArmpz1NoNVPvwK59brYbvheOMFJX7FoUgI9lTci9njl5gkOYlx82U5Rrel4um4GvpvPpn hg/+KvFj+iCGBynXHXEZPW0a+9HGiZuvjI0NI4Df/D8rdjRXjuXQpXv0taLeHgcaKy2Qo71A2if9 ez6BYb1I26Dw8VDQBi5QDsUVPhovrAZgA3jlHT7K4ysizzcO1RXOpakjr0xsZgW47qsMjOoWsuKN vNQNIxvqgEKlk5f1xIO8TIT8k7XU17S7Oz/r7VcjTT2dFoHoY7Su8hUXdEtkHElXQFOI1Nf7KzMC 6hwBPOx2lVLIwvQxrOLo6FT6J9j7wyspxxg2kv+n8SRLk9aXZLYcxmitpepGKU1s0bXCGlptKyUi GqlHRzD01mZjYoExBgkmj35vMkbkkd3wWbKZYZT2EuwXUCZyhn00Rtl1Nh2lfGmaadF8XwKzVUBi 4IZzzJfzR79HDWyiH+wp+oC5LEd+1olj+7Dmk5b8S50RMXRNLwZNynGkEVfUiGPq5GPETeR6lQ8f QwNeR3fRkfVsUhFBwQoxEGGFBEDNJPAutL03tz91j/+WQYKcoosIi74rcCgfLPKeZom8O7jCupxN xoBNEqEiDwyoe81oc9hQasRbQFqXg97PkAQ5lBoa6IuQVVoI6//9S/lXCZDu7DJ7sztCqDAmE7Yr DIZ/mejxCApL/61KUGZUj6Uwc+wg/x5GDzMOgY+9ttnzkTUaenkvGF+XdOrU2S+z1dznzSd48q2g gW6jlWiPRzbnRkQTMEyZkeNx5QEZ/qWKVxduJlQ9VhI/TCyq4SZYR1SsdS3g6ipga5yNt2vdA7co 3ICv1J7bfhyY45U7icaN5AcCVhZf/OMkRF204Xot0T+KqUu1OggUEw8MDEW59B7YDcZWR+iJDFtM E1XTNdSux7zD2t2wSqVTIMFNL9aEDwnCKfExdwQApkKS5hBXWY66vikFQPu6wdQwBcemUMsCvpCp fWVMxU+V49mJQ3TvfRSq6dqjENHuaLNy0hs8dLOuhMFMWtLVUwLNtS88y7NkCe2OeLLJSdRZFuT9 pWMgRfoOebohqhS2QEWv+oQR5BgB6n835ClSXNjTx2o0g2C72Ainoqu87KNsBLYawvaZHJDkB9Nw OZTgAabkAwMKsjy1oOVeHRmk+MP7gUxDNFIVZHNYfK3RcqTDN5+sQGqpyZz3/aNDKBOq3Fvgubjf hruWSakUMGI4vyQ88jXfoJUPLe+ef+IeikhNp2I/BvwSND9+b80YeZB2IxLRObxb4y4u1YQEUVNl 3n++3Pd2glmEL7DC2ze/YvqDgrL4oKLkOGplEYWHPLHrFfNhbEE8cH/Wx+kVyqshJWLjWS4cQlBn 2H8oGuEOFdfkaPeXt13+ytwfhb6MWzf1jL0mCEQq0bZ70NsnXCEfefNaDWBaq1uW2ZexuL5wnz3r CL5QK9EviV9jvRSPAcIsj9CstkhJjJ2GlAKu25TW419JH5dJU0n43Su0+vSsw7gvevEH7eQzDZe9 XF/HnXvYQPeyG9v8VRbhjcovpzytZPJU63jm+Aypmyj2DW+JXCxe4l/CgOkWtvpKuUxzb6Af85X9 1T7Jf8j9J8kuvZlcrGBhDJuiSew6Ae1kV8UzKJ+lbJb9TGFZedgLUBn59XQjYFJQMR9YRpPC4v47 DghrV3VHlFpObQ8iZHgdhOhecv1kw3okf6rlDTKCC31LCiWHacoHrryMzWmXnvEfds+YYCgAx9x1 8Xhox9mCRUVutQzDB0Z/8qoftp/0nJtyKWoMnAklO1+iU94Of3Miz0fVRLaNN0KPoZdOq/Wklx4O XlfI4BPdlvyz4plz1+Aayng5esmas90XplECBAPP8VgJ7WCeRpKpRWWpjU3zKQWb4pzvywCXfO+t ufWYd9vNx2gpoyLBdPfOvAmJmzZkWUcqzP1mbOvcjznYzfIpPenYdePRp7TQbxccQrzkM6YUjEdz gGdJxMGgehlq8bjdUIqM6Jp1tJvUci+XAbbsY0q/gftkTR1+ujjeRQM5/TartI9AB2IF/3empJw6 twYpZHMYhNa3t+yo7b1mI5Gb1QFf/cPDZz8vPAdzcBjVonUU2GfCDzOXqObxHCg6Gun4MrYK6wZa 1rfjsXs2NvG8qQA9ObCjdeFgJlqs8bq516T/wIRp/68Rze++SzJJOEoAVi8sbMpOQ+Cz8H9ZkhkH hesDiPA6G+90/nfw6A1J0AXDQV610sjCfW5V8HLJJk3n8tUYYJsmJAYsL7mUZuBFgFz4Dt8OrzhK x4sVf6ZfxOy42lTHrufluinACEm6H4NfKXjBdseRA05erHpppWWE30qrR7ZAciXVT/E7Kbh5bkfT FgVM8a23V7vKgAauprEVLqmPLwUbxTFug51eXWTcPeAWgc0e2R2xllzhUaU6z8j8nPWsR2seHmTE iJA56wZFltsHfvUSJyCzy6V6gbvb8Fo7Gy9nW7gfMzTAT89/zZLEl3wDSZkyXpSDzeYxrf1UZyht mOmhgp6mpA/dfD1QRt/LYyeLq5ikfVNXBLfRlxo7584gcwNjyXndiaTw/l9KE+l5zTsxpAHglLfc iUavIAgBslRQnZ7KH5mW2vflsf81tuolVe+Rr6+E6qSJfLjxob3sHeqkRaJ2Kc+j4Pwp6vNh0N5f b0FaZYXz24QuDkbFWNkeCnKNqqiByrAJb2IhrDQvaOCKyt5wYpIz9FYgGrpSTACRZq4+nHciwKOe of7H2+TfRL8PQD3a5fn9CwurOv0MhQ42MW2jSABNRroIIrdrplaMBpMBmwtk+zj1eLM0y0ys3cy3 ZHWWvuUmG2zUNDlSMTJ5yn8xS8V+i+wpPnx2vS3d4E2nNnvpOQZQaSxHttZqhgRN8YT+mAdrX78h m9YVoYq9jkEcstgCWWrUFFiVNB0fWFZTeIJUMF2NIcESOVUFyGR7ESCOGxeW3v3ddGWUnFOnU2ER U39TfpKXpCrOJsmriQ5VvYjUH0RK8Kem5u2PXzMCiawQNwVeyODqzFQ0iyU6rXRdkWSypUHldTA+ 8aulr+2dUdDjmwvhS9hux9v0Qobh3gh2PdotABOj7eCqFq/obQBCbAkSYEWptxOKR/UJsesQY/QR b6VJXrRqEambu5qrBs2otAXT6oN7SzQj0m2V+ueMpT5NXK/2GwA2xxbsZdR+ExO/8RfkRXkl3540 s1cNfGWP27Hjf2et6q5y3nTxESN7V2dqIbtDiN375YN0uJZaQuHeVagrqcko6sTvb4/HyfYNzYDX SuXfCv69AslNPEXT2JtA6efZpRvj8oCtxZFGkpcF9P1KGDyK8JzaDV9VTjc/7QF6rnaWIMluaBVB ey+IKTbcaWFHv0hqlvYUBM0PVREAqcmn63A/E6pamO8lz6NDMTYa+q3qDvr/PtvlMmLC+J6aB864 ox2Rl+f2iYyGj1UwYcCPyUM99zSj1K7eCtqws1NgZD3KuL/mWpc5/q4sgqCIjLoxJRGXODDEkJA5 m0O0HcWNYO6cnHRRkB53SooXrmBqhl/TA2je6S05mLg3PWsSCPs= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Aeow6Dar+wPwyCuZ3CpouVllPxC4llA1Ukm5brPkUf6jbA6jqKC6lQwebzsMw19h5bccH9idRwDY jemL0fBrsQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block fhH+aqlEoKE+JZq9qghNfSjPHdRv5hlwG+33iOnHAwoQz3JLEXCjbjK+/OkJ0VZ47mEYRGFh4AMB Kd5bYAsHBVfJU7e93cOCmqMi6q1NZ1B4TYSa9cbXieENl3lcMAWDjVXehJfBz/WX6Dwe2sYVqHas VIMFb+sNilgPS6HDJY8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XK10objy6h7oUNp7hJFakI8VyHyBjdmYLutHMeS7A+cEBZkpecVT07LulHHgQ6KKziOF+tKEi8wJ SseDgde3hi0l4iPQkOV10LTfLrRvJhBfeIZ8giQp1t0SrhVy6N2SSqAn2eht9NkZHhhTN2ptQWFp 0WxnKaCc1sV3GIghFpbS5rrPhG5y92GuyT5FWa/h5Ldzc5bI7CyoJ9vYfzMq58minDKJ0Jm4Y+wp yHLZA+Ov0xHxm9GrfwhCkATL3ruMGZYQt2s5zV4QI9wQ2UIEz+JYy8mzm4GwHollMtB5NRf5scWn l79oM70wWXF4vtffYUC8HG3KNp96Na4QKpBYPQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Ykye4tSzDCZpeQ8JRXb0ob3ATquwfCaSG/ly4GVsy4bD2TWi/SlkZna7zRiLnZAzCamGizuqrWRL lhFyzoZ23CkJDaAHrP4R0DVjNPCXgacmijI2x8zSxwjKptfeusObi+G4cJ4ea9XYuXHdvqkbssiN HQHbZSHeJPlG8zIt/vw= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block j5byzLdNMnEoE5qwywfZ7XMWUUDa/vRU12ws0qpEN4GtoTZgc2FboDnnBkgSUXUolpwgKX2KPVWw P1VJmwcR15FUwZQrqh9sbS63mL7WHPSLNYElbx4uy534LVuKyABLlnHKjoHmVUtp/4E0ZuLGoImt /zsHn4GgLIE+tuBcZ3jTd5dxjmeQWJivwwjH/wFwCAVczK+9bLnUfJbXp5EAOTA495Anp3M7uHef CqLawyLHuTbCQrqf9W/UvFrFP4vYRwsEsllUJ8mnvN8qELVwvhBehS0LrP1gDGa4Rus4OZzQgGv3 gxc6OKVuHGv/NA5wtcEC907wTYphLFlAs3rhjw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10640) `protect data_block XaaX46qy68UqWKNhl6P0kvmryxr4rk+//YoD6Qd1vz1XwvlBrSowOiWseLIdjZVaLVSQsAZEEEbH taruDjzbeB/36r4LzPyjacu9onq4cHbJT1iRyfeVlvKnWI/Gsrw111DHE7A2xmO+9dRKGvAP1xE7 X0tdnaEWtm35c6Gl3rRyu0rPNiajNMIO91N0FSKHFdfENMpiICiwwVdnzEM7wDoUa+saad7Kt1d/ 2n06bZD73fIKRMUlV4iMtQbt78cEhgHzMUl9EDjJbbi/P1jAFPlqis8FFRdDwtBp/MPAPi2lw4RG eHCvyd3lFYPn4LkBibxm2Kq3LpzDBxZV7/hrhH8bvm+b/qiQSu19M8OkLSnK3W6Er4k0/bI824KK j+IFYCEXoqSWXNSC/vQ3E0Q3MZ/xSvbSe9ts+SGMJ1JdWFdsSNs/a/mS/JCX9zg8GpUacbK1BhLX QqElh80gIKOfuipyxs4wA1eXIdvqFoV4+UTYaGFFYxdc266xmDcIUOCRvfES7zz8AElmDT0mlOLN hg8ibgM6eLIQzlWAWThqaHXeKVBuaheVxmmuZTUk6ZpAEMBk37KCM8B8UY3UC/ywvqc62Rfv9QdP oIDE8k3gq+oaOCLTZqnBDS0Yoqte1UZM5yDh8Bez4znfX4GXu0RgH+YMrqNIJ7yC2y/Ay2xkIbzj COLsATDLvNFhOHBHHtdIivJ9ubhmVSLj4TJVLzwVkjEEFVkXKxV1ZzwqYAT7q3jAB7XGQY5ruvTT opQXSDqBkRm9tUy6EmoxtV8cEpiihyev6v4q71biyc5k5Oy1Kf4/7hoHkmPw55x03sjxFo0pKajs 884THddTnuJuKYeu8dEJfOjVlbBsGf3Q6Qij8NCpjGjZ4qD85b0H0LdqHWwsjDwWRIGHioDdkXEb 3jVmKmSWlBjUOci8qsLSeG/87OEJIPP4Kux905nuqet30pLUnMNofu8l4nJWe0LQ4GjtQxhMhaKB VYrqfxo3E+YD5X0ZZHpqXvfNPMUocoJbniGL0Jh1grYUI0+i69dy4yaM7ElhylmjT92EAnSXxC2H 8QUKVVhiTo2Qea2crXme7es983/YCUC0YImKfGHKw9wuUaBpwpIa2r5y/tuqxX4kTJqXDgcrFG2i R+ikysXTMnJH/11A6AzK55TN55QOoUXqH5325Srd8+xXKQr35bkK41GXgXurTlT37qyr2+RCIn9w hSrC2650flFrOx/aiWi2Pb3e4qtPQOMvUOb7hYWcyhspNBo9wjzybv24tKFaexRsN6M7u1rBGHVD CNFY1crygF0pSZGM/9SoNCvlyxs1IlH5qpnbL6WtUbFy72ufIDopplU7jJ+DerIoVotpvygkaTnd z0Mel+3vH05Niq7Aw0UsfPO0ptDHhoidrnnmFzzlfPlgC0LWFW5MPLuKdaC24y4l9tY+25ZNnEkD mDdXzbrvFAf/jYeNpOPlO3cmIPyMmVlzo7MteLPissRrRiJTB7sHck8rQauTYCnfH33jHy0ujh1P IxLD1qMVdaX5ecjsXL4lfnhzHg7DehTpbSBfMIc6st4574pDCLjDPwb2FflUoRI5i3/wckXfqP4k ByKXO1Om5fAdi/huU+FobYD+u6YDGw2WUauqUQFSFdmb1HvPOmMvx8pzF7hugyJhLC/M6HgcoENc MJgF8PTLOjPOaJUNcNyMVn5SVSvwbvP6hyZ1R/pV7swwKfqG1yt0C+1/Cjov2NHzzZo3pDm2pCq4 WwqzJa3ZQvzaM2mPXi0ECvXg51DdJRKz9hjL83QOyB/uLX/jD4znMbyPwDIXQBy8jgxpDSDTUuUL Djhfwrbf7aZCd3pJyk5W2m3ff9YEV1Gec0TX7F3efsHExmXWjib3WidlFpLw56eySBtCZWbJVm6l mk2uTlsxPyeTceVy01Ir49Q30Ds4rW0c8mNfn91GUVNqXEPNCoy3P1a+ImaDUDz7v95KjOEmFTcA vaqKxBnVFQCKulzXK+EMqSUJ82GX2iZffh45qrQYrnSMrxr5Texpq8zN97U/E119XBW0Uy5bwoI5 gdFsbeEBmPJPrkTzICQFiYrWVXK3n8wflI2F5VlNsQwg3VAqYOBEVM88AFxy1FyjK5YqOl9u1Dk9 PWeLh44uwqyiIH0CsADeV9A/dcdz1jcLFgXDJ1ugwB+drv+DVmaDUlz238nihtAFElREZkmoh2zc k6sBlKT/7eTIrhUOyOze5gGi7JdS6Cf45wqZThM0WSa/n6e0CNXYU+0KIXjd+ayDOU5/HIRoou58 KXuRxykUoC9ACMG8Bd6y5BDzmlrMAzu007itK9SgcSUQG9g4QFSUnWD8wH2Kqdnoxm/yIvpl1E2i UPuLkHNNKBilmslLQFNS2ZUSB1hUgaM3jeJI3wr/wG9oY8YCaGlFKG3SjG1ANBMvCrqa1UarMgRo U/etLI1MAPvwW5PC5WTdktIyYoBjtFIqVrhmJmC/bWjMVbdpjd/KdMGS1LEwSGX4oqMnSa/6zeen N5eGkZy8XduKShin7qqBlh6x64IBtxUDd3esalewLOk7mCRBEZ+TMkFD/nhQwzvq2CrpaStTeMXX YJqNvNEXagDli847bHFETVLxGDwBRaQPp1ZbzUKzZJidgiIbteHHdEq4I5V2OS8eoLP3I9l0DncM srtJtl8M5YGnpBFaSoNET37p1nUpfuZrm8IZUKZCbX2CwFnWFHu2kkqZE6qGo1j4Iqe02hiBOZ+t Ww36rBxwNvLA51bVHx/QXBa5GX8mYau/UiOiriClyY/oiUdcSGdZ+y+Zz7vK7iqVn7JxdN2mC4cr K8uA+P2Lj2ogmw2eEHsGacgLI/DdZN4OpQbou9G4svmYCGQrjbYakKh3ExZMuakcEdI3TP/b1GP9 rA/6o1sZV998Y+pCZrFo4RfewAUm3y49IfH/fIzZIMBKesMNNch7okulcb1xjvZABOUlOmkBaVOf ES9vVbu87EFbCGqs36YhrgsWydj6Edt79GnmaP0Eoy+aB8Y89z3ZteZdVat1eSEM+arei21z+sPa RWEvA8WvLE7FhKfTdEFYE81+caHyhiYDXd14S0PfvH/012cF1LGxmvyd8hX/DfDEnozb1tzCu5BU UqXuQUexe9SpeW8odua/8NDYM8DwLyp+35gpk6hSTBiDUlau8l+OLEaLQOANkcZ5laWPZXPgVq6G ZYeMhx459P6nYDNlhLgk1hcDi80ivBSZ8WI7rC8cacEZCLQ0eupjifJwswyX/EWoOV2g31cQhoMP K+19RA+o1TQC4hsQD1lCTjKyCLIvspOL11Vd3HidttiwpASG7lXw1HaVi/Gg/n+kJGXbsUiZxgF0 wVmmPp0g/9Nam6Y1WuMO9vymf8DnVQa4F9/xVdGNIsgHlmQn2KO1v2HMs7Z2Mp+U/AwgBKcSS6tg 4sAw553GrN38e343kMtvm8Tp1D0t+bCPew4jnfdU0on32mPt2KJJVy0ghJaPP19pDVAekNADcMWb kSX/46jDEIf3pSkACzItZwBG8FrZkHb2ay6fp5zr5DNC+P5sgbrGraXqUa98rTBa5jduVBrFcwzJ rdZi6PVhAEjwN/NAcJBOD2QIU6y4v7fm+ryW4+5qOOJoplwqFF1mWGVIm7K2JIP7apQC2ar5396d TWTTN9BL8rLc3hcMHO+lLYU4fzFo6asD6tqhjtiUym9oQ6Vp08sYNXY3ld1dREElLLw7ZT4ZVrdB iUoHJ5fE9NvbHdoM3zxFQKS8tpMNRQ9twbkBqoQgSM4yq1wfv8sju3sUUf/+/4O7fTIJVXLJaVxV ztfJa5XL7ltiGzk5cNaqUg1Qfb397BeSq6WPRAoqYmknTSKVhRpmA9b72YN6bTXcSfK74VUhc/gk eZXNkMMGjiPXiwRIx0onSU3Sg5PKpjnPcZboQjGvrgXSI3AWHnYNUp//yb+/dPwvxWZWlGNAg29Z Eg/opdacFU8zmY8HGIrG3FuSf/PdsxqSbysvFoN7E1V3s6cUL34w78dx03M9Wx2Ypvw/NmLkaET8 FSBZSLEl5Xqnxki07wm832GygJxEdmqKrw/XMLGHYFmZHwkQ2xXjRSZioYhMHUx/3Y35OgTyrLRQ 74wuGEPE7huGj3/YyOgUyVwo6XwtaEuzkzXJcyUf8lDz4ea1eepzbK5/vERaTsN77JB1l3ijqZlo 2JiosbfmB0+gzRhfAG6PliUYxjHmyWgQ48eW3bf4MOcFFHvBfI0QZ1Mv6RM9lX6Ab5M6bQnk3gnn EYUHQT5+mPL0unm4w9/vGGJaWytYQWJFhhRP6Jn9datq9ujQUxpzJJX3qjiAJWkyNKpTaf6VjqvQ pR9FA4D2xDpWMgWL2/uPRKGESXOM9ZddA/GN3/+/CHrdyrA/8TNcouFwFEQUFToBWoGj8CpHPcrY ugq9X4tBAn/heugNCvAsNjWXvhxRKodcPtWFAQIJKPbW3urQ2ejKNHfrG0To7g2A3iVGfF/krj89 0YKqZzaZnrH+TquS6zUMFCMUtgPh7Prsco76YxF0K32Wnb7ISeUd/m5rZjShrPS2CubHQGWzAbZ2 BDvzktrrTIgZsau5gagzBnAhOYoOYQ/+n/O4Oe9jiwOmmpz2PZ3lHVqT6UrOxJumZr/V1rXB60VL roAXQSb4XkAkRbjBx/iaumPt6DxuDTVXvlRF0/ynOEu1JCuC+rhRReGQCaOyojmG7sUVZVQKEQEt P8am9UOSlDXzFALDOV7TinKCFwagVBwJU4aLe3vBTZXa3l65F6H1ljOwHAo0nr1keXSXrbrRQesf bvMfcOg6YY68lyyVNI+yENryF7n1vYHL9QtqReBbK1InVpC5Mi9R1yzAr6srXyVhTNwlQ+pfx3S6 sNKynpMhDezpDoH/uqJSU7kxUNBWYk18DrFbze24ApgLjuRG0dTtKq4+Me8kr1wv0wmwfnhY3CjK x1g2xx18LW5tjkphrX34hy2WAfqSpGREHa7TPWSKzjH8R/6FP/WCXcKFngT7NBvoj3iEX+lboE82 IwjRV6K5zj0//DP3qKymWLewgdaYtotui8eao+ubCvFfbCnyQB87D7Z6xT5ogepjtaaWqmVrO58B q9cS3wCwkyeKEC1rbRg4PuGzlViVYIlDYJlFIwAZzrz/VczToK+4bci2Yg26zRleYyiOX1NVOXKc 9/OpIxtiwPjK+6jzmw9DzVKfUdyJhzXGRCTuJPd2hnVbArPngk+jYh0QcL9aMvGAr/Or13rEkhqB h/GfvlhAdPBwbhugKFdx0jTgJ/IhIUsr1+8IQ1wn7U15lpCi4Lio3ZsLVDzRyDl+sqDmMNJEJfQX rk8O2WafUgH2MjQLy+p16VqLF5uAh0SxOoB0OGQyXhNkGIZO0hRQv+7H59aDq5QzoiYdkG0/Ie9O zH6uF67pjjfvhkH1DiRNjRG2laXVOxf8tJdn8g7Dy0yWnY3pj/xIefATvaqvKta1yyoKq4FyLApM aK2HJX4bZahhiGHkozIiC7pJvyfppTSJqWYB/TJJST1opH8aOr4aAcJrOP9MkKnsU+t5vtWOofoT EOioht8/3EW3FW/LfSTHbNPRE+7VDwCUdj97TEZHWKVg1md/BZV7eDBu67OR9ZlxY5kD+Te2RTFG hxlIT/09tfzkozSG80KCnieE4SLJ+VJIrSj08x4QudkjQm9wHrajkQoZ/OK8oAcKzyZzhBqSfI07 IXasMrhgHrKVuXkA53ShF12/dEH5l6T1JYWSBJQx6f+fLS3Puk99rSwPmM3nVEkRSXrkPSDksH2I qhs+KGLaYhKFhntr0gGFuTj08fiVnN0x2L28yxVupr8AHq7Ua/SOZcF/CTw0h8yo1e8vPLZjCdFA yiXNy3ysdEq6Zx/2vIwPafP/twwhiRUiCV3seSK+U4mf4SV5LPetWwWRh9aBYW6jLSjzkhk8dPb2 78//91vg50FIvrxRfMiUqUwJfz4XFf3TTSBm2Lw4vBz0TljJgxrfag0AnKnkeLkVRwpVczLFKq2l aFmPAmiImmrr+0UeDWxy+9ebaxQJS1+oqOWBISZKU0YWSm8jbwbr6cAQcicsIZqcY4popsw+MC7L mwQy0S61n6cSv8GUT9BQILkGIn364/Vgj0nNPs5Uh1K4LcOm5es+RUq1M/J/Vmb4XoK/jEGkbmly ZerjlvbA1HAHglD0jQigKi7BMrKV5FZumbUscMiV0pN4RUGtc9sxKqZZBCcIWDoisikPf1q7hwiv +n1IuheQ2v9mU5IoVtRYPrgsizVdb/ZHJS1H1DvfbCU4afxHeDu0uaXWDhsxD2OS0DlBJa6vK4Qe ukWQxcFDMVC/GGpuWpkt4UxeIl8qMIq77uKwBQI7zr1u9LUEshrNtasunnSE77T8z4ythRc4j9S2 7LoG6gv5XuvylH+Ro/vSUgKEH9FQsCcpW+3OiURSU6Nq90VKul3oGZJXBeUa2iUCTLzwXpZk228I pFfFKG3peHI0apjBJrG0gvxZeT8yQZ2U/KvH/qzzK6OlldlHDLuXRILbHvBP3tK3UpxswHGij4aH 0CI072fbrLLauZoPaHFc3inuACGYpfjbxBGWnwiVQF6uMbYyYbv9uD8in5L19uOXlH0i+SCmGI4l RFCAeijkDUsWG3sXd50uPm0A7e57M39p39wnq7yrEeQzAYGyI/IAlY5o/cMWuC/1qvujs7fvAFb4 qHkVA54T9xHmJowCNXbx9pU7LD4OnhqR36l2L0ZYeLC0lfapoPumymKfpRb5opoy7jRipBA/LatY LPDlnk526GkDU9+HxoDKI9JhJjEfbhH1IYux6PjxAiNVNVbZiUm0DJONxWqEDxlEBvxbILIbHyXZ 9E0g53akhyuaa58Hvhqpv0eHiFTTfO3TruET4jw22DvsT3romF3Em2ocvI+Mp3kTuCKBK766PVJd gZdJE7qeKroyxvCjRuv1zkCa6pMz3H++e93UAqs2XSN0YGfHjDv78n2cJHWBWApGssQFO0iwn+2d zek0MojIIIAK3BUycDDI29y+hYibopm/REBeOZimqw5rQU2AMjy05T/9v4sQKTYAXfVa2EeVekgJ /i/1TzUJBDxwOEEUt+foqUBGoj9ugLssQDz2EuxI43mBNWyHjZVaFuRg2R1zs31uFJywqJ9hETDa LfZrxVUkg18oZE4OoqH28uRjk37CiD3FEaS1ZgedmlyAo7n7R/4NPr9XTjc4SxLKGxWQLeSlyspC URs27GiRX9h0HkljkKz8M7XzM3qP173da5wTx6nYjQIPxmXjOk2Fi1snF2vcRiBtJRVnGabJ0aIN j5yxYf2xsuvZgTvaQg10ztQMRd70Y7Vr5UE0Y/+ItnvBL71MyWMBtp1wTOfo9Cusds/DlvBbqBap ERD1onWpt1nqnr7+ewlczAzNKTndQy481ox84Zk6O0mURyxd6SOwyhsR/1xzMp5OiRFf8DpUzMCk blK8KUgTzBD8v6zL0WkiLzIGd2ksM5QKGr3QNDA7edgJ0Dk0wRjNMP2xUCt7mRmTsH3OzmW43iAx VZ57JZOhyniOF5KTpEU/kBe+5yZxatoRyow+2FMq7ehdb9j1pC2XF8kvqJqI4MRZOevYs3ZOJN/k /a9+5zZ2vjn8GOCgOH6ctKOoTo6fPgoJU7/0g2VqDlin7dLdYrFBwJBJsZCazlj7i3KlPIQ1YmUz s64JuXu6z8yZ/2EiyQka+YxXSilBw3G40Oks1XfXcEFlfH8rvKgPslsuvC/5UpQa3dj34UWzM5wp XfNuHlnJTcOHO7LTuaDq1+VqUPwHgAKg9b5tw7R4viD+1ePHzta3UOwhqH1RUCeY0Y3QrXjtV3pH kK/xORBDJGWw1wM34OBhlbrN58FGxlg8/+hIlrJJ8EMjjmxtc7Phfh783WYK+3jhiL0JXzKmzn+W n82FjN7/x0YnDOEi8IlsxtdZewZDotAv2p79ulTQsc2eySDthyAMxcS6Kdu5URnOUelZu6e2Q4eb 1qk97D7LmPiC2S7Q9RdT6ZibEwMqqDWqn5wUwL2MnKrZ4uarOgDc1jYhjIgRyuma8jnYgIK66xYf CWz6yb4n+M7E65+tKb5zdFfgy/dcSDCtTVlSNPVGC8pWDURpTxaB2RhD/rr4L1Y8DEkDIceujeyp fSgkgY6/fiU7MTUjKgWfpM1fQa22Azmvj39hFuKAe0zeOvnMUylIHRto3FlBduydjPpekf5PA+PA xqqtMz4wmVrKCW2e8phPBh8tsUGyHGRj8r/xKX+mOTYnn7PwXf1VMYFVJS8xed3P7xf+GboeTZkP 8ZJNa5Hw8tOafJ55TUYcddlfNL5ja6kNJ40VmBHcoDNvic0JSglgX1iFnL8Iv7yoDTsqe9GXl5zH /jPvVRkrAoGdXzw6gBiDgWVsbrWb9sCD5Qe0vhrdUgyrmps8bVDtuYX6dAzWaesb/RjxzP2ZrmDu g9qSCV4eYscJytdqzCk0A36Wm6NhLze/NB+xWtU+jCrLOhFBv+Uu7y9W1yljhnstlgzPgWuAV1Ea QxoRsYOllHJtG5+5sR5EkOLhwx3+GDI/0gZ180sHKs1X0X/OveM2vTuiw0DHgjbvAInp5Ny4kOcw +5gTATzCTrX2l5nIr/0Wa3OLKIShnf5dWB1asKlbjA65MSQ4qSuQFY1Qbr00Vwpc9X9lHLNmTNNc PxElljPJ1CH5prkSriiWwkPmgXSCimKmZ3lO+IVMRLIIYcbRMswadAoanFATintn7jr382jCiAfq 3ZSUn7XuYUWaEmvN1wxl/WPeOZNDv1+9kCiS5TS8M1ZTVqAK/7dirilojFDELoSEFevrBX4DKjHY AZLbemyOw1Dc6m/+iZRU5orcR7WI88UsbjzvmJgE1fbEsNAoeK83ExGpNAMEoAvMpPh/mPJOBJ1X qz4RFvFdUBNSL7LNyRJnkQG3RNS2ant+b8PDTOqU2n+m0c1NuWJoagkNAkrKQ0u9ytv8Cl5npPhJ zhf3jCLhVZfOx89jSVDnKPxXTo/9OdmbbOxqDNOmGwqsVcfQ4I54r1WkYjpirW3j6hslx4H7w56n 5iuORS14/RDQDiA8ekEypr8m0fl/f4Fff7vyEN/SnYKMoqivk2Vu638SQhJbvsKnhLUGGp22Ge1o n5iSSkyAZn99/l/53g/iqrDD8mj8Qgx5ONGg/gyY53FOgXQx6o+yjuJWl0bEOto4NzS2hORyMH/q 9bXJTebK5tTl+fRdopQ6tRtGLMxZJMtEpeR7IWB3qtf7+rNH5crxBI7XzpVR+iSRwAONAlY1v4Wv cPR7xPg+PgS+4k0rxgAec8M93oanF+/cDznG90rxxyOX13LP2WprKbWALSfazEGJ3bJYI+AmZJXC TAB89EjG2wQbCVErrTyC8B8C3D1IQVRVXu7XJm39AkVXUpx76VBwbb2DZ03rnc/Yy3uXWZBMqyNF UDjOAhiZq9uThQU3xFpjF/hG8bQm2jxtPhJ9QoOy4G88HjnPXly3McH5DEXViPq8vYDEhTjE97kd WR6jdjYEUxT+DgV3LrgFO5oIEznGKODhMEwWANZd8eFtnfokEJHMjwMnLeKSeEYUrEgxVZyTnDEv ZNW8mQ7nTxanskGGUl2A1/E9t2j5/kw2VI8IhcF4n4FaFNSkRt0yDwvuqi+xlN8xf2ujSoHCsgke s43RW2s6LhQvi0q6HRLlQ7hqNcIDoeHuqF+4deDm9np2D17T80XlLxGBslY9X+glpKwrE6YoNaRO DSxBfaZ8AEd4zC4xWqCbFxVLpZbN2BuU97sbqi2YWsHv9B05W2orOpXHaJ3mMGz1q0zca+/QpWbW 7kNBBH5AjWhej7T8zkfbAcdU0zgocRuNLWYmdQwGjN3iHwt0tJHt8FPAREirW0u67W72EJ5M7XXQ aDGKh0e7WrjUtqGxyFte49qWkVTcZGz3ZgYMKjsU46xKB1MxkEPkomSiKQ1j48vvSTfzWx9cBlI6 FVJmK1TA2BK4adl4GdYdAZQ2Plk+oQ2/Hq+tZn4xS6uxXIhWTo9fim01O0I4nTgCu52uiK8svlVN 8cEdiEknv/AwkvUjxxH0MyUQDKxT+/vdXfE9L5eSOhyHR8ROIhTBHJu8aPDS0jHiY12F4VFY2KsR twr2MbNiElC/q3AMLdV0a4S4VMHU5nifucPYb63s108ZsvRnRm0kML98SxJTqLy6cjVdEU3j/tF1 pf94gFqoUMxUoxC/IDrNwpFNT37a5c4+c0tRWiFcGxfbT6bP8INX7wskWtxgIw/k3sRYLAzlq4FZ 4tundeJmBv8KKHAVUX60/fvdYA7X5arjovtxTq79lftbfQlAqWbvPfamE67TZNzGSu87ectnKdgG kFJ/4lqPieLl5h0vBuknzvqLRJqnY77B1gDdz8HjfOA3FPLG2slkMuEXrInbV+7Ha6YZpMojVdGj BVK+0Q2HBsvtfrkUBn9MVpw22MvTII42sW7xj/vfn73URuP/NmvXuWCX/7MX/rLvW1PwVHdMWHXP llYS5A+6m/1mgnPJeAS077m/hSgw/UXSw/Km6I1pLhdAmQVsmdDXlFMA2WWFygl44uM89OxXan/R z6Vw8Wtwx+eJ8fJxLtI6crcqFFFuy7tIEYIf68gMvTZYB2Ronn9M/hmmgPeu97ZH0CaKpMo6SO4Q GlXk6KTArmpz1NoNVPvwK59brYbvheOMFJX7FoUgI9lTci9njl5gkOYlx82U5Rrel4um4GvpvPpn hg/+KvFj+iCGBynXHXEZPW0a+9HGiZuvjI0NI4Df/D8rdjRXjuXQpXv0taLeHgcaKy2Qo71A2if9 ez6BYb1I26Dw8VDQBi5QDsUVPhovrAZgA3jlHT7K4ysizzcO1RXOpakjr0xsZgW47qsMjOoWsuKN vNQNIxvqgEKlk5f1xIO8TIT8k7XU17S7Oz/r7VcjTT2dFoHoY7Su8hUXdEtkHElXQFOI1Nf7KzMC 6hwBPOx2lVLIwvQxrOLo6FT6J9j7wyspxxg2kv+n8SRLk9aXZLYcxmitpepGKU1s0bXCGlptKyUi GqlHRzD01mZjYoExBgkmj35vMkbkkd3wWbKZYZT2EuwXUCZyhn00Rtl1Nh2lfGmaadF8XwKzVUBi 4IZzzJfzR79HDWyiH+wp+oC5LEd+1olj+7Dmk5b8S50RMXRNLwZNynGkEVfUiGPq5GPETeR6lQ8f QwNeR3fRkfVsUhFBwQoxEGGFBEDNJPAutL03tz91j/+WQYKcoosIi74rcCgfLPKeZom8O7jCupxN xoBNEqEiDwyoe81oc9hQasRbQFqXg97PkAQ5lBoa6IuQVVoI6//9S/lXCZDu7DJ7sztCqDAmE7Yr DIZ/mejxCApL/61KUGZUj6Uwc+wg/x5GDzMOgY+9ttnzkTUaenkvGF+XdOrU2S+z1dznzSd48q2g gW6jlWiPRzbnRkQTMEyZkeNx5QEZ/qWKVxduJlQ9VhI/TCyq4SZYR1SsdS3g6ipga5yNt2vdA7co 3ICv1J7bfhyY45U7icaN5AcCVhZf/OMkRF204Xot0T+KqUu1OggUEw8MDEW59B7YDcZWR+iJDFtM E1XTNdSux7zD2t2wSqVTIMFNL9aEDwnCKfExdwQApkKS5hBXWY66vikFQPu6wdQwBcemUMsCvpCp fWVMxU+V49mJQ3TvfRSq6dqjENHuaLNy0hs8dLOuhMFMWtLVUwLNtS88y7NkCe2OeLLJSdRZFuT9 pWMgRfoOebohqhS2QEWv+oQR5BgB6n835ClSXNjTx2o0g2C72Ainoqu87KNsBLYawvaZHJDkB9Nw OZTgAabkAwMKsjy1oOVeHRmk+MP7gUxDNFIVZHNYfK3RcqTDN5+sQGqpyZz3/aNDKBOq3Fvgubjf hruWSakUMGI4vyQ88jXfoJUPLe+ef+IeikhNp2I/BvwSND9+b80YeZB2IxLRObxb4y4u1YQEUVNl 3n++3Pd2glmEL7DC2ze/YvqDgrL4oKLkOGplEYWHPLHrFfNhbEE8cH/Wx+kVyqshJWLjWS4cQlBn 2H8oGuEOFdfkaPeXt13+ytwfhb6MWzf1jL0mCEQq0bZ70NsnXCEfefNaDWBaq1uW2ZexuL5wnz3r CL5QK9EviV9jvRSPAcIsj9CstkhJjJ2GlAKu25TW419JH5dJU0n43Su0+vSsw7gvevEH7eQzDZe9 XF/HnXvYQPeyG9v8VRbhjcovpzytZPJU63jm+Aypmyj2DW+JXCxe4l/CgOkWtvpKuUxzb6Af85X9 1T7Jf8j9J8kuvZlcrGBhDJuiSew6Ae1kV8UzKJ+lbJb9TGFZedgLUBn59XQjYFJQMR9YRpPC4v47 DghrV3VHlFpObQ8iZHgdhOhecv1kw3okf6rlDTKCC31LCiWHacoHrryMzWmXnvEfds+YYCgAx9x1 8Xhox9mCRUVutQzDB0Z/8qoftp/0nJtyKWoMnAklO1+iU94Of3Miz0fVRLaNN0KPoZdOq/Wklx4O XlfI4BPdlvyz4plz1+Aayng5esmas90XplECBAPP8VgJ7WCeRpKpRWWpjU3zKQWb4pzvywCXfO+t ufWYd9vNx2gpoyLBdPfOvAmJmzZkWUcqzP1mbOvcjznYzfIpPenYdePRp7TQbxccQrzkM6YUjEdz gGdJxMGgehlq8bjdUIqM6Jp1tJvUci+XAbbsY0q/gftkTR1+ujjeRQM5/TartI9AB2IF/3empJw6 twYpZHMYhNa3t+yo7b1mI5Gb1QFf/cPDZz8vPAdzcBjVonUU2GfCDzOXqObxHCg6Gun4MrYK6wZa 1rfjsXs2NvG8qQA9ObCjdeFgJlqs8bq516T/wIRp/68Rze++SzJJOEoAVi8sbMpOQ+Cz8H9ZkhkH hesDiPA6G+90/nfw6A1J0AXDQV610sjCfW5V8HLJJk3n8tUYYJsmJAYsL7mUZuBFgFz4Dt8OrzhK x4sVf6ZfxOy42lTHrufluinACEm6H4NfKXjBdseRA05erHpppWWE30qrR7ZAciXVT/E7Kbh5bkfT FgVM8a23V7vKgAauprEVLqmPLwUbxTFug51eXWTcPeAWgc0e2R2xllzhUaU6z8j8nPWsR2seHmTE iJA56wZFltsHfvUSJyCzy6V6gbvb8Fo7Gy9nW7gfMzTAT89/zZLEl3wDSZkyXpSDzeYxrf1UZyht mOmhgp6mpA/dfD1QRt/LYyeLq5ikfVNXBLfRlxo7584gcwNjyXndiaTw/l9KE+l5zTsxpAHglLfc iUavIAgBslRQnZ7KH5mW2vflsf81tuolVe+Rr6+E6qSJfLjxob3sHeqkRaJ2Kc+j4Pwp6vNh0N5f b0FaZYXz24QuDkbFWNkeCnKNqqiByrAJb2IhrDQvaOCKyt5wYpIz9FYgGrpSTACRZq4+nHciwKOe of7H2+TfRL8PQD3a5fn9CwurOv0MhQ42MW2jSABNRroIIrdrplaMBpMBmwtk+zj1eLM0y0ys3cy3 ZHWWvuUmG2zUNDlSMTJ5yn8xS8V+i+wpPnx2vS3d4E2nNnvpOQZQaSxHttZqhgRN8YT+mAdrX78h m9YVoYq9jkEcstgCWWrUFFiVNB0fWFZTeIJUMF2NIcESOVUFyGR7ESCOGxeW3v3ddGWUnFOnU2ER U39TfpKXpCrOJsmriQ5VvYjUH0RK8Kem5u2PXzMCiawQNwVeyODqzFQ0iyU6rXRdkWSypUHldTA+ 8aulr+2dUdDjmwvhS9hux9v0Qobh3gh2PdotABOj7eCqFq/obQBCbAkSYEWptxOKR/UJsesQY/QR b6VJXrRqEambu5qrBs2otAXT6oN7SzQj0m2V+ueMpT5NXK/2GwA2xxbsZdR+ExO/8RfkRXkl3540 s1cNfGWP27Hjf2et6q5y3nTxESN7V2dqIbtDiN375YN0uJZaQuHeVagrqcko6sTvb4/HyfYNzYDX SuXfCv69AslNPEXT2JtA6efZpRvj8oCtxZFGkpcF9P1KGDyK8JzaDV9VTjc/7QF6rnaWIMluaBVB ey+IKTbcaWFHv0hqlvYUBM0PVREAqcmn63A/E6pamO8lz6NDMTYa+q3qDvr/PtvlMmLC+J6aB864 ox2Rl+f2iYyGj1UwYcCPyUM99zSj1K7eCtqws1NgZD3KuL/mWpc5/q4sgqCIjLoxJRGXODDEkJA5 m0O0HcWNYO6cnHRRkB53SooXrmBqhl/TA2je6S05mLg3PWsSCPs= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Aeow6Dar+wPwyCuZ3CpouVllPxC4llA1Ukm5brPkUf6jbA6jqKC6lQwebzsMw19h5bccH9idRwDY jemL0fBrsQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block fhH+aqlEoKE+JZq9qghNfSjPHdRv5hlwG+33iOnHAwoQz3JLEXCjbjK+/OkJ0VZ47mEYRGFh4AMB Kd5bYAsHBVfJU7e93cOCmqMi6q1NZ1B4TYSa9cbXieENl3lcMAWDjVXehJfBz/WX6Dwe2sYVqHas VIMFb+sNilgPS6HDJY8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XK10objy6h7oUNp7hJFakI8VyHyBjdmYLutHMeS7A+cEBZkpecVT07LulHHgQ6KKziOF+tKEi8wJ SseDgde3hi0l4iPQkOV10LTfLrRvJhBfeIZ8giQp1t0SrhVy6N2SSqAn2eht9NkZHhhTN2ptQWFp 0WxnKaCc1sV3GIghFpbS5rrPhG5y92GuyT5FWa/h5Ldzc5bI7CyoJ9vYfzMq58minDKJ0Jm4Y+wp yHLZA+Ov0xHxm9GrfwhCkATL3ruMGZYQt2s5zV4QI9wQ2UIEz+JYy8mzm4GwHollMtB5NRf5scWn l79oM70wWXF4vtffYUC8HG3KNp96Na4QKpBYPQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Ykye4tSzDCZpeQ8JRXb0ob3ATquwfCaSG/ly4GVsy4bD2TWi/SlkZna7zRiLnZAzCamGizuqrWRL lhFyzoZ23CkJDaAHrP4R0DVjNPCXgacmijI2x8zSxwjKptfeusObi+G4cJ4ea9XYuXHdvqkbssiN HQHbZSHeJPlG8zIt/vw= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block j5byzLdNMnEoE5qwywfZ7XMWUUDa/vRU12ws0qpEN4GtoTZgc2FboDnnBkgSUXUolpwgKX2KPVWw P1VJmwcR15FUwZQrqh9sbS63mL7WHPSLNYElbx4uy534LVuKyABLlnHKjoHmVUtp/4E0ZuLGoImt /zsHn4GgLIE+tuBcZ3jTd5dxjmeQWJivwwjH/wFwCAVczK+9bLnUfJbXp5EAOTA495Anp3M7uHef CqLawyLHuTbCQrqf9W/UvFrFP4vYRwsEsllUJ8mnvN8qELVwvhBehS0LrP1gDGa4Rus4OZzQgGv3 gxc6OKVuHGv/NA5wtcEC907wTYphLFlAs3rhjw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10640) `protect data_block XaaX46qy68UqWKNhl6P0kvmryxr4rk+//YoD6Qd1vz1XwvlBrSowOiWseLIdjZVaLVSQsAZEEEbH taruDjzbeB/36r4LzPyjacu9onq4cHbJT1iRyfeVlvKnWI/Gsrw111DHE7A2xmO+9dRKGvAP1xE7 X0tdnaEWtm35c6Gl3rRyu0rPNiajNMIO91N0FSKHFdfENMpiICiwwVdnzEM7wDoUa+saad7Kt1d/ 2n06bZD73fIKRMUlV4iMtQbt78cEhgHzMUl9EDjJbbi/P1jAFPlqis8FFRdDwtBp/MPAPi2lw4RG eHCvyd3lFYPn4LkBibxm2Kq3LpzDBxZV7/hrhH8bvm+b/qiQSu19M8OkLSnK3W6Er4k0/bI824KK j+IFYCEXoqSWXNSC/vQ3E0Q3MZ/xSvbSe9ts+SGMJ1JdWFdsSNs/a/mS/JCX9zg8GpUacbK1BhLX QqElh80gIKOfuipyxs4wA1eXIdvqFoV4+UTYaGFFYxdc266xmDcIUOCRvfES7zz8AElmDT0mlOLN hg8ibgM6eLIQzlWAWThqaHXeKVBuaheVxmmuZTUk6ZpAEMBk37KCM8B8UY3UC/ywvqc62Rfv9QdP oIDE8k3gq+oaOCLTZqnBDS0Yoqte1UZM5yDh8Bez4znfX4GXu0RgH+YMrqNIJ7yC2y/Ay2xkIbzj COLsATDLvNFhOHBHHtdIivJ9ubhmVSLj4TJVLzwVkjEEFVkXKxV1ZzwqYAT7q3jAB7XGQY5ruvTT opQXSDqBkRm9tUy6EmoxtV8cEpiihyev6v4q71biyc5k5Oy1Kf4/7hoHkmPw55x03sjxFo0pKajs 884THddTnuJuKYeu8dEJfOjVlbBsGf3Q6Qij8NCpjGjZ4qD85b0H0LdqHWwsjDwWRIGHioDdkXEb 3jVmKmSWlBjUOci8qsLSeG/87OEJIPP4Kux905nuqet30pLUnMNofu8l4nJWe0LQ4GjtQxhMhaKB VYrqfxo3E+YD5X0ZZHpqXvfNPMUocoJbniGL0Jh1grYUI0+i69dy4yaM7ElhylmjT92EAnSXxC2H 8QUKVVhiTo2Qea2crXme7es983/YCUC0YImKfGHKw9wuUaBpwpIa2r5y/tuqxX4kTJqXDgcrFG2i R+ikysXTMnJH/11A6AzK55TN55QOoUXqH5325Srd8+xXKQr35bkK41GXgXurTlT37qyr2+RCIn9w hSrC2650flFrOx/aiWi2Pb3e4qtPQOMvUOb7hYWcyhspNBo9wjzybv24tKFaexRsN6M7u1rBGHVD CNFY1crygF0pSZGM/9SoNCvlyxs1IlH5qpnbL6WtUbFy72ufIDopplU7jJ+DerIoVotpvygkaTnd z0Mel+3vH05Niq7Aw0UsfPO0ptDHhoidrnnmFzzlfPlgC0LWFW5MPLuKdaC24y4l9tY+25ZNnEkD mDdXzbrvFAf/jYeNpOPlO3cmIPyMmVlzo7MteLPissRrRiJTB7sHck8rQauTYCnfH33jHy0ujh1P IxLD1qMVdaX5ecjsXL4lfnhzHg7DehTpbSBfMIc6st4574pDCLjDPwb2FflUoRI5i3/wckXfqP4k ByKXO1Om5fAdi/huU+FobYD+u6YDGw2WUauqUQFSFdmb1HvPOmMvx8pzF7hugyJhLC/M6HgcoENc MJgF8PTLOjPOaJUNcNyMVn5SVSvwbvP6hyZ1R/pV7swwKfqG1yt0C+1/Cjov2NHzzZo3pDm2pCq4 WwqzJa3ZQvzaM2mPXi0ECvXg51DdJRKz9hjL83QOyB/uLX/jD4znMbyPwDIXQBy8jgxpDSDTUuUL Djhfwrbf7aZCd3pJyk5W2m3ff9YEV1Gec0TX7F3efsHExmXWjib3WidlFpLw56eySBtCZWbJVm6l mk2uTlsxPyeTceVy01Ir49Q30Ds4rW0c8mNfn91GUVNqXEPNCoy3P1a+ImaDUDz7v95KjOEmFTcA vaqKxBnVFQCKulzXK+EMqSUJ82GX2iZffh45qrQYrnSMrxr5Texpq8zN97U/E119XBW0Uy5bwoI5 gdFsbeEBmPJPrkTzICQFiYrWVXK3n8wflI2F5VlNsQwg3VAqYOBEVM88AFxy1FyjK5YqOl9u1Dk9 PWeLh44uwqyiIH0CsADeV9A/dcdz1jcLFgXDJ1ugwB+drv+DVmaDUlz238nihtAFElREZkmoh2zc k6sBlKT/7eTIrhUOyOze5gGi7JdS6Cf45wqZThM0WSa/n6e0CNXYU+0KIXjd+ayDOU5/HIRoou58 KXuRxykUoC9ACMG8Bd6y5BDzmlrMAzu007itK9SgcSUQG9g4QFSUnWD8wH2Kqdnoxm/yIvpl1E2i UPuLkHNNKBilmslLQFNS2ZUSB1hUgaM3jeJI3wr/wG9oY8YCaGlFKG3SjG1ANBMvCrqa1UarMgRo U/etLI1MAPvwW5PC5WTdktIyYoBjtFIqVrhmJmC/bWjMVbdpjd/KdMGS1LEwSGX4oqMnSa/6zeen N5eGkZy8XduKShin7qqBlh6x64IBtxUDd3esalewLOk7mCRBEZ+TMkFD/nhQwzvq2CrpaStTeMXX YJqNvNEXagDli847bHFETVLxGDwBRaQPp1ZbzUKzZJidgiIbteHHdEq4I5V2OS8eoLP3I9l0DncM srtJtl8M5YGnpBFaSoNET37p1nUpfuZrm8IZUKZCbX2CwFnWFHu2kkqZE6qGo1j4Iqe02hiBOZ+t Ww36rBxwNvLA51bVHx/QXBa5GX8mYau/UiOiriClyY/oiUdcSGdZ+y+Zz7vK7iqVn7JxdN2mC4cr K8uA+P2Lj2ogmw2eEHsGacgLI/DdZN4OpQbou9G4svmYCGQrjbYakKh3ExZMuakcEdI3TP/b1GP9 rA/6o1sZV998Y+pCZrFo4RfewAUm3y49IfH/fIzZIMBKesMNNch7okulcb1xjvZABOUlOmkBaVOf ES9vVbu87EFbCGqs36YhrgsWydj6Edt79GnmaP0Eoy+aB8Y89z3ZteZdVat1eSEM+arei21z+sPa RWEvA8WvLE7FhKfTdEFYE81+caHyhiYDXd14S0PfvH/012cF1LGxmvyd8hX/DfDEnozb1tzCu5BU UqXuQUexe9SpeW8odua/8NDYM8DwLyp+35gpk6hSTBiDUlau8l+OLEaLQOANkcZ5laWPZXPgVq6G ZYeMhx459P6nYDNlhLgk1hcDi80ivBSZ8WI7rC8cacEZCLQ0eupjifJwswyX/EWoOV2g31cQhoMP K+19RA+o1TQC4hsQD1lCTjKyCLIvspOL11Vd3HidttiwpASG7lXw1HaVi/Gg/n+kJGXbsUiZxgF0 wVmmPp0g/9Nam6Y1WuMO9vymf8DnVQa4F9/xVdGNIsgHlmQn2KO1v2HMs7Z2Mp+U/AwgBKcSS6tg 4sAw553GrN38e343kMtvm8Tp1D0t+bCPew4jnfdU0on32mPt2KJJVy0ghJaPP19pDVAekNADcMWb kSX/46jDEIf3pSkACzItZwBG8FrZkHb2ay6fp5zr5DNC+P5sgbrGraXqUa98rTBa5jduVBrFcwzJ rdZi6PVhAEjwN/NAcJBOD2QIU6y4v7fm+ryW4+5qOOJoplwqFF1mWGVIm7K2JIP7apQC2ar5396d TWTTN9BL8rLc3hcMHO+lLYU4fzFo6asD6tqhjtiUym9oQ6Vp08sYNXY3ld1dREElLLw7ZT4ZVrdB iUoHJ5fE9NvbHdoM3zxFQKS8tpMNRQ9twbkBqoQgSM4yq1wfv8sju3sUUf/+/4O7fTIJVXLJaVxV ztfJa5XL7ltiGzk5cNaqUg1Qfb397BeSq6WPRAoqYmknTSKVhRpmA9b72YN6bTXcSfK74VUhc/gk eZXNkMMGjiPXiwRIx0onSU3Sg5PKpjnPcZboQjGvrgXSI3AWHnYNUp//yb+/dPwvxWZWlGNAg29Z Eg/opdacFU8zmY8HGIrG3FuSf/PdsxqSbysvFoN7E1V3s6cUL34w78dx03M9Wx2Ypvw/NmLkaET8 FSBZSLEl5Xqnxki07wm832GygJxEdmqKrw/XMLGHYFmZHwkQ2xXjRSZioYhMHUx/3Y35OgTyrLRQ 74wuGEPE7huGj3/YyOgUyVwo6XwtaEuzkzXJcyUf8lDz4ea1eepzbK5/vERaTsN77JB1l3ijqZlo 2JiosbfmB0+gzRhfAG6PliUYxjHmyWgQ48eW3bf4MOcFFHvBfI0QZ1Mv6RM9lX6Ab5M6bQnk3gnn EYUHQT5+mPL0unm4w9/vGGJaWytYQWJFhhRP6Jn9datq9ujQUxpzJJX3qjiAJWkyNKpTaf6VjqvQ pR9FA4D2xDpWMgWL2/uPRKGESXOM9ZddA/GN3/+/CHrdyrA/8TNcouFwFEQUFToBWoGj8CpHPcrY ugq9X4tBAn/heugNCvAsNjWXvhxRKodcPtWFAQIJKPbW3urQ2ejKNHfrG0To7g2A3iVGfF/krj89 0YKqZzaZnrH+TquS6zUMFCMUtgPh7Prsco76YxF0K32Wnb7ISeUd/m5rZjShrPS2CubHQGWzAbZ2 BDvzktrrTIgZsau5gagzBnAhOYoOYQ/+n/O4Oe9jiwOmmpz2PZ3lHVqT6UrOxJumZr/V1rXB60VL roAXQSb4XkAkRbjBx/iaumPt6DxuDTVXvlRF0/ynOEu1JCuC+rhRReGQCaOyojmG7sUVZVQKEQEt P8am9UOSlDXzFALDOV7TinKCFwagVBwJU4aLe3vBTZXa3l65F6H1ljOwHAo0nr1keXSXrbrRQesf bvMfcOg6YY68lyyVNI+yENryF7n1vYHL9QtqReBbK1InVpC5Mi9R1yzAr6srXyVhTNwlQ+pfx3S6 sNKynpMhDezpDoH/uqJSU7kxUNBWYk18DrFbze24ApgLjuRG0dTtKq4+Me8kr1wv0wmwfnhY3CjK x1g2xx18LW5tjkphrX34hy2WAfqSpGREHa7TPWSKzjH8R/6FP/WCXcKFngT7NBvoj3iEX+lboE82 IwjRV6K5zj0//DP3qKymWLewgdaYtotui8eao+ubCvFfbCnyQB87D7Z6xT5ogepjtaaWqmVrO58B q9cS3wCwkyeKEC1rbRg4PuGzlViVYIlDYJlFIwAZzrz/VczToK+4bci2Yg26zRleYyiOX1NVOXKc 9/OpIxtiwPjK+6jzmw9DzVKfUdyJhzXGRCTuJPd2hnVbArPngk+jYh0QcL9aMvGAr/Or13rEkhqB h/GfvlhAdPBwbhugKFdx0jTgJ/IhIUsr1+8IQ1wn7U15lpCi4Lio3ZsLVDzRyDl+sqDmMNJEJfQX rk8O2WafUgH2MjQLy+p16VqLF5uAh0SxOoB0OGQyXhNkGIZO0hRQv+7H59aDq5QzoiYdkG0/Ie9O zH6uF67pjjfvhkH1DiRNjRG2laXVOxf8tJdn8g7Dy0yWnY3pj/xIefATvaqvKta1yyoKq4FyLApM aK2HJX4bZahhiGHkozIiC7pJvyfppTSJqWYB/TJJST1opH8aOr4aAcJrOP9MkKnsU+t5vtWOofoT EOioht8/3EW3FW/LfSTHbNPRE+7VDwCUdj97TEZHWKVg1md/BZV7eDBu67OR9ZlxY5kD+Te2RTFG hxlIT/09tfzkozSG80KCnieE4SLJ+VJIrSj08x4QudkjQm9wHrajkQoZ/OK8oAcKzyZzhBqSfI07 IXasMrhgHrKVuXkA53ShF12/dEH5l6T1JYWSBJQx6f+fLS3Puk99rSwPmM3nVEkRSXrkPSDksH2I qhs+KGLaYhKFhntr0gGFuTj08fiVnN0x2L28yxVupr8AHq7Ua/SOZcF/CTw0h8yo1e8vPLZjCdFA yiXNy3ysdEq6Zx/2vIwPafP/twwhiRUiCV3seSK+U4mf4SV5LPetWwWRh9aBYW6jLSjzkhk8dPb2 78//91vg50FIvrxRfMiUqUwJfz4XFf3TTSBm2Lw4vBz0TljJgxrfag0AnKnkeLkVRwpVczLFKq2l aFmPAmiImmrr+0UeDWxy+9ebaxQJS1+oqOWBISZKU0YWSm8jbwbr6cAQcicsIZqcY4popsw+MC7L mwQy0S61n6cSv8GUT9BQILkGIn364/Vgj0nNPs5Uh1K4LcOm5es+RUq1M/J/Vmb4XoK/jEGkbmly ZerjlvbA1HAHglD0jQigKi7BMrKV5FZumbUscMiV0pN4RUGtc9sxKqZZBCcIWDoisikPf1q7hwiv +n1IuheQ2v9mU5IoVtRYPrgsizVdb/ZHJS1H1DvfbCU4afxHeDu0uaXWDhsxD2OS0DlBJa6vK4Qe ukWQxcFDMVC/GGpuWpkt4UxeIl8qMIq77uKwBQI7zr1u9LUEshrNtasunnSE77T8z4ythRc4j9S2 7LoG6gv5XuvylH+Ro/vSUgKEH9FQsCcpW+3OiURSU6Nq90VKul3oGZJXBeUa2iUCTLzwXpZk228I pFfFKG3peHI0apjBJrG0gvxZeT8yQZ2U/KvH/qzzK6OlldlHDLuXRILbHvBP3tK3UpxswHGij4aH 0CI072fbrLLauZoPaHFc3inuACGYpfjbxBGWnwiVQF6uMbYyYbv9uD8in5L19uOXlH0i+SCmGI4l RFCAeijkDUsWG3sXd50uPm0A7e57M39p39wnq7yrEeQzAYGyI/IAlY5o/cMWuC/1qvujs7fvAFb4 qHkVA54T9xHmJowCNXbx9pU7LD4OnhqR36l2L0ZYeLC0lfapoPumymKfpRb5opoy7jRipBA/LatY LPDlnk526GkDU9+HxoDKI9JhJjEfbhH1IYux6PjxAiNVNVbZiUm0DJONxWqEDxlEBvxbILIbHyXZ 9E0g53akhyuaa58Hvhqpv0eHiFTTfO3TruET4jw22DvsT3romF3Em2ocvI+Mp3kTuCKBK766PVJd gZdJE7qeKroyxvCjRuv1zkCa6pMz3H++e93UAqs2XSN0YGfHjDv78n2cJHWBWApGssQFO0iwn+2d zek0MojIIIAK3BUycDDI29y+hYibopm/REBeOZimqw5rQU2AMjy05T/9v4sQKTYAXfVa2EeVekgJ /i/1TzUJBDxwOEEUt+foqUBGoj9ugLssQDz2EuxI43mBNWyHjZVaFuRg2R1zs31uFJywqJ9hETDa LfZrxVUkg18oZE4OoqH28uRjk37CiD3FEaS1ZgedmlyAo7n7R/4NPr9XTjc4SxLKGxWQLeSlyspC URs27GiRX9h0HkljkKz8M7XzM3qP173da5wTx6nYjQIPxmXjOk2Fi1snF2vcRiBtJRVnGabJ0aIN j5yxYf2xsuvZgTvaQg10ztQMRd70Y7Vr5UE0Y/+ItnvBL71MyWMBtp1wTOfo9Cusds/DlvBbqBap ERD1onWpt1nqnr7+ewlczAzNKTndQy481ox84Zk6O0mURyxd6SOwyhsR/1xzMp5OiRFf8DpUzMCk blK8KUgTzBD8v6zL0WkiLzIGd2ksM5QKGr3QNDA7edgJ0Dk0wRjNMP2xUCt7mRmTsH3OzmW43iAx VZ57JZOhyniOF5KTpEU/kBe+5yZxatoRyow+2FMq7ehdb9j1pC2XF8kvqJqI4MRZOevYs3ZOJN/k /a9+5zZ2vjn8GOCgOH6ctKOoTo6fPgoJU7/0g2VqDlin7dLdYrFBwJBJsZCazlj7i3KlPIQ1YmUz s64JuXu6z8yZ/2EiyQka+YxXSilBw3G40Oks1XfXcEFlfH8rvKgPslsuvC/5UpQa3dj34UWzM5wp XfNuHlnJTcOHO7LTuaDq1+VqUPwHgAKg9b5tw7R4viD+1ePHzta3UOwhqH1RUCeY0Y3QrXjtV3pH kK/xORBDJGWw1wM34OBhlbrN58FGxlg8/+hIlrJJ8EMjjmxtc7Phfh783WYK+3jhiL0JXzKmzn+W n82FjN7/x0YnDOEi8IlsxtdZewZDotAv2p79ulTQsc2eySDthyAMxcS6Kdu5URnOUelZu6e2Q4eb 1qk97D7LmPiC2S7Q9RdT6ZibEwMqqDWqn5wUwL2MnKrZ4uarOgDc1jYhjIgRyuma8jnYgIK66xYf CWz6yb4n+M7E65+tKb5zdFfgy/dcSDCtTVlSNPVGC8pWDURpTxaB2RhD/rr4L1Y8DEkDIceujeyp fSgkgY6/fiU7MTUjKgWfpM1fQa22Azmvj39hFuKAe0zeOvnMUylIHRto3FlBduydjPpekf5PA+PA xqqtMz4wmVrKCW2e8phPBh8tsUGyHGRj8r/xKX+mOTYnn7PwXf1VMYFVJS8xed3P7xf+GboeTZkP 8ZJNa5Hw8tOafJ55TUYcddlfNL5ja6kNJ40VmBHcoDNvic0JSglgX1iFnL8Iv7yoDTsqe9GXl5zH /jPvVRkrAoGdXzw6gBiDgWVsbrWb9sCD5Qe0vhrdUgyrmps8bVDtuYX6dAzWaesb/RjxzP2ZrmDu g9qSCV4eYscJytdqzCk0A36Wm6NhLze/NB+xWtU+jCrLOhFBv+Uu7y9W1yljhnstlgzPgWuAV1Ea QxoRsYOllHJtG5+5sR5EkOLhwx3+GDI/0gZ180sHKs1X0X/OveM2vTuiw0DHgjbvAInp5Ny4kOcw +5gTATzCTrX2l5nIr/0Wa3OLKIShnf5dWB1asKlbjA65MSQ4qSuQFY1Qbr00Vwpc9X9lHLNmTNNc PxElljPJ1CH5prkSriiWwkPmgXSCimKmZ3lO+IVMRLIIYcbRMswadAoanFATintn7jr382jCiAfq 3ZSUn7XuYUWaEmvN1wxl/WPeOZNDv1+9kCiS5TS8M1ZTVqAK/7dirilojFDELoSEFevrBX4DKjHY AZLbemyOw1Dc6m/+iZRU5orcR7WI88UsbjzvmJgE1fbEsNAoeK83ExGpNAMEoAvMpPh/mPJOBJ1X qz4RFvFdUBNSL7LNyRJnkQG3RNS2ant+b8PDTOqU2n+m0c1NuWJoagkNAkrKQ0u9ytv8Cl5npPhJ zhf3jCLhVZfOx89jSVDnKPxXTo/9OdmbbOxqDNOmGwqsVcfQ4I54r1WkYjpirW3j6hslx4H7w56n 5iuORS14/RDQDiA8ekEypr8m0fl/f4Fff7vyEN/SnYKMoqivk2Vu638SQhJbvsKnhLUGGp22Ge1o n5iSSkyAZn99/l/53g/iqrDD8mj8Qgx5ONGg/gyY53FOgXQx6o+yjuJWl0bEOto4NzS2hORyMH/q 9bXJTebK5tTl+fRdopQ6tRtGLMxZJMtEpeR7IWB3qtf7+rNH5crxBI7XzpVR+iSRwAONAlY1v4Wv cPR7xPg+PgS+4k0rxgAec8M93oanF+/cDznG90rxxyOX13LP2WprKbWALSfazEGJ3bJYI+AmZJXC TAB89EjG2wQbCVErrTyC8B8C3D1IQVRVXu7XJm39AkVXUpx76VBwbb2DZ03rnc/Yy3uXWZBMqyNF UDjOAhiZq9uThQU3xFpjF/hG8bQm2jxtPhJ9QoOy4G88HjnPXly3McH5DEXViPq8vYDEhTjE97kd WR6jdjYEUxT+DgV3LrgFO5oIEznGKODhMEwWANZd8eFtnfokEJHMjwMnLeKSeEYUrEgxVZyTnDEv ZNW8mQ7nTxanskGGUl2A1/E9t2j5/kw2VI8IhcF4n4FaFNSkRt0yDwvuqi+xlN8xf2ujSoHCsgke s43RW2s6LhQvi0q6HRLlQ7hqNcIDoeHuqF+4deDm9np2D17T80XlLxGBslY9X+glpKwrE6YoNaRO DSxBfaZ8AEd4zC4xWqCbFxVLpZbN2BuU97sbqi2YWsHv9B05W2orOpXHaJ3mMGz1q0zca+/QpWbW 7kNBBH5AjWhej7T8zkfbAcdU0zgocRuNLWYmdQwGjN3iHwt0tJHt8FPAREirW0u67W72EJ5M7XXQ aDGKh0e7WrjUtqGxyFte49qWkVTcZGz3ZgYMKjsU46xKB1MxkEPkomSiKQ1j48vvSTfzWx9cBlI6 FVJmK1TA2BK4adl4GdYdAZQ2Plk+oQ2/Hq+tZn4xS6uxXIhWTo9fim01O0I4nTgCu52uiK8svlVN 8cEdiEknv/AwkvUjxxH0MyUQDKxT+/vdXfE9L5eSOhyHR8ROIhTBHJu8aPDS0jHiY12F4VFY2KsR twr2MbNiElC/q3AMLdV0a4S4VMHU5nifucPYb63s108ZsvRnRm0kML98SxJTqLy6cjVdEU3j/tF1 pf94gFqoUMxUoxC/IDrNwpFNT37a5c4+c0tRWiFcGxfbT6bP8INX7wskWtxgIw/k3sRYLAzlq4FZ 4tundeJmBv8KKHAVUX60/fvdYA7X5arjovtxTq79lftbfQlAqWbvPfamE67TZNzGSu87ectnKdgG kFJ/4lqPieLl5h0vBuknzvqLRJqnY77B1gDdz8HjfOA3FPLG2slkMuEXrInbV+7Ha6YZpMojVdGj BVK+0Q2HBsvtfrkUBn9MVpw22MvTII42sW7xj/vfn73URuP/NmvXuWCX/7MX/rLvW1PwVHdMWHXP llYS5A+6m/1mgnPJeAS077m/hSgw/UXSw/Km6I1pLhdAmQVsmdDXlFMA2WWFygl44uM89OxXan/R z6Vw8Wtwx+eJ8fJxLtI6crcqFFFuy7tIEYIf68gMvTZYB2Ronn9M/hmmgPeu97ZH0CaKpMo6SO4Q GlXk6KTArmpz1NoNVPvwK59brYbvheOMFJX7FoUgI9lTci9njl5gkOYlx82U5Rrel4um4GvpvPpn hg/+KvFj+iCGBynXHXEZPW0a+9HGiZuvjI0NI4Df/D8rdjRXjuXQpXv0taLeHgcaKy2Qo71A2if9 ez6BYb1I26Dw8VDQBi5QDsUVPhovrAZgA3jlHT7K4ysizzcO1RXOpakjr0xsZgW47qsMjOoWsuKN vNQNIxvqgEKlk5f1xIO8TIT8k7XU17S7Oz/r7VcjTT2dFoHoY7Su8hUXdEtkHElXQFOI1Nf7KzMC 6hwBPOx2lVLIwvQxrOLo6FT6J9j7wyspxxg2kv+n8SRLk9aXZLYcxmitpepGKU1s0bXCGlptKyUi GqlHRzD01mZjYoExBgkmj35vMkbkkd3wWbKZYZT2EuwXUCZyhn00Rtl1Nh2lfGmaadF8XwKzVUBi 4IZzzJfzR79HDWyiH+wp+oC5LEd+1olj+7Dmk5b8S50RMXRNLwZNynGkEVfUiGPq5GPETeR6lQ8f QwNeR3fRkfVsUhFBwQoxEGGFBEDNJPAutL03tz91j/+WQYKcoosIi74rcCgfLPKeZom8O7jCupxN xoBNEqEiDwyoe81oc9hQasRbQFqXg97PkAQ5lBoa6IuQVVoI6//9S/lXCZDu7DJ7sztCqDAmE7Yr DIZ/mejxCApL/61KUGZUj6Uwc+wg/x5GDzMOgY+9ttnzkTUaenkvGF+XdOrU2S+z1dznzSd48q2g gW6jlWiPRzbnRkQTMEyZkeNx5QEZ/qWKVxduJlQ9VhI/TCyq4SZYR1SsdS3g6ipga5yNt2vdA7co 3ICv1J7bfhyY45U7icaN5AcCVhZf/OMkRF204Xot0T+KqUu1OggUEw8MDEW59B7YDcZWR+iJDFtM E1XTNdSux7zD2t2wSqVTIMFNL9aEDwnCKfExdwQApkKS5hBXWY66vikFQPu6wdQwBcemUMsCvpCp fWVMxU+V49mJQ3TvfRSq6dqjENHuaLNy0hs8dLOuhMFMWtLVUwLNtS88y7NkCe2OeLLJSdRZFuT9 pWMgRfoOebohqhS2QEWv+oQR5BgB6n835ClSXNjTx2o0g2C72Ainoqu87KNsBLYawvaZHJDkB9Nw OZTgAabkAwMKsjy1oOVeHRmk+MP7gUxDNFIVZHNYfK3RcqTDN5+sQGqpyZz3/aNDKBOq3Fvgubjf hruWSakUMGI4vyQ88jXfoJUPLe+ef+IeikhNp2I/BvwSND9+b80YeZB2IxLRObxb4y4u1YQEUVNl 3n++3Pd2glmEL7DC2ze/YvqDgrL4oKLkOGplEYWHPLHrFfNhbEE8cH/Wx+kVyqshJWLjWS4cQlBn 2H8oGuEOFdfkaPeXt13+ytwfhb6MWzf1jL0mCEQq0bZ70NsnXCEfefNaDWBaq1uW2ZexuL5wnz3r CL5QK9EviV9jvRSPAcIsj9CstkhJjJ2GlAKu25TW419JH5dJU0n43Su0+vSsw7gvevEH7eQzDZe9 XF/HnXvYQPeyG9v8VRbhjcovpzytZPJU63jm+Aypmyj2DW+JXCxe4l/CgOkWtvpKuUxzb6Af85X9 1T7Jf8j9J8kuvZlcrGBhDJuiSew6Ae1kV8UzKJ+lbJb9TGFZedgLUBn59XQjYFJQMR9YRpPC4v47 DghrV3VHlFpObQ8iZHgdhOhecv1kw3okf6rlDTKCC31LCiWHacoHrryMzWmXnvEfds+YYCgAx9x1 8Xhox9mCRUVutQzDB0Z/8qoftp/0nJtyKWoMnAklO1+iU94Of3Miz0fVRLaNN0KPoZdOq/Wklx4O XlfI4BPdlvyz4plz1+Aayng5esmas90XplECBAPP8VgJ7WCeRpKpRWWpjU3zKQWb4pzvywCXfO+t ufWYd9vNx2gpoyLBdPfOvAmJmzZkWUcqzP1mbOvcjznYzfIpPenYdePRp7TQbxccQrzkM6YUjEdz gGdJxMGgehlq8bjdUIqM6Jp1tJvUci+XAbbsY0q/gftkTR1+ujjeRQM5/TartI9AB2IF/3empJw6 twYpZHMYhNa3t+yo7b1mI5Gb1QFf/cPDZz8vPAdzcBjVonUU2GfCDzOXqObxHCg6Gun4MrYK6wZa 1rfjsXs2NvG8qQA9ObCjdeFgJlqs8bq516T/wIRp/68Rze++SzJJOEoAVi8sbMpOQ+Cz8H9ZkhkH hesDiPA6G+90/nfw6A1J0AXDQV610sjCfW5V8HLJJk3n8tUYYJsmJAYsL7mUZuBFgFz4Dt8OrzhK x4sVf6ZfxOy42lTHrufluinACEm6H4NfKXjBdseRA05erHpppWWE30qrR7ZAciXVT/E7Kbh5bkfT FgVM8a23V7vKgAauprEVLqmPLwUbxTFug51eXWTcPeAWgc0e2R2xllzhUaU6z8j8nPWsR2seHmTE iJA56wZFltsHfvUSJyCzy6V6gbvb8Fo7Gy9nW7gfMzTAT89/zZLEl3wDSZkyXpSDzeYxrf1UZyht mOmhgp6mpA/dfD1QRt/LYyeLq5ikfVNXBLfRlxo7584gcwNjyXndiaTw/l9KE+l5zTsxpAHglLfc iUavIAgBslRQnZ7KH5mW2vflsf81tuolVe+Rr6+E6qSJfLjxob3sHeqkRaJ2Kc+j4Pwp6vNh0N5f b0FaZYXz24QuDkbFWNkeCnKNqqiByrAJb2IhrDQvaOCKyt5wYpIz9FYgGrpSTACRZq4+nHciwKOe of7H2+TfRL8PQD3a5fn9CwurOv0MhQ42MW2jSABNRroIIrdrplaMBpMBmwtk+zj1eLM0y0ys3cy3 ZHWWvuUmG2zUNDlSMTJ5yn8xS8V+i+wpPnx2vS3d4E2nNnvpOQZQaSxHttZqhgRN8YT+mAdrX78h m9YVoYq9jkEcstgCWWrUFFiVNB0fWFZTeIJUMF2NIcESOVUFyGR7ESCOGxeW3v3ddGWUnFOnU2ER U39TfpKXpCrOJsmriQ5VvYjUH0RK8Kem5u2PXzMCiawQNwVeyODqzFQ0iyU6rXRdkWSypUHldTA+ 8aulr+2dUdDjmwvhS9hux9v0Qobh3gh2PdotABOj7eCqFq/obQBCbAkSYEWptxOKR/UJsesQY/QR b6VJXrRqEambu5qrBs2otAXT6oN7SzQj0m2V+ueMpT5NXK/2GwA2xxbsZdR+ExO/8RfkRXkl3540 s1cNfGWP27Hjf2et6q5y3nTxESN7V2dqIbtDiN375YN0uJZaQuHeVagrqcko6sTvb4/HyfYNzYDX SuXfCv69AslNPEXT2JtA6efZpRvj8oCtxZFGkpcF9P1KGDyK8JzaDV9VTjc/7QF6rnaWIMluaBVB ey+IKTbcaWFHv0hqlvYUBM0PVREAqcmn63A/E6pamO8lz6NDMTYa+q3qDvr/PtvlMmLC+J6aB864 ox2Rl+f2iYyGj1UwYcCPyUM99zSj1K7eCtqws1NgZD3KuL/mWpc5/q4sgqCIjLoxJRGXODDEkJA5 m0O0HcWNYO6cnHRRkB53SooXrmBqhl/TA2je6S05mLg3PWsSCPs= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Aeow6Dar+wPwyCuZ3CpouVllPxC4llA1Ukm5brPkUf6jbA6jqKC6lQwebzsMw19h5bccH9idRwDY jemL0fBrsQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block fhH+aqlEoKE+JZq9qghNfSjPHdRv5hlwG+33iOnHAwoQz3JLEXCjbjK+/OkJ0VZ47mEYRGFh4AMB Kd5bYAsHBVfJU7e93cOCmqMi6q1NZ1B4TYSa9cbXieENl3lcMAWDjVXehJfBz/WX6Dwe2sYVqHas VIMFb+sNilgPS6HDJY8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XK10objy6h7oUNp7hJFakI8VyHyBjdmYLutHMeS7A+cEBZkpecVT07LulHHgQ6KKziOF+tKEi8wJ SseDgde3hi0l4iPQkOV10LTfLrRvJhBfeIZ8giQp1t0SrhVy6N2SSqAn2eht9NkZHhhTN2ptQWFp 0WxnKaCc1sV3GIghFpbS5rrPhG5y92GuyT5FWa/h5Ldzc5bI7CyoJ9vYfzMq58minDKJ0Jm4Y+wp yHLZA+Ov0xHxm9GrfwhCkATL3ruMGZYQt2s5zV4QI9wQ2UIEz+JYy8mzm4GwHollMtB5NRf5scWn l79oM70wWXF4vtffYUC8HG3KNp96Na4QKpBYPQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Ykye4tSzDCZpeQ8JRXb0ob3ATquwfCaSG/ly4GVsy4bD2TWi/SlkZna7zRiLnZAzCamGizuqrWRL lhFyzoZ23CkJDaAHrP4R0DVjNPCXgacmijI2x8zSxwjKptfeusObi+G4cJ4ea9XYuXHdvqkbssiN HQHbZSHeJPlG8zIt/vw= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block j5byzLdNMnEoE5qwywfZ7XMWUUDa/vRU12ws0qpEN4GtoTZgc2FboDnnBkgSUXUolpwgKX2KPVWw P1VJmwcR15FUwZQrqh9sbS63mL7WHPSLNYElbx4uy534LVuKyABLlnHKjoHmVUtp/4E0ZuLGoImt /zsHn4GgLIE+tuBcZ3jTd5dxjmeQWJivwwjH/wFwCAVczK+9bLnUfJbXp5EAOTA495Anp3M7uHef CqLawyLHuTbCQrqf9W/UvFrFP4vYRwsEsllUJ8mnvN8qELVwvhBehS0LrP1gDGa4Rus4OZzQgGv3 gxc6OKVuHGv/NA5wtcEC907wTYphLFlAs3rhjw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10640) `protect data_block XaaX46qy68UqWKNhl6P0kvmryxr4rk+//YoD6Qd1vz1XwvlBrSowOiWseLIdjZVaLVSQsAZEEEbH taruDjzbeB/36r4LzPyjacu9onq4cHbJT1iRyfeVlvKnWI/Gsrw111DHE7A2xmO+9dRKGvAP1xE7 X0tdnaEWtm35c6Gl3rRyu0rPNiajNMIO91N0FSKHFdfENMpiICiwwVdnzEM7wDoUa+saad7Kt1d/ 2n06bZD73fIKRMUlV4iMtQbt78cEhgHzMUl9EDjJbbi/P1jAFPlqis8FFRdDwtBp/MPAPi2lw4RG eHCvyd3lFYPn4LkBibxm2Kq3LpzDBxZV7/hrhH8bvm+b/qiQSu19M8OkLSnK3W6Er4k0/bI824KK j+IFYCEXoqSWXNSC/vQ3E0Q3MZ/xSvbSe9ts+SGMJ1JdWFdsSNs/a/mS/JCX9zg8GpUacbK1BhLX QqElh80gIKOfuipyxs4wA1eXIdvqFoV4+UTYaGFFYxdc266xmDcIUOCRvfES7zz8AElmDT0mlOLN hg8ibgM6eLIQzlWAWThqaHXeKVBuaheVxmmuZTUk6ZpAEMBk37KCM8B8UY3UC/ywvqc62Rfv9QdP oIDE8k3gq+oaOCLTZqnBDS0Yoqte1UZM5yDh8Bez4znfX4GXu0RgH+YMrqNIJ7yC2y/Ay2xkIbzj COLsATDLvNFhOHBHHtdIivJ9ubhmVSLj4TJVLzwVkjEEFVkXKxV1ZzwqYAT7q3jAB7XGQY5ruvTT opQXSDqBkRm9tUy6EmoxtV8cEpiihyev6v4q71biyc5k5Oy1Kf4/7hoHkmPw55x03sjxFo0pKajs 884THddTnuJuKYeu8dEJfOjVlbBsGf3Q6Qij8NCpjGjZ4qD85b0H0LdqHWwsjDwWRIGHioDdkXEb 3jVmKmSWlBjUOci8qsLSeG/87OEJIPP4Kux905nuqet30pLUnMNofu8l4nJWe0LQ4GjtQxhMhaKB VYrqfxo3E+YD5X0ZZHpqXvfNPMUocoJbniGL0Jh1grYUI0+i69dy4yaM7ElhylmjT92EAnSXxC2H 8QUKVVhiTo2Qea2crXme7es983/YCUC0YImKfGHKw9wuUaBpwpIa2r5y/tuqxX4kTJqXDgcrFG2i R+ikysXTMnJH/11A6AzK55TN55QOoUXqH5325Srd8+xXKQr35bkK41GXgXurTlT37qyr2+RCIn9w hSrC2650flFrOx/aiWi2Pb3e4qtPQOMvUOb7hYWcyhspNBo9wjzybv24tKFaexRsN6M7u1rBGHVD CNFY1crygF0pSZGM/9SoNCvlyxs1IlH5qpnbL6WtUbFy72ufIDopplU7jJ+DerIoVotpvygkaTnd z0Mel+3vH05Niq7Aw0UsfPO0ptDHhoidrnnmFzzlfPlgC0LWFW5MPLuKdaC24y4l9tY+25ZNnEkD mDdXzbrvFAf/jYeNpOPlO3cmIPyMmVlzo7MteLPissRrRiJTB7sHck8rQauTYCnfH33jHy0ujh1P IxLD1qMVdaX5ecjsXL4lfnhzHg7DehTpbSBfMIc6st4574pDCLjDPwb2FflUoRI5i3/wckXfqP4k ByKXO1Om5fAdi/huU+FobYD+u6YDGw2WUauqUQFSFdmb1HvPOmMvx8pzF7hugyJhLC/M6HgcoENc MJgF8PTLOjPOaJUNcNyMVn5SVSvwbvP6hyZ1R/pV7swwKfqG1yt0C+1/Cjov2NHzzZo3pDm2pCq4 WwqzJa3ZQvzaM2mPXi0ECvXg51DdJRKz9hjL83QOyB/uLX/jD4znMbyPwDIXQBy8jgxpDSDTUuUL Djhfwrbf7aZCd3pJyk5W2m3ff9YEV1Gec0TX7F3efsHExmXWjib3WidlFpLw56eySBtCZWbJVm6l mk2uTlsxPyeTceVy01Ir49Q30Ds4rW0c8mNfn91GUVNqXEPNCoy3P1a+ImaDUDz7v95KjOEmFTcA vaqKxBnVFQCKulzXK+EMqSUJ82GX2iZffh45qrQYrnSMrxr5Texpq8zN97U/E119XBW0Uy5bwoI5 gdFsbeEBmPJPrkTzICQFiYrWVXK3n8wflI2F5VlNsQwg3VAqYOBEVM88AFxy1FyjK5YqOl9u1Dk9 PWeLh44uwqyiIH0CsADeV9A/dcdz1jcLFgXDJ1ugwB+drv+DVmaDUlz238nihtAFElREZkmoh2zc k6sBlKT/7eTIrhUOyOze5gGi7JdS6Cf45wqZThM0WSa/n6e0CNXYU+0KIXjd+ayDOU5/HIRoou58 KXuRxykUoC9ACMG8Bd6y5BDzmlrMAzu007itK9SgcSUQG9g4QFSUnWD8wH2Kqdnoxm/yIvpl1E2i UPuLkHNNKBilmslLQFNS2ZUSB1hUgaM3jeJI3wr/wG9oY8YCaGlFKG3SjG1ANBMvCrqa1UarMgRo U/etLI1MAPvwW5PC5WTdktIyYoBjtFIqVrhmJmC/bWjMVbdpjd/KdMGS1LEwSGX4oqMnSa/6zeen N5eGkZy8XduKShin7qqBlh6x64IBtxUDd3esalewLOk7mCRBEZ+TMkFD/nhQwzvq2CrpaStTeMXX YJqNvNEXagDli847bHFETVLxGDwBRaQPp1ZbzUKzZJidgiIbteHHdEq4I5V2OS8eoLP3I9l0DncM srtJtl8M5YGnpBFaSoNET37p1nUpfuZrm8IZUKZCbX2CwFnWFHu2kkqZE6qGo1j4Iqe02hiBOZ+t Ww36rBxwNvLA51bVHx/QXBa5GX8mYau/UiOiriClyY/oiUdcSGdZ+y+Zz7vK7iqVn7JxdN2mC4cr K8uA+P2Lj2ogmw2eEHsGacgLI/DdZN4OpQbou9G4svmYCGQrjbYakKh3ExZMuakcEdI3TP/b1GP9 rA/6o1sZV998Y+pCZrFo4RfewAUm3y49IfH/fIzZIMBKesMNNch7okulcb1xjvZABOUlOmkBaVOf ES9vVbu87EFbCGqs36YhrgsWydj6Edt79GnmaP0Eoy+aB8Y89z3ZteZdVat1eSEM+arei21z+sPa RWEvA8WvLE7FhKfTdEFYE81+caHyhiYDXd14S0PfvH/012cF1LGxmvyd8hX/DfDEnozb1tzCu5BU UqXuQUexe9SpeW8odua/8NDYM8DwLyp+35gpk6hSTBiDUlau8l+OLEaLQOANkcZ5laWPZXPgVq6G ZYeMhx459P6nYDNlhLgk1hcDi80ivBSZ8WI7rC8cacEZCLQ0eupjifJwswyX/EWoOV2g31cQhoMP K+19RA+o1TQC4hsQD1lCTjKyCLIvspOL11Vd3HidttiwpASG7lXw1HaVi/Gg/n+kJGXbsUiZxgF0 wVmmPp0g/9Nam6Y1WuMO9vymf8DnVQa4F9/xVdGNIsgHlmQn2KO1v2HMs7Z2Mp+U/AwgBKcSS6tg 4sAw553GrN38e343kMtvm8Tp1D0t+bCPew4jnfdU0on32mPt2KJJVy0ghJaPP19pDVAekNADcMWb kSX/46jDEIf3pSkACzItZwBG8FrZkHb2ay6fp5zr5DNC+P5sgbrGraXqUa98rTBa5jduVBrFcwzJ rdZi6PVhAEjwN/NAcJBOD2QIU6y4v7fm+ryW4+5qOOJoplwqFF1mWGVIm7K2JIP7apQC2ar5396d TWTTN9BL8rLc3hcMHO+lLYU4fzFo6asD6tqhjtiUym9oQ6Vp08sYNXY3ld1dREElLLw7ZT4ZVrdB iUoHJ5fE9NvbHdoM3zxFQKS8tpMNRQ9twbkBqoQgSM4yq1wfv8sju3sUUf/+/4O7fTIJVXLJaVxV ztfJa5XL7ltiGzk5cNaqUg1Qfb397BeSq6WPRAoqYmknTSKVhRpmA9b72YN6bTXcSfK74VUhc/gk eZXNkMMGjiPXiwRIx0onSU3Sg5PKpjnPcZboQjGvrgXSI3AWHnYNUp//yb+/dPwvxWZWlGNAg29Z Eg/opdacFU8zmY8HGIrG3FuSf/PdsxqSbysvFoN7E1V3s6cUL34w78dx03M9Wx2Ypvw/NmLkaET8 FSBZSLEl5Xqnxki07wm832GygJxEdmqKrw/XMLGHYFmZHwkQ2xXjRSZioYhMHUx/3Y35OgTyrLRQ 74wuGEPE7huGj3/YyOgUyVwo6XwtaEuzkzXJcyUf8lDz4ea1eepzbK5/vERaTsN77JB1l3ijqZlo 2JiosbfmB0+gzRhfAG6PliUYxjHmyWgQ48eW3bf4MOcFFHvBfI0QZ1Mv6RM9lX6Ab5M6bQnk3gnn EYUHQT5+mPL0unm4w9/vGGJaWytYQWJFhhRP6Jn9datq9ujQUxpzJJX3qjiAJWkyNKpTaf6VjqvQ pR9FA4D2xDpWMgWL2/uPRKGESXOM9ZddA/GN3/+/CHrdyrA/8TNcouFwFEQUFToBWoGj8CpHPcrY ugq9X4tBAn/heugNCvAsNjWXvhxRKodcPtWFAQIJKPbW3urQ2ejKNHfrG0To7g2A3iVGfF/krj89 0YKqZzaZnrH+TquS6zUMFCMUtgPh7Prsco76YxF0K32Wnb7ISeUd/m5rZjShrPS2CubHQGWzAbZ2 BDvzktrrTIgZsau5gagzBnAhOYoOYQ/+n/O4Oe9jiwOmmpz2PZ3lHVqT6UrOxJumZr/V1rXB60VL roAXQSb4XkAkRbjBx/iaumPt6DxuDTVXvlRF0/ynOEu1JCuC+rhRReGQCaOyojmG7sUVZVQKEQEt P8am9UOSlDXzFALDOV7TinKCFwagVBwJU4aLe3vBTZXa3l65F6H1ljOwHAo0nr1keXSXrbrRQesf bvMfcOg6YY68lyyVNI+yENryF7n1vYHL9QtqReBbK1InVpC5Mi9R1yzAr6srXyVhTNwlQ+pfx3S6 sNKynpMhDezpDoH/uqJSU7kxUNBWYk18DrFbze24ApgLjuRG0dTtKq4+Me8kr1wv0wmwfnhY3CjK x1g2xx18LW5tjkphrX34hy2WAfqSpGREHa7TPWSKzjH8R/6FP/WCXcKFngT7NBvoj3iEX+lboE82 IwjRV6K5zj0//DP3qKymWLewgdaYtotui8eao+ubCvFfbCnyQB87D7Z6xT5ogepjtaaWqmVrO58B q9cS3wCwkyeKEC1rbRg4PuGzlViVYIlDYJlFIwAZzrz/VczToK+4bci2Yg26zRleYyiOX1NVOXKc 9/OpIxtiwPjK+6jzmw9DzVKfUdyJhzXGRCTuJPd2hnVbArPngk+jYh0QcL9aMvGAr/Or13rEkhqB h/GfvlhAdPBwbhugKFdx0jTgJ/IhIUsr1+8IQ1wn7U15lpCi4Lio3ZsLVDzRyDl+sqDmMNJEJfQX rk8O2WafUgH2MjQLy+p16VqLF5uAh0SxOoB0OGQyXhNkGIZO0hRQv+7H59aDq5QzoiYdkG0/Ie9O zH6uF67pjjfvhkH1DiRNjRG2laXVOxf8tJdn8g7Dy0yWnY3pj/xIefATvaqvKta1yyoKq4FyLApM aK2HJX4bZahhiGHkozIiC7pJvyfppTSJqWYB/TJJST1opH8aOr4aAcJrOP9MkKnsU+t5vtWOofoT EOioht8/3EW3FW/LfSTHbNPRE+7VDwCUdj97TEZHWKVg1md/BZV7eDBu67OR9ZlxY5kD+Te2RTFG hxlIT/09tfzkozSG80KCnieE4SLJ+VJIrSj08x4QudkjQm9wHrajkQoZ/OK8oAcKzyZzhBqSfI07 IXasMrhgHrKVuXkA53ShF12/dEH5l6T1JYWSBJQx6f+fLS3Puk99rSwPmM3nVEkRSXrkPSDksH2I qhs+KGLaYhKFhntr0gGFuTj08fiVnN0x2L28yxVupr8AHq7Ua/SOZcF/CTw0h8yo1e8vPLZjCdFA yiXNy3ysdEq6Zx/2vIwPafP/twwhiRUiCV3seSK+U4mf4SV5LPetWwWRh9aBYW6jLSjzkhk8dPb2 78//91vg50FIvrxRfMiUqUwJfz4XFf3TTSBm2Lw4vBz0TljJgxrfag0AnKnkeLkVRwpVczLFKq2l aFmPAmiImmrr+0UeDWxy+9ebaxQJS1+oqOWBISZKU0YWSm8jbwbr6cAQcicsIZqcY4popsw+MC7L mwQy0S61n6cSv8GUT9BQILkGIn364/Vgj0nNPs5Uh1K4LcOm5es+RUq1M/J/Vmb4XoK/jEGkbmly ZerjlvbA1HAHglD0jQigKi7BMrKV5FZumbUscMiV0pN4RUGtc9sxKqZZBCcIWDoisikPf1q7hwiv +n1IuheQ2v9mU5IoVtRYPrgsizVdb/ZHJS1H1DvfbCU4afxHeDu0uaXWDhsxD2OS0DlBJa6vK4Qe ukWQxcFDMVC/GGpuWpkt4UxeIl8qMIq77uKwBQI7zr1u9LUEshrNtasunnSE77T8z4ythRc4j9S2 7LoG6gv5XuvylH+Ro/vSUgKEH9FQsCcpW+3OiURSU6Nq90VKul3oGZJXBeUa2iUCTLzwXpZk228I pFfFKG3peHI0apjBJrG0gvxZeT8yQZ2U/KvH/qzzK6OlldlHDLuXRILbHvBP3tK3UpxswHGij4aH 0CI072fbrLLauZoPaHFc3inuACGYpfjbxBGWnwiVQF6uMbYyYbv9uD8in5L19uOXlH0i+SCmGI4l RFCAeijkDUsWG3sXd50uPm0A7e57M39p39wnq7yrEeQzAYGyI/IAlY5o/cMWuC/1qvujs7fvAFb4 qHkVA54T9xHmJowCNXbx9pU7LD4OnhqR36l2L0ZYeLC0lfapoPumymKfpRb5opoy7jRipBA/LatY LPDlnk526GkDU9+HxoDKI9JhJjEfbhH1IYux6PjxAiNVNVbZiUm0DJONxWqEDxlEBvxbILIbHyXZ 9E0g53akhyuaa58Hvhqpv0eHiFTTfO3TruET4jw22DvsT3romF3Em2ocvI+Mp3kTuCKBK766PVJd gZdJE7qeKroyxvCjRuv1zkCa6pMz3H++e93UAqs2XSN0YGfHjDv78n2cJHWBWApGssQFO0iwn+2d zek0MojIIIAK3BUycDDI29y+hYibopm/REBeOZimqw5rQU2AMjy05T/9v4sQKTYAXfVa2EeVekgJ /i/1TzUJBDxwOEEUt+foqUBGoj9ugLssQDz2EuxI43mBNWyHjZVaFuRg2R1zs31uFJywqJ9hETDa LfZrxVUkg18oZE4OoqH28uRjk37CiD3FEaS1ZgedmlyAo7n7R/4NPr9XTjc4SxLKGxWQLeSlyspC URs27GiRX9h0HkljkKz8M7XzM3qP173da5wTx6nYjQIPxmXjOk2Fi1snF2vcRiBtJRVnGabJ0aIN j5yxYf2xsuvZgTvaQg10ztQMRd70Y7Vr5UE0Y/+ItnvBL71MyWMBtp1wTOfo9Cusds/DlvBbqBap ERD1onWpt1nqnr7+ewlczAzNKTndQy481ox84Zk6O0mURyxd6SOwyhsR/1xzMp5OiRFf8DpUzMCk blK8KUgTzBD8v6zL0WkiLzIGd2ksM5QKGr3QNDA7edgJ0Dk0wRjNMP2xUCt7mRmTsH3OzmW43iAx VZ57JZOhyniOF5KTpEU/kBe+5yZxatoRyow+2FMq7ehdb9j1pC2XF8kvqJqI4MRZOevYs3ZOJN/k /a9+5zZ2vjn8GOCgOH6ctKOoTo6fPgoJU7/0g2VqDlin7dLdYrFBwJBJsZCazlj7i3KlPIQ1YmUz s64JuXu6z8yZ/2EiyQka+YxXSilBw3G40Oks1XfXcEFlfH8rvKgPslsuvC/5UpQa3dj34UWzM5wp XfNuHlnJTcOHO7LTuaDq1+VqUPwHgAKg9b5tw7R4viD+1ePHzta3UOwhqH1RUCeY0Y3QrXjtV3pH kK/xORBDJGWw1wM34OBhlbrN58FGxlg8/+hIlrJJ8EMjjmxtc7Phfh783WYK+3jhiL0JXzKmzn+W n82FjN7/x0YnDOEi8IlsxtdZewZDotAv2p79ulTQsc2eySDthyAMxcS6Kdu5URnOUelZu6e2Q4eb 1qk97D7LmPiC2S7Q9RdT6ZibEwMqqDWqn5wUwL2MnKrZ4uarOgDc1jYhjIgRyuma8jnYgIK66xYf CWz6yb4n+M7E65+tKb5zdFfgy/dcSDCtTVlSNPVGC8pWDURpTxaB2RhD/rr4L1Y8DEkDIceujeyp fSgkgY6/fiU7MTUjKgWfpM1fQa22Azmvj39hFuKAe0zeOvnMUylIHRto3FlBduydjPpekf5PA+PA xqqtMz4wmVrKCW2e8phPBh8tsUGyHGRj8r/xKX+mOTYnn7PwXf1VMYFVJS8xed3P7xf+GboeTZkP 8ZJNa5Hw8tOafJ55TUYcddlfNL5ja6kNJ40VmBHcoDNvic0JSglgX1iFnL8Iv7yoDTsqe9GXl5zH /jPvVRkrAoGdXzw6gBiDgWVsbrWb9sCD5Qe0vhrdUgyrmps8bVDtuYX6dAzWaesb/RjxzP2ZrmDu g9qSCV4eYscJytdqzCk0A36Wm6NhLze/NB+xWtU+jCrLOhFBv+Uu7y9W1yljhnstlgzPgWuAV1Ea QxoRsYOllHJtG5+5sR5EkOLhwx3+GDI/0gZ180sHKs1X0X/OveM2vTuiw0DHgjbvAInp5Ny4kOcw +5gTATzCTrX2l5nIr/0Wa3OLKIShnf5dWB1asKlbjA65MSQ4qSuQFY1Qbr00Vwpc9X9lHLNmTNNc PxElljPJ1CH5prkSriiWwkPmgXSCimKmZ3lO+IVMRLIIYcbRMswadAoanFATintn7jr382jCiAfq 3ZSUn7XuYUWaEmvN1wxl/WPeOZNDv1+9kCiS5TS8M1ZTVqAK/7dirilojFDELoSEFevrBX4DKjHY AZLbemyOw1Dc6m/+iZRU5orcR7WI88UsbjzvmJgE1fbEsNAoeK83ExGpNAMEoAvMpPh/mPJOBJ1X qz4RFvFdUBNSL7LNyRJnkQG3RNS2ant+b8PDTOqU2n+m0c1NuWJoagkNAkrKQ0u9ytv8Cl5npPhJ zhf3jCLhVZfOx89jSVDnKPxXTo/9OdmbbOxqDNOmGwqsVcfQ4I54r1WkYjpirW3j6hslx4H7w56n 5iuORS14/RDQDiA8ekEypr8m0fl/f4Fff7vyEN/SnYKMoqivk2Vu638SQhJbvsKnhLUGGp22Ge1o n5iSSkyAZn99/l/53g/iqrDD8mj8Qgx5ONGg/gyY53FOgXQx6o+yjuJWl0bEOto4NzS2hORyMH/q 9bXJTebK5tTl+fRdopQ6tRtGLMxZJMtEpeR7IWB3qtf7+rNH5crxBI7XzpVR+iSRwAONAlY1v4Wv cPR7xPg+PgS+4k0rxgAec8M93oanF+/cDznG90rxxyOX13LP2WprKbWALSfazEGJ3bJYI+AmZJXC TAB89EjG2wQbCVErrTyC8B8C3D1IQVRVXu7XJm39AkVXUpx76VBwbb2DZ03rnc/Yy3uXWZBMqyNF UDjOAhiZq9uThQU3xFpjF/hG8bQm2jxtPhJ9QoOy4G88HjnPXly3McH5DEXViPq8vYDEhTjE97kd WR6jdjYEUxT+DgV3LrgFO5oIEznGKODhMEwWANZd8eFtnfokEJHMjwMnLeKSeEYUrEgxVZyTnDEv ZNW8mQ7nTxanskGGUl2A1/E9t2j5/kw2VI8IhcF4n4FaFNSkRt0yDwvuqi+xlN8xf2ujSoHCsgke s43RW2s6LhQvi0q6HRLlQ7hqNcIDoeHuqF+4deDm9np2D17T80XlLxGBslY9X+glpKwrE6YoNaRO DSxBfaZ8AEd4zC4xWqCbFxVLpZbN2BuU97sbqi2YWsHv9B05W2orOpXHaJ3mMGz1q0zca+/QpWbW 7kNBBH5AjWhej7T8zkfbAcdU0zgocRuNLWYmdQwGjN3iHwt0tJHt8FPAREirW0u67W72EJ5M7XXQ aDGKh0e7WrjUtqGxyFte49qWkVTcZGz3ZgYMKjsU46xKB1MxkEPkomSiKQ1j48vvSTfzWx9cBlI6 FVJmK1TA2BK4adl4GdYdAZQ2Plk+oQ2/Hq+tZn4xS6uxXIhWTo9fim01O0I4nTgCu52uiK8svlVN 8cEdiEknv/AwkvUjxxH0MyUQDKxT+/vdXfE9L5eSOhyHR8ROIhTBHJu8aPDS0jHiY12F4VFY2KsR twr2MbNiElC/q3AMLdV0a4S4VMHU5nifucPYb63s108ZsvRnRm0kML98SxJTqLy6cjVdEU3j/tF1 pf94gFqoUMxUoxC/IDrNwpFNT37a5c4+c0tRWiFcGxfbT6bP8INX7wskWtxgIw/k3sRYLAzlq4FZ 4tundeJmBv8KKHAVUX60/fvdYA7X5arjovtxTq79lftbfQlAqWbvPfamE67TZNzGSu87ectnKdgG kFJ/4lqPieLl5h0vBuknzvqLRJqnY77B1gDdz8HjfOA3FPLG2slkMuEXrInbV+7Ha6YZpMojVdGj BVK+0Q2HBsvtfrkUBn9MVpw22MvTII42sW7xj/vfn73URuP/NmvXuWCX/7MX/rLvW1PwVHdMWHXP llYS5A+6m/1mgnPJeAS077m/hSgw/UXSw/Km6I1pLhdAmQVsmdDXlFMA2WWFygl44uM89OxXan/R z6Vw8Wtwx+eJ8fJxLtI6crcqFFFuy7tIEYIf68gMvTZYB2Ronn9M/hmmgPeu97ZH0CaKpMo6SO4Q GlXk6KTArmpz1NoNVPvwK59brYbvheOMFJX7FoUgI9lTci9njl5gkOYlx82U5Rrel4um4GvpvPpn hg/+KvFj+iCGBynXHXEZPW0a+9HGiZuvjI0NI4Df/D8rdjRXjuXQpXv0taLeHgcaKy2Qo71A2if9 ez6BYb1I26Dw8VDQBi5QDsUVPhovrAZgA3jlHT7K4ysizzcO1RXOpakjr0xsZgW47qsMjOoWsuKN vNQNIxvqgEKlk5f1xIO8TIT8k7XU17S7Oz/r7VcjTT2dFoHoY7Su8hUXdEtkHElXQFOI1Nf7KzMC 6hwBPOx2lVLIwvQxrOLo6FT6J9j7wyspxxg2kv+n8SRLk9aXZLYcxmitpepGKU1s0bXCGlptKyUi GqlHRzD01mZjYoExBgkmj35vMkbkkd3wWbKZYZT2EuwXUCZyhn00Rtl1Nh2lfGmaadF8XwKzVUBi 4IZzzJfzR79HDWyiH+wp+oC5LEd+1olj+7Dmk5b8S50RMXRNLwZNynGkEVfUiGPq5GPETeR6lQ8f QwNeR3fRkfVsUhFBwQoxEGGFBEDNJPAutL03tz91j/+WQYKcoosIi74rcCgfLPKeZom8O7jCupxN xoBNEqEiDwyoe81oc9hQasRbQFqXg97PkAQ5lBoa6IuQVVoI6//9S/lXCZDu7DJ7sztCqDAmE7Yr DIZ/mejxCApL/61KUGZUj6Uwc+wg/x5GDzMOgY+9ttnzkTUaenkvGF+XdOrU2S+z1dznzSd48q2g gW6jlWiPRzbnRkQTMEyZkeNx5QEZ/qWKVxduJlQ9VhI/TCyq4SZYR1SsdS3g6ipga5yNt2vdA7co 3ICv1J7bfhyY45U7icaN5AcCVhZf/OMkRF204Xot0T+KqUu1OggUEw8MDEW59B7YDcZWR+iJDFtM E1XTNdSux7zD2t2wSqVTIMFNL9aEDwnCKfExdwQApkKS5hBXWY66vikFQPu6wdQwBcemUMsCvpCp fWVMxU+V49mJQ3TvfRSq6dqjENHuaLNy0hs8dLOuhMFMWtLVUwLNtS88y7NkCe2OeLLJSdRZFuT9 pWMgRfoOebohqhS2QEWv+oQR5BgB6n835ClSXNjTx2o0g2C72Ainoqu87KNsBLYawvaZHJDkB9Nw OZTgAabkAwMKsjy1oOVeHRmk+MP7gUxDNFIVZHNYfK3RcqTDN5+sQGqpyZz3/aNDKBOq3Fvgubjf hruWSakUMGI4vyQ88jXfoJUPLe+ef+IeikhNp2I/BvwSND9+b80YeZB2IxLRObxb4y4u1YQEUVNl 3n++3Pd2glmEL7DC2ze/YvqDgrL4oKLkOGplEYWHPLHrFfNhbEE8cH/Wx+kVyqshJWLjWS4cQlBn 2H8oGuEOFdfkaPeXt13+ytwfhb6MWzf1jL0mCEQq0bZ70NsnXCEfefNaDWBaq1uW2ZexuL5wnz3r CL5QK9EviV9jvRSPAcIsj9CstkhJjJ2GlAKu25TW419JH5dJU0n43Su0+vSsw7gvevEH7eQzDZe9 XF/HnXvYQPeyG9v8VRbhjcovpzytZPJU63jm+Aypmyj2DW+JXCxe4l/CgOkWtvpKuUxzb6Af85X9 1T7Jf8j9J8kuvZlcrGBhDJuiSew6Ae1kV8UzKJ+lbJb9TGFZedgLUBn59XQjYFJQMR9YRpPC4v47 DghrV3VHlFpObQ8iZHgdhOhecv1kw3okf6rlDTKCC31LCiWHacoHrryMzWmXnvEfds+YYCgAx9x1 8Xhox9mCRUVutQzDB0Z/8qoftp/0nJtyKWoMnAklO1+iU94Of3Miz0fVRLaNN0KPoZdOq/Wklx4O XlfI4BPdlvyz4plz1+Aayng5esmas90XplECBAPP8VgJ7WCeRpKpRWWpjU3zKQWb4pzvywCXfO+t ufWYd9vNx2gpoyLBdPfOvAmJmzZkWUcqzP1mbOvcjznYzfIpPenYdePRp7TQbxccQrzkM6YUjEdz gGdJxMGgehlq8bjdUIqM6Jp1tJvUci+XAbbsY0q/gftkTR1+ujjeRQM5/TartI9AB2IF/3empJw6 twYpZHMYhNa3t+yo7b1mI5Gb1QFf/cPDZz8vPAdzcBjVonUU2GfCDzOXqObxHCg6Gun4MrYK6wZa 1rfjsXs2NvG8qQA9ObCjdeFgJlqs8bq516T/wIRp/68Rze++SzJJOEoAVi8sbMpOQ+Cz8H9ZkhkH hesDiPA6G+90/nfw6A1J0AXDQV610sjCfW5V8HLJJk3n8tUYYJsmJAYsL7mUZuBFgFz4Dt8OrzhK x4sVf6ZfxOy42lTHrufluinACEm6H4NfKXjBdseRA05erHpppWWE30qrR7ZAciXVT/E7Kbh5bkfT FgVM8a23V7vKgAauprEVLqmPLwUbxTFug51eXWTcPeAWgc0e2R2xllzhUaU6z8j8nPWsR2seHmTE iJA56wZFltsHfvUSJyCzy6V6gbvb8Fo7Gy9nW7gfMzTAT89/zZLEl3wDSZkyXpSDzeYxrf1UZyht mOmhgp6mpA/dfD1QRt/LYyeLq5ikfVNXBLfRlxo7584gcwNjyXndiaTw/l9KE+l5zTsxpAHglLfc iUavIAgBslRQnZ7KH5mW2vflsf81tuolVe+Rr6+E6qSJfLjxob3sHeqkRaJ2Kc+j4Pwp6vNh0N5f b0FaZYXz24QuDkbFWNkeCnKNqqiByrAJb2IhrDQvaOCKyt5wYpIz9FYgGrpSTACRZq4+nHciwKOe of7H2+TfRL8PQD3a5fn9CwurOv0MhQ42MW2jSABNRroIIrdrplaMBpMBmwtk+zj1eLM0y0ys3cy3 ZHWWvuUmG2zUNDlSMTJ5yn8xS8V+i+wpPnx2vS3d4E2nNnvpOQZQaSxHttZqhgRN8YT+mAdrX78h m9YVoYq9jkEcstgCWWrUFFiVNB0fWFZTeIJUMF2NIcESOVUFyGR7ESCOGxeW3v3ddGWUnFOnU2ER U39TfpKXpCrOJsmriQ5VvYjUH0RK8Kem5u2PXzMCiawQNwVeyODqzFQ0iyU6rXRdkWSypUHldTA+ 8aulr+2dUdDjmwvhS9hux9v0Qobh3gh2PdotABOj7eCqFq/obQBCbAkSYEWptxOKR/UJsesQY/QR b6VJXrRqEambu5qrBs2otAXT6oN7SzQj0m2V+ueMpT5NXK/2GwA2xxbsZdR+ExO/8RfkRXkl3540 s1cNfGWP27Hjf2et6q5y3nTxESN7V2dqIbtDiN375YN0uJZaQuHeVagrqcko6sTvb4/HyfYNzYDX SuXfCv69AslNPEXT2JtA6efZpRvj8oCtxZFGkpcF9P1KGDyK8JzaDV9VTjc/7QF6rnaWIMluaBVB ey+IKTbcaWFHv0hqlvYUBM0PVREAqcmn63A/E6pamO8lz6NDMTYa+q3qDvr/PtvlMmLC+J6aB864 ox2Rl+f2iYyGj1UwYcCPyUM99zSj1K7eCtqws1NgZD3KuL/mWpc5/q4sgqCIjLoxJRGXODDEkJA5 m0O0HcWNYO6cnHRRkB53SooXrmBqhl/TA2je6S05mLg3PWsSCPs= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Aeow6Dar+wPwyCuZ3CpouVllPxC4llA1Ukm5brPkUf6jbA6jqKC6lQwebzsMw19h5bccH9idRwDY jemL0fBrsQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block fhH+aqlEoKE+JZq9qghNfSjPHdRv5hlwG+33iOnHAwoQz3JLEXCjbjK+/OkJ0VZ47mEYRGFh4AMB Kd5bYAsHBVfJU7e93cOCmqMi6q1NZ1B4TYSa9cbXieENl3lcMAWDjVXehJfBz/WX6Dwe2sYVqHas VIMFb+sNilgPS6HDJY8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XK10objy6h7oUNp7hJFakI8VyHyBjdmYLutHMeS7A+cEBZkpecVT07LulHHgQ6KKziOF+tKEi8wJ SseDgde3hi0l4iPQkOV10LTfLrRvJhBfeIZ8giQp1t0SrhVy6N2SSqAn2eht9NkZHhhTN2ptQWFp 0WxnKaCc1sV3GIghFpbS5rrPhG5y92GuyT5FWa/h5Ldzc5bI7CyoJ9vYfzMq58minDKJ0Jm4Y+wp yHLZA+Ov0xHxm9GrfwhCkATL3ruMGZYQt2s5zV4QI9wQ2UIEz+JYy8mzm4GwHollMtB5NRf5scWn l79oM70wWXF4vtffYUC8HG3KNp96Na4QKpBYPQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Ykye4tSzDCZpeQ8JRXb0ob3ATquwfCaSG/ly4GVsy4bD2TWi/SlkZna7zRiLnZAzCamGizuqrWRL lhFyzoZ23CkJDaAHrP4R0DVjNPCXgacmijI2x8zSxwjKptfeusObi+G4cJ4ea9XYuXHdvqkbssiN HQHbZSHeJPlG8zIt/vw= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block j5byzLdNMnEoE5qwywfZ7XMWUUDa/vRU12ws0qpEN4GtoTZgc2FboDnnBkgSUXUolpwgKX2KPVWw P1VJmwcR15FUwZQrqh9sbS63mL7WHPSLNYElbx4uy534LVuKyABLlnHKjoHmVUtp/4E0ZuLGoImt /zsHn4GgLIE+tuBcZ3jTd5dxjmeQWJivwwjH/wFwCAVczK+9bLnUfJbXp5EAOTA495Anp3M7uHef CqLawyLHuTbCQrqf9W/UvFrFP4vYRwsEsllUJ8mnvN8qELVwvhBehS0LrP1gDGa4Rus4OZzQgGv3 gxc6OKVuHGv/NA5wtcEC907wTYphLFlAs3rhjw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10640) `protect data_block XaaX46qy68UqWKNhl6P0kvmryxr4rk+//YoD6Qd1vz1XwvlBrSowOiWseLIdjZVaLVSQsAZEEEbH taruDjzbeB/36r4LzPyjacu9onq4cHbJT1iRyfeVlvKnWI/Gsrw111DHE7A2xmO+9dRKGvAP1xE7 X0tdnaEWtm35c6Gl3rRyu0rPNiajNMIO91N0FSKHFdfENMpiICiwwVdnzEM7wDoUa+saad7Kt1d/ 2n06bZD73fIKRMUlV4iMtQbt78cEhgHzMUl9EDjJbbi/P1jAFPlqis8FFRdDwtBp/MPAPi2lw4RG eHCvyd3lFYPn4LkBibxm2Kq3LpzDBxZV7/hrhH8bvm+b/qiQSu19M8OkLSnK3W6Er4k0/bI824KK j+IFYCEXoqSWXNSC/vQ3E0Q3MZ/xSvbSe9ts+SGMJ1JdWFdsSNs/a/mS/JCX9zg8GpUacbK1BhLX QqElh80gIKOfuipyxs4wA1eXIdvqFoV4+UTYaGFFYxdc266xmDcIUOCRvfES7zz8AElmDT0mlOLN hg8ibgM6eLIQzlWAWThqaHXeKVBuaheVxmmuZTUk6ZpAEMBk37KCM8B8UY3UC/ywvqc62Rfv9QdP oIDE8k3gq+oaOCLTZqnBDS0Yoqte1UZM5yDh8Bez4znfX4GXu0RgH+YMrqNIJ7yC2y/Ay2xkIbzj COLsATDLvNFhOHBHHtdIivJ9ubhmVSLj4TJVLzwVkjEEFVkXKxV1ZzwqYAT7q3jAB7XGQY5ruvTT opQXSDqBkRm9tUy6EmoxtV8cEpiihyev6v4q71biyc5k5Oy1Kf4/7hoHkmPw55x03sjxFo0pKajs 884THddTnuJuKYeu8dEJfOjVlbBsGf3Q6Qij8NCpjGjZ4qD85b0H0LdqHWwsjDwWRIGHioDdkXEb 3jVmKmSWlBjUOci8qsLSeG/87OEJIPP4Kux905nuqet30pLUnMNofu8l4nJWe0LQ4GjtQxhMhaKB VYrqfxo3E+YD5X0ZZHpqXvfNPMUocoJbniGL0Jh1grYUI0+i69dy4yaM7ElhylmjT92EAnSXxC2H 8QUKVVhiTo2Qea2crXme7es983/YCUC0YImKfGHKw9wuUaBpwpIa2r5y/tuqxX4kTJqXDgcrFG2i R+ikysXTMnJH/11A6AzK55TN55QOoUXqH5325Srd8+xXKQr35bkK41GXgXurTlT37qyr2+RCIn9w hSrC2650flFrOx/aiWi2Pb3e4qtPQOMvUOb7hYWcyhspNBo9wjzybv24tKFaexRsN6M7u1rBGHVD CNFY1crygF0pSZGM/9SoNCvlyxs1IlH5qpnbL6WtUbFy72ufIDopplU7jJ+DerIoVotpvygkaTnd z0Mel+3vH05Niq7Aw0UsfPO0ptDHhoidrnnmFzzlfPlgC0LWFW5MPLuKdaC24y4l9tY+25ZNnEkD mDdXzbrvFAf/jYeNpOPlO3cmIPyMmVlzo7MteLPissRrRiJTB7sHck8rQauTYCnfH33jHy0ujh1P IxLD1qMVdaX5ecjsXL4lfnhzHg7DehTpbSBfMIc6st4574pDCLjDPwb2FflUoRI5i3/wckXfqP4k ByKXO1Om5fAdi/huU+FobYD+u6YDGw2WUauqUQFSFdmb1HvPOmMvx8pzF7hugyJhLC/M6HgcoENc MJgF8PTLOjPOaJUNcNyMVn5SVSvwbvP6hyZ1R/pV7swwKfqG1yt0C+1/Cjov2NHzzZo3pDm2pCq4 WwqzJa3ZQvzaM2mPXi0ECvXg51DdJRKz9hjL83QOyB/uLX/jD4znMbyPwDIXQBy8jgxpDSDTUuUL Djhfwrbf7aZCd3pJyk5W2m3ff9YEV1Gec0TX7F3efsHExmXWjib3WidlFpLw56eySBtCZWbJVm6l mk2uTlsxPyeTceVy01Ir49Q30Ds4rW0c8mNfn91GUVNqXEPNCoy3P1a+ImaDUDz7v95KjOEmFTcA vaqKxBnVFQCKulzXK+EMqSUJ82GX2iZffh45qrQYrnSMrxr5Texpq8zN97U/E119XBW0Uy5bwoI5 gdFsbeEBmPJPrkTzICQFiYrWVXK3n8wflI2F5VlNsQwg3VAqYOBEVM88AFxy1FyjK5YqOl9u1Dk9 PWeLh44uwqyiIH0CsADeV9A/dcdz1jcLFgXDJ1ugwB+drv+DVmaDUlz238nihtAFElREZkmoh2zc k6sBlKT/7eTIrhUOyOze5gGi7JdS6Cf45wqZThM0WSa/n6e0CNXYU+0KIXjd+ayDOU5/HIRoou58 KXuRxykUoC9ACMG8Bd6y5BDzmlrMAzu007itK9SgcSUQG9g4QFSUnWD8wH2Kqdnoxm/yIvpl1E2i UPuLkHNNKBilmslLQFNS2ZUSB1hUgaM3jeJI3wr/wG9oY8YCaGlFKG3SjG1ANBMvCrqa1UarMgRo U/etLI1MAPvwW5PC5WTdktIyYoBjtFIqVrhmJmC/bWjMVbdpjd/KdMGS1LEwSGX4oqMnSa/6zeen N5eGkZy8XduKShin7qqBlh6x64IBtxUDd3esalewLOk7mCRBEZ+TMkFD/nhQwzvq2CrpaStTeMXX YJqNvNEXagDli847bHFETVLxGDwBRaQPp1ZbzUKzZJidgiIbteHHdEq4I5V2OS8eoLP3I9l0DncM srtJtl8M5YGnpBFaSoNET37p1nUpfuZrm8IZUKZCbX2CwFnWFHu2kkqZE6qGo1j4Iqe02hiBOZ+t Ww36rBxwNvLA51bVHx/QXBa5GX8mYau/UiOiriClyY/oiUdcSGdZ+y+Zz7vK7iqVn7JxdN2mC4cr K8uA+P2Lj2ogmw2eEHsGacgLI/DdZN4OpQbou9G4svmYCGQrjbYakKh3ExZMuakcEdI3TP/b1GP9 rA/6o1sZV998Y+pCZrFo4RfewAUm3y49IfH/fIzZIMBKesMNNch7okulcb1xjvZABOUlOmkBaVOf ES9vVbu87EFbCGqs36YhrgsWydj6Edt79GnmaP0Eoy+aB8Y89z3ZteZdVat1eSEM+arei21z+sPa RWEvA8WvLE7FhKfTdEFYE81+caHyhiYDXd14S0PfvH/012cF1LGxmvyd8hX/DfDEnozb1tzCu5BU UqXuQUexe9SpeW8odua/8NDYM8DwLyp+35gpk6hSTBiDUlau8l+OLEaLQOANkcZ5laWPZXPgVq6G ZYeMhx459P6nYDNlhLgk1hcDi80ivBSZ8WI7rC8cacEZCLQ0eupjifJwswyX/EWoOV2g31cQhoMP K+19RA+o1TQC4hsQD1lCTjKyCLIvspOL11Vd3HidttiwpASG7lXw1HaVi/Gg/n+kJGXbsUiZxgF0 wVmmPp0g/9Nam6Y1WuMO9vymf8DnVQa4F9/xVdGNIsgHlmQn2KO1v2HMs7Z2Mp+U/AwgBKcSS6tg 4sAw553GrN38e343kMtvm8Tp1D0t+bCPew4jnfdU0on32mPt2KJJVy0ghJaPP19pDVAekNADcMWb kSX/46jDEIf3pSkACzItZwBG8FrZkHb2ay6fp5zr5DNC+P5sgbrGraXqUa98rTBa5jduVBrFcwzJ rdZi6PVhAEjwN/NAcJBOD2QIU6y4v7fm+ryW4+5qOOJoplwqFF1mWGVIm7K2JIP7apQC2ar5396d TWTTN9BL8rLc3hcMHO+lLYU4fzFo6asD6tqhjtiUym9oQ6Vp08sYNXY3ld1dREElLLw7ZT4ZVrdB iUoHJ5fE9NvbHdoM3zxFQKS8tpMNRQ9twbkBqoQgSM4yq1wfv8sju3sUUf/+/4O7fTIJVXLJaVxV ztfJa5XL7ltiGzk5cNaqUg1Qfb397BeSq6WPRAoqYmknTSKVhRpmA9b72YN6bTXcSfK74VUhc/gk eZXNkMMGjiPXiwRIx0onSU3Sg5PKpjnPcZboQjGvrgXSI3AWHnYNUp//yb+/dPwvxWZWlGNAg29Z Eg/opdacFU8zmY8HGIrG3FuSf/PdsxqSbysvFoN7E1V3s6cUL34w78dx03M9Wx2Ypvw/NmLkaET8 FSBZSLEl5Xqnxki07wm832GygJxEdmqKrw/XMLGHYFmZHwkQ2xXjRSZioYhMHUx/3Y35OgTyrLRQ 74wuGEPE7huGj3/YyOgUyVwo6XwtaEuzkzXJcyUf8lDz4ea1eepzbK5/vERaTsN77JB1l3ijqZlo 2JiosbfmB0+gzRhfAG6PliUYxjHmyWgQ48eW3bf4MOcFFHvBfI0QZ1Mv6RM9lX6Ab5M6bQnk3gnn EYUHQT5+mPL0unm4w9/vGGJaWytYQWJFhhRP6Jn9datq9ujQUxpzJJX3qjiAJWkyNKpTaf6VjqvQ pR9FA4D2xDpWMgWL2/uPRKGESXOM9ZddA/GN3/+/CHrdyrA/8TNcouFwFEQUFToBWoGj8CpHPcrY ugq9X4tBAn/heugNCvAsNjWXvhxRKodcPtWFAQIJKPbW3urQ2ejKNHfrG0To7g2A3iVGfF/krj89 0YKqZzaZnrH+TquS6zUMFCMUtgPh7Prsco76YxF0K32Wnb7ISeUd/m5rZjShrPS2CubHQGWzAbZ2 BDvzktrrTIgZsau5gagzBnAhOYoOYQ/+n/O4Oe9jiwOmmpz2PZ3lHVqT6UrOxJumZr/V1rXB60VL roAXQSb4XkAkRbjBx/iaumPt6DxuDTVXvlRF0/ynOEu1JCuC+rhRReGQCaOyojmG7sUVZVQKEQEt P8am9UOSlDXzFALDOV7TinKCFwagVBwJU4aLe3vBTZXa3l65F6H1ljOwHAo0nr1keXSXrbrRQesf bvMfcOg6YY68lyyVNI+yENryF7n1vYHL9QtqReBbK1InVpC5Mi9R1yzAr6srXyVhTNwlQ+pfx3S6 sNKynpMhDezpDoH/uqJSU7kxUNBWYk18DrFbze24ApgLjuRG0dTtKq4+Me8kr1wv0wmwfnhY3CjK x1g2xx18LW5tjkphrX34hy2WAfqSpGREHa7TPWSKzjH8R/6FP/WCXcKFngT7NBvoj3iEX+lboE82 IwjRV6K5zj0//DP3qKymWLewgdaYtotui8eao+ubCvFfbCnyQB87D7Z6xT5ogepjtaaWqmVrO58B q9cS3wCwkyeKEC1rbRg4PuGzlViVYIlDYJlFIwAZzrz/VczToK+4bci2Yg26zRleYyiOX1NVOXKc 9/OpIxtiwPjK+6jzmw9DzVKfUdyJhzXGRCTuJPd2hnVbArPngk+jYh0QcL9aMvGAr/Or13rEkhqB h/GfvlhAdPBwbhugKFdx0jTgJ/IhIUsr1+8IQ1wn7U15lpCi4Lio3ZsLVDzRyDl+sqDmMNJEJfQX rk8O2WafUgH2MjQLy+p16VqLF5uAh0SxOoB0OGQyXhNkGIZO0hRQv+7H59aDq5QzoiYdkG0/Ie9O zH6uF67pjjfvhkH1DiRNjRG2laXVOxf8tJdn8g7Dy0yWnY3pj/xIefATvaqvKta1yyoKq4FyLApM aK2HJX4bZahhiGHkozIiC7pJvyfppTSJqWYB/TJJST1opH8aOr4aAcJrOP9MkKnsU+t5vtWOofoT EOioht8/3EW3FW/LfSTHbNPRE+7VDwCUdj97TEZHWKVg1md/BZV7eDBu67OR9ZlxY5kD+Te2RTFG hxlIT/09tfzkozSG80KCnieE4SLJ+VJIrSj08x4QudkjQm9wHrajkQoZ/OK8oAcKzyZzhBqSfI07 IXasMrhgHrKVuXkA53ShF12/dEH5l6T1JYWSBJQx6f+fLS3Puk99rSwPmM3nVEkRSXrkPSDksH2I qhs+KGLaYhKFhntr0gGFuTj08fiVnN0x2L28yxVupr8AHq7Ua/SOZcF/CTw0h8yo1e8vPLZjCdFA yiXNy3ysdEq6Zx/2vIwPafP/twwhiRUiCV3seSK+U4mf4SV5LPetWwWRh9aBYW6jLSjzkhk8dPb2 78//91vg50FIvrxRfMiUqUwJfz4XFf3TTSBm2Lw4vBz0TljJgxrfag0AnKnkeLkVRwpVczLFKq2l aFmPAmiImmrr+0UeDWxy+9ebaxQJS1+oqOWBISZKU0YWSm8jbwbr6cAQcicsIZqcY4popsw+MC7L mwQy0S61n6cSv8GUT9BQILkGIn364/Vgj0nNPs5Uh1K4LcOm5es+RUq1M/J/Vmb4XoK/jEGkbmly ZerjlvbA1HAHglD0jQigKi7BMrKV5FZumbUscMiV0pN4RUGtc9sxKqZZBCcIWDoisikPf1q7hwiv +n1IuheQ2v9mU5IoVtRYPrgsizVdb/ZHJS1H1DvfbCU4afxHeDu0uaXWDhsxD2OS0DlBJa6vK4Qe ukWQxcFDMVC/GGpuWpkt4UxeIl8qMIq77uKwBQI7zr1u9LUEshrNtasunnSE77T8z4ythRc4j9S2 7LoG6gv5XuvylH+Ro/vSUgKEH9FQsCcpW+3OiURSU6Nq90VKul3oGZJXBeUa2iUCTLzwXpZk228I pFfFKG3peHI0apjBJrG0gvxZeT8yQZ2U/KvH/qzzK6OlldlHDLuXRILbHvBP3tK3UpxswHGij4aH 0CI072fbrLLauZoPaHFc3inuACGYpfjbxBGWnwiVQF6uMbYyYbv9uD8in5L19uOXlH0i+SCmGI4l RFCAeijkDUsWG3sXd50uPm0A7e57M39p39wnq7yrEeQzAYGyI/IAlY5o/cMWuC/1qvujs7fvAFb4 qHkVA54T9xHmJowCNXbx9pU7LD4OnhqR36l2L0ZYeLC0lfapoPumymKfpRb5opoy7jRipBA/LatY LPDlnk526GkDU9+HxoDKI9JhJjEfbhH1IYux6PjxAiNVNVbZiUm0DJONxWqEDxlEBvxbILIbHyXZ 9E0g53akhyuaa58Hvhqpv0eHiFTTfO3TruET4jw22DvsT3romF3Em2ocvI+Mp3kTuCKBK766PVJd gZdJE7qeKroyxvCjRuv1zkCa6pMz3H++e93UAqs2XSN0YGfHjDv78n2cJHWBWApGssQFO0iwn+2d zek0MojIIIAK3BUycDDI29y+hYibopm/REBeOZimqw5rQU2AMjy05T/9v4sQKTYAXfVa2EeVekgJ /i/1TzUJBDxwOEEUt+foqUBGoj9ugLssQDz2EuxI43mBNWyHjZVaFuRg2R1zs31uFJywqJ9hETDa LfZrxVUkg18oZE4OoqH28uRjk37CiD3FEaS1ZgedmlyAo7n7R/4NPr9XTjc4SxLKGxWQLeSlyspC URs27GiRX9h0HkljkKz8M7XzM3qP173da5wTx6nYjQIPxmXjOk2Fi1snF2vcRiBtJRVnGabJ0aIN j5yxYf2xsuvZgTvaQg10ztQMRd70Y7Vr5UE0Y/+ItnvBL71MyWMBtp1wTOfo9Cusds/DlvBbqBap ERD1onWpt1nqnr7+ewlczAzNKTndQy481ox84Zk6O0mURyxd6SOwyhsR/1xzMp5OiRFf8DpUzMCk blK8KUgTzBD8v6zL0WkiLzIGd2ksM5QKGr3QNDA7edgJ0Dk0wRjNMP2xUCt7mRmTsH3OzmW43iAx VZ57JZOhyniOF5KTpEU/kBe+5yZxatoRyow+2FMq7ehdb9j1pC2XF8kvqJqI4MRZOevYs3ZOJN/k /a9+5zZ2vjn8GOCgOH6ctKOoTo6fPgoJU7/0g2VqDlin7dLdYrFBwJBJsZCazlj7i3KlPIQ1YmUz s64JuXu6z8yZ/2EiyQka+YxXSilBw3G40Oks1XfXcEFlfH8rvKgPslsuvC/5UpQa3dj34UWzM5wp XfNuHlnJTcOHO7LTuaDq1+VqUPwHgAKg9b5tw7R4viD+1ePHzta3UOwhqH1RUCeY0Y3QrXjtV3pH kK/xORBDJGWw1wM34OBhlbrN58FGxlg8/+hIlrJJ8EMjjmxtc7Phfh783WYK+3jhiL0JXzKmzn+W n82FjN7/x0YnDOEi8IlsxtdZewZDotAv2p79ulTQsc2eySDthyAMxcS6Kdu5URnOUelZu6e2Q4eb 1qk97D7LmPiC2S7Q9RdT6ZibEwMqqDWqn5wUwL2MnKrZ4uarOgDc1jYhjIgRyuma8jnYgIK66xYf CWz6yb4n+M7E65+tKb5zdFfgy/dcSDCtTVlSNPVGC8pWDURpTxaB2RhD/rr4L1Y8DEkDIceujeyp fSgkgY6/fiU7MTUjKgWfpM1fQa22Azmvj39hFuKAe0zeOvnMUylIHRto3FlBduydjPpekf5PA+PA xqqtMz4wmVrKCW2e8phPBh8tsUGyHGRj8r/xKX+mOTYnn7PwXf1VMYFVJS8xed3P7xf+GboeTZkP 8ZJNa5Hw8tOafJ55TUYcddlfNL5ja6kNJ40VmBHcoDNvic0JSglgX1iFnL8Iv7yoDTsqe9GXl5zH /jPvVRkrAoGdXzw6gBiDgWVsbrWb9sCD5Qe0vhrdUgyrmps8bVDtuYX6dAzWaesb/RjxzP2ZrmDu g9qSCV4eYscJytdqzCk0A36Wm6NhLze/NB+xWtU+jCrLOhFBv+Uu7y9W1yljhnstlgzPgWuAV1Ea QxoRsYOllHJtG5+5sR5EkOLhwx3+GDI/0gZ180sHKs1X0X/OveM2vTuiw0DHgjbvAInp5Ny4kOcw +5gTATzCTrX2l5nIr/0Wa3OLKIShnf5dWB1asKlbjA65MSQ4qSuQFY1Qbr00Vwpc9X9lHLNmTNNc PxElljPJ1CH5prkSriiWwkPmgXSCimKmZ3lO+IVMRLIIYcbRMswadAoanFATintn7jr382jCiAfq 3ZSUn7XuYUWaEmvN1wxl/WPeOZNDv1+9kCiS5TS8M1ZTVqAK/7dirilojFDELoSEFevrBX4DKjHY AZLbemyOw1Dc6m/+iZRU5orcR7WI88UsbjzvmJgE1fbEsNAoeK83ExGpNAMEoAvMpPh/mPJOBJ1X qz4RFvFdUBNSL7LNyRJnkQG3RNS2ant+b8PDTOqU2n+m0c1NuWJoagkNAkrKQ0u9ytv8Cl5npPhJ zhf3jCLhVZfOx89jSVDnKPxXTo/9OdmbbOxqDNOmGwqsVcfQ4I54r1WkYjpirW3j6hslx4H7w56n 5iuORS14/RDQDiA8ekEypr8m0fl/f4Fff7vyEN/SnYKMoqivk2Vu638SQhJbvsKnhLUGGp22Ge1o n5iSSkyAZn99/l/53g/iqrDD8mj8Qgx5ONGg/gyY53FOgXQx6o+yjuJWl0bEOto4NzS2hORyMH/q 9bXJTebK5tTl+fRdopQ6tRtGLMxZJMtEpeR7IWB3qtf7+rNH5crxBI7XzpVR+iSRwAONAlY1v4Wv cPR7xPg+PgS+4k0rxgAec8M93oanF+/cDznG90rxxyOX13LP2WprKbWALSfazEGJ3bJYI+AmZJXC TAB89EjG2wQbCVErrTyC8B8C3D1IQVRVXu7XJm39AkVXUpx76VBwbb2DZ03rnc/Yy3uXWZBMqyNF UDjOAhiZq9uThQU3xFpjF/hG8bQm2jxtPhJ9QoOy4G88HjnPXly3McH5DEXViPq8vYDEhTjE97kd WR6jdjYEUxT+DgV3LrgFO5oIEznGKODhMEwWANZd8eFtnfokEJHMjwMnLeKSeEYUrEgxVZyTnDEv ZNW8mQ7nTxanskGGUl2A1/E9t2j5/kw2VI8IhcF4n4FaFNSkRt0yDwvuqi+xlN8xf2ujSoHCsgke s43RW2s6LhQvi0q6HRLlQ7hqNcIDoeHuqF+4deDm9np2D17T80XlLxGBslY9X+glpKwrE6YoNaRO DSxBfaZ8AEd4zC4xWqCbFxVLpZbN2BuU97sbqi2YWsHv9B05W2orOpXHaJ3mMGz1q0zca+/QpWbW 7kNBBH5AjWhej7T8zkfbAcdU0zgocRuNLWYmdQwGjN3iHwt0tJHt8FPAREirW0u67W72EJ5M7XXQ aDGKh0e7WrjUtqGxyFte49qWkVTcZGz3ZgYMKjsU46xKB1MxkEPkomSiKQ1j48vvSTfzWx9cBlI6 FVJmK1TA2BK4adl4GdYdAZQ2Plk+oQ2/Hq+tZn4xS6uxXIhWTo9fim01O0I4nTgCu52uiK8svlVN 8cEdiEknv/AwkvUjxxH0MyUQDKxT+/vdXfE9L5eSOhyHR8ROIhTBHJu8aPDS0jHiY12F4VFY2KsR twr2MbNiElC/q3AMLdV0a4S4VMHU5nifucPYb63s108ZsvRnRm0kML98SxJTqLy6cjVdEU3j/tF1 pf94gFqoUMxUoxC/IDrNwpFNT37a5c4+c0tRWiFcGxfbT6bP8INX7wskWtxgIw/k3sRYLAzlq4FZ 4tundeJmBv8KKHAVUX60/fvdYA7X5arjovtxTq79lftbfQlAqWbvPfamE67TZNzGSu87ectnKdgG kFJ/4lqPieLl5h0vBuknzvqLRJqnY77B1gDdz8HjfOA3FPLG2slkMuEXrInbV+7Ha6YZpMojVdGj BVK+0Q2HBsvtfrkUBn9MVpw22MvTII42sW7xj/vfn73URuP/NmvXuWCX/7MX/rLvW1PwVHdMWHXP llYS5A+6m/1mgnPJeAS077m/hSgw/UXSw/Km6I1pLhdAmQVsmdDXlFMA2WWFygl44uM89OxXan/R z6Vw8Wtwx+eJ8fJxLtI6crcqFFFuy7tIEYIf68gMvTZYB2Ronn9M/hmmgPeu97ZH0CaKpMo6SO4Q GlXk6KTArmpz1NoNVPvwK59brYbvheOMFJX7FoUgI9lTci9njl5gkOYlx82U5Rrel4um4GvpvPpn hg/+KvFj+iCGBynXHXEZPW0a+9HGiZuvjI0NI4Df/D8rdjRXjuXQpXv0taLeHgcaKy2Qo71A2if9 ez6BYb1I26Dw8VDQBi5QDsUVPhovrAZgA3jlHT7K4ysizzcO1RXOpakjr0xsZgW47qsMjOoWsuKN vNQNIxvqgEKlk5f1xIO8TIT8k7XU17S7Oz/r7VcjTT2dFoHoY7Su8hUXdEtkHElXQFOI1Nf7KzMC 6hwBPOx2lVLIwvQxrOLo6FT6J9j7wyspxxg2kv+n8SRLk9aXZLYcxmitpepGKU1s0bXCGlptKyUi GqlHRzD01mZjYoExBgkmj35vMkbkkd3wWbKZYZT2EuwXUCZyhn00Rtl1Nh2lfGmaadF8XwKzVUBi 4IZzzJfzR79HDWyiH+wp+oC5LEd+1olj+7Dmk5b8S50RMXRNLwZNynGkEVfUiGPq5GPETeR6lQ8f QwNeR3fRkfVsUhFBwQoxEGGFBEDNJPAutL03tz91j/+WQYKcoosIi74rcCgfLPKeZom8O7jCupxN xoBNEqEiDwyoe81oc9hQasRbQFqXg97PkAQ5lBoa6IuQVVoI6//9S/lXCZDu7DJ7sztCqDAmE7Yr DIZ/mejxCApL/61KUGZUj6Uwc+wg/x5GDzMOgY+9ttnzkTUaenkvGF+XdOrU2S+z1dznzSd48q2g gW6jlWiPRzbnRkQTMEyZkeNx5QEZ/qWKVxduJlQ9VhI/TCyq4SZYR1SsdS3g6ipga5yNt2vdA7co 3ICv1J7bfhyY45U7icaN5AcCVhZf/OMkRF204Xot0T+KqUu1OggUEw8MDEW59B7YDcZWR+iJDFtM E1XTNdSux7zD2t2wSqVTIMFNL9aEDwnCKfExdwQApkKS5hBXWY66vikFQPu6wdQwBcemUMsCvpCp fWVMxU+V49mJQ3TvfRSq6dqjENHuaLNy0hs8dLOuhMFMWtLVUwLNtS88y7NkCe2OeLLJSdRZFuT9 pWMgRfoOebohqhS2QEWv+oQR5BgB6n835ClSXNjTx2o0g2C72Ainoqu87KNsBLYawvaZHJDkB9Nw OZTgAabkAwMKsjy1oOVeHRmk+MP7gUxDNFIVZHNYfK3RcqTDN5+sQGqpyZz3/aNDKBOq3Fvgubjf hruWSakUMGI4vyQ88jXfoJUPLe+ef+IeikhNp2I/BvwSND9+b80YeZB2IxLRObxb4y4u1YQEUVNl 3n++3Pd2glmEL7DC2ze/YvqDgrL4oKLkOGplEYWHPLHrFfNhbEE8cH/Wx+kVyqshJWLjWS4cQlBn 2H8oGuEOFdfkaPeXt13+ytwfhb6MWzf1jL0mCEQq0bZ70NsnXCEfefNaDWBaq1uW2ZexuL5wnz3r CL5QK9EviV9jvRSPAcIsj9CstkhJjJ2GlAKu25TW419JH5dJU0n43Su0+vSsw7gvevEH7eQzDZe9 XF/HnXvYQPeyG9v8VRbhjcovpzytZPJU63jm+Aypmyj2DW+JXCxe4l/CgOkWtvpKuUxzb6Af85X9 1T7Jf8j9J8kuvZlcrGBhDJuiSew6Ae1kV8UzKJ+lbJb9TGFZedgLUBn59XQjYFJQMR9YRpPC4v47 DghrV3VHlFpObQ8iZHgdhOhecv1kw3okf6rlDTKCC31LCiWHacoHrryMzWmXnvEfds+YYCgAx9x1 8Xhox9mCRUVutQzDB0Z/8qoftp/0nJtyKWoMnAklO1+iU94Of3Miz0fVRLaNN0KPoZdOq/Wklx4O XlfI4BPdlvyz4plz1+Aayng5esmas90XplECBAPP8VgJ7WCeRpKpRWWpjU3zKQWb4pzvywCXfO+t ufWYd9vNx2gpoyLBdPfOvAmJmzZkWUcqzP1mbOvcjznYzfIpPenYdePRp7TQbxccQrzkM6YUjEdz gGdJxMGgehlq8bjdUIqM6Jp1tJvUci+XAbbsY0q/gftkTR1+ujjeRQM5/TartI9AB2IF/3empJw6 twYpZHMYhNa3t+yo7b1mI5Gb1QFf/cPDZz8vPAdzcBjVonUU2GfCDzOXqObxHCg6Gun4MrYK6wZa 1rfjsXs2NvG8qQA9ObCjdeFgJlqs8bq516T/wIRp/68Rze++SzJJOEoAVi8sbMpOQ+Cz8H9ZkhkH hesDiPA6G+90/nfw6A1J0AXDQV610sjCfW5V8HLJJk3n8tUYYJsmJAYsL7mUZuBFgFz4Dt8OrzhK x4sVf6ZfxOy42lTHrufluinACEm6H4NfKXjBdseRA05erHpppWWE30qrR7ZAciXVT/E7Kbh5bkfT FgVM8a23V7vKgAauprEVLqmPLwUbxTFug51eXWTcPeAWgc0e2R2xllzhUaU6z8j8nPWsR2seHmTE iJA56wZFltsHfvUSJyCzy6V6gbvb8Fo7Gy9nW7gfMzTAT89/zZLEl3wDSZkyXpSDzeYxrf1UZyht mOmhgp6mpA/dfD1QRt/LYyeLq5ikfVNXBLfRlxo7584gcwNjyXndiaTw/l9KE+l5zTsxpAHglLfc iUavIAgBslRQnZ7KH5mW2vflsf81tuolVe+Rr6+E6qSJfLjxob3sHeqkRaJ2Kc+j4Pwp6vNh0N5f b0FaZYXz24QuDkbFWNkeCnKNqqiByrAJb2IhrDQvaOCKyt5wYpIz9FYgGrpSTACRZq4+nHciwKOe of7H2+TfRL8PQD3a5fn9CwurOv0MhQ42MW2jSABNRroIIrdrplaMBpMBmwtk+zj1eLM0y0ys3cy3 ZHWWvuUmG2zUNDlSMTJ5yn8xS8V+i+wpPnx2vS3d4E2nNnvpOQZQaSxHttZqhgRN8YT+mAdrX78h m9YVoYq9jkEcstgCWWrUFFiVNB0fWFZTeIJUMF2NIcESOVUFyGR7ESCOGxeW3v3ddGWUnFOnU2ER U39TfpKXpCrOJsmriQ5VvYjUH0RK8Kem5u2PXzMCiawQNwVeyODqzFQ0iyU6rXRdkWSypUHldTA+ 8aulr+2dUdDjmwvhS9hux9v0Qobh3gh2PdotABOj7eCqFq/obQBCbAkSYEWptxOKR/UJsesQY/QR b6VJXrRqEambu5qrBs2otAXT6oN7SzQj0m2V+ueMpT5NXK/2GwA2xxbsZdR+ExO/8RfkRXkl3540 s1cNfGWP27Hjf2et6q5y3nTxESN7V2dqIbtDiN375YN0uJZaQuHeVagrqcko6sTvb4/HyfYNzYDX SuXfCv69AslNPEXT2JtA6efZpRvj8oCtxZFGkpcF9P1KGDyK8JzaDV9VTjc/7QF6rnaWIMluaBVB ey+IKTbcaWFHv0hqlvYUBM0PVREAqcmn63A/E6pamO8lz6NDMTYa+q3qDvr/PtvlMmLC+J6aB864 ox2Rl+f2iYyGj1UwYcCPyUM99zSj1K7eCtqws1NgZD3KuL/mWpc5/q4sgqCIjLoxJRGXODDEkJA5 m0O0HcWNYO6cnHRRkB53SooXrmBqhl/TA2je6S05mLg3PWsSCPs= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Aeow6Dar+wPwyCuZ3CpouVllPxC4llA1Ukm5brPkUf6jbA6jqKC6lQwebzsMw19h5bccH9idRwDY jemL0fBrsQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block fhH+aqlEoKE+JZq9qghNfSjPHdRv5hlwG+33iOnHAwoQz3JLEXCjbjK+/OkJ0VZ47mEYRGFh4AMB Kd5bYAsHBVfJU7e93cOCmqMi6q1NZ1B4TYSa9cbXieENl3lcMAWDjVXehJfBz/WX6Dwe2sYVqHas VIMFb+sNilgPS6HDJY8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XK10objy6h7oUNp7hJFakI8VyHyBjdmYLutHMeS7A+cEBZkpecVT07LulHHgQ6KKziOF+tKEi8wJ SseDgde3hi0l4iPQkOV10LTfLrRvJhBfeIZ8giQp1t0SrhVy6N2SSqAn2eht9NkZHhhTN2ptQWFp 0WxnKaCc1sV3GIghFpbS5rrPhG5y92GuyT5FWa/h5Ldzc5bI7CyoJ9vYfzMq58minDKJ0Jm4Y+wp yHLZA+Ov0xHxm9GrfwhCkATL3ruMGZYQt2s5zV4QI9wQ2UIEz+JYy8mzm4GwHollMtB5NRf5scWn l79oM70wWXF4vtffYUC8HG3KNp96Na4QKpBYPQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Ykye4tSzDCZpeQ8JRXb0ob3ATquwfCaSG/ly4GVsy4bD2TWi/SlkZna7zRiLnZAzCamGizuqrWRL lhFyzoZ23CkJDaAHrP4R0DVjNPCXgacmijI2x8zSxwjKptfeusObi+G4cJ4ea9XYuXHdvqkbssiN HQHbZSHeJPlG8zIt/vw= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block j5byzLdNMnEoE5qwywfZ7XMWUUDa/vRU12ws0qpEN4GtoTZgc2FboDnnBkgSUXUolpwgKX2KPVWw P1VJmwcR15FUwZQrqh9sbS63mL7WHPSLNYElbx4uy534LVuKyABLlnHKjoHmVUtp/4E0ZuLGoImt /zsHn4GgLIE+tuBcZ3jTd5dxjmeQWJivwwjH/wFwCAVczK+9bLnUfJbXp5EAOTA495Anp3M7uHef CqLawyLHuTbCQrqf9W/UvFrFP4vYRwsEsllUJ8mnvN8qELVwvhBehS0LrP1gDGa4Rus4OZzQgGv3 gxc6OKVuHGv/NA5wtcEC907wTYphLFlAs3rhjw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10640) `protect data_block XaaX46qy68UqWKNhl6P0kvmryxr4rk+//YoD6Qd1vz1XwvlBrSowOiWseLIdjZVaLVSQsAZEEEbH taruDjzbeB/36r4LzPyjacu9onq4cHbJT1iRyfeVlvKnWI/Gsrw111DHE7A2xmO+9dRKGvAP1xE7 X0tdnaEWtm35c6Gl3rRyu0rPNiajNMIO91N0FSKHFdfENMpiICiwwVdnzEM7wDoUa+saad7Kt1d/ 2n06bZD73fIKRMUlV4iMtQbt78cEhgHzMUl9EDjJbbi/P1jAFPlqis8FFRdDwtBp/MPAPi2lw4RG eHCvyd3lFYPn4LkBibxm2Kq3LpzDBxZV7/hrhH8bvm+b/qiQSu19M8OkLSnK3W6Er4k0/bI824KK j+IFYCEXoqSWXNSC/vQ3E0Q3MZ/xSvbSe9ts+SGMJ1JdWFdsSNs/a/mS/JCX9zg8GpUacbK1BhLX QqElh80gIKOfuipyxs4wA1eXIdvqFoV4+UTYaGFFYxdc266xmDcIUOCRvfES7zz8AElmDT0mlOLN hg8ibgM6eLIQzlWAWThqaHXeKVBuaheVxmmuZTUk6ZpAEMBk37KCM8B8UY3UC/ywvqc62Rfv9QdP oIDE8k3gq+oaOCLTZqnBDS0Yoqte1UZM5yDh8Bez4znfX4GXu0RgH+YMrqNIJ7yC2y/Ay2xkIbzj COLsATDLvNFhOHBHHtdIivJ9ubhmVSLj4TJVLzwVkjEEFVkXKxV1ZzwqYAT7q3jAB7XGQY5ruvTT opQXSDqBkRm9tUy6EmoxtV8cEpiihyev6v4q71biyc5k5Oy1Kf4/7hoHkmPw55x03sjxFo0pKajs 884THddTnuJuKYeu8dEJfOjVlbBsGf3Q6Qij8NCpjGjZ4qD85b0H0LdqHWwsjDwWRIGHioDdkXEb 3jVmKmSWlBjUOci8qsLSeG/87OEJIPP4Kux905nuqet30pLUnMNofu8l4nJWe0LQ4GjtQxhMhaKB VYrqfxo3E+YD5X0ZZHpqXvfNPMUocoJbniGL0Jh1grYUI0+i69dy4yaM7ElhylmjT92EAnSXxC2H 8QUKVVhiTo2Qea2crXme7es983/YCUC0YImKfGHKw9wuUaBpwpIa2r5y/tuqxX4kTJqXDgcrFG2i R+ikysXTMnJH/11A6AzK55TN55QOoUXqH5325Srd8+xXKQr35bkK41GXgXurTlT37qyr2+RCIn9w hSrC2650flFrOx/aiWi2Pb3e4qtPQOMvUOb7hYWcyhspNBo9wjzybv24tKFaexRsN6M7u1rBGHVD CNFY1crygF0pSZGM/9SoNCvlyxs1IlH5qpnbL6WtUbFy72ufIDopplU7jJ+DerIoVotpvygkaTnd z0Mel+3vH05Niq7Aw0UsfPO0ptDHhoidrnnmFzzlfPlgC0LWFW5MPLuKdaC24y4l9tY+25ZNnEkD mDdXzbrvFAf/jYeNpOPlO3cmIPyMmVlzo7MteLPissRrRiJTB7sHck8rQauTYCnfH33jHy0ujh1P IxLD1qMVdaX5ecjsXL4lfnhzHg7DehTpbSBfMIc6st4574pDCLjDPwb2FflUoRI5i3/wckXfqP4k ByKXO1Om5fAdi/huU+FobYD+u6YDGw2WUauqUQFSFdmb1HvPOmMvx8pzF7hugyJhLC/M6HgcoENc MJgF8PTLOjPOaJUNcNyMVn5SVSvwbvP6hyZ1R/pV7swwKfqG1yt0C+1/Cjov2NHzzZo3pDm2pCq4 WwqzJa3ZQvzaM2mPXi0ECvXg51DdJRKz9hjL83QOyB/uLX/jD4znMbyPwDIXQBy8jgxpDSDTUuUL Djhfwrbf7aZCd3pJyk5W2m3ff9YEV1Gec0TX7F3efsHExmXWjib3WidlFpLw56eySBtCZWbJVm6l mk2uTlsxPyeTceVy01Ir49Q30Ds4rW0c8mNfn91GUVNqXEPNCoy3P1a+ImaDUDz7v95KjOEmFTcA vaqKxBnVFQCKulzXK+EMqSUJ82GX2iZffh45qrQYrnSMrxr5Texpq8zN97U/E119XBW0Uy5bwoI5 gdFsbeEBmPJPrkTzICQFiYrWVXK3n8wflI2F5VlNsQwg3VAqYOBEVM88AFxy1FyjK5YqOl9u1Dk9 PWeLh44uwqyiIH0CsADeV9A/dcdz1jcLFgXDJ1ugwB+drv+DVmaDUlz238nihtAFElREZkmoh2zc k6sBlKT/7eTIrhUOyOze5gGi7JdS6Cf45wqZThM0WSa/n6e0CNXYU+0KIXjd+ayDOU5/HIRoou58 KXuRxykUoC9ACMG8Bd6y5BDzmlrMAzu007itK9SgcSUQG9g4QFSUnWD8wH2Kqdnoxm/yIvpl1E2i UPuLkHNNKBilmslLQFNS2ZUSB1hUgaM3jeJI3wr/wG9oY8YCaGlFKG3SjG1ANBMvCrqa1UarMgRo U/etLI1MAPvwW5PC5WTdktIyYoBjtFIqVrhmJmC/bWjMVbdpjd/KdMGS1LEwSGX4oqMnSa/6zeen N5eGkZy8XduKShin7qqBlh6x64IBtxUDd3esalewLOk7mCRBEZ+TMkFD/nhQwzvq2CrpaStTeMXX YJqNvNEXagDli847bHFETVLxGDwBRaQPp1ZbzUKzZJidgiIbteHHdEq4I5V2OS8eoLP3I9l0DncM srtJtl8M5YGnpBFaSoNET37p1nUpfuZrm8IZUKZCbX2CwFnWFHu2kkqZE6qGo1j4Iqe02hiBOZ+t Ww36rBxwNvLA51bVHx/QXBa5GX8mYau/UiOiriClyY/oiUdcSGdZ+y+Zz7vK7iqVn7JxdN2mC4cr K8uA+P2Lj2ogmw2eEHsGacgLI/DdZN4OpQbou9G4svmYCGQrjbYakKh3ExZMuakcEdI3TP/b1GP9 rA/6o1sZV998Y+pCZrFo4RfewAUm3y49IfH/fIzZIMBKesMNNch7okulcb1xjvZABOUlOmkBaVOf ES9vVbu87EFbCGqs36YhrgsWydj6Edt79GnmaP0Eoy+aB8Y89z3ZteZdVat1eSEM+arei21z+sPa RWEvA8WvLE7FhKfTdEFYE81+caHyhiYDXd14S0PfvH/012cF1LGxmvyd8hX/DfDEnozb1tzCu5BU UqXuQUexe9SpeW8odua/8NDYM8DwLyp+35gpk6hSTBiDUlau8l+OLEaLQOANkcZ5laWPZXPgVq6G ZYeMhx459P6nYDNlhLgk1hcDi80ivBSZ8WI7rC8cacEZCLQ0eupjifJwswyX/EWoOV2g31cQhoMP K+19RA+o1TQC4hsQD1lCTjKyCLIvspOL11Vd3HidttiwpASG7lXw1HaVi/Gg/n+kJGXbsUiZxgF0 wVmmPp0g/9Nam6Y1WuMO9vymf8DnVQa4F9/xVdGNIsgHlmQn2KO1v2HMs7Z2Mp+U/AwgBKcSS6tg 4sAw553GrN38e343kMtvm8Tp1D0t+bCPew4jnfdU0on32mPt2KJJVy0ghJaPP19pDVAekNADcMWb kSX/46jDEIf3pSkACzItZwBG8FrZkHb2ay6fp5zr5DNC+P5sgbrGraXqUa98rTBa5jduVBrFcwzJ rdZi6PVhAEjwN/NAcJBOD2QIU6y4v7fm+ryW4+5qOOJoplwqFF1mWGVIm7K2JIP7apQC2ar5396d TWTTN9BL8rLc3hcMHO+lLYU4fzFo6asD6tqhjtiUym9oQ6Vp08sYNXY3ld1dREElLLw7ZT4ZVrdB iUoHJ5fE9NvbHdoM3zxFQKS8tpMNRQ9twbkBqoQgSM4yq1wfv8sju3sUUf/+/4O7fTIJVXLJaVxV ztfJa5XL7ltiGzk5cNaqUg1Qfb397BeSq6WPRAoqYmknTSKVhRpmA9b72YN6bTXcSfK74VUhc/gk eZXNkMMGjiPXiwRIx0onSU3Sg5PKpjnPcZboQjGvrgXSI3AWHnYNUp//yb+/dPwvxWZWlGNAg29Z Eg/opdacFU8zmY8HGIrG3FuSf/PdsxqSbysvFoN7E1V3s6cUL34w78dx03M9Wx2Ypvw/NmLkaET8 FSBZSLEl5Xqnxki07wm832GygJxEdmqKrw/XMLGHYFmZHwkQ2xXjRSZioYhMHUx/3Y35OgTyrLRQ 74wuGEPE7huGj3/YyOgUyVwo6XwtaEuzkzXJcyUf8lDz4ea1eepzbK5/vERaTsN77JB1l3ijqZlo 2JiosbfmB0+gzRhfAG6PliUYxjHmyWgQ48eW3bf4MOcFFHvBfI0QZ1Mv6RM9lX6Ab5M6bQnk3gnn EYUHQT5+mPL0unm4w9/vGGJaWytYQWJFhhRP6Jn9datq9ujQUxpzJJX3qjiAJWkyNKpTaf6VjqvQ pR9FA4D2xDpWMgWL2/uPRKGESXOM9ZddA/GN3/+/CHrdyrA/8TNcouFwFEQUFToBWoGj8CpHPcrY ugq9X4tBAn/heugNCvAsNjWXvhxRKodcPtWFAQIJKPbW3urQ2ejKNHfrG0To7g2A3iVGfF/krj89 0YKqZzaZnrH+TquS6zUMFCMUtgPh7Prsco76YxF0K32Wnb7ISeUd/m5rZjShrPS2CubHQGWzAbZ2 BDvzktrrTIgZsau5gagzBnAhOYoOYQ/+n/O4Oe9jiwOmmpz2PZ3lHVqT6UrOxJumZr/V1rXB60VL roAXQSb4XkAkRbjBx/iaumPt6DxuDTVXvlRF0/ynOEu1JCuC+rhRReGQCaOyojmG7sUVZVQKEQEt P8am9UOSlDXzFALDOV7TinKCFwagVBwJU4aLe3vBTZXa3l65F6H1ljOwHAo0nr1keXSXrbrRQesf bvMfcOg6YY68lyyVNI+yENryF7n1vYHL9QtqReBbK1InVpC5Mi9R1yzAr6srXyVhTNwlQ+pfx3S6 sNKynpMhDezpDoH/uqJSU7kxUNBWYk18DrFbze24ApgLjuRG0dTtKq4+Me8kr1wv0wmwfnhY3CjK x1g2xx18LW5tjkphrX34hy2WAfqSpGREHa7TPWSKzjH8R/6FP/WCXcKFngT7NBvoj3iEX+lboE82 IwjRV6K5zj0//DP3qKymWLewgdaYtotui8eao+ubCvFfbCnyQB87D7Z6xT5ogepjtaaWqmVrO58B q9cS3wCwkyeKEC1rbRg4PuGzlViVYIlDYJlFIwAZzrz/VczToK+4bci2Yg26zRleYyiOX1NVOXKc 9/OpIxtiwPjK+6jzmw9DzVKfUdyJhzXGRCTuJPd2hnVbArPngk+jYh0QcL9aMvGAr/Or13rEkhqB h/GfvlhAdPBwbhugKFdx0jTgJ/IhIUsr1+8IQ1wn7U15lpCi4Lio3ZsLVDzRyDl+sqDmMNJEJfQX rk8O2WafUgH2MjQLy+p16VqLF5uAh0SxOoB0OGQyXhNkGIZO0hRQv+7H59aDq5QzoiYdkG0/Ie9O zH6uF67pjjfvhkH1DiRNjRG2laXVOxf8tJdn8g7Dy0yWnY3pj/xIefATvaqvKta1yyoKq4FyLApM aK2HJX4bZahhiGHkozIiC7pJvyfppTSJqWYB/TJJST1opH8aOr4aAcJrOP9MkKnsU+t5vtWOofoT EOioht8/3EW3FW/LfSTHbNPRE+7VDwCUdj97TEZHWKVg1md/BZV7eDBu67OR9ZlxY5kD+Te2RTFG hxlIT/09tfzkozSG80KCnieE4SLJ+VJIrSj08x4QudkjQm9wHrajkQoZ/OK8oAcKzyZzhBqSfI07 IXasMrhgHrKVuXkA53ShF12/dEH5l6T1JYWSBJQx6f+fLS3Puk99rSwPmM3nVEkRSXrkPSDksH2I qhs+KGLaYhKFhntr0gGFuTj08fiVnN0x2L28yxVupr8AHq7Ua/SOZcF/CTw0h8yo1e8vPLZjCdFA yiXNy3ysdEq6Zx/2vIwPafP/twwhiRUiCV3seSK+U4mf4SV5LPetWwWRh9aBYW6jLSjzkhk8dPb2 78//91vg50FIvrxRfMiUqUwJfz4XFf3TTSBm2Lw4vBz0TljJgxrfag0AnKnkeLkVRwpVczLFKq2l aFmPAmiImmrr+0UeDWxy+9ebaxQJS1+oqOWBISZKU0YWSm8jbwbr6cAQcicsIZqcY4popsw+MC7L mwQy0S61n6cSv8GUT9BQILkGIn364/Vgj0nNPs5Uh1K4LcOm5es+RUq1M/J/Vmb4XoK/jEGkbmly ZerjlvbA1HAHglD0jQigKi7BMrKV5FZumbUscMiV0pN4RUGtc9sxKqZZBCcIWDoisikPf1q7hwiv +n1IuheQ2v9mU5IoVtRYPrgsizVdb/ZHJS1H1DvfbCU4afxHeDu0uaXWDhsxD2OS0DlBJa6vK4Qe ukWQxcFDMVC/GGpuWpkt4UxeIl8qMIq77uKwBQI7zr1u9LUEshrNtasunnSE77T8z4ythRc4j9S2 7LoG6gv5XuvylH+Ro/vSUgKEH9FQsCcpW+3OiURSU6Nq90VKul3oGZJXBeUa2iUCTLzwXpZk228I pFfFKG3peHI0apjBJrG0gvxZeT8yQZ2U/KvH/qzzK6OlldlHDLuXRILbHvBP3tK3UpxswHGij4aH 0CI072fbrLLauZoPaHFc3inuACGYpfjbxBGWnwiVQF6uMbYyYbv9uD8in5L19uOXlH0i+SCmGI4l RFCAeijkDUsWG3sXd50uPm0A7e57M39p39wnq7yrEeQzAYGyI/IAlY5o/cMWuC/1qvujs7fvAFb4 qHkVA54T9xHmJowCNXbx9pU7LD4OnhqR36l2L0ZYeLC0lfapoPumymKfpRb5opoy7jRipBA/LatY LPDlnk526GkDU9+HxoDKI9JhJjEfbhH1IYux6PjxAiNVNVbZiUm0DJONxWqEDxlEBvxbILIbHyXZ 9E0g53akhyuaa58Hvhqpv0eHiFTTfO3TruET4jw22DvsT3romF3Em2ocvI+Mp3kTuCKBK766PVJd gZdJE7qeKroyxvCjRuv1zkCa6pMz3H++e93UAqs2XSN0YGfHjDv78n2cJHWBWApGssQFO0iwn+2d zek0MojIIIAK3BUycDDI29y+hYibopm/REBeOZimqw5rQU2AMjy05T/9v4sQKTYAXfVa2EeVekgJ /i/1TzUJBDxwOEEUt+foqUBGoj9ugLssQDz2EuxI43mBNWyHjZVaFuRg2R1zs31uFJywqJ9hETDa LfZrxVUkg18oZE4OoqH28uRjk37CiD3FEaS1ZgedmlyAo7n7R/4NPr9XTjc4SxLKGxWQLeSlyspC URs27GiRX9h0HkljkKz8M7XzM3qP173da5wTx6nYjQIPxmXjOk2Fi1snF2vcRiBtJRVnGabJ0aIN j5yxYf2xsuvZgTvaQg10ztQMRd70Y7Vr5UE0Y/+ItnvBL71MyWMBtp1wTOfo9Cusds/DlvBbqBap ERD1onWpt1nqnr7+ewlczAzNKTndQy481ox84Zk6O0mURyxd6SOwyhsR/1xzMp5OiRFf8DpUzMCk blK8KUgTzBD8v6zL0WkiLzIGd2ksM5QKGr3QNDA7edgJ0Dk0wRjNMP2xUCt7mRmTsH3OzmW43iAx VZ57JZOhyniOF5KTpEU/kBe+5yZxatoRyow+2FMq7ehdb9j1pC2XF8kvqJqI4MRZOevYs3ZOJN/k /a9+5zZ2vjn8GOCgOH6ctKOoTo6fPgoJU7/0g2VqDlin7dLdYrFBwJBJsZCazlj7i3KlPIQ1YmUz s64JuXu6z8yZ/2EiyQka+YxXSilBw3G40Oks1XfXcEFlfH8rvKgPslsuvC/5UpQa3dj34UWzM5wp XfNuHlnJTcOHO7LTuaDq1+VqUPwHgAKg9b5tw7R4viD+1ePHzta3UOwhqH1RUCeY0Y3QrXjtV3pH kK/xORBDJGWw1wM34OBhlbrN58FGxlg8/+hIlrJJ8EMjjmxtc7Phfh783WYK+3jhiL0JXzKmzn+W n82FjN7/x0YnDOEi8IlsxtdZewZDotAv2p79ulTQsc2eySDthyAMxcS6Kdu5URnOUelZu6e2Q4eb 1qk97D7LmPiC2S7Q9RdT6ZibEwMqqDWqn5wUwL2MnKrZ4uarOgDc1jYhjIgRyuma8jnYgIK66xYf CWz6yb4n+M7E65+tKb5zdFfgy/dcSDCtTVlSNPVGC8pWDURpTxaB2RhD/rr4L1Y8DEkDIceujeyp fSgkgY6/fiU7MTUjKgWfpM1fQa22Azmvj39hFuKAe0zeOvnMUylIHRto3FlBduydjPpekf5PA+PA xqqtMz4wmVrKCW2e8phPBh8tsUGyHGRj8r/xKX+mOTYnn7PwXf1VMYFVJS8xed3P7xf+GboeTZkP 8ZJNa5Hw8tOafJ55TUYcddlfNL5ja6kNJ40VmBHcoDNvic0JSglgX1iFnL8Iv7yoDTsqe9GXl5zH /jPvVRkrAoGdXzw6gBiDgWVsbrWb9sCD5Qe0vhrdUgyrmps8bVDtuYX6dAzWaesb/RjxzP2ZrmDu g9qSCV4eYscJytdqzCk0A36Wm6NhLze/NB+xWtU+jCrLOhFBv+Uu7y9W1yljhnstlgzPgWuAV1Ea QxoRsYOllHJtG5+5sR5EkOLhwx3+GDI/0gZ180sHKs1X0X/OveM2vTuiw0DHgjbvAInp5Ny4kOcw +5gTATzCTrX2l5nIr/0Wa3OLKIShnf5dWB1asKlbjA65MSQ4qSuQFY1Qbr00Vwpc9X9lHLNmTNNc PxElljPJ1CH5prkSriiWwkPmgXSCimKmZ3lO+IVMRLIIYcbRMswadAoanFATintn7jr382jCiAfq 3ZSUn7XuYUWaEmvN1wxl/WPeOZNDv1+9kCiS5TS8M1ZTVqAK/7dirilojFDELoSEFevrBX4DKjHY AZLbemyOw1Dc6m/+iZRU5orcR7WI88UsbjzvmJgE1fbEsNAoeK83ExGpNAMEoAvMpPh/mPJOBJ1X qz4RFvFdUBNSL7LNyRJnkQG3RNS2ant+b8PDTOqU2n+m0c1NuWJoagkNAkrKQ0u9ytv8Cl5npPhJ zhf3jCLhVZfOx89jSVDnKPxXTo/9OdmbbOxqDNOmGwqsVcfQ4I54r1WkYjpirW3j6hslx4H7w56n 5iuORS14/RDQDiA8ekEypr8m0fl/f4Fff7vyEN/SnYKMoqivk2Vu638SQhJbvsKnhLUGGp22Ge1o n5iSSkyAZn99/l/53g/iqrDD8mj8Qgx5ONGg/gyY53FOgXQx6o+yjuJWl0bEOto4NzS2hORyMH/q 9bXJTebK5tTl+fRdopQ6tRtGLMxZJMtEpeR7IWB3qtf7+rNH5crxBI7XzpVR+iSRwAONAlY1v4Wv cPR7xPg+PgS+4k0rxgAec8M93oanF+/cDznG90rxxyOX13LP2WprKbWALSfazEGJ3bJYI+AmZJXC TAB89EjG2wQbCVErrTyC8B8C3D1IQVRVXu7XJm39AkVXUpx76VBwbb2DZ03rnc/Yy3uXWZBMqyNF UDjOAhiZq9uThQU3xFpjF/hG8bQm2jxtPhJ9QoOy4G88HjnPXly3McH5DEXViPq8vYDEhTjE97kd WR6jdjYEUxT+DgV3LrgFO5oIEznGKODhMEwWANZd8eFtnfokEJHMjwMnLeKSeEYUrEgxVZyTnDEv ZNW8mQ7nTxanskGGUl2A1/E9t2j5/kw2VI8IhcF4n4FaFNSkRt0yDwvuqi+xlN8xf2ujSoHCsgke s43RW2s6LhQvi0q6HRLlQ7hqNcIDoeHuqF+4deDm9np2D17T80XlLxGBslY9X+glpKwrE6YoNaRO DSxBfaZ8AEd4zC4xWqCbFxVLpZbN2BuU97sbqi2YWsHv9B05W2orOpXHaJ3mMGz1q0zca+/QpWbW 7kNBBH5AjWhej7T8zkfbAcdU0zgocRuNLWYmdQwGjN3iHwt0tJHt8FPAREirW0u67W72EJ5M7XXQ aDGKh0e7WrjUtqGxyFte49qWkVTcZGz3ZgYMKjsU46xKB1MxkEPkomSiKQ1j48vvSTfzWx9cBlI6 FVJmK1TA2BK4adl4GdYdAZQ2Plk+oQ2/Hq+tZn4xS6uxXIhWTo9fim01O0I4nTgCu52uiK8svlVN 8cEdiEknv/AwkvUjxxH0MyUQDKxT+/vdXfE9L5eSOhyHR8ROIhTBHJu8aPDS0jHiY12F4VFY2KsR twr2MbNiElC/q3AMLdV0a4S4VMHU5nifucPYb63s108ZsvRnRm0kML98SxJTqLy6cjVdEU3j/tF1 pf94gFqoUMxUoxC/IDrNwpFNT37a5c4+c0tRWiFcGxfbT6bP8INX7wskWtxgIw/k3sRYLAzlq4FZ 4tundeJmBv8KKHAVUX60/fvdYA7X5arjovtxTq79lftbfQlAqWbvPfamE67TZNzGSu87ectnKdgG kFJ/4lqPieLl5h0vBuknzvqLRJqnY77B1gDdz8HjfOA3FPLG2slkMuEXrInbV+7Ha6YZpMojVdGj BVK+0Q2HBsvtfrkUBn9MVpw22MvTII42sW7xj/vfn73URuP/NmvXuWCX/7MX/rLvW1PwVHdMWHXP llYS5A+6m/1mgnPJeAS077m/hSgw/UXSw/Km6I1pLhdAmQVsmdDXlFMA2WWFygl44uM89OxXan/R z6Vw8Wtwx+eJ8fJxLtI6crcqFFFuy7tIEYIf68gMvTZYB2Ronn9M/hmmgPeu97ZH0CaKpMo6SO4Q GlXk6KTArmpz1NoNVPvwK59brYbvheOMFJX7FoUgI9lTci9njl5gkOYlx82U5Rrel4um4GvpvPpn hg/+KvFj+iCGBynXHXEZPW0a+9HGiZuvjI0NI4Df/D8rdjRXjuXQpXv0taLeHgcaKy2Qo71A2if9 ez6BYb1I26Dw8VDQBi5QDsUVPhovrAZgA3jlHT7K4ysizzcO1RXOpakjr0xsZgW47qsMjOoWsuKN vNQNIxvqgEKlk5f1xIO8TIT8k7XU17S7Oz/r7VcjTT2dFoHoY7Su8hUXdEtkHElXQFOI1Nf7KzMC 6hwBPOx2lVLIwvQxrOLo6FT6J9j7wyspxxg2kv+n8SRLk9aXZLYcxmitpepGKU1s0bXCGlptKyUi GqlHRzD01mZjYoExBgkmj35vMkbkkd3wWbKZYZT2EuwXUCZyhn00Rtl1Nh2lfGmaadF8XwKzVUBi 4IZzzJfzR79HDWyiH+wp+oC5LEd+1olj+7Dmk5b8S50RMXRNLwZNynGkEVfUiGPq5GPETeR6lQ8f QwNeR3fRkfVsUhFBwQoxEGGFBEDNJPAutL03tz91j/+WQYKcoosIi74rcCgfLPKeZom8O7jCupxN xoBNEqEiDwyoe81oc9hQasRbQFqXg97PkAQ5lBoa6IuQVVoI6//9S/lXCZDu7DJ7sztCqDAmE7Yr DIZ/mejxCApL/61KUGZUj6Uwc+wg/x5GDzMOgY+9ttnzkTUaenkvGF+XdOrU2S+z1dznzSd48q2g gW6jlWiPRzbnRkQTMEyZkeNx5QEZ/qWKVxduJlQ9VhI/TCyq4SZYR1SsdS3g6ipga5yNt2vdA7co 3ICv1J7bfhyY45U7icaN5AcCVhZf/OMkRF204Xot0T+KqUu1OggUEw8MDEW59B7YDcZWR+iJDFtM E1XTNdSux7zD2t2wSqVTIMFNL9aEDwnCKfExdwQApkKS5hBXWY66vikFQPu6wdQwBcemUMsCvpCp fWVMxU+V49mJQ3TvfRSq6dqjENHuaLNy0hs8dLOuhMFMWtLVUwLNtS88y7NkCe2OeLLJSdRZFuT9 pWMgRfoOebohqhS2QEWv+oQR5BgB6n835ClSXNjTx2o0g2C72Ainoqu87KNsBLYawvaZHJDkB9Nw OZTgAabkAwMKsjy1oOVeHRmk+MP7gUxDNFIVZHNYfK3RcqTDN5+sQGqpyZz3/aNDKBOq3Fvgubjf hruWSakUMGI4vyQ88jXfoJUPLe+ef+IeikhNp2I/BvwSND9+b80YeZB2IxLRObxb4y4u1YQEUVNl 3n++3Pd2glmEL7DC2ze/YvqDgrL4oKLkOGplEYWHPLHrFfNhbEE8cH/Wx+kVyqshJWLjWS4cQlBn 2H8oGuEOFdfkaPeXt13+ytwfhb6MWzf1jL0mCEQq0bZ70NsnXCEfefNaDWBaq1uW2ZexuL5wnz3r CL5QK9EviV9jvRSPAcIsj9CstkhJjJ2GlAKu25TW419JH5dJU0n43Su0+vSsw7gvevEH7eQzDZe9 XF/HnXvYQPeyG9v8VRbhjcovpzytZPJU63jm+Aypmyj2DW+JXCxe4l/CgOkWtvpKuUxzb6Af85X9 1T7Jf8j9J8kuvZlcrGBhDJuiSew6Ae1kV8UzKJ+lbJb9TGFZedgLUBn59XQjYFJQMR9YRpPC4v47 DghrV3VHlFpObQ8iZHgdhOhecv1kw3okf6rlDTKCC31LCiWHacoHrryMzWmXnvEfds+YYCgAx9x1 8Xhox9mCRUVutQzDB0Z/8qoftp/0nJtyKWoMnAklO1+iU94Of3Miz0fVRLaNN0KPoZdOq/Wklx4O XlfI4BPdlvyz4plz1+Aayng5esmas90XplECBAPP8VgJ7WCeRpKpRWWpjU3zKQWb4pzvywCXfO+t ufWYd9vNx2gpoyLBdPfOvAmJmzZkWUcqzP1mbOvcjznYzfIpPenYdePRp7TQbxccQrzkM6YUjEdz gGdJxMGgehlq8bjdUIqM6Jp1tJvUci+XAbbsY0q/gftkTR1+ujjeRQM5/TartI9AB2IF/3empJw6 twYpZHMYhNa3t+yo7b1mI5Gb1QFf/cPDZz8vPAdzcBjVonUU2GfCDzOXqObxHCg6Gun4MrYK6wZa 1rfjsXs2NvG8qQA9ObCjdeFgJlqs8bq516T/wIRp/68Rze++SzJJOEoAVi8sbMpOQ+Cz8H9ZkhkH hesDiPA6G+90/nfw6A1J0AXDQV610sjCfW5V8HLJJk3n8tUYYJsmJAYsL7mUZuBFgFz4Dt8OrzhK x4sVf6ZfxOy42lTHrufluinACEm6H4NfKXjBdseRA05erHpppWWE30qrR7ZAciXVT/E7Kbh5bkfT FgVM8a23V7vKgAauprEVLqmPLwUbxTFug51eXWTcPeAWgc0e2R2xllzhUaU6z8j8nPWsR2seHmTE iJA56wZFltsHfvUSJyCzy6V6gbvb8Fo7Gy9nW7gfMzTAT89/zZLEl3wDSZkyXpSDzeYxrf1UZyht mOmhgp6mpA/dfD1QRt/LYyeLq5ikfVNXBLfRlxo7584gcwNjyXndiaTw/l9KE+l5zTsxpAHglLfc iUavIAgBslRQnZ7KH5mW2vflsf81tuolVe+Rr6+E6qSJfLjxob3sHeqkRaJ2Kc+j4Pwp6vNh0N5f b0FaZYXz24QuDkbFWNkeCnKNqqiByrAJb2IhrDQvaOCKyt5wYpIz9FYgGrpSTACRZq4+nHciwKOe of7H2+TfRL8PQD3a5fn9CwurOv0MhQ42MW2jSABNRroIIrdrplaMBpMBmwtk+zj1eLM0y0ys3cy3 ZHWWvuUmG2zUNDlSMTJ5yn8xS8V+i+wpPnx2vS3d4E2nNnvpOQZQaSxHttZqhgRN8YT+mAdrX78h m9YVoYq9jkEcstgCWWrUFFiVNB0fWFZTeIJUMF2NIcESOVUFyGR7ESCOGxeW3v3ddGWUnFOnU2ER U39TfpKXpCrOJsmriQ5VvYjUH0RK8Kem5u2PXzMCiawQNwVeyODqzFQ0iyU6rXRdkWSypUHldTA+ 8aulr+2dUdDjmwvhS9hux9v0Qobh3gh2PdotABOj7eCqFq/obQBCbAkSYEWptxOKR/UJsesQY/QR b6VJXrRqEambu5qrBs2otAXT6oN7SzQj0m2V+ueMpT5NXK/2GwA2xxbsZdR+ExO/8RfkRXkl3540 s1cNfGWP27Hjf2et6q5y3nTxESN7V2dqIbtDiN375YN0uJZaQuHeVagrqcko6sTvb4/HyfYNzYDX SuXfCv69AslNPEXT2JtA6efZpRvj8oCtxZFGkpcF9P1KGDyK8JzaDV9VTjc/7QF6rnaWIMluaBVB ey+IKTbcaWFHv0hqlvYUBM0PVREAqcmn63A/E6pamO8lz6NDMTYa+q3qDvr/PtvlMmLC+J6aB864 ox2Rl+f2iYyGj1UwYcCPyUM99zSj1K7eCtqws1NgZD3KuL/mWpc5/q4sgqCIjLoxJRGXODDEkJA5 m0O0HcWNYO6cnHRRkB53SooXrmBqhl/TA2je6S05mLg3PWsSCPs= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Aeow6Dar+wPwyCuZ3CpouVllPxC4llA1Ukm5brPkUf6jbA6jqKC6lQwebzsMw19h5bccH9idRwDY jemL0fBrsQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block fhH+aqlEoKE+JZq9qghNfSjPHdRv5hlwG+33iOnHAwoQz3JLEXCjbjK+/OkJ0VZ47mEYRGFh4AMB Kd5bYAsHBVfJU7e93cOCmqMi6q1NZ1B4TYSa9cbXieENl3lcMAWDjVXehJfBz/WX6Dwe2sYVqHas VIMFb+sNilgPS6HDJY8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XK10objy6h7oUNp7hJFakI8VyHyBjdmYLutHMeS7A+cEBZkpecVT07LulHHgQ6KKziOF+tKEi8wJ SseDgde3hi0l4iPQkOV10LTfLrRvJhBfeIZ8giQp1t0SrhVy6N2SSqAn2eht9NkZHhhTN2ptQWFp 0WxnKaCc1sV3GIghFpbS5rrPhG5y92GuyT5FWa/h5Ldzc5bI7CyoJ9vYfzMq58minDKJ0Jm4Y+wp yHLZA+Ov0xHxm9GrfwhCkATL3ruMGZYQt2s5zV4QI9wQ2UIEz+JYy8mzm4GwHollMtB5NRf5scWn l79oM70wWXF4vtffYUC8HG3KNp96Na4QKpBYPQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Ykye4tSzDCZpeQ8JRXb0ob3ATquwfCaSG/ly4GVsy4bD2TWi/SlkZna7zRiLnZAzCamGizuqrWRL lhFyzoZ23CkJDaAHrP4R0DVjNPCXgacmijI2x8zSxwjKptfeusObi+G4cJ4ea9XYuXHdvqkbssiN HQHbZSHeJPlG8zIt/vw= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block j5byzLdNMnEoE5qwywfZ7XMWUUDa/vRU12ws0qpEN4GtoTZgc2FboDnnBkgSUXUolpwgKX2KPVWw P1VJmwcR15FUwZQrqh9sbS63mL7WHPSLNYElbx4uy534LVuKyABLlnHKjoHmVUtp/4E0ZuLGoImt /zsHn4GgLIE+tuBcZ3jTd5dxjmeQWJivwwjH/wFwCAVczK+9bLnUfJbXp5EAOTA495Anp3M7uHef CqLawyLHuTbCQrqf9W/UvFrFP4vYRwsEsllUJ8mnvN8qELVwvhBehS0LrP1gDGa4Rus4OZzQgGv3 gxc6OKVuHGv/NA5wtcEC907wTYphLFlAs3rhjw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10640) `protect data_block XaaX46qy68UqWKNhl6P0kvmryxr4rk+//YoD6Qd1vz1XwvlBrSowOiWseLIdjZVaLVSQsAZEEEbH taruDjzbeB/36r4LzPyjacu9onq4cHbJT1iRyfeVlvKnWI/Gsrw111DHE7A2xmO+9dRKGvAP1xE7 X0tdnaEWtm35c6Gl3rRyu0rPNiajNMIO91N0FSKHFdfENMpiICiwwVdnzEM7wDoUa+saad7Kt1d/ 2n06bZD73fIKRMUlV4iMtQbt78cEhgHzMUl9EDjJbbi/P1jAFPlqis8FFRdDwtBp/MPAPi2lw4RG eHCvyd3lFYPn4LkBibxm2Kq3LpzDBxZV7/hrhH8bvm+b/qiQSu19M8OkLSnK3W6Er4k0/bI824KK j+IFYCEXoqSWXNSC/vQ3E0Q3MZ/xSvbSe9ts+SGMJ1JdWFdsSNs/a/mS/JCX9zg8GpUacbK1BhLX QqElh80gIKOfuipyxs4wA1eXIdvqFoV4+UTYaGFFYxdc266xmDcIUOCRvfES7zz8AElmDT0mlOLN hg8ibgM6eLIQzlWAWThqaHXeKVBuaheVxmmuZTUk6ZpAEMBk37KCM8B8UY3UC/ywvqc62Rfv9QdP oIDE8k3gq+oaOCLTZqnBDS0Yoqte1UZM5yDh8Bez4znfX4GXu0RgH+YMrqNIJ7yC2y/Ay2xkIbzj COLsATDLvNFhOHBHHtdIivJ9ubhmVSLj4TJVLzwVkjEEFVkXKxV1ZzwqYAT7q3jAB7XGQY5ruvTT opQXSDqBkRm9tUy6EmoxtV8cEpiihyev6v4q71biyc5k5Oy1Kf4/7hoHkmPw55x03sjxFo0pKajs 884THddTnuJuKYeu8dEJfOjVlbBsGf3Q6Qij8NCpjGjZ4qD85b0H0LdqHWwsjDwWRIGHioDdkXEb 3jVmKmSWlBjUOci8qsLSeG/87OEJIPP4Kux905nuqet30pLUnMNofu8l4nJWe0LQ4GjtQxhMhaKB VYrqfxo3E+YD5X0ZZHpqXvfNPMUocoJbniGL0Jh1grYUI0+i69dy4yaM7ElhylmjT92EAnSXxC2H 8QUKVVhiTo2Qea2crXme7es983/YCUC0YImKfGHKw9wuUaBpwpIa2r5y/tuqxX4kTJqXDgcrFG2i R+ikysXTMnJH/11A6AzK55TN55QOoUXqH5325Srd8+xXKQr35bkK41GXgXurTlT37qyr2+RCIn9w hSrC2650flFrOx/aiWi2Pb3e4qtPQOMvUOb7hYWcyhspNBo9wjzybv24tKFaexRsN6M7u1rBGHVD CNFY1crygF0pSZGM/9SoNCvlyxs1IlH5qpnbL6WtUbFy72ufIDopplU7jJ+DerIoVotpvygkaTnd z0Mel+3vH05Niq7Aw0UsfPO0ptDHhoidrnnmFzzlfPlgC0LWFW5MPLuKdaC24y4l9tY+25ZNnEkD mDdXzbrvFAf/jYeNpOPlO3cmIPyMmVlzo7MteLPissRrRiJTB7sHck8rQauTYCnfH33jHy0ujh1P IxLD1qMVdaX5ecjsXL4lfnhzHg7DehTpbSBfMIc6st4574pDCLjDPwb2FflUoRI5i3/wckXfqP4k ByKXO1Om5fAdi/huU+FobYD+u6YDGw2WUauqUQFSFdmb1HvPOmMvx8pzF7hugyJhLC/M6HgcoENc MJgF8PTLOjPOaJUNcNyMVn5SVSvwbvP6hyZ1R/pV7swwKfqG1yt0C+1/Cjov2NHzzZo3pDm2pCq4 WwqzJa3ZQvzaM2mPXi0ECvXg51DdJRKz9hjL83QOyB/uLX/jD4znMbyPwDIXQBy8jgxpDSDTUuUL Djhfwrbf7aZCd3pJyk5W2m3ff9YEV1Gec0TX7F3efsHExmXWjib3WidlFpLw56eySBtCZWbJVm6l mk2uTlsxPyeTceVy01Ir49Q30Ds4rW0c8mNfn91GUVNqXEPNCoy3P1a+ImaDUDz7v95KjOEmFTcA vaqKxBnVFQCKulzXK+EMqSUJ82GX2iZffh45qrQYrnSMrxr5Texpq8zN97U/E119XBW0Uy5bwoI5 gdFsbeEBmPJPrkTzICQFiYrWVXK3n8wflI2F5VlNsQwg3VAqYOBEVM88AFxy1FyjK5YqOl9u1Dk9 PWeLh44uwqyiIH0CsADeV9A/dcdz1jcLFgXDJ1ugwB+drv+DVmaDUlz238nihtAFElREZkmoh2zc k6sBlKT/7eTIrhUOyOze5gGi7JdS6Cf45wqZThM0WSa/n6e0CNXYU+0KIXjd+ayDOU5/HIRoou58 KXuRxykUoC9ACMG8Bd6y5BDzmlrMAzu007itK9SgcSUQG9g4QFSUnWD8wH2Kqdnoxm/yIvpl1E2i UPuLkHNNKBilmslLQFNS2ZUSB1hUgaM3jeJI3wr/wG9oY8YCaGlFKG3SjG1ANBMvCrqa1UarMgRo U/etLI1MAPvwW5PC5WTdktIyYoBjtFIqVrhmJmC/bWjMVbdpjd/KdMGS1LEwSGX4oqMnSa/6zeen N5eGkZy8XduKShin7qqBlh6x64IBtxUDd3esalewLOk7mCRBEZ+TMkFD/nhQwzvq2CrpaStTeMXX YJqNvNEXagDli847bHFETVLxGDwBRaQPp1ZbzUKzZJidgiIbteHHdEq4I5V2OS8eoLP3I9l0DncM srtJtl8M5YGnpBFaSoNET37p1nUpfuZrm8IZUKZCbX2CwFnWFHu2kkqZE6qGo1j4Iqe02hiBOZ+t Ww36rBxwNvLA51bVHx/QXBa5GX8mYau/UiOiriClyY/oiUdcSGdZ+y+Zz7vK7iqVn7JxdN2mC4cr K8uA+P2Lj2ogmw2eEHsGacgLI/DdZN4OpQbou9G4svmYCGQrjbYakKh3ExZMuakcEdI3TP/b1GP9 rA/6o1sZV998Y+pCZrFo4RfewAUm3y49IfH/fIzZIMBKesMNNch7okulcb1xjvZABOUlOmkBaVOf ES9vVbu87EFbCGqs36YhrgsWydj6Edt79GnmaP0Eoy+aB8Y89z3ZteZdVat1eSEM+arei21z+sPa RWEvA8WvLE7FhKfTdEFYE81+caHyhiYDXd14S0PfvH/012cF1LGxmvyd8hX/DfDEnozb1tzCu5BU UqXuQUexe9SpeW8odua/8NDYM8DwLyp+35gpk6hSTBiDUlau8l+OLEaLQOANkcZ5laWPZXPgVq6G ZYeMhx459P6nYDNlhLgk1hcDi80ivBSZ8WI7rC8cacEZCLQ0eupjifJwswyX/EWoOV2g31cQhoMP K+19RA+o1TQC4hsQD1lCTjKyCLIvspOL11Vd3HidttiwpASG7lXw1HaVi/Gg/n+kJGXbsUiZxgF0 wVmmPp0g/9Nam6Y1WuMO9vymf8DnVQa4F9/xVdGNIsgHlmQn2KO1v2HMs7Z2Mp+U/AwgBKcSS6tg 4sAw553GrN38e343kMtvm8Tp1D0t+bCPew4jnfdU0on32mPt2KJJVy0ghJaPP19pDVAekNADcMWb kSX/46jDEIf3pSkACzItZwBG8FrZkHb2ay6fp5zr5DNC+P5sgbrGraXqUa98rTBa5jduVBrFcwzJ rdZi6PVhAEjwN/NAcJBOD2QIU6y4v7fm+ryW4+5qOOJoplwqFF1mWGVIm7K2JIP7apQC2ar5396d TWTTN9BL8rLc3hcMHO+lLYU4fzFo6asD6tqhjtiUym9oQ6Vp08sYNXY3ld1dREElLLw7ZT4ZVrdB iUoHJ5fE9NvbHdoM3zxFQKS8tpMNRQ9twbkBqoQgSM4yq1wfv8sju3sUUf/+/4O7fTIJVXLJaVxV ztfJa5XL7ltiGzk5cNaqUg1Qfb397BeSq6WPRAoqYmknTSKVhRpmA9b72YN6bTXcSfK74VUhc/gk eZXNkMMGjiPXiwRIx0onSU3Sg5PKpjnPcZboQjGvrgXSI3AWHnYNUp//yb+/dPwvxWZWlGNAg29Z Eg/opdacFU8zmY8HGIrG3FuSf/PdsxqSbysvFoN7E1V3s6cUL34w78dx03M9Wx2Ypvw/NmLkaET8 FSBZSLEl5Xqnxki07wm832GygJxEdmqKrw/XMLGHYFmZHwkQ2xXjRSZioYhMHUx/3Y35OgTyrLRQ 74wuGEPE7huGj3/YyOgUyVwo6XwtaEuzkzXJcyUf8lDz4ea1eepzbK5/vERaTsN77JB1l3ijqZlo 2JiosbfmB0+gzRhfAG6PliUYxjHmyWgQ48eW3bf4MOcFFHvBfI0QZ1Mv6RM9lX6Ab5M6bQnk3gnn EYUHQT5+mPL0unm4w9/vGGJaWytYQWJFhhRP6Jn9datq9ujQUxpzJJX3qjiAJWkyNKpTaf6VjqvQ pR9FA4D2xDpWMgWL2/uPRKGESXOM9ZddA/GN3/+/CHrdyrA/8TNcouFwFEQUFToBWoGj8CpHPcrY ugq9X4tBAn/heugNCvAsNjWXvhxRKodcPtWFAQIJKPbW3urQ2ejKNHfrG0To7g2A3iVGfF/krj89 0YKqZzaZnrH+TquS6zUMFCMUtgPh7Prsco76YxF0K32Wnb7ISeUd/m5rZjShrPS2CubHQGWzAbZ2 BDvzktrrTIgZsau5gagzBnAhOYoOYQ/+n/O4Oe9jiwOmmpz2PZ3lHVqT6UrOxJumZr/V1rXB60VL roAXQSb4XkAkRbjBx/iaumPt6DxuDTVXvlRF0/ynOEu1JCuC+rhRReGQCaOyojmG7sUVZVQKEQEt P8am9UOSlDXzFALDOV7TinKCFwagVBwJU4aLe3vBTZXa3l65F6H1ljOwHAo0nr1keXSXrbrRQesf bvMfcOg6YY68lyyVNI+yENryF7n1vYHL9QtqReBbK1InVpC5Mi9R1yzAr6srXyVhTNwlQ+pfx3S6 sNKynpMhDezpDoH/uqJSU7kxUNBWYk18DrFbze24ApgLjuRG0dTtKq4+Me8kr1wv0wmwfnhY3CjK x1g2xx18LW5tjkphrX34hy2WAfqSpGREHa7TPWSKzjH8R/6FP/WCXcKFngT7NBvoj3iEX+lboE82 IwjRV6K5zj0//DP3qKymWLewgdaYtotui8eao+ubCvFfbCnyQB87D7Z6xT5ogepjtaaWqmVrO58B q9cS3wCwkyeKEC1rbRg4PuGzlViVYIlDYJlFIwAZzrz/VczToK+4bci2Yg26zRleYyiOX1NVOXKc 9/OpIxtiwPjK+6jzmw9DzVKfUdyJhzXGRCTuJPd2hnVbArPngk+jYh0QcL9aMvGAr/Or13rEkhqB h/GfvlhAdPBwbhugKFdx0jTgJ/IhIUsr1+8IQ1wn7U15lpCi4Lio3ZsLVDzRyDl+sqDmMNJEJfQX rk8O2WafUgH2MjQLy+p16VqLF5uAh0SxOoB0OGQyXhNkGIZO0hRQv+7H59aDq5QzoiYdkG0/Ie9O zH6uF67pjjfvhkH1DiRNjRG2laXVOxf8tJdn8g7Dy0yWnY3pj/xIefATvaqvKta1yyoKq4FyLApM aK2HJX4bZahhiGHkozIiC7pJvyfppTSJqWYB/TJJST1opH8aOr4aAcJrOP9MkKnsU+t5vtWOofoT EOioht8/3EW3FW/LfSTHbNPRE+7VDwCUdj97TEZHWKVg1md/BZV7eDBu67OR9ZlxY5kD+Te2RTFG hxlIT/09tfzkozSG80KCnieE4SLJ+VJIrSj08x4QudkjQm9wHrajkQoZ/OK8oAcKzyZzhBqSfI07 IXasMrhgHrKVuXkA53ShF12/dEH5l6T1JYWSBJQx6f+fLS3Puk99rSwPmM3nVEkRSXrkPSDksH2I qhs+KGLaYhKFhntr0gGFuTj08fiVnN0x2L28yxVupr8AHq7Ua/SOZcF/CTw0h8yo1e8vPLZjCdFA yiXNy3ysdEq6Zx/2vIwPafP/twwhiRUiCV3seSK+U4mf4SV5LPetWwWRh9aBYW6jLSjzkhk8dPb2 78//91vg50FIvrxRfMiUqUwJfz4XFf3TTSBm2Lw4vBz0TljJgxrfag0AnKnkeLkVRwpVczLFKq2l aFmPAmiImmrr+0UeDWxy+9ebaxQJS1+oqOWBISZKU0YWSm8jbwbr6cAQcicsIZqcY4popsw+MC7L mwQy0S61n6cSv8GUT9BQILkGIn364/Vgj0nNPs5Uh1K4LcOm5es+RUq1M/J/Vmb4XoK/jEGkbmly ZerjlvbA1HAHglD0jQigKi7BMrKV5FZumbUscMiV0pN4RUGtc9sxKqZZBCcIWDoisikPf1q7hwiv +n1IuheQ2v9mU5IoVtRYPrgsizVdb/ZHJS1H1DvfbCU4afxHeDu0uaXWDhsxD2OS0DlBJa6vK4Qe ukWQxcFDMVC/GGpuWpkt4UxeIl8qMIq77uKwBQI7zr1u9LUEshrNtasunnSE77T8z4ythRc4j9S2 7LoG6gv5XuvylH+Ro/vSUgKEH9FQsCcpW+3OiURSU6Nq90VKul3oGZJXBeUa2iUCTLzwXpZk228I pFfFKG3peHI0apjBJrG0gvxZeT8yQZ2U/KvH/qzzK6OlldlHDLuXRILbHvBP3tK3UpxswHGij4aH 0CI072fbrLLauZoPaHFc3inuACGYpfjbxBGWnwiVQF6uMbYyYbv9uD8in5L19uOXlH0i+SCmGI4l RFCAeijkDUsWG3sXd50uPm0A7e57M39p39wnq7yrEeQzAYGyI/IAlY5o/cMWuC/1qvujs7fvAFb4 qHkVA54T9xHmJowCNXbx9pU7LD4OnhqR36l2L0ZYeLC0lfapoPumymKfpRb5opoy7jRipBA/LatY LPDlnk526GkDU9+HxoDKI9JhJjEfbhH1IYux6PjxAiNVNVbZiUm0DJONxWqEDxlEBvxbILIbHyXZ 9E0g53akhyuaa58Hvhqpv0eHiFTTfO3TruET4jw22DvsT3romF3Em2ocvI+Mp3kTuCKBK766PVJd gZdJE7qeKroyxvCjRuv1zkCa6pMz3H++e93UAqs2XSN0YGfHjDv78n2cJHWBWApGssQFO0iwn+2d zek0MojIIIAK3BUycDDI29y+hYibopm/REBeOZimqw5rQU2AMjy05T/9v4sQKTYAXfVa2EeVekgJ /i/1TzUJBDxwOEEUt+foqUBGoj9ugLssQDz2EuxI43mBNWyHjZVaFuRg2R1zs31uFJywqJ9hETDa LfZrxVUkg18oZE4OoqH28uRjk37CiD3FEaS1ZgedmlyAo7n7R/4NPr9XTjc4SxLKGxWQLeSlyspC URs27GiRX9h0HkljkKz8M7XzM3qP173da5wTx6nYjQIPxmXjOk2Fi1snF2vcRiBtJRVnGabJ0aIN j5yxYf2xsuvZgTvaQg10ztQMRd70Y7Vr5UE0Y/+ItnvBL71MyWMBtp1wTOfo9Cusds/DlvBbqBap ERD1onWpt1nqnr7+ewlczAzNKTndQy481ox84Zk6O0mURyxd6SOwyhsR/1xzMp5OiRFf8DpUzMCk blK8KUgTzBD8v6zL0WkiLzIGd2ksM5QKGr3QNDA7edgJ0Dk0wRjNMP2xUCt7mRmTsH3OzmW43iAx VZ57JZOhyniOF5KTpEU/kBe+5yZxatoRyow+2FMq7ehdb9j1pC2XF8kvqJqI4MRZOevYs3ZOJN/k /a9+5zZ2vjn8GOCgOH6ctKOoTo6fPgoJU7/0g2VqDlin7dLdYrFBwJBJsZCazlj7i3KlPIQ1YmUz s64JuXu6z8yZ/2EiyQka+YxXSilBw3G40Oks1XfXcEFlfH8rvKgPslsuvC/5UpQa3dj34UWzM5wp XfNuHlnJTcOHO7LTuaDq1+VqUPwHgAKg9b5tw7R4viD+1ePHzta3UOwhqH1RUCeY0Y3QrXjtV3pH kK/xORBDJGWw1wM34OBhlbrN58FGxlg8/+hIlrJJ8EMjjmxtc7Phfh783WYK+3jhiL0JXzKmzn+W n82FjN7/x0YnDOEi8IlsxtdZewZDotAv2p79ulTQsc2eySDthyAMxcS6Kdu5URnOUelZu6e2Q4eb 1qk97D7LmPiC2S7Q9RdT6ZibEwMqqDWqn5wUwL2MnKrZ4uarOgDc1jYhjIgRyuma8jnYgIK66xYf CWz6yb4n+M7E65+tKb5zdFfgy/dcSDCtTVlSNPVGC8pWDURpTxaB2RhD/rr4L1Y8DEkDIceujeyp fSgkgY6/fiU7MTUjKgWfpM1fQa22Azmvj39hFuKAe0zeOvnMUylIHRto3FlBduydjPpekf5PA+PA xqqtMz4wmVrKCW2e8phPBh8tsUGyHGRj8r/xKX+mOTYnn7PwXf1VMYFVJS8xed3P7xf+GboeTZkP 8ZJNa5Hw8tOafJ55TUYcddlfNL5ja6kNJ40VmBHcoDNvic0JSglgX1iFnL8Iv7yoDTsqe9GXl5zH /jPvVRkrAoGdXzw6gBiDgWVsbrWb9sCD5Qe0vhrdUgyrmps8bVDtuYX6dAzWaesb/RjxzP2ZrmDu g9qSCV4eYscJytdqzCk0A36Wm6NhLze/NB+xWtU+jCrLOhFBv+Uu7y9W1yljhnstlgzPgWuAV1Ea QxoRsYOllHJtG5+5sR5EkOLhwx3+GDI/0gZ180sHKs1X0X/OveM2vTuiw0DHgjbvAInp5Ny4kOcw +5gTATzCTrX2l5nIr/0Wa3OLKIShnf5dWB1asKlbjA65MSQ4qSuQFY1Qbr00Vwpc9X9lHLNmTNNc PxElljPJ1CH5prkSriiWwkPmgXSCimKmZ3lO+IVMRLIIYcbRMswadAoanFATintn7jr382jCiAfq 3ZSUn7XuYUWaEmvN1wxl/WPeOZNDv1+9kCiS5TS8M1ZTVqAK/7dirilojFDELoSEFevrBX4DKjHY AZLbemyOw1Dc6m/+iZRU5orcR7WI88UsbjzvmJgE1fbEsNAoeK83ExGpNAMEoAvMpPh/mPJOBJ1X qz4RFvFdUBNSL7LNyRJnkQG3RNS2ant+b8PDTOqU2n+m0c1NuWJoagkNAkrKQ0u9ytv8Cl5npPhJ zhf3jCLhVZfOx89jSVDnKPxXTo/9OdmbbOxqDNOmGwqsVcfQ4I54r1WkYjpirW3j6hslx4H7w56n 5iuORS14/RDQDiA8ekEypr8m0fl/f4Fff7vyEN/SnYKMoqivk2Vu638SQhJbvsKnhLUGGp22Ge1o n5iSSkyAZn99/l/53g/iqrDD8mj8Qgx5ONGg/gyY53FOgXQx6o+yjuJWl0bEOto4NzS2hORyMH/q 9bXJTebK5tTl+fRdopQ6tRtGLMxZJMtEpeR7IWB3qtf7+rNH5crxBI7XzpVR+iSRwAONAlY1v4Wv cPR7xPg+PgS+4k0rxgAec8M93oanF+/cDznG90rxxyOX13LP2WprKbWALSfazEGJ3bJYI+AmZJXC TAB89EjG2wQbCVErrTyC8B8C3D1IQVRVXu7XJm39AkVXUpx76VBwbb2DZ03rnc/Yy3uXWZBMqyNF UDjOAhiZq9uThQU3xFpjF/hG8bQm2jxtPhJ9QoOy4G88HjnPXly3McH5DEXViPq8vYDEhTjE97kd WR6jdjYEUxT+DgV3LrgFO5oIEznGKODhMEwWANZd8eFtnfokEJHMjwMnLeKSeEYUrEgxVZyTnDEv ZNW8mQ7nTxanskGGUl2A1/E9t2j5/kw2VI8IhcF4n4FaFNSkRt0yDwvuqi+xlN8xf2ujSoHCsgke s43RW2s6LhQvi0q6HRLlQ7hqNcIDoeHuqF+4deDm9np2D17T80XlLxGBslY9X+glpKwrE6YoNaRO DSxBfaZ8AEd4zC4xWqCbFxVLpZbN2BuU97sbqi2YWsHv9B05W2orOpXHaJ3mMGz1q0zca+/QpWbW 7kNBBH5AjWhej7T8zkfbAcdU0zgocRuNLWYmdQwGjN3iHwt0tJHt8FPAREirW0u67W72EJ5M7XXQ aDGKh0e7WrjUtqGxyFte49qWkVTcZGz3ZgYMKjsU46xKB1MxkEPkomSiKQ1j48vvSTfzWx9cBlI6 FVJmK1TA2BK4adl4GdYdAZQ2Plk+oQ2/Hq+tZn4xS6uxXIhWTo9fim01O0I4nTgCu52uiK8svlVN 8cEdiEknv/AwkvUjxxH0MyUQDKxT+/vdXfE9L5eSOhyHR8ROIhTBHJu8aPDS0jHiY12F4VFY2KsR twr2MbNiElC/q3AMLdV0a4S4VMHU5nifucPYb63s108ZsvRnRm0kML98SxJTqLy6cjVdEU3j/tF1 pf94gFqoUMxUoxC/IDrNwpFNT37a5c4+c0tRWiFcGxfbT6bP8INX7wskWtxgIw/k3sRYLAzlq4FZ 4tundeJmBv8KKHAVUX60/fvdYA7X5arjovtxTq79lftbfQlAqWbvPfamE67TZNzGSu87ectnKdgG kFJ/4lqPieLl5h0vBuknzvqLRJqnY77B1gDdz8HjfOA3FPLG2slkMuEXrInbV+7Ha6YZpMojVdGj BVK+0Q2HBsvtfrkUBn9MVpw22MvTII42sW7xj/vfn73URuP/NmvXuWCX/7MX/rLvW1PwVHdMWHXP llYS5A+6m/1mgnPJeAS077m/hSgw/UXSw/Km6I1pLhdAmQVsmdDXlFMA2WWFygl44uM89OxXan/R z6Vw8Wtwx+eJ8fJxLtI6crcqFFFuy7tIEYIf68gMvTZYB2Ronn9M/hmmgPeu97ZH0CaKpMo6SO4Q GlXk6KTArmpz1NoNVPvwK59brYbvheOMFJX7FoUgI9lTci9njl5gkOYlx82U5Rrel4um4GvpvPpn hg/+KvFj+iCGBynXHXEZPW0a+9HGiZuvjI0NI4Df/D8rdjRXjuXQpXv0taLeHgcaKy2Qo71A2if9 ez6BYb1I26Dw8VDQBi5QDsUVPhovrAZgA3jlHT7K4ysizzcO1RXOpakjr0xsZgW47qsMjOoWsuKN vNQNIxvqgEKlk5f1xIO8TIT8k7XU17S7Oz/r7VcjTT2dFoHoY7Su8hUXdEtkHElXQFOI1Nf7KzMC 6hwBPOx2lVLIwvQxrOLo6FT6J9j7wyspxxg2kv+n8SRLk9aXZLYcxmitpepGKU1s0bXCGlptKyUi GqlHRzD01mZjYoExBgkmj35vMkbkkd3wWbKZYZT2EuwXUCZyhn00Rtl1Nh2lfGmaadF8XwKzVUBi 4IZzzJfzR79HDWyiH+wp+oC5LEd+1olj+7Dmk5b8S50RMXRNLwZNynGkEVfUiGPq5GPETeR6lQ8f QwNeR3fRkfVsUhFBwQoxEGGFBEDNJPAutL03tz91j/+WQYKcoosIi74rcCgfLPKeZom8O7jCupxN xoBNEqEiDwyoe81oc9hQasRbQFqXg97PkAQ5lBoa6IuQVVoI6//9S/lXCZDu7DJ7sztCqDAmE7Yr DIZ/mejxCApL/61KUGZUj6Uwc+wg/x5GDzMOgY+9ttnzkTUaenkvGF+XdOrU2S+z1dznzSd48q2g gW6jlWiPRzbnRkQTMEyZkeNx5QEZ/qWKVxduJlQ9VhI/TCyq4SZYR1SsdS3g6ipga5yNt2vdA7co 3ICv1J7bfhyY45U7icaN5AcCVhZf/OMkRF204Xot0T+KqUu1OggUEw8MDEW59B7YDcZWR+iJDFtM E1XTNdSux7zD2t2wSqVTIMFNL9aEDwnCKfExdwQApkKS5hBXWY66vikFQPu6wdQwBcemUMsCvpCp fWVMxU+V49mJQ3TvfRSq6dqjENHuaLNy0hs8dLOuhMFMWtLVUwLNtS88y7NkCe2OeLLJSdRZFuT9 pWMgRfoOebohqhS2QEWv+oQR5BgB6n835ClSXNjTx2o0g2C72Ainoqu87KNsBLYawvaZHJDkB9Nw OZTgAabkAwMKsjy1oOVeHRmk+MP7gUxDNFIVZHNYfK3RcqTDN5+sQGqpyZz3/aNDKBOq3Fvgubjf hruWSakUMGI4vyQ88jXfoJUPLe+ef+IeikhNp2I/BvwSND9+b80YeZB2IxLRObxb4y4u1YQEUVNl 3n++3Pd2glmEL7DC2ze/YvqDgrL4oKLkOGplEYWHPLHrFfNhbEE8cH/Wx+kVyqshJWLjWS4cQlBn 2H8oGuEOFdfkaPeXt13+ytwfhb6MWzf1jL0mCEQq0bZ70NsnXCEfefNaDWBaq1uW2ZexuL5wnz3r CL5QK9EviV9jvRSPAcIsj9CstkhJjJ2GlAKu25TW419JH5dJU0n43Su0+vSsw7gvevEH7eQzDZe9 XF/HnXvYQPeyG9v8VRbhjcovpzytZPJU63jm+Aypmyj2DW+JXCxe4l/CgOkWtvpKuUxzb6Af85X9 1T7Jf8j9J8kuvZlcrGBhDJuiSew6Ae1kV8UzKJ+lbJb9TGFZedgLUBn59XQjYFJQMR9YRpPC4v47 DghrV3VHlFpObQ8iZHgdhOhecv1kw3okf6rlDTKCC31LCiWHacoHrryMzWmXnvEfds+YYCgAx9x1 8Xhox9mCRUVutQzDB0Z/8qoftp/0nJtyKWoMnAklO1+iU94Of3Miz0fVRLaNN0KPoZdOq/Wklx4O XlfI4BPdlvyz4plz1+Aayng5esmas90XplECBAPP8VgJ7WCeRpKpRWWpjU3zKQWb4pzvywCXfO+t ufWYd9vNx2gpoyLBdPfOvAmJmzZkWUcqzP1mbOvcjznYzfIpPenYdePRp7TQbxccQrzkM6YUjEdz gGdJxMGgehlq8bjdUIqM6Jp1tJvUci+XAbbsY0q/gftkTR1+ujjeRQM5/TartI9AB2IF/3empJw6 twYpZHMYhNa3t+yo7b1mI5Gb1QFf/cPDZz8vPAdzcBjVonUU2GfCDzOXqObxHCg6Gun4MrYK6wZa 1rfjsXs2NvG8qQA9ObCjdeFgJlqs8bq516T/wIRp/68Rze++SzJJOEoAVi8sbMpOQ+Cz8H9ZkhkH hesDiPA6G+90/nfw6A1J0AXDQV610sjCfW5V8HLJJk3n8tUYYJsmJAYsL7mUZuBFgFz4Dt8OrzhK x4sVf6ZfxOy42lTHrufluinACEm6H4NfKXjBdseRA05erHpppWWE30qrR7ZAciXVT/E7Kbh5bkfT FgVM8a23V7vKgAauprEVLqmPLwUbxTFug51eXWTcPeAWgc0e2R2xllzhUaU6z8j8nPWsR2seHmTE iJA56wZFltsHfvUSJyCzy6V6gbvb8Fo7Gy9nW7gfMzTAT89/zZLEl3wDSZkyXpSDzeYxrf1UZyht mOmhgp6mpA/dfD1QRt/LYyeLq5ikfVNXBLfRlxo7584gcwNjyXndiaTw/l9KE+l5zTsxpAHglLfc iUavIAgBslRQnZ7KH5mW2vflsf81tuolVe+Rr6+E6qSJfLjxob3sHeqkRaJ2Kc+j4Pwp6vNh0N5f b0FaZYXz24QuDkbFWNkeCnKNqqiByrAJb2IhrDQvaOCKyt5wYpIz9FYgGrpSTACRZq4+nHciwKOe of7H2+TfRL8PQD3a5fn9CwurOv0MhQ42MW2jSABNRroIIrdrplaMBpMBmwtk+zj1eLM0y0ys3cy3 ZHWWvuUmG2zUNDlSMTJ5yn8xS8V+i+wpPnx2vS3d4E2nNnvpOQZQaSxHttZqhgRN8YT+mAdrX78h m9YVoYq9jkEcstgCWWrUFFiVNB0fWFZTeIJUMF2NIcESOVUFyGR7ESCOGxeW3v3ddGWUnFOnU2ER U39TfpKXpCrOJsmriQ5VvYjUH0RK8Kem5u2PXzMCiawQNwVeyODqzFQ0iyU6rXRdkWSypUHldTA+ 8aulr+2dUdDjmwvhS9hux9v0Qobh3gh2PdotABOj7eCqFq/obQBCbAkSYEWptxOKR/UJsesQY/QR b6VJXrRqEambu5qrBs2otAXT6oN7SzQj0m2V+ueMpT5NXK/2GwA2xxbsZdR+ExO/8RfkRXkl3540 s1cNfGWP27Hjf2et6q5y3nTxESN7V2dqIbtDiN375YN0uJZaQuHeVagrqcko6sTvb4/HyfYNzYDX SuXfCv69AslNPEXT2JtA6efZpRvj8oCtxZFGkpcF9P1KGDyK8JzaDV9VTjc/7QF6rnaWIMluaBVB ey+IKTbcaWFHv0hqlvYUBM0PVREAqcmn63A/E6pamO8lz6NDMTYa+q3qDvr/PtvlMmLC+J6aB864 ox2Rl+f2iYyGj1UwYcCPyUM99zSj1K7eCtqws1NgZD3KuL/mWpc5/q4sgqCIjLoxJRGXODDEkJA5 m0O0HcWNYO6cnHRRkB53SooXrmBqhl/TA2je6S05mLg3PWsSCPs= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Aeow6Dar+wPwyCuZ3CpouVllPxC4llA1Ukm5brPkUf6jbA6jqKC6lQwebzsMw19h5bccH9idRwDY jemL0fBrsQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block fhH+aqlEoKE+JZq9qghNfSjPHdRv5hlwG+33iOnHAwoQz3JLEXCjbjK+/OkJ0VZ47mEYRGFh4AMB Kd5bYAsHBVfJU7e93cOCmqMi6q1NZ1B4TYSa9cbXieENl3lcMAWDjVXehJfBz/WX6Dwe2sYVqHas VIMFb+sNilgPS6HDJY8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XK10objy6h7oUNp7hJFakI8VyHyBjdmYLutHMeS7A+cEBZkpecVT07LulHHgQ6KKziOF+tKEi8wJ SseDgde3hi0l4iPQkOV10LTfLrRvJhBfeIZ8giQp1t0SrhVy6N2SSqAn2eht9NkZHhhTN2ptQWFp 0WxnKaCc1sV3GIghFpbS5rrPhG5y92GuyT5FWa/h5Ldzc5bI7CyoJ9vYfzMq58minDKJ0Jm4Y+wp yHLZA+Ov0xHxm9GrfwhCkATL3ruMGZYQt2s5zV4QI9wQ2UIEz+JYy8mzm4GwHollMtB5NRf5scWn l79oM70wWXF4vtffYUC8HG3KNp96Na4QKpBYPQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Ykye4tSzDCZpeQ8JRXb0ob3ATquwfCaSG/ly4GVsy4bD2TWi/SlkZna7zRiLnZAzCamGizuqrWRL lhFyzoZ23CkJDaAHrP4R0DVjNPCXgacmijI2x8zSxwjKptfeusObi+G4cJ4ea9XYuXHdvqkbssiN HQHbZSHeJPlG8zIt/vw= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block j5byzLdNMnEoE5qwywfZ7XMWUUDa/vRU12ws0qpEN4GtoTZgc2FboDnnBkgSUXUolpwgKX2KPVWw P1VJmwcR15FUwZQrqh9sbS63mL7WHPSLNYElbx4uy534LVuKyABLlnHKjoHmVUtp/4E0ZuLGoImt /zsHn4GgLIE+tuBcZ3jTd5dxjmeQWJivwwjH/wFwCAVczK+9bLnUfJbXp5EAOTA495Anp3M7uHef CqLawyLHuTbCQrqf9W/UvFrFP4vYRwsEsllUJ8mnvN8qELVwvhBehS0LrP1gDGa4Rus4OZzQgGv3 gxc6OKVuHGv/NA5wtcEC907wTYphLFlAs3rhjw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10640) `protect data_block XaaX46qy68UqWKNhl6P0kvmryxr4rk+//YoD6Qd1vz1XwvlBrSowOiWseLIdjZVaLVSQsAZEEEbH taruDjzbeB/36r4LzPyjacu9onq4cHbJT1iRyfeVlvKnWI/Gsrw111DHE7A2xmO+9dRKGvAP1xE7 X0tdnaEWtm35c6Gl3rRyu0rPNiajNMIO91N0FSKHFdfENMpiICiwwVdnzEM7wDoUa+saad7Kt1d/ 2n06bZD73fIKRMUlV4iMtQbt78cEhgHzMUl9EDjJbbi/P1jAFPlqis8FFRdDwtBp/MPAPi2lw4RG eHCvyd3lFYPn4LkBibxm2Kq3LpzDBxZV7/hrhH8bvm+b/qiQSu19M8OkLSnK3W6Er4k0/bI824KK j+IFYCEXoqSWXNSC/vQ3E0Q3MZ/xSvbSe9ts+SGMJ1JdWFdsSNs/a/mS/JCX9zg8GpUacbK1BhLX QqElh80gIKOfuipyxs4wA1eXIdvqFoV4+UTYaGFFYxdc266xmDcIUOCRvfES7zz8AElmDT0mlOLN hg8ibgM6eLIQzlWAWThqaHXeKVBuaheVxmmuZTUk6ZpAEMBk37KCM8B8UY3UC/ywvqc62Rfv9QdP oIDE8k3gq+oaOCLTZqnBDS0Yoqte1UZM5yDh8Bez4znfX4GXu0RgH+YMrqNIJ7yC2y/Ay2xkIbzj COLsATDLvNFhOHBHHtdIivJ9ubhmVSLj4TJVLzwVkjEEFVkXKxV1ZzwqYAT7q3jAB7XGQY5ruvTT opQXSDqBkRm9tUy6EmoxtV8cEpiihyev6v4q71biyc5k5Oy1Kf4/7hoHkmPw55x03sjxFo0pKajs 884THddTnuJuKYeu8dEJfOjVlbBsGf3Q6Qij8NCpjGjZ4qD85b0H0LdqHWwsjDwWRIGHioDdkXEb 3jVmKmSWlBjUOci8qsLSeG/87OEJIPP4Kux905nuqet30pLUnMNofu8l4nJWe0LQ4GjtQxhMhaKB VYrqfxo3E+YD5X0ZZHpqXvfNPMUocoJbniGL0Jh1grYUI0+i69dy4yaM7ElhylmjT92EAnSXxC2H 8QUKVVhiTo2Qea2crXme7es983/YCUC0YImKfGHKw9wuUaBpwpIa2r5y/tuqxX4kTJqXDgcrFG2i R+ikysXTMnJH/11A6AzK55TN55QOoUXqH5325Srd8+xXKQr35bkK41GXgXurTlT37qyr2+RCIn9w hSrC2650flFrOx/aiWi2Pb3e4qtPQOMvUOb7hYWcyhspNBo9wjzybv24tKFaexRsN6M7u1rBGHVD CNFY1crygF0pSZGM/9SoNCvlyxs1IlH5qpnbL6WtUbFy72ufIDopplU7jJ+DerIoVotpvygkaTnd z0Mel+3vH05Niq7Aw0UsfPO0ptDHhoidrnnmFzzlfPlgC0LWFW5MPLuKdaC24y4l9tY+25ZNnEkD mDdXzbrvFAf/jYeNpOPlO3cmIPyMmVlzo7MteLPissRrRiJTB7sHck8rQauTYCnfH33jHy0ujh1P IxLD1qMVdaX5ecjsXL4lfnhzHg7DehTpbSBfMIc6st4574pDCLjDPwb2FflUoRI5i3/wckXfqP4k ByKXO1Om5fAdi/huU+FobYD+u6YDGw2WUauqUQFSFdmb1HvPOmMvx8pzF7hugyJhLC/M6HgcoENc MJgF8PTLOjPOaJUNcNyMVn5SVSvwbvP6hyZ1R/pV7swwKfqG1yt0C+1/Cjov2NHzzZo3pDm2pCq4 WwqzJa3ZQvzaM2mPXi0ECvXg51DdJRKz9hjL83QOyB/uLX/jD4znMbyPwDIXQBy8jgxpDSDTUuUL Djhfwrbf7aZCd3pJyk5W2m3ff9YEV1Gec0TX7F3efsHExmXWjib3WidlFpLw56eySBtCZWbJVm6l mk2uTlsxPyeTceVy01Ir49Q30Ds4rW0c8mNfn91GUVNqXEPNCoy3P1a+ImaDUDz7v95KjOEmFTcA vaqKxBnVFQCKulzXK+EMqSUJ82GX2iZffh45qrQYrnSMrxr5Texpq8zN97U/E119XBW0Uy5bwoI5 gdFsbeEBmPJPrkTzICQFiYrWVXK3n8wflI2F5VlNsQwg3VAqYOBEVM88AFxy1FyjK5YqOl9u1Dk9 PWeLh44uwqyiIH0CsADeV9A/dcdz1jcLFgXDJ1ugwB+drv+DVmaDUlz238nihtAFElREZkmoh2zc k6sBlKT/7eTIrhUOyOze5gGi7JdS6Cf45wqZThM0WSa/n6e0CNXYU+0KIXjd+ayDOU5/HIRoou58 KXuRxykUoC9ACMG8Bd6y5BDzmlrMAzu007itK9SgcSUQG9g4QFSUnWD8wH2Kqdnoxm/yIvpl1E2i UPuLkHNNKBilmslLQFNS2ZUSB1hUgaM3jeJI3wr/wG9oY8YCaGlFKG3SjG1ANBMvCrqa1UarMgRo U/etLI1MAPvwW5PC5WTdktIyYoBjtFIqVrhmJmC/bWjMVbdpjd/KdMGS1LEwSGX4oqMnSa/6zeen N5eGkZy8XduKShin7qqBlh6x64IBtxUDd3esalewLOk7mCRBEZ+TMkFD/nhQwzvq2CrpaStTeMXX YJqNvNEXagDli847bHFETVLxGDwBRaQPp1ZbzUKzZJidgiIbteHHdEq4I5V2OS8eoLP3I9l0DncM srtJtl8M5YGnpBFaSoNET37p1nUpfuZrm8IZUKZCbX2CwFnWFHu2kkqZE6qGo1j4Iqe02hiBOZ+t Ww36rBxwNvLA51bVHx/QXBa5GX8mYau/UiOiriClyY/oiUdcSGdZ+y+Zz7vK7iqVn7JxdN2mC4cr K8uA+P2Lj2ogmw2eEHsGacgLI/DdZN4OpQbou9G4svmYCGQrjbYakKh3ExZMuakcEdI3TP/b1GP9 rA/6o1sZV998Y+pCZrFo4RfewAUm3y49IfH/fIzZIMBKesMNNch7okulcb1xjvZABOUlOmkBaVOf ES9vVbu87EFbCGqs36YhrgsWydj6Edt79GnmaP0Eoy+aB8Y89z3ZteZdVat1eSEM+arei21z+sPa RWEvA8WvLE7FhKfTdEFYE81+caHyhiYDXd14S0PfvH/012cF1LGxmvyd8hX/DfDEnozb1tzCu5BU UqXuQUexe9SpeW8odua/8NDYM8DwLyp+35gpk6hSTBiDUlau8l+OLEaLQOANkcZ5laWPZXPgVq6G ZYeMhx459P6nYDNlhLgk1hcDi80ivBSZ8WI7rC8cacEZCLQ0eupjifJwswyX/EWoOV2g31cQhoMP K+19RA+o1TQC4hsQD1lCTjKyCLIvspOL11Vd3HidttiwpASG7lXw1HaVi/Gg/n+kJGXbsUiZxgF0 wVmmPp0g/9Nam6Y1WuMO9vymf8DnVQa4F9/xVdGNIsgHlmQn2KO1v2HMs7Z2Mp+U/AwgBKcSS6tg 4sAw553GrN38e343kMtvm8Tp1D0t+bCPew4jnfdU0on32mPt2KJJVy0ghJaPP19pDVAekNADcMWb kSX/46jDEIf3pSkACzItZwBG8FrZkHb2ay6fp5zr5DNC+P5sgbrGraXqUa98rTBa5jduVBrFcwzJ rdZi6PVhAEjwN/NAcJBOD2QIU6y4v7fm+ryW4+5qOOJoplwqFF1mWGVIm7K2JIP7apQC2ar5396d TWTTN9BL8rLc3hcMHO+lLYU4fzFo6asD6tqhjtiUym9oQ6Vp08sYNXY3ld1dREElLLw7ZT4ZVrdB iUoHJ5fE9NvbHdoM3zxFQKS8tpMNRQ9twbkBqoQgSM4yq1wfv8sju3sUUf/+/4O7fTIJVXLJaVxV ztfJa5XL7ltiGzk5cNaqUg1Qfb397BeSq6WPRAoqYmknTSKVhRpmA9b72YN6bTXcSfK74VUhc/gk eZXNkMMGjiPXiwRIx0onSU3Sg5PKpjnPcZboQjGvrgXSI3AWHnYNUp//yb+/dPwvxWZWlGNAg29Z Eg/opdacFU8zmY8HGIrG3FuSf/PdsxqSbysvFoN7E1V3s6cUL34w78dx03M9Wx2Ypvw/NmLkaET8 FSBZSLEl5Xqnxki07wm832GygJxEdmqKrw/XMLGHYFmZHwkQ2xXjRSZioYhMHUx/3Y35OgTyrLRQ 74wuGEPE7huGj3/YyOgUyVwo6XwtaEuzkzXJcyUf8lDz4ea1eepzbK5/vERaTsN77JB1l3ijqZlo 2JiosbfmB0+gzRhfAG6PliUYxjHmyWgQ48eW3bf4MOcFFHvBfI0QZ1Mv6RM9lX6Ab5M6bQnk3gnn EYUHQT5+mPL0unm4w9/vGGJaWytYQWJFhhRP6Jn9datq9ujQUxpzJJX3qjiAJWkyNKpTaf6VjqvQ pR9FA4D2xDpWMgWL2/uPRKGESXOM9ZddA/GN3/+/CHrdyrA/8TNcouFwFEQUFToBWoGj8CpHPcrY ugq9X4tBAn/heugNCvAsNjWXvhxRKodcPtWFAQIJKPbW3urQ2ejKNHfrG0To7g2A3iVGfF/krj89 0YKqZzaZnrH+TquS6zUMFCMUtgPh7Prsco76YxF0K32Wnb7ISeUd/m5rZjShrPS2CubHQGWzAbZ2 BDvzktrrTIgZsau5gagzBnAhOYoOYQ/+n/O4Oe9jiwOmmpz2PZ3lHVqT6UrOxJumZr/V1rXB60VL roAXQSb4XkAkRbjBx/iaumPt6DxuDTVXvlRF0/ynOEu1JCuC+rhRReGQCaOyojmG7sUVZVQKEQEt P8am9UOSlDXzFALDOV7TinKCFwagVBwJU4aLe3vBTZXa3l65F6H1ljOwHAo0nr1keXSXrbrRQesf bvMfcOg6YY68lyyVNI+yENryF7n1vYHL9QtqReBbK1InVpC5Mi9R1yzAr6srXyVhTNwlQ+pfx3S6 sNKynpMhDezpDoH/uqJSU7kxUNBWYk18DrFbze24ApgLjuRG0dTtKq4+Me8kr1wv0wmwfnhY3CjK x1g2xx18LW5tjkphrX34hy2WAfqSpGREHa7TPWSKzjH8R/6FP/WCXcKFngT7NBvoj3iEX+lboE82 IwjRV6K5zj0//DP3qKymWLewgdaYtotui8eao+ubCvFfbCnyQB87D7Z6xT5ogepjtaaWqmVrO58B q9cS3wCwkyeKEC1rbRg4PuGzlViVYIlDYJlFIwAZzrz/VczToK+4bci2Yg26zRleYyiOX1NVOXKc 9/OpIxtiwPjK+6jzmw9DzVKfUdyJhzXGRCTuJPd2hnVbArPngk+jYh0QcL9aMvGAr/Or13rEkhqB h/GfvlhAdPBwbhugKFdx0jTgJ/IhIUsr1+8IQ1wn7U15lpCi4Lio3ZsLVDzRyDl+sqDmMNJEJfQX rk8O2WafUgH2MjQLy+p16VqLF5uAh0SxOoB0OGQyXhNkGIZO0hRQv+7H59aDq5QzoiYdkG0/Ie9O zH6uF67pjjfvhkH1DiRNjRG2laXVOxf8tJdn8g7Dy0yWnY3pj/xIefATvaqvKta1yyoKq4FyLApM aK2HJX4bZahhiGHkozIiC7pJvyfppTSJqWYB/TJJST1opH8aOr4aAcJrOP9MkKnsU+t5vtWOofoT EOioht8/3EW3FW/LfSTHbNPRE+7VDwCUdj97TEZHWKVg1md/BZV7eDBu67OR9ZlxY5kD+Te2RTFG hxlIT/09tfzkozSG80KCnieE4SLJ+VJIrSj08x4QudkjQm9wHrajkQoZ/OK8oAcKzyZzhBqSfI07 IXasMrhgHrKVuXkA53ShF12/dEH5l6T1JYWSBJQx6f+fLS3Puk99rSwPmM3nVEkRSXrkPSDksH2I qhs+KGLaYhKFhntr0gGFuTj08fiVnN0x2L28yxVupr8AHq7Ua/SOZcF/CTw0h8yo1e8vPLZjCdFA yiXNy3ysdEq6Zx/2vIwPafP/twwhiRUiCV3seSK+U4mf4SV5LPetWwWRh9aBYW6jLSjzkhk8dPb2 78//91vg50FIvrxRfMiUqUwJfz4XFf3TTSBm2Lw4vBz0TljJgxrfag0AnKnkeLkVRwpVczLFKq2l aFmPAmiImmrr+0UeDWxy+9ebaxQJS1+oqOWBISZKU0YWSm8jbwbr6cAQcicsIZqcY4popsw+MC7L mwQy0S61n6cSv8GUT9BQILkGIn364/Vgj0nNPs5Uh1K4LcOm5es+RUq1M/J/Vmb4XoK/jEGkbmly ZerjlvbA1HAHglD0jQigKi7BMrKV5FZumbUscMiV0pN4RUGtc9sxKqZZBCcIWDoisikPf1q7hwiv +n1IuheQ2v9mU5IoVtRYPrgsizVdb/ZHJS1H1DvfbCU4afxHeDu0uaXWDhsxD2OS0DlBJa6vK4Qe ukWQxcFDMVC/GGpuWpkt4UxeIl8qMIq77uKwBQI7zr1u9LUEshrNtasunnSE77T8z4ythRc4j9S2 7LoG6gv5XuvylH+Ro/vSUgKEH9FQsCcpW+3OiURSU6Nq90VKul3oGZJXBeUa2iUCTLzwXpZk228I pFfFKG3peHI0apjBJrG0gvxZeT8yQZ2U/KvH/qzzK6OlldlHDLuXRILbHvBP3tK3UpxswHGij4aH 0CI072fbrLLauZoPaHFc3inuACGYpfjbxBGWnwiVQF6uMbYyYbv9uD8in5L19uOXlH0i+SCmGI4l RFCAeijkDUsWG3sXd50uPm0A7e57M39p39wnq7yrEeQzAYGyI/IAlY5o/cMWuC/1qvujs7fvAFb4 qHkVA54T9xHmJowCNXbx9pU7LD4OnhqR36l2L0ZYeLC0lfapoPumymKfpRb5opoy7jRipBA/LatY LPDlnk526GkDU9+HxoDKI9JhJjEfbhH1IYux6PjxAiNVNVbZiUm0DJONxWqEDxlEBvxbILIbHyXZ 9E0g53akhyuaa58Hvhqpv0eHiFTTfO3TruET4jw22DvsT3romF3Em2ocvI+Mp3kTuCKBK766PVJd gZdJE7qeKroyxvCjRuv1zkCa6pMz3H++e93UAqs2XSN0YGfHjDv78n2cJHWBWApGssQFO0iwn+2d zek0MojIIIAK3BUycDDI29y+hYibopm/REBeOZimqw5rQU2AMjy05T/9v4sQKTYAXfVa2EeVekgJ /i/1TzUJBDxwOEEUt+foqUBGoj9ugLssQDz2EuxI43mBNWyHjZVaFuRg2R1zs31uFJywqJ9hETDa LfZrxVUkg18oZE4OoqH28uRjk37CiD3FEaS1ZgedmlyAo7n7R/4NPr9XTjc4SxLKGxWQLeSlyspC URs27GiRX9h0HkljkKz8M7XzM3qP173da5wTx6nYjQIPxmXjOk2Fi1snF2vcRiBtJRVnGabJ0aIN j5yxYf2xsuvZgTvaQg10ztQMRd70Y7Vr5UE0Y/+ItnvBL71MyWMBtp1wTOfo9Cusds/DlvBbqBap ERD1onWpt1nqnr7+ewlczAzNKTndQy481ox84Zk6O0mURyxd6SOwyhsR/1xzMp5OiRFf8DpUzMCk blK8KUgTzBD8v6zL0WkiLzIGd2ksM5QKGr3QNDA7edgJ0Dk0wRjNMP2xUCt7mRmTsH3OzmW43iAx VZ57JZOhyniOF5KTpEU/kBe+5yZxatoRyow+2FMq7ehdb9j1pC2XF8kvqJqI4MRZOevYs3ZOJN/k /a9+5zZ2vjn8GOCgOH6ctKOoTo6fPgoJU7/0g2VqDlin7dLdYrFBwJBJsZCazlj7i3KlPIQ1YmUz s64JuXu6z8yZ/2EiyQka+YxXSilBw3G40Oks1XfXcEFlfH8rvKgPslsuvC/5UpQa3dj34UWzM5wp XfNuHlnJTcOHO7LTuaDq1+VqUPwHgAKg9b5tw7R4viD+1ePHzta3UOwhqH1RUCeY0Y3QrXjtV3pH kK/xORBDJGWw1wM34OBhlbrN58FGxlg8/+hIlrJJ8EMjjmxtc7Phfh783WYK+3jhiL0JXzKmzn+W n82FjN7/x0YnDOEi8IlsxtdZewZDotAv2p79ulTQsc2eySDthyAMxcS6Kdu5URnOUelZu6e2Q4eb 1qk97D7LmPiC2S7Q9RdT6ZibEwMqqDWqn5wUwL2MnKrZ4uarOgDc1jYhjIgRyuma8jnYgIK66xYf CWz6yb4n+M7E65+tKb5zdFfgy/dcSDCtTVlSNPVGC8pWDURpTxaB2RhD/rr4L1Y8DEkDIceujeyp fSgkgY6/fiU7MTUjKgWfpM1fQa22Azmvj39hFuKAe0zeOvnMUylIHRto3FlBduydjPpekf5PA+PA xqqtMz4wmVrKCW2e8phPBh8tsUGyHGRj8r/xKX+mOTYnn7PwXf1VMYFVJS8xed3P7xf+GboeTZkP 8ZJNa5Hw8tOafJ55TUYcddlfNL5ja6kNJ40VmBHcoDNvic0JSglgX1iFnL8Iv7yoDTsqe9GXl5zH /jPvVRkrAoGdXzw6gBiDgWVsbrWb9sCD5Qe0vhrdUgyrmps8bVDtuYX6dAzWaesb/RjxzP2ZrmDu g9qSCV4eYscJytdqzCk0A36Wm6NhLze/NB+xWtU+jCrLOhFBv+Uu7y9W1yljhnstlgzPgWuAV1Ea QxoRsYOllHJtG5+5sR5EkOLhwx3+GDI/0gZ180sHKs1X0X/OveM2vTuiw0DHgjbvAInp5Ny4kOcw +5gTATzCTrX2l5nIr/0Wa3OLKIShnf5dWB1asKlbjA65MSQ4qSuQFY1Qbr00Vwpc9X9lHLNmTNNc PxElljPJ1CH5prkSriiWwkPmgXSCimKmZ3lO+IVMRLIIYcbRMswadAoanFATintn7jr382jCiAfq 3ZSUn7XuYUWaEmvN1wxl/WPeOZNDv1+9kCiS5TS8M1ZTVqAK/7dirilojFDELoSEFevrBX4DKjHY AZLbemyOw1Dc6m/+iZRU5orcR7WI88UsbjzvmJgE1fbEsNAoeK83ExGpNAMEoAvMpPh/mPJOBJ1X qz4RFvFdUBNSL7LNyRJnkQG3RNS2ant+b8PDTOqU2n+m0c1NuWJoagkNAkrKQ0u9ytv8Cl5npPhJ zhf3jCLhVZfOx89jSVDnKPxXTo/9OdmbbOxqDNOmGwqsVcfQ4I54r1WkYjpirW3j6hslx4H7w56n 5iuORS14/RDQDiA8ekEypr8m0fl/f4Fff7vyEN/SnYKMoqivk2Vu638SQhJbvsKnhLUGGp22Ge1o n5iSSkyAZn99/l/53g/iqrDD8mj8Qgx5ONGg/gyY53FOgXQx6o+yjuJWl0bEOto4NzS2hORyMH/q 9bXJTebK5tTl+fRdopQ6tRtGLMxZJMtEpeR7IWB3qtf7+rNH5crxBI7XzpVR+iSRwAONAlY1v4Wv cPR7xPg+PgS+4k0rxgAec8M93oanF+/cDznG90rxxyOX13LP2WprKbWALSfazEGJ3bJYI+AmZJXC TAB89EjG2wQbCVErrTyC8B8C3D1IQVRVXu7XJm39AkVXUpx76VBwbb2DZ03rnc/Yy3uXWZBMqyNF UDjOAhiZq9uThQU3xFpjF/hG8bQm2jxtPhJ9QoOy4G88HjnPXly3McH5DEXViPq8vYDEhTjE97kd WR6jdjYEUxT+DgV3LrgFO5oIEznGKODhMEwWANZd8eFtnfokEJHMjwMnLeKSeEYUrEgxVZyTnDEv ZNW8mQ7nTxanskGGUl2A1/E9t2j5/kw2VI8IhcF4n4FaFNSkRt0yDwvuqi+xlN8xf2ujSoHCsgke s43RW2s6LhQvi0q6HRLlQ7hqNcIDoeHuqF+4deDm9np2D17T80XlLxGBslY9X+glpKwrE6YoNaRO DSxBfaZ8AEd4zC4xWqCbFxVLpZbN2BuU97sbqi2YWsHv9B05W2orOpXHaJ3mMGz1q0zca+/QpWbW 7kNBBH5AjWhej7T8zkfbAcdU0zgocRuNLWYmdQwGjN3iHwt0tJHt8FPAREirW0u67W72EJ5M7XXQ aDGKh0e7WrjUtqGxyFte49qWkVTcZGz3ZgYMKjsU46xKB1MxkEPkomSiKQ1j48vvSTfzWx9cBlI6 FVJmK1TA2BK4adl4GdYdAZQ2Plk+oQ2/Hq+tZn4xS6uxXIhWTo9fim01O0I4nTgCu52uiK8svlVN 8cEdiEknv/AwkvUjxxH0MyUQDKxT+/vdXfE9L5eSOhyHR8ROIhTBHJu8aPDS0jHiY12F4VFY2KsR twr2MbNiElC/q3AMLdV0a4S4VMHU5nifucPYb63s108ZsvRnRm0kML98SxJTqLy6cjVdEU3j/tF1 pf94gFqoUMxUoxC/IDrNwpFNT37a5c4+c0tRWiFcGxfbT6bP8INX7wskWtxgIw/k3sRYLAzlq4FZ 4tundeJmBv8KKHAVUX60/fvdYA7X5arjovtxTq79lftbfQlAqWbvPfamE67TZNzGSu87ectnKdgG kFJ/4lqPieLl5h0vBuknzvqLRJqnY77B1gDdz8HjfOA3FPLG2slkMuEXrInbV+7Ha6YZpMojVdGj BVK+0Q2HBsvtfrkUBn9MVpw22MvTII42sW7xj/vfn73URuP/NmvXuWCX/7MX/rLvW1PwVHdMWHXP llYS5A+6m/1mgnPJeAS077m/hSgw/UXSw/Km6I1pLhdAmQVsmdDXlFMA2WWFygl44uM89OxXan/R z6Vw8Wtwx+eJ8fJxLtI6crcqFFFuy7tIEYIf68gMvTZYB2Ronn9M/hmmgPeu97ZH0CaKpMo6SO4Q GlXk6KTArmpz1NoNVPvwK59brYbvheOMFJX7FoUgI9lTci9njl5gkOYlx82U5Rrel4um4GvpvPpn hg/+KvFj+iCGBynXHXEZPW0a+9HGiZuvjI0NI4Df/D8rdjRXjuXQpXv0taLeHgcaKy2Qo71A2if9 ez6BYb1I26Dw8VDQBi5QDsUVPhovrAZgA3jlHT7K4ysizzcO1RXOpakjr0xsZgW47qsMjOoWsuKN vNQNIxvqgEKlk5f1xIO8TIT8k7XU17S7Oz/r7VcjTT2dFoHoY7Su8hUXdEtkHElXQFOI1Nf7KzMC 6hwBPOx2lVLIwvQxrOLo6FT6J9j7wyspxxg2kv+n8SRLk9aXZLYcxmitpepGKU1s0bXCGlptKyUi GqlHRzD01mZjYoExBgkmj35vMkbkkd3wWbKZYZT2EuwXUCZyhn00Rtl1Nh2lfGmaadF8XwKzVUBi 4IZzzJfzR79HDWyiH+wp+oC5LEd+1olj+7Dmk5b8S50RMXRNLwZNynGkEVfUiGPq5GPETeR6lQ8f QwNeR3fRkfVsUhFBwQoxEGGFBEDNJPAutL03tz91j/+WQYKcoosIi74rcCgfLPKeZom8O7jCupxN xoBNEqEiDwyoe81oc9hQasRbQFqXg97PkAQ5lBoa6IuQVVoI6//9S/lXCZDu7DJ7sztCqDAmE7Yr DIZ/mejxCApL/61KUGZUj6Uwc+wg/x5GDzMOgY+9ttnzkTUaenkvGF+XdOrU2S+z1dznzSd48q2g gW6jlWiPRzbnRkQTMEyZkeNx5QEZ/qWKVxduJlQ9VhI/TCyq4SZYR1SsdS3g6ipga5yNt2vdA7co 3ICv1J7bfhyY45U7icaN5AcCVhZf/OMkRF204Xot0T+KqUu1OggUEw8MDEW59B7YDcZWR+iJDFtM E1XTNdSux7zD2t2wSqVTIMFNL9aEDwnCKfExdwQApkKS5hBXWY66vikFQPu6wdQwBcemUMsCvpCp fWVMxU+V49mJQ3TvfRSq6dqjENHuaLNy0hs8dLOuhMFMWtLVUwLNtS88y7NkCe2OeLLJSdRZFuT9 pWMgRfoOebohqhS2QEWv+oQR5BgB6n835ClSXNjTx2o0g2C72Ainoqu87KNsBLYawvaZHJDkB9Nw OZTgAabkAwMKsjy1oOVeHRmk+MP7gUxDNFIVZHNYfK3RcqTDN5+sQGqpyZz3/aNDKBOq3Fvgubjf hruWSakUMGI4vyQ88jXfoJUPLe+ef+IeikhNp2I/BvwSND9+b80YeZB2IxLRObxb4y4u1YQEUVNl 3n++3Pd2glmEL7DC2ze/YvqDgrL4oKLkOGplEYWHPLHrFfNhbEE8cH/Wx+kVyqshJWLjWS4cQlBn 2H8oGuEOFdfkaPeXt13+ytwfhb6MWzf1jL0mCEQq0bZ70NsnXCEfefNaDWBaq1uW2ZexuL5wnz3r CL5QK9EviV9jvRSPAcIsj9CstkhJjJ2GlAKu25TW419JH5dJU0n43Su0+vSsw7gvevEH7eQzDZe9 XF/HnXvYQPeyG9v8VRbhjcovpzytZPJU63jm+Aypmyj2DW+JXCxe4l/CgOkWtvpKuUxzb6Af85X9 1T7Jf8j9J8kuvZlcrGBhDJuiSew6Ae1kV8UzKJ+lbJb9TGFZedgLUBn59XQjYFJQMR9YRpPC4v47 DghrV3VHlFpObQ8iZHgdhOhecv1kw3okf6rlDTKCC31LCiWHacoHrryMzWmXnvEfds+YYCgAx9x1 8Xhox9mCRUVutQzDB0Z/8qoftp/0nJtyKWoMnAklO1+iU94Of3Miz0fVRLaNN0KPoZdOq/Wklx4O XlfI4BPdlvyz4plz1+Aayng5esmas90XplECBAPP8VgJ7WCeRpKpRWWpjU3zKQWb4pzvywCXfO+t ufWYd9vNx2gpoyLBdPfOvAmJmzZkWUcqzP1mbOvcjznYzfIpPenYdePRp7TQbxccQrzkM6YUjEdz gGdJxMGgehlq8bjdUIqM6Jp1tJvUci+XAbbsY0q/gftkTR1+ujjeRQM5/TartI9AB2IF/3empJw6 twYpZHMYhNa3t+yo7b1mI5Gb1QFf/cPDZz8vPAdzcBjVonUU2GfCDzOXqObxHCg6Gun4MrYK6wZa 1rfjsXs2NvG8qQA9ObCjdeFgJlqs8bq516T/wIRp/68Rze++SzJJOEoAVi8sbMpOQ+Cz8H9ZkhkH hesDiPA6G+90/nfw6A1J0AXDQV610sjCfW5V8HLJJk3n8tUYYJsmJAYsL7mUZuBFgFz4Dt8OrzhK x4sVf6ZfxOy42lTHrufluinACEm6H4NfKXjBdseRA05erHpppWWE30qrR7ZAciXVT/E7Kbh5bkfT FgVM8a23V7vKgAauprEVLqmPLwUbxTFug51eXWTcPeAWgc0e2R2xllzhUaU6z8j8nPWsR2seHmTE iJA56wZFltsHfvUSJyCzy6V6gbvb8Fo7Gy9nW7gfMzTAT89/zZLEl3wDSZkyXpSDzeYxrf1UZyht mOmhgp6mpA/dfD1QRt/LYyeLq5ikfVNXBLfRlxo7584gcwNjyXndiaTw/l9KE+l5zTsxpAHglLfc iUavIAgBslRQnZ7KH5mW2vflsf81tuolVe+Rr6+E6qSJfLjxob3sHeqkRaJ2Kc+j4Pwp6vNh0N5f b0FaZYXz24QuDkbFWNkeCnKNqqiByrAJb2IhrDQvaOCKyt5wYpIz9FYgGrpSTACRZq4+nHciwKOe of7H2+TfRL8PQD3a5fn9CwurOv0MhQ42MW2jSABNRroIIrdrplaMBpMBmwtk+zj1eLM0y0ys3cy3 ZHWWvuUmG2zUNDlSMTJ5yn8xS8V+i+wpPnx2vS3d4E2nNnvpOQZQaSxHttZqhgRN8YT+mAdrX78h m9YVoYq9jkEcstgCWWrUFFiVNB0fWFZTeIJUMF2NIcESOVUFyGR7ESCOGxeW3v3ddGWUnFOnU2ER U39TfpKXpCrOJsmriQ5VvYjUH0RK8Kem5u2PXzMCiawQNwVeyODqzFQ0iyU6rXRdkWSypUHldTA+ 8aulr+2dUdDjmwvhS9hux9v0Qobh3gh2PdotABOj7eCqFq/obQBCbAkSYEWptxOKR/UJsesQY/QR b6VJXrRqEambu5qrBs2otAXT6oN7SzQj0m2V+ueMpT5NXK/2GwA2xxbsZdR+ExO/8RfkRXkl3540 s1cNfGWP27Hjf2et6q5y3nTxESN7V2dqIbtDiN375YN0uJZaQuHeVagrqcko6sTvb4/HyfYNzYDX SuXfCv69AslNPEXT2JtA6efZpRvj8oCtxZFGkpcF9P1KGDyK8JzaDV9VTjc/7QF6rnaWIMluaBVB ey+IKTbcaWFHv0hqlvYUBM0PVREAqcmn63A/E6pamO8lz6NDMTYa+q3qDvr/PtvlMmLC+J6aB864 ox2Rl+f2iYyGj1UwYcCPyUM99zSj1K7eCtqws1NgZD3KuL/mWpc5/q4sgqCIjLoxJRGXODDEkJA5 m0O0HcWNYO6cnHRRkB53SooXrmBqhl/TA2je6S05mLg3PWsSCPs= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Aeow6Dar+wPwyCuZ3CpouVllPxC4llA1Ukm5brPkUf6jbA6jqKC6lQwebzsMw19h5bccH9idRwDY jemL0fBrsQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block fhH+aqlEoKE+JZq9qghNfSjPHdRv5hlwG+33iOnHAwoQz3JLEXCjbjK+/OkJ0VZ47mEYRGFh4AMB Kd5bYAsHBVfJU7e93cOCmqMi6q1NZ1B4TYSa9cbXieENl3lcMAWDjVXehJfBz/WX6Dwe2sYVqHas VIMFb+sNilgPS6HDJY8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XK10objy6h7oUNp7hJFakI8VyHyBjdmYLutHMeS7A+cEBZkpecVT07LulHHgQ6KKziOF+tKEi8wJ SseDgde3hi0l4iPQkOV10LTfLrRvJhBfeIZ8giQp1t0SrhVy6N2SSqAn2eht9NkZHhhTN2ptQWFp 0WxnKaCc1sV3GIghFpbS5rrPhG5y92GuyT5FWa/h5Ldzc5bI7CyoJ9vYfzMq58minDKJ0Jm4Y+wp yHLZA+Ov0xHxm9GrfwhCkATL3ruMGZYQt2s5zV4QI9wQ2UIEz+JYy8mzm4GwHollMtB5NRf5scWn l79oM70wWXF4vtffYUC8HG3KNp96Na4QKpBYPQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Ykye4tSzDCZpeQ8JRXb0ob3ATquwfCaSG/ly4GVsy4bD2TWi/SlkZna7zRiLnZAzCamGizuqrWRL lhFyzoZ23CkJDaAHrP4R0DVjNPCXgacmijI2x8zSxwjKptfeusObi+G4cJ4ea9XYuXHdvqkbssiN HQHbZSHeJPlG8zIt/vw= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block j5byzLdNMnEoE5qwywfZ7XMWUUDa/vRU12ws0qpEN4GtoTZgc2FboDnnBkgSUXUolpwgKX2KPVWw P1VJmwcR15FUwZQrqh9sbS63mL7WHPSLNYElbx4uy534LVuKyABLlnHKjoHmVUtp/4E0ZuLGoImt /zsHn4GgLIE+tuBcZ3jTd5dxjmeQWJivwwjH/wFwCAVczK+9bLnUfJbXp5EAOTA495Anp3M7uHef CqLawyLHuTbCQrqf9W/UvFrFP4vYRwsEsllUJ8mnvN8qELVwvhBehS0LrP1gDGa4Rus4OZzQgGv3 gxc6OKVuHGv/NA5wtcEC907wTYphLFlAs3rhjw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10640) `protect data_block XaaX46qy68UqWKNhl6P0kvmryxr4rk+//YoD6Qd1vz1XwvlBrSowOiWseLIdjZVaLVSQsAZEEEbH taruDjzbeB/36r4LzPyjacu9onq4cHbJT1iRyfeVlvKnWI/Gsrw111DHE7A2xmO+9dRKGvAP1xE7 X0tdnaEWtm35c6Gl3rRyu0rPNiajNMIO91N0FSKHFdfENMpiICiwwVdnzEM7wDoUa+saad7Kt1d/ 2n06bZD73fIKRMUlV4iMtQbt78cEhgHzMUl9EDjJbbi/P1jAFPlqis8FFRdDwtBp/MPAPi2lw4RG eHCvyd3lFYPn4LkBibxm2Kq3LpzDBxZV7/hrhH8bvm+b/qiQSu19M8OkLSnK3W6Er4k0/bI824KK j+IFYCEXoqSWXNSC/vQ3E0Q3MZ/xSvbSe9ts+SGMJ1JdWFdsSNs/a/mS/JCX9zg8GpUacbK1BhLX QqElh80gIKOfuipyxs4wA1eXIdvqFoV4+UTYaGFFYxdc266xmDcIUOCRvfES7zz8AElmDT0mlOLN hg8ibgM6eLIQzlWAWThqaHXeKVBuaheVxmmuZTUk6ZpAEMBk37KCM8B8UY3UC/ywvqc62Rfv9QdP oIDE8k3gq+oaOCLTZqnBDS0Yoqte1UZM5yDh8Bez4znfX4GXu0RgH+YMrqNIJ7yC2y/Ay2xkIbzj COLsATDLvNFhOHBHHtdIivJ9ubhmVSLj4TJVLzwVkjEEFVkXKxV1ZzwqYAT7q3jAB7XGQY5ruvTT opQXSDqBkRm9tUy6EmoxtV8cEpiihyev6v4q71biyc5k5Oy1Kf4/7hoHkmPw55x03sjxFo0pKajs 884THddTnuJuKYeu8dEJfOjVlbBsGf3Q6Qij8NCpjGjZ4qD85b0H0LdqHWwsjDwWRIGHioDdkXEb 3jVmKmSWlBjUOci8qsLSeG/87OEJIPP4Kux905nuqet30pLUnMNofu8l4nJWe0LQ4GjtQxhMhaKB VYrqfxo3E+YD5X0ZZHpqXvfNPMUocoJbniGL0Jh1grYUI0+i69dy4yaM7ElhylmjT92EAnSXxC2H 8QUKVVhiTo2Qea2crXme7es983/YCUC0YImKfGHKw9wuUaBpwpIa2r5y/tuqxX4kTJqXDgcrFG2i R+ikysXTMnJH/11A6AzK55TN55QOoUXqH5325Srd8+xXKQr35bkK41GXgXurTlT37qyr2+RCIn9w hSrC2650flFrOx/aiWi2Pb3e4qtPQOMvUOb7hYWcyhspNBo9wjzybv24tKFaexRsN6M7u1rBGHVD CNFY1crygF0pSZGM/9SoNCvlyxs1IlH5qpnbL6WtUbFy72ufIDopplU7jJ+DerIoVotpvygkaTnd z0Mel+3vH05Niq7Aw0UsfPO0ptDHhoidrnnmFzzlfPlgC0LWFW5MPLuKdaC24y4l9tY+25ZNnEkD mDdXzbrvFAf/jYeNpOPlO3cmIPyMmVlzo7MteLPissRrRiJTB7sHck8rQauTYCnfH33jHy0ujh1P IxLD1qMVdaX5ecjsXL4lfnhzHg7DehTpbSBfMIc6st4574pDCLjDPwb2FflUoRI5i3/wckXfqP4k ByKXO1Om5fAdi/huU+FobYD+u6YDGw2WUauqUQFSFdmb1HvPOmMvx8pzF7hugyJhLC/M6HgcoENc MJgF8PTLOjPOaJUNcNyMVn5SVSvwbvP6hyZ1R/pV7swwKfqG1yt0C+1/Cjov2NHzzZo3pDm2pCq4 WwqzJa3ZQvzaM2mPXi0ECvXg51DdJRKz9hjL83QOyB/uLX/jD4znMbyPwDIXQBy8jgxpDSDTUuUL Djhfwrbf7aZCd3pJyk5W2m3ff9YEV1Gec0TX7F3efsHExmXWjib3WidlFpLw56eySBtCZWbJVm6l mk2uTlsxPyeTceVy01Ir49Q30Ds4rW0c8mNfn91GUVNqXEPNCoy3P1a+ImaDUDz7v95KjOEmFTcA vaqKxBnVFQCKulzXK+EMqSUJ82GX2iZffh45qrQYrnSMrxr5Texpq8zN97U/E119XBW0Uy5bwoI5 gdFsbeEBmPJPrkTzICQFiYrWVXK3n8wflI2F5VlNsQwg3VAqYOBEVM88AFxy1FyjK5YqOl9u1Dk9 PWeLh44uwqyiIH0CsADeV9A/dcdz1jcLFgXDJ1ugwB+drv+DVmaDUlz238nihtAFElREZkmoh2zc k6sBlKT/7eTIrhUOyOze5gGi7JdS6Cf45wqZThM0WSa/n6e0CNXYU+0KIXjd+ayDOU5/HIRoou58 KXuRxykUoC9ACMG8Bd6y5BDzmlrMAzu007itK9SgcSUQG9g4QFSUnWD8wH2Kqdnoxm/yIvpl1E2i UPuLkHNNKBilmslLQFNS2ZUSB1hUgaM3jeJI3wr/wG9oY8YCaGlFKG3SjG1ANBMvCrqa1UarMgRo U/etLI1MAPvwW5PC5WTdktIyYoBjtFIqVrhmJmC/bWjMVbdpjd/KdMGS1LEwSGX4oqMnSa/6zeen N5eGkZy8XduKShin7qqBlh6x64IBtxUDd3esalewLOk7mCRBEZ+TMkFD/nhQwzvq2CrpaStTeMXX YJqNvNEXagDli847bHFETVLxGDwBRaQPp1ZbzUKzZJidgiIbteHHdEq4I5V2OS8eoLP3I9l0DncM srtJtl8M5YGnpBFaSoNET37p1nUpfuZrm8IZUKZCbX2CwFnWFHu2kkqZE6qGo1j4Iqe02hiBOZ+t Ww36rBxwNvLA51bVHx/QXBa5GX8mYau/UiOiriClyY/oiUdcSGdZ+y+Zz7vK7iqVn7JxdN2mC4cr K8uA+P2Lj2ogmw2eEHsGacgLI/DdZN4OpQbou9G4svmYCGQrjbYakKh3ExZMuakcEdI3TP/b1GP9 rA/6o1sZV998Y+pCZrFo4RfewAUm3y49IfH/fIzZIMBKesMNNch7okulcb1xjvZABOUlOmkBaVOf ES9vVbu87EFbCGqs36YhrgsWydj6Edt79GnmaP0Eoy+aB8Y89z3ZteZdVat1eSEM+arei21z+sPa RWEvA8WvLE7FhKfTdEFYE81+caHyhiYDXd14S0PfvH/012cF1LGxmvyd8hX/DfDEnozb1tzCu5BU UqXuQUexe9SpeW8odua/8NDYM8DwLyp+35gpk6hSTBiDUlau8l+OLEaLQOANkcZ5laWPZXPgVq6G ZYeMhx459P6nYDNlhLgk1hcDi80ivBSZ8WI7rC8cacEZCLQ0eupjifJwswyX/EWoOV2g31cQhoMP K+19RA+o1TQC4hsQD1lCTjKyCLIvspOL11Vd3HidttiwpASG7lXw1HaVi/Gg/n+kJGXbsUiZxgF0 wVmmPp0g/9Nam6Y1WuMO9vymf8DnVQa4F9/xVdGNIsgHlmQn2KO1v2HMs7Z2Mp+U/AwgBKcSS6tg 4sAw553GrN38e343kMtvm8Tp1D0t+bCPew4jnfdU0on32mPt2KJJVy0ghJaPP19pDVAekNADcMWb kSX/46jDEIf3pSkACzItZwBG8FrZkHb2ay6fp5zr5DNC+P5sgbrGraXqUa98rTBa5jduVBrFcwzJ rdZi6PVhAEjwN/NAcJBOD2QIU6y4v7fm+ryW4+5qOOJoplwqFF1mWGVIm7K2JIP7apQC2ar5396d TWTTN9BL8rLc3hcMHO+lLYU4fzFo6asD6tqhjtiUym9oQ6Vp08sYNXY3ld1dREElLLw7ZT4ZVrdB iUoHJ5fE9NvbHdoM3zxFQKS8tpMNRQ9twbkBqoQgSM4yq1wfv8sju3sUUf/+/4O7fTIJVXLJaVxV ztfJa5XL7ltiGzk5cNaqUg1Qfb397BeSq6WPRAoqYmknTSKVhRpmA9b72YN6bTXcSfK74VUhc/gk eZXNkMMGjiPXiwRIx0onSU3Sg5PKpjnPcZboQjGvrgXSI3AWHnYNUp//yb+/dPwvxWZWlGNAg29Z Eg/opdacFU8zmY8HGIrG3FuSf/PdsxqSbysvFoN7E1V3s6cUL34w78dx03M9Wx2Ypvw/NmLkaET8 FSBZSLEl5Xqnxki07wm832GygJxEdmqKrw/XMLGHYFmZHwkQ2xXjRSZioYhMHUx/3Y35OgTyrLRQ 74wuGEPE7huGj3/YyOgUyVwo6XwtaEuzkzXJcyUf8lDz4ea1eepzbK5/vERaTsN77JB1l3ijqZlo 2JiosbfmB0+gzRhfAG6PliUYxjHmyWgQ48eW3bf4MOcFFHvBfI0QZ1Mv6RM9lX6Ab5M6bQnk3gnn EYUHQT5+mPL0unm4w9/vGGJaWytYQWJFhhRP6Jn9datq9ujQUxpzJJX3qjiAJWkyNKpTaf6VjqvQ pR9FA4D2xDpWMgWL2/uPRKGESXOM9ZddA/GN3/+/CHrdyrA/8TNcouFwFEQUFToBWoGj8CpHPcrY ugq9X4tBAn/heugNCvAsNjWXvhxRKodcPtWFAQIJKPbW3urQ2ejKNHfrG0To7g2A3iVGfF/krj89 0YKqZzaZnrH+TquS6zUMFCMUtgPh7Prsco76YxF0K32Wnb7ISeUd/m5rZjShrPS2CubHQGWzAbZ2 BDvzktrrTIgZsau5gagzBnAhOYoOYQ/+n/O4Oe9jiwOmmpz2PZ3lHVqT6UrOxJumZr/V1rXB60VL roAXQSb4XkAkRbjBx/iaumPt6DxuDTVXvlRF0/ynOEu1JCuC+rhRReGQCaOyojmG7sUVZVQKEQEt P8am9UOSlDXzFALDOV7TinKCFwagVBwJU4aLe3vBTZXa3l65F6H1ljOwHAo0nr1keXSXrbrRQesf bvMfcOg6YY68lyyVNI+yENryF7n1vYHL9QtqReBbK1InVpC5Mi9R1yzAr6srXyVhTNwlQ+pfx3S6 sNKynpMhDezpDoH/uqJSU7kxUNBWYk18DrFbze24ApgLjuRG0dTtKq4+Me8kr1wv0wmwfnhY3CjK x1g2xx18LW5tjkphrX34hy2WAfqSpGREHa7TPWSKzjH8R/6FP/WCXcKFngT7NBvoj3iEX+lboE82 IwjRV6K5zj0//DP3qKymWLewgdaYtotui8eao+ubCvFfbCnyQB87D7Z6xT5ogepjtaaWqmVrO58B q9cS3wCwkyeKEC1rbRg4PuGzlViVYIlDYJlFIwAZzrz/VczToK+4bci2Yg26zRleYyiOX1NVOXKc 9/OpIxtiwPjK+6jzmw9DzVKfUdyJhzXGRCTuJPd2hnVbArPngk+jYh0QcL9aMvGAr/Or13rEkhqB h/GfvlhAdPBwbhugKFdx0jTgJ/IhIUsr1+8IQ1wn7U15lpCi4Lio3ZsLVDzRyDl+sqDmMNJEJfQX rk8O2WafUgH2MjQLy+p16VqLF5uAh0SxOoB0OGQyXhNkGIZO0hRQv+7H59aDq5QzoiYdkG0/Ie9O zH6uF67pjjfvhkH1DiRNjRG2laXVOxf8tJdn8g7Dy0yWnY3pj/xIefATvaqvKta1yyoKq4FyLApM aK2HJX4bZahhiGHkozIiC7pJvyfppTSJqWYB/TJJST1opH8aOr4aAcJrOP9MkKnsU+t5vtWOofoT EOioht8/3EW3FW/LfSTHbNPRE+7VDwCUdj97TEZHWKVg1md/BZV7eDBu67OR9ZlxY5kD+Te2RTFG hxlIT/09tfzkozSG80KCnieE4SLJ+VJIrSj08x4QudkjQm9wHrajkQoZ/OK8oAcKzyZzhBqSfI07 IXasMrhgHrKVuXkA53ShF12/dEH5l6T1JYWSBJQx6f+fLS3Puk99rSwPmM3nVEkRSXrkPSDksH2I qhs+KGLaYhKFhntr0gGFuTj08fiVnN0x2L28yxVupr8AHq7Ua/SOZcF/CTw0h8yo1e8vPLZjCdFA yiXNy3ysdEq6Zx/2vIwPafP/twwhiRUiCV3seSK+U4mf4SV5LPetWwWRh9aBYW6jLSjzkhk8dPb2 78//91vg50FIvrxRfMiUqUwJfz4XFf3TTSBm2Lw4vBz0TljJgxrfag0AnKnkeLkVRwpVczLFKq2l aFmPAmiImmrr+0UeDWxy+9ebaxQJS1+oqOWBISZKU0YWSm8jbwbr6cAQcicsIZqcY4popsw+MC7L mwQy0S61n6cSv8GUT9BQILkGIn364/Vgj0nNPs5Uh1K4LcOm5es+RUq1M/J/Vmb4XoK/jEGkbmly ZerjlvbA1HAHglD0jQigKi7BMrKV5FZumbUscMiV0pN4RUGtc9sxKqZZBCcIWDoisikPf1q7hwiv +n1IuheQ2v9mU5IoVtRYPrgsizVdb/ZHJS1H1DvfbCU4afxHeDu0uaXWDhsxD2OS0DlBJa6vK4Qe ukWQxcFDMVC/GGpuWpkt4UxeIl8qMIq77uKwBQI7zr1u9LUEshrNtasunnSE77T8z4ythRc4j9S2 7LoG6gv5XuvylH+Ro/vSUgKEH9FQsCcpW+3OiURSU6Nq90VKul3oGZJXBeUa2iUCTLzwXpZk228I pFfFKG3peHI0apjBJrG0gvxZeT8yQZ2U/KvH/qzzK6OlldlHDLuXRILbHvBP3tK3UpxswHGij4aH 0CI072fbrLLauZoPaHFc3inuACGYpfjbxBGWnwiVQF6uMbYyYbv9uD8in5L19uOXlH0i+SCmGI4l RFCAeijkDUsWG3sXd50uPm0A7e57M39p39wnq7yrEeQzAYGyI/IAlY5o/cMWuC/1qvujs7fvAFb4 qHkVA54T9xHmJowCNXbx9pU7LD4OnhqR36l2L0ZYeLC0lfapoPumymKfpRb5opoy7jRipBA/LatY LPDlnk526GkDU9+HxoDKI9JhJjEfbhH1IYux6PjxAiNVNVbZiUm0DJONxWqEDxlEBvxbILIbHyXZ 9E0g53akhyuaa58Hvhqpv0eHiFTTfO3TruET4jw22DvsT3romF3Em2ocvI+Mp3kTuCKBK766PVJd gZdJE7qeKroyxvCjRuv1zkCa6pMz3H++e93UAqs2XSN0YGfHjDv78n2cJHWBWApGssQFO0iwn+2d zek0MojIIIAK3BUycDDI29y+hYibopm/REBeOZimqw5rQU2AMjy05T/9v4sQKTYAXfVa2EeVekgJ /i/1TzUJBDxwOEEUt+foqUBGoj9ugLssQDz2EuxI43mBNWyHjZVaFuRg2R1zs31uFJywqJ9hETDa LfZrxVUkg18oZE4OoqH28uRjk37CiD3FEaS1ZgedmlyAo7n7R/4NPr9XTjc4SxLKGxWQLeSlyspC URs27GiRX9h0HkljkKz8M7XzM3qP173da5wTx6nYjQIPxmXjOk2Fi1snF2vcRiBtJRVnGabJ0aIN j5yxYf2xsuvZgTvaQg10ztQMRd70Y7Vr5UE0Y/+ItnvBL71MyWMBtp1wTOfo9Cusds/DlvBbqBap ERD1onWpt1nqnr7+ewlczAzNKTndQy481ox84Zk6O0mURyxd6SOwyhsR/1xzMp5OiRFf8DpUzMCk blK8KUgTzBD8v6zL0WkiLzIGd2ksM5QKGr3QNDA7edgJ0Dk0wRjNMP2xUCt7mRmTsH3OzmW43iAx VZ57JZOhyniOF5KTpEU/kBe+5yZxatoRyow+2FMq7ehdb9j1pC2XF8kvqJqI4MRZOevYs3ZOJN/k /a9+5zZ2vjn8GOCgOH6ctKOoTo6fPgoJU7/0g2VqDlin7dLdYrFBwJBJsZCazlj7i3KlPIQ1YmUz s64JuXu6z8yZ/2EiyQka+YxXSilBw3G40Oks1XfXcEFlfH8rvKgPslsuvC/5UpQa3dj34UWzM5wp XfNuHlnJTcOHO7LTuaDq1+VqUPwHgAKg9b5tw7R4viD+1ePHzta3UOwhqH1RUCeY0Y3QrXjtV3pH kK/xORBDJGWw1wM34OBhlbrN58FGxlg8/+hIlrJJ8EMjjmxtc7Phfh783WYK+3jhiL0JXzKmzn+W n82FjN7/x0YnDOEi8IlsxtdZewZDotAv2p79ulTQsc2eySDthyAMxcS6Kdu5URnOUelZu6e2Q4eb 1qk97D7LmPiC2S7Q9RdT6ZibEwMqqDWqn5wUwL2MnKrZ4uarOgDc1jYhjIgRyuma8jnYgIK66xYf CWz6yb4n+M7E65+tKb5zdFfgy/dcSDCtTVlSNPVGC8pWDURpTxaB2RhD/rr4L1Y8DEkDIceujeyp fSgkgY6/fiU7MTUjKgWfpM1fQa22Azmvj39hFuKAe0zeOvnMUylIHRto3FlBduydjPpekf5PA+PA xqqtMz4wmVrKCW2e8phPBh8tsUGyHGRj8r/xKX+mOTYnn7PwXf1VMYFVJS8xed3P7xf+GboeTZkP 8ZJNa5Hw8tOafJ55TUYcddlfNL5ja6kNJ40VmBHcoDNvic0JSglgX1iFnL8Iv7yoDTsqe9GXl5zH /jPvVRkrAoGdXzw6gBiDgWVsbrWb9sCD5Qe0vhrdUgyrmps8bVDtuYX6dAzWaesb/RjxzP2ZrmDu g9qSCV4eYscJytdqzCk0A36Wm6NhLze/NB+xWtU+jCrLOhFBv+Uu7y9W1yljhnstlgzPgWuAV1Ea QxoRsYOllHJtG5+5sR5EkOLhwx3+GDI/0gZ180sHKs1X0X/OveM2vTuiw0DHgjbvAInp5Ny4kOcw +5gTATzCTrX2l5nIr/0Wa3OLKIShnf5dWB1asKlbjA65MSQ4qSuQFY1Qbr00Vwpc9X9lHLNmTNNc PxElljPJ1CH5prkSriiWwkPmgXSCimKmZ3lO+IVMRLIIYcbRMswadAoanFATintn7jr382jCiAfq 3ZSUn7XuYUWaEmvN1wxl/WPeOZNDv1+9kCiS5TS8M1ZTVqAK/7dirilojFDELoSEFevrBX4DKjHY AZLbemyOw1Dc6m/+iZRU5orcR7WI88UsbjzvmJgE1fbEsNAoeK83ExGpNAMEoAvMpPh/mPJOBJ1X qz4RFvFdUBNSL7LNyRJnkQG3RNS2ant+b8PDTOqU2n+m0c1NuWJoagkNAkrKQ0u9ytv8Cl5npPhJ zhf3jCLhVZfOx89jSVDnKPxXTo/9OdmbbOxqDNOmGwqsVcfQ4I54r1WkYjpirW3j6hslx4H7w56n 5iuORS14/RDQDiA8ekEypr8m0fl/f4Fff7vyEN/SnYKMoqivk2Vu638SQhJbvsKnhLUGGp22Ge1o n5iSSkyAZn99/l/53g/iqrDD8mj8Qgx5ONGg/gyY53FOgXQx6o+yjuJWl0bEOto4NzS2hORyMH/q 9bXJTebK5tTl+fRdopQ6tRtGLMxZJMtEpeR7IWB3qtf7+rNH5crxBI7XzpVR+iSRwAONAlY1v4Wv cPR7xPg+PgS+4k0rxgAec8M93oanF+/cDznG90rxxyOX13LP2WprKbWALSfazEGJ3bJYI+AmZJXC TAB89EjG2wQbCVErrTyC8B8C3D1IQVRVXu7XJm39AkVXUpx76VBwbb2DZ03rnc/Yy3uXWZBMqyNF UDjOAhiZq9uThQU3xFpjF/hG8bQm2jxtPhJ9QoOy4G88HjnPXly3McH5DEXViPq8vYDEhTjE97kd WR6jdjYEUxT+DgV3LrgFO5oIEznGKODhMEwWANZd8eFtnfokEJHMjwMnLeKSeEYUrEgxVZyTnDEv ZNW8mQ7nTxanskGGUl2A1/E9t2j5/kw2VI8IhcF4n4FaFNSkRt0yDwvuqi+xlN8xf2ujSoHCsgke s43RW2s6LhQvi0q6HRLlQ7hqNcIDoeHuqF+4deDm9np2D17T80XlLxGBslY9X+glpKwrE6YoNaRO DSxBfaZ8AEd4zC4xWqCbFxVLpZbN2BuU97sbqi2YWsHv9B05W2orOpXHaJ3mMGz1q0zca+/QpWbW 7kNBBH5AjWhej7T8zkfbAcdU0zgocRuNLWYmdQwGjN3iHwt0tJHt8FPAREirW0u67W72EJ5M7XXQ aDGKh0e7WrjUtqGxyFte49qWkVTcZGz3ZgYMKjsU46xKB1MxkEPkomSiKQ1j48vvSTfzWx9cBlI6 FVJmK1TA2BK4adl4GdYdAZQ2Plk+oQ2/Hq+tZn4xS6uxXIhWTo9fim01O0I4nTgCu52uiK8svlVN 8cEdiEknv/AwkvUjxxH0MyUQDKxT+/vdXfE9L5eSOhyHR8ROIhTBHJu8aPDS0jHiY12F4VFY2KsR twr2MbNiElC/q3AMLdV0a4S4VMHU5nifucPYb63s108ZsvRnRm0kML98SxJTqLy6cjVdEU3j/tF1 pf94gFqoUMxUoxC/IDrNwpFNT37a5c4+c0tRWiFcGxfbT6bP8INX7wskWtxgIw/k3sRYLAzlq4FZ 4tundeJmBv8KKHAVUX60/fvdYA7X5arjovtxTq79lftbfQlAqWbvPfamE67TZNzGSu87ectnKdgG kFJ/4lqPieLl5h0vBuknzvqLRJqnY77B1gDdz8HjfOA3FPLG2slkMuEXrInbV+7Ha6YZpMojVdGj BVK+0Q2HBsvtfrkUBn9MVpw22MvTII42sW7xj/vfn73URuP/NmvXuWCX/7MX/rLvW1PwVHdMWHXP llYS5A+6m/1mgnPJeAS077m/hSgw/UXSw/Km6I1pLhdAmQVsmdDXlFMA2WWFygl44uM89OxXan/R z6Vw8Wtwx+eJ8fJxLtI6crcqFFFuy7tIEYIf68gMvTZYB2Ronn9M/hmmgPeu97ZH0CaKpMo6SO4Q GlXk6KTArmpz1NoNVPvwK59brYbvheOMFJX7FoUgI9lTci9njl5gkOYlx82U5Rrel4um4GvpvPpn hg/+KvFj+iCGBynXHXEZPW0a+9HGiZuvjI0NI4Df/D8rdjRXjuXQpXv0taLeHgcaKy2Qo71A2if9 ez6BYb1I26Dw8VDQBi5QDsUVPhovrAZgA3jlHT7K4ysizzcO1RXOpakjr0xsZgW47qsMjOoWsuKN vNQNIxvqgEKlk5f1xIO8TIT8k7XU17S7Oz/r7VcjTT2dFoHoY7Su8hUXdEtkHElXQFOI1Nf7KzMC 6hwBPOx2lVLIwvQxrOLo6FT6J9j7wyspxxg2kv+n8SRLk9aXZLYcxmitpepGKU1s0bXCGlptKyUi GqlHRzD01mZjYoExBgkmj35vMkbkkd3wWbKZYZT2EuwXUCZyhn00Rtl1Nh2lfGmaadF8XwKzVUBi 4IZzzJfzR79HDWyiH+wp+oC5LEd+1olj+7Dmk5b8S50RMXRNLwZNynGkEVfUiGPq5GPETeR6lQ8f QwNeR3fRkfVsUhFBwQoxEGGFBEDNJPAutL03tz91j/+WQYKcoosIi74rcCgfLPKeZom8O7jCupxN xoBNEqEiDwyoe81oc9hQasRbQFqXg97PkAQ5lBoa6IuQVVoI6//9S/lXCZDu7DJ7sztCqDAmE7Yr DIZ/mejxCApL/61KUGZUj6Uwc+wg/x5GDzMOgY+9ttnzkTUaenkvGF+XdOrU2S+z1dznzSd48q2g gW6jlWiPRzbnRkQTMEyZkeNx5QEZ/qWKVxduJlQ9VhI/TCyq4SZYR1SsdS3g6ipga5yNt2vdA7co 3ICv1J7bfhyY45U7icaN5AcCVhZf/OMkRF204Xot0T+KqUu1OggUEw8MDEW59B7YDcZWR+iJDFtM E1XTNdSux7zD2t2wSqVTIMFNL9aEDwnCKfExdwQApkKS5hBXWY66vikFQPu6wdQwBcemUMsCvpCp fWVMxU+V49mJQ3TvfRSq6dqjENHuaLNy0hs8dLOuhMFMWtLVUwLNtS88y7NkCe2OeLLJSdRZFuT9 pWMgRfoOebohqhS2QEWv+oQR5BgB6n835ClSXNjTx2o0g2C72Ainoqu87KNsBLYawvaZHJDkB9Nw OZTgAabkAwMKsjy1oOVeHRmk+MP7gUxDNFIVZHNYfK3RcqTDN5+sQGqpyZz3/aNDKBOq3Fvgubjf hruWSakUMGI4vyQ88jXfoJUPLe+ef+IeikhNp2I/BvwSND9+b80YeZB2IxLRObxb4y4u1YQEUVNl 3n++3Pd2glmEL7DC2ze/YvqDgrL4oKLkOGplEYWHPLHrFfNhbEE8cH/Wx+kVyqshJWLjWS4cQlBn 2H8oGuEOFdfkaPeXt13+ytwfhb6MWzf1jL0mCEQq0bZ70NsnXCEfefNaDWBaq1uW2ZexuL5wnz3r CL5QK9EviV9jvRSPAcIsj9CstkhJjJ2GlAKu25TW419JH5dJU0n43Su0+vSsw7gvevEH7eQzDZe9 XF/HnXvYQPeyG9v8VRbhjcovpzytZPJU63jm+Aypmyj2DW+JXCxe4l/CgOkWtvpKuUxzb6Af85X9 1T7Jf8j9J8kuvZlcrGBhDJuiSew6Ae1kV8UzKJ+lbJb9TGFZedgLUBn59XQjYFJQMR9YRpPC4v47 DghrV3VHlFpObQ8iZHgdhOhecv1kw3okf6rlDTKCC31LCiWHacoHrryMzWmXnvEfds+YYCgAx9x1 8Xhox9mCRUVutQzDB0Z/8qoftp/0nJtyKWoMnAklO1+iU94Of3Miz0fVRLaNN0KPoZdOq/Wklx4O XlfI4BPdlvyz4plz1+Aayng5esmas90XplECBAPP8VgJ7WCeRpKpRWWpjU3zKQWb4pzvywCXfO+t ufWYd9vNx2gpoyLBdPfOvAmJmzZkWUcqzP1mbOvcjznYzfIpPenYdePRp7TQbxccQrzkM6YUjEdz gGdJxMGgehlq8bjdUIqM6Jp1tJvUci+XAbbsY0q/gftkTR1+ujjeRQM5/TartI9AB2IF/3empJw6 twYpZHMYhNa3t+yo7b1mI5Gb1QFf/cPDZz8vPAdzcBjVonUU2GfCDzOXqObxHCg6Gun4MrYK6wZa 1rfjsXs2NvG8qQA9ObCjdeFgJlqs8bq516T/wIRp/68Rze++SzJJOEoAVi8sbMpOQ+Cz8H9ZkhkH hesDiPA6G+90/nfw6A1J0AXDQV610sjCfW5V8HLJJk3n8tUYYJsmJAYsL7mUZuBFgFz4Dt8OrzhK x4sVf6ZfxOy42lTHrufluinACEm6H4NfKXjBdseRA05erHpppWWE30qrR7ZAciXVT/E7Kbh5bkfT FgVM8a23V7vKgAauprEVLqmPLwUbxTFug51eXWTcPeAWgc0e2R2xllzhUaU6z8j8nPWsR2seHmTE iJA56wZFltsHfvUSJyCzy6V6gbvb8Fo7Gy9nW7gfMzTAT89/zZLEl3wDSZkyXpSDzeYxrf1UZyht mOmhgp6mpA/dfD1QRt/LYyeLq5ikfVNXBLfRlxo7584gcwNjyXndiaTw/l9KE+l5zTsxpAHglLfc iUavIAgBslRQnZ7KH5mW2vflsf81tuolVe+Rr6+E6qSJfLjxob3sHeqkRaJ2Kc+j4Pwp6vNh0N5f b0FaZYXz24QuDkbFWNkeCnKNqqiByrAJb2IhrDQvaOCKyt5wYpIz9FYgGrpSTACRZq4+nHciwKOe of7H2+TfRL8PQD3a5fn9CwurOv0MhQ42MW2jSABNRroIIrdrplaMBpMBmwtk+zj1eLM0y0ys3cy3 ZHWWvuUmG2zUNDlSMTJ5yn8xS8V+i+wpPnx2vS3d4E2nNnvpOQZQaSxHttZqhgRN8YT+mAdrX78h m9YVoYq9jkEcstgCWWrUFFiVNB0fWFZTeIJUMF2NIcESOVUFyGR7ESCOGxeW3v3ddGWUnFOnU2ER U39TfpKXpCrOJsmriQ5VvYjUH0RK8Kem5u2PXzMCiawQNwVeyODqzFQ0iyU6rXRdkWSypUHldTA+ 8aulr+2dUdDjmwvhS9hux9v0Qobh3gh2PdotABOj7eCqFq/obQBCbAkSYEWptxOKR/UJsesQY/QR b6VJXrRqEambu5qrBs2otAXT6oN7SzQj0m2V+ueMpT5NXK/2GwA2xxbsZdR+ExO/8RfkRXkl3540 s1cNfGWP27Hjf2et6q5y3nTxESN7V2dqIbtDiN375YN0uJZaQuHeVagrqcko6sTvb4/HyfYNzYDX SuXfCv69AslNPEXT2JtA6efZpRvj8oCtxZFGkpcF9P1KGDyK8JzaDV9VTjc/7QF6rnaWIMluaBVB ey+IKTbcaWFHv0hqlvYUBM0PVREAqcmn63A/E6pamO8lz6NDMTYa+q3qDvr/PtvlMmLC+J6aB864 ox2Rl+f2iYyGj1UwYcCPyUM99zSj1K7eCtqws1NgZD3KuL/mWpc5/q4sgqCIjLoxJRGXODDEkJA5 m0O0HcWNYO6cnHRRkB53SooXrmBqhl/TA2je6S05mLg3PWsSCPs= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Aeow6Dar+wPwyCuZ3CpouVllPxC4llA1Ukm5brPkUf6jbA6jqKC6lQwebzsMw19h5bccH9idRwDY jemL0fBrsQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block fhH+aqlEoKE+JZq9qghNfSjPHdRv5hlwG+33iOnHAwoQz3JLEXCjbjK+/OkJ0VZ47mEYRGFh4AMB Kd5bYAsHBVfJU7e93cOCmqMi6q1NZ1B4TYSa9cbXieENl3lcMAWDjVXehJfBz/WX6Dwe2sYVqHas VIMFb+sNilgPS6HDJY8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XK10objy6h7oUNp7hJFakI8VyHyBjdmYLutHMeS7A+cEBZkpecVT07LulHHgQ6KKziOF+tKEi8wJ SseDgde3hi0l4iPQkOV10LTfLrRvJhBfeIZ8giQp1t0SrhVy6N2SSqAn2eht9NkZHhhTN2ptQWFp 0WxnKaCc1sV3GIghFpbS5rrPhG5y92GuyT5FWa/h5Ldzc5bI7CyoJ9vYfzMq58minDKJ0Jm4Y+wp yHLZA+Ov0xHxm9GrfwhCkATL3ruMGZYQt2s5zV4QI9wQ2UIEz+JYy8mzm4GwHollMtB5NRf5scWn l79oM70wWXF4vtffYUC8HG3KNp96Na4QKpBYPQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Ykye4tSzDCZpeQ8JRXb0ob3ATquwfCaSG/ly4GVsy4bD2TWi/SlkZna7zRiLnZAzCamGizuqrWRL lhFyzoZ23CkJDaAHrP4R0DVjNPCXgacmijI2x8zSxwjKptfeusObi+G4cJ4ea9XYuXHdvqkbssiN HQHbZSHeJPlG8zIt/vw= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block j5byzLdNMnEoE5qwywfZ7XMWUUDa/vRU12ws0qpEN4GtoTZgc2FboDnnBkgSUXUolpwgKX2KPVWw P1VJmwcR15FUwZQrqh9sbS63mL7WHPSLNYElbx4uy534LVuKyABLlnHKjoHmVUtp/4E0ZuLGoImt /zsHn4GgLIE+tuBcZ3jTd5dxjmeQWJivwwjH/wFwCAVczK+9bLnUfJbXp5EAOTA495Anp3M7uHef CqLawyLHuTbCQrqf9W/UvFrFP4vYRwsEsllUJ8mnvN8qELVwvhBehS0LrP1gDGa4Rus4OZzQgGv3 gxc6OKVuHGv/NA5wtcEC907wTYphLFlAs3rhjw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10640) `protect data_block XaaX46qy68UqWKNhl6P0kvmryxr4rk+//YoD6Qd1vz1XwvlBrSowOiWseLIdjZVaLVSQsAZEEEbH taruDjzbeB/36r4LzPyjacu9onq4cHbJT1iRyfeVlvKnWI/Gsrw111DHE7A2xmO+9dRKGvAP1xE7 X0tdnaEWtm35c6Gl3rRyu0rPNiajNMIO91N0FSKHFdfENMpiICiwwVdnzEM7wDoUa+saad7Kt1d/ 2n06bZD73fIKRMUlV4iMtQbt78cEhgHzMUl9EDjJbbi/P1jAFPlqis8FFRdDwtBp/MPAPi2lw4RG eHCvyd3lFYPn4LkBibxm2Kq3LpzDBxZV7/hrhH8bvm+b/qiQSu19M8OkLSnK3W6Er4k0/bI824KK j+IFYCEXoqSWXNSC/vQ3E0Q3MZ/xSvbSe9ts+SGMJ1JdWFdsSNs/a/mS/JCX9zg8GpUacbK1BhLX QqElh80gIKOfuipyxs4wA1eXIdvqFoV4+UTYaGFFYxdc266xmDcIUOCRvfES7zz8AElmDT0mlOLN hg8ibgM6eLIQzlWAWThqaHXeKVBuaheVxmmuZTUk6ZpAEMBk37KCM8B8UY3UC/ywvqc62Rfv9QdP oIDE8k3gq+oaOCLTZqnBDS0Yoqte1UZM5yDh8Bez4znfX4GXu0RgH+YMrqNIJ7yC2y/Ay2xkIbzj COLsATDLvNFhOHBHHtdIivJ9ubhmVSLj4TJVLzwVkjEEFVkXKxV1ZzwqYAT7q3jAB7XGQY5ruvTT opQXSDqBkRm9tUy6EmoxtV8cEpiihyev6v4q71biyc5k5Oy1Kf4/7hoHkmPw55x03sjxFo0pKajs 884THddTnuJuKYeu8dEJfOjVlbBsGf3Q6Qij8NCpjGjZ4qD85b0H0LdqHWwsjDwWRIGHioDdkXEb 3jVmKmSWlBjUOci8qsLSeG/87OEJIPP4Kux905nuqet30pLUnMNofu8l4nJWe0LQ4GjtQxhMhaKB VYrqfxo3E+YD5X0ZZHpqXvfNPMUocoJbniGL0Jh1grYUI0+i69dy4yaM7ElhylmjT92EAnSXxC2H 8QUKVVhiTo2Qea2crXme7es983/YCUC0YImKfGHKw9wuUaBpwpIa2r5y/tuqxX4kTJqXDgcrFG2i R+ikysXTMnJH/11A6AzK55TN55QOoUXqH5325Srd8+xXKQr35bkK41GXgXurTlT37qyr2+RCIn9w hSrC2650flFrOx/aiWi2Pb3e4qtPQOMvUOb7hYWcyhspNBo9wjzybv24tKFaexRsN6M7u1rBGHVD CNFY1crygF0pSZGM/9SoNCvlyxs1IlH5qpnbL6WtUbFy72ufIDopplU7jJ+DerIoVotpvygkaTnd z0Mel+3vH05Niq7Aw0UsfPO0ptDHhoidrnnmFzzlfPlgC0LWFW5MPLuKdaC24y4l9tY+25ZNnEkD mDdXzbrvFAf/jYeNpOPlO3cmIPyMmVlzo7MteLPissRrRiJTB7sHck8rQauTYCnfH33jHy0ujh1P IxLD1qMVdaX5ecjsXL4lfnhzHg7DehTpbSBfMIc6st4574pDCLjDPwb2FflUoRI5i3/wckXfqP4k ByKXO1Om5fAdi/huU+FobYD+u6YDGw2WUauqUQFSFdmb1HvPOmMvx8pzF7hugyJhLC/M6HgcoENc MJgF8PTLOjPOaJUNcNyMVn5SVSvwbvP6hyZ1R/pV7swwKfqG1yt0C+1/Cjov2NHzzZo3pDm2pCq4 WwqzJa3ZQvzaM2mPXi0ECvXg51DdJRKz9hjL83QOyB/uLX/jD4znMbyPwDIXQBy8jgxpDSDTUuUL Djhfwrbf7aZCd3pJyk5W2m3ff9YEV1Gec0TX7F3efsHExmXWjib3WidlFpLw56eySBtCZWbJVm6l mk2uTlsxPyeTceVy01Ir49Q30Ds4rW0c8mNfn91GUVNqXEPNCoy3P1a+ImaDUDz7v95KjOEmFTcA vaqKxBnVFQCKulzXK+EMqSUJ82GX2iZffh45qrQYrnSMrxr5Texpq8zN97U/E119XBW0Uy5bwoI5 gdFsbeEBmPJPrkTzICQFiYrWVXK3n8wflI2F5VlNsQwg3VAqYOBEVM88AFxy1FyjK5YqOl9u1Dk9 PWeLh44uwqyiIH0CsADeV9A/dcdz1jcLFgXDJ1ugwB+drv+DVmaDUlz238nihtAFElREZkmoh2zc k6sBlKT/7eTIrhUOyOze5gGi7JdS6Cf45wqZThM0WSa/n6e0CNXYU+0KIXjd+ayDOU5/HIRoou58 KXuRxykUoC9ACMG8Bd6y5BDzmlrMAzu007itK9SgcSUQG9g4QFSUnWD8wH2Kqdnoxm/yIvpl1E2i UPuLkHNNKBilmslLQFNS2ZUSB1hUgaM3jeJI3wr/wG9oY8YCaGlFKG3SjG1ANBMvCrqa1UarMgRo U/etLI1MAPvwW5PC5WTdktIyYoBjtFIqVrhmJmC/bWjMVbdpjd/KdMGS1LEwSGX4oqMnSa/6zeen N5eGkZy8XduKShin7qqBlh6x64IBtxUDd3esalewLOk7mCRBEZ+TMkFD/nhQwzvq2CrpaStTeMXX YJqNvNEXagDli847bHFETVLxGDwBRaQPp1ZbzUKzZJidgiIbteHHdEq4I5V2OS8eoLP3I9l0DncM srtJtl8M5YGnpBFaSoNET37p1nUpfuZrm8IZUKZCbX2CwFnWFHu2kkqZE6qGo1j4Iqe02hiBOZ+t Ww36rBxwNvLA51bVHx/QXBa5GX8mYau/UiOiriClyY/oiUdcSGdZ+y+Zz7vK7iqVn7JxdN2mC4cr K8uA+P2Lj2ogmw2eEHsGacgLI/DdZN4OpQbou9G4svmYCGQrjbYakKh3ExZMuakcEdI3TP/b1GP9 rA/6o1sZV998Y+pCZrFo4RfewAUm3y49IfH/fIzZIMBKesMNNch7okulcb1xjvZABOUlOmkBaVOf ES9vVbu87EFbCGqs36YhrgsWydj6Edt79GnmaP0Eoy+aB8Y89z3ZteZdVat1eSEM+arei21z+sPa RWEvA8WvLE7FhKfTdEFYE81+caHyhiYDXd14S0PfvH/012cF1LGxmvyd8hX/DfDEnozb1tzCu5BU UqXuQUexe9SpeW8odua/8NDYM8DwLyp+35gpk6hSTBiDUlau8l+OLEaLQOANkcZ5laWPZXPgVq6G ZYeMhx459P6nYDNlhLgk1hcDi80ivBSZ8WI7rC8cacEZCLQ0eupjifJwswyX/EWoOV2g31cQhoMP K+19RA+o1TQC4hsQD1lCTjKyCLIvspOL11Vd3HidttiwpASG7lXw1HaVi/Gg/n+kJGXbsUiZxgF0 wVmmPp0g/9Nam6Y1WuMO9vymf8DnVQa4F9/xVdGNIsgHlmQn2KO1v2HMs7Z2Mp+U/AwgBKcSS6tg 4sAw553GrN38e343kMtvm8Tp1D0t+bCPew4jnfdU0on32mPt2KJJVy0ghJaPP19pDVAekNADcMWb kSX/46jDEIf3pSkACzItZwBG8FrZkHb2ay6fp5zr5DNC+P5sgbrGraXqUa98rTBa5jduVBrFcwzJ rdZi6PVhAEjwN/NAcJBOD2QIU6y4v7fm+ryW4+5qOOJoplwqFF1mWGVIm7K2JIP7apQC2ar5396d TWTTN9BL8rLc3hcMHO+lLYU4fzFo6asD6tqhjtiUym9oQ6Vp08sYNXY3ld1dREElLLw7ZT4ZVrdB iUoHJ5fE9NvbHdoM3zxFQKS8tpMNRQ9twbkBqoQgSM4yq1wfv8sju3sUUf/+/4O7fTIJVXLJaVxV ztfJa5XL7ltiGzk5cNaqUg1Qfb397BeSq6WPRAoqYmknTSKVhRpmA9b72YN6bTXcSfK74VUhc/gk eZXNkMMGjiPXiwRIx0onSU3Sg5PKpjnPcZboQjGvrgXSI3AWHnYNUp//yb+/dPwvxWZWlGNAg29Z Eg/opdacFU8zmY8HGIrG3FuSf/PdsxqSbysvFoN7E1V3s6cUL34w78dx03M9Wx2Ypvw/NmLkaET8 FSBZSLEl5Xqnxki07wm832GygJxEdmqKrw/XMLGHYFmZHwkQ2xXjRSZioYhMHUx/3Y35OgTyrLRQ 74wuGEPE7huGj3/YyOgUyVwo6XwtaEuzkzXJcyUf8lDz4ea1eepzbK5/vERaTsN77JB1l3ijqZlo 2JiosbfmB0+gzRhfAG6PliUYxjHmyWgQ48eW3bf4MOcFFHvBfI0QZ1Mv6RM9lX6Ab5M6bQnk3gnn EYUHQT5+mPL0unm4w9/vGGJaWytYQWJFhhRP6Jn9datq9ujQUxpzJJX3qjiAJWkyNKpTaf6VjqvQ pR9FA4D2xDpWMgWL2/uPRKGESXOM9ZddA/GN3/+/CHrdyrA/8TNcouFwFEQUFToBWoGj8CpHPcrY ugq9X4tBAn/heugNCvAsNjWXvhxRKodcPtWFAQIJKPbW3urQ2ejKNHfrG0To7g2A3iVGfF/krj89 0YKqZzaZnrH+TquS6zUMFCMUtgPh7Prsco76YxF0K32Wnb7ISeUd/m5rZjShrPS2CubHQGWzAbZ2 BDvzktrrTIgZsau5gagzBnAhOYoOYQ/+n/O4Oe9jiwOmmpz2PZ3lHVqT6UrOxJumZr/V1rXB60VL roAXQSb4XkAkRbjBx/iaumPt6DxuDTVXvlRF0/ynOEu1JCuC+rhRReGQCaOyojmG7sUVZVQKEQEt P8am9UOSlDXzFALDOV7TinKCFwagVBwJU4aLe3vBTZXa3l65F6H1ljOwHAo0nr1keXSXrbrRQesf bvMfcOg6YY68lyyVNI+yENryF7n1vYHL9QtqReBbK1InVpC5Mi9R1yzAr6srXyVhTNwlQ+pfx3S6 sNKynpMhDezpDoH/uqJSU7kxUNBWYk18DrFbze24ApgLjuRG0dTtKq4+Me8kr1wv0wmwfnhY3CjK x1g2xx18LW5tjkphrX34hy2WAfqSpGREHa7TPWSKzjH8R/6FP/WCXcKFngT7NBvoj3iEX+lboE82 IwjRV6K5zj0//DP3qKymWLewgdaYtotui8eao+ubCvFfbCnyQB87D7Z6xT5ogepjtaaWqmVrO58B q9cS3wCwkyeKEC1rbRg4PuGzlViVYIlDYJlFIwAZzrz/VczToK+4bci2Yg26zRleYyiOX1NVOXKc 9/OpIxtiwPjK+6jzmw9DzVKfUdyJhzXGRCTuJPd2hnVbArPngk+jYh0QcL9aMvGAr/Or13rEkhqB h/GfvlhAdPBwbhugKFdx0jTgJ/IhIUsr1+8IQ1wn7U15lpCi4Lio3ZsLVDzRyDl+sqDmMNJEJfQX rk8O2WafUgH2MjQLy+p16VqLF5uAh0SxOoB0OGQyXhNkGIZO0hRQv+7H59aDq5QzoiYdkG0/Ie9O zH6uF67pjjfvhkH1DiRNjRG2laXVOxf8tJdn8g7Dy0yWnY3pj/xIefATvaqvKta1yyoKq4FyLApM aK2HJX4bZahhiGHkozIiC7pJvyfppTSJqWYB/TJJST1opH8aOr4aAcJrOP9MkKnsU+t5vtWOofoT EOioht8/3EW3FW/LfSTHbNPRE+7VDwCUdj97TEZHWKVg1md/BZV7eDBu67OR9ZlxY5kD+Te2RTFG hxlIT/09tfzkozSG80KCnieE4SLJ+VJIrSj08x4QudkjQm9wHrajkQoZ/OK8oAcKzyZzhBqSfI07 IXasMrhgHrKVuXkA53ShF12/dEH5l6T1JYWSBJQx6f+fLS3Puk99rSwPmM3nVEkRSXrkPSDksH2I qhs+KGLaYhKFhntr0gGFuTj08fiVnN0x2L28yxVupr8AHq7Ua/SOZcF/CTw0h8yo1e8vPLZjCdFA yiXNy3ysdEq6Zx/2vIwPafP/twwhiRUiCV3seSK+U4mf4SV5LPetWwWRh9aBYW6jLSjzkhk8dPb2 78//91vg50FIvrxRfMiUqUwJfz4XFf3TTSBm2Lw4vBz0TljJgxrfag0AnKnkeLkVRwpVczLFKq2l aFmPAmiImmrr+0UeDWxy+9ebaxQJS1+oqOWBISZKU0YWSm8jbwbr6cAQcicsIZqcY4popsw+MC7L mwQy0S61n6cSv8GUT9BQILkGIn364/Vgj0nNPs5Uh1K4LcOm5es+RUq1M/J/Vmb4XoK/jEGkbmly ZerjlvbA1HAHglD0jQigKi7BMrKV5FZumbUscMiV0pN4RUGtc9sxKqZZBCcIWDoisikPf1q7hwiv +n1IuheQ2v9mU5IoVtRYPrgsizVdb/ZHJS1H1DvfbCU4afxHeDu0uaXWDhsxD2OS0DlBJa6vK4Qe ukWQxcFDMVC/GGpuWpkt4UxeIl8qMIq77uKwBQI7zr1u9LUEshrNtasunnSE77T8z4ythRc4j9S2 7LoG6gv5XuvylH+Ro/vSUgKEH9FQsCcpW+3OiURSU6Nq90VKul3oGZJXBeUa2iUCTLzwXpZk228I pFfFKG3peHI0apjBJrG0gvxZeT8yQZ2U/KvH/qzzK6OlldlHDLuXRILbHvBP3tK3UpxswHGij4aH 0CI072fbrLLauZoPaHFc3inuACGYpfjbxBGWnwiVQF6uMbYyYbv9uD8in5L19uOXlH0i+SCmGI4l RFCAeijkDUsWG3sXd50uPm0A7e57M39p39wnq7yrEeQzAYGyI/IAlY5o/cMWuC/1qvujs7fvAFb4 qHkVA54T9xHmJowCNXbx9pU7LD4OnhqR36l2L0ZYeLC0lfapoPumymKfpRb5opoy7jRipBA/LatY LPDlnk526GkDU9+HxoDKI9JhJjEfbhH1IYux6PjxAiNVNVbZiUm0DJONxWqEDxlEBvxbILIbHyXZ 9E0g53akhyuaa58Hvhqpv0eHiFTTfO3TruET4jw22DvsT3romF3Em2ocvI+Mp3kTuCKBK766PVJd gZdJE7qeKroyxvCjRuv1zkCa6pMz3H++e93UAqs2XSN0YGfHjDv78n2cJHWBWApGssQFO0iwn+2d zek0MojIIIAK3BUycDDI29y+hYibopm/REBeOZimqw5rQU2AMjy05T/9v4sQKTYAXfVa2EeVekgJ /i/1TzUJBDxwOEEUt+foqUBGoj9ugLssQDz2EuxI43mBNWyHjZVaFuRg2R1zs31uFJywqJ9hETDa LfZrxVUkg18oZE4OoqH28uRjk37CiD3FEaS1ZgedmlyAo7n7R/4NPr9XTjc4SxLKGxWQLeSlyspC URs27GiRX9h0HkljkKz8M7XzM3qP173da5wTx6nYjQIPxmXjOk2Fi1snF2vcRiBtJRVnGabJ0aIN j5yxYf2xsuvZgTvaQg10ztQMRd70Y7Vr5UE0Y/+ItnvBL71MyWMBtp1wTOfo9Cusds/DlvBbqBap ERD1onWpt1nqnr7+ewlczAzNKTndQy481ox84Zk6O0mURyxd6SOwyhsR/1xzMp5OiRFf8DpUzMCk blK8KUgTzBD8v6zL0WkiLzIGd2ksM5QKGr3QNDA7edgJ0Dk0wRjNMP2xUCt7mRmTsH3OzmW43iAx VZ57JZOhyniOF5KTpEU/kBe+5yZxatoRyow+2FMq7ehdb9j1pC2XF8kvqJqI4MRZOevYs3ZOJN/k /a9+5zZ2vjn8GOCgOH6ctKOoTo6fPgoJU7/0g2VqDlin7dLdYrFBwJBJsZCazlj7i3KlPIQ1YmUz s64JuXu6z8yZ/2EiyQka+YxXSilBw3G40Oks1XfXcEFlfH8rvKgPslsuvC/5UpQa3dj34UWzM5wp XfNuHlnJTcOHO7LTuaDq1+VqUPwHgAKg9b5tw7R4viD+1ePHzta3UOwhqH1RUCeY0Y3QrXjtV3pH kK/xORBDJGWw1wM34OBhlbrN58FGxlg8/+hIlrJJ8EMjjmxtc7Phfh783WYK+3jhiL0JXzKmzn+W n82FjN7/x0YnDOEi8IlsxtdZewZDotAv2p79ulTQsc2eySDthyAMxcS6Kdu5URnOUelZu6e2Q4eb 1qk97D7LmPiC2S7Q9RdT6ZibEwMqqDWqn5wUwL2MnKrZ4uarOgDc1jYhjIgRyuma8jnYgIK66xYf CWz6yb4n+M7E65+tKb5zdFfgy/dcSDCtTVlSNPVGC8pWDURpTxaB2RhD/rr4L1Y8DEkDIceujeyp fSgkgY6/fiU7MTUjKgWfpM1fQa22Azmvj39hFuKAe0zeOvnMUylIHRto3FlBduydjPpekf5PA+PA xqqtMz4wmVrKCW2e8phPBh8tsUGyHGRj8r/xKX+mOTYnn7PwXf1VMYFVJS8xed3P7xf+GboeTZkP 8ZJNa5Hw8tOafJ55TUYcddlfNL5ja6kNJ40VmBHcoDNvic0JSglgX1iFnL8Iv7yoDTsqe9GXl5zH /jPvVRkrAoGdXzw6gBiDgWVsbrWb9sCD5Qe0vhrdUgyrmps8bVDtuYX6dAzWaesb/RjxzP2ZrmDu g9qSCV4eYscJytdqzCk0A36Wm6NhLze/NB+xWtU+jCrLOhFBv+Uu7y9W1yljhnstlgzPgWuAV1Ea QxoRsYOllHJtG5+5sR5EkOLhwx3+GDI/0gZ180sHKs1X0X/OveM2vTuiw0DHgjbvAInp5Ny4kOcw +5gTATzCTrX2l5nIr/0Wa3OLKIShnf5dWB1asKlbjA65MSQ4qSuQFY1Qbr00Vwpc9X9lHLNmTNNc PxElljPJ1CH5prkSriiWwkPmgXSCimKmZ3lO+IVMRLIIYcbRMswadAoanFATintn7jr382jCiAfq 3ZSUn7XuYUWaEmvN1wxl/WPeOZNDv1+9kCiS5TS8M1ZTVqAK/7dirilojFDELoSEFevrBX4DKjHY AZLbemyOw1Dc6m/+iZRU5orcR7WI88UsbjzvmJgE1fbEsNAoeK83ExGpNAMEoAvMpPh/mPJOBJ1X qz4RFvFdUBNSL7LNyRJnkQG3RNS2ant+b8PDTOqU2n+m0c1NuWJoagkNAkrKQ0u9ytv8Cl5npPhJ zhf3jCLhVZfOx89jSVDnKPxXTo/9OdmbbOxqDNOmGwqsVcfQ4I54r1WkYjpirW3j6hslx4H7w56n 5iuORS14/RDQDiA8ekEypr8m0fl/f4Fff7vyEN/SnYKMoqivk2Vu638SQhJbvsKnhLUGGp22Ge1o n5iSSkyAZn99/l/53g/iqrDD8mj8Qgx5ONGg/gyY53FOgXQx6o+yjuJWl0bEOto4NzS2hORyMH/q 9bXJTebK5tTl+fRdopQ6tRtGLMxZJMtEpeR7IWB3qtf7+rNH5crxBI7XzpVR+iSRwAONAlY1v4Wv cPR7xPg+PgS+4k0rxgAec8M93oanF+/cDznG90rxxyOX13LP2WprKbWALSfazEGJ3bJYI+AmZJXC TAB89EjG2wQbCVErrTyC8B8C3D1IQVRVXu7XJm39AkVXUpx76VBwbb2DZ03rnc/Yy3uXWZBMqyNF UDjOAhiZq9uThQU3xFpjF/hG8bQm2jxtPhJ9QoOy4G88HjnPXly3McH5DEXViPq8vYDEhTjE97kd WR6jdjYEUxT+DgV3LrgFO5oIEznGKODhMEwWANZd8eFtnfokEJHMjwMnLeKSeEYUrEgxVZyTnDEv ZNW8mQ7nTxanskGGUl2A1/E9t2j5/kw2VI8IhcF4n4FaFNSkRt0yDwvuqi+xlN8xf2ujSoHCsgke s43RW2s6LhQvi0q6HRLlQ7hqNcIDoeHuqF+4deDm9np2D17T80XlLxGBslY9X+glpKwrE6YoNaRO DSxBfaZ8AEd4zC4xWqCbFxVLpZbN2BuU97sbqi2YWsHv9B05W2orOpXHaJ3mMGz1q0zca+/QpWbW 7kNBBH5AjWhej7T8zkfbAcdU0zgocRuNLWYmdQwGjN3iHwt0tJHt8FPAREirW0u67W72EJ5M7XXQ aDGKh0e7WrjUtqGxyFte49qWkVTcZGz3ZgYMKjsU46xKB1MxkEPkomSiKQ1j48vvSTfzWx9cBlI6 FVJmK1TA2BK4adl4GdYdAZQ2Plk+oQ2/Hq+tZn4xS6uxXIhWTo9fim01O0I4nTgCu52uiK8svlVN 8cEdiEknv/AwkvUjxxH0MyUQDKxT+/vdXfE9L5eSOhyHR8ROIhTBHJu8aPDS0jHiY12F4VFY2KsR twr2MbNiElC/q3AMLdV0a4S4VMHU5nifucPYb63s108ZsvRnRm0kML98SxJTqLy6cjVdEU3j/tF1 pf94gFqoUMxUoxC/IDrNwpFNT37a5c4+c0tRWiFcGxfbT6bP8INX7wskWtxgIw/k3sRYLAzlq4FZ 4tundeJmBv8KKHAVUX60/fvdYA7X5arjovtxTq79lftbfQlAqWbvPfamE67TZNzGSu87ectnKdgG kFJ/4lqPieLl5h0vBuknzvqLRJqnY77B1gDdz8HjfOA3FPLG2slkMuEXrInbV+7Ha6YZpMojVdGj BVK+0Q2HBsvtfrkUBn9MVpw22MvTII42sW7xj/vfn73URuP/NmvXuWCX/7MX/rLvW1PwVHdMWHXP llYS5A+6m/1mgnPJeAS077m/hSgw/UXSw/Km6I1pLhdAmQVsmdDXlFMA2WWFygl44uM89OxXan/R z6Vw8Wtwx+eJ8fJxLtI6crcqFFFuy7tIEYIf68gMvTZYB2Ronn9M/hmmgPeu97ZH0CaKpMo6SO4Q GlXk6KTArmpz1NoNVPvwK59brYbvheOMFJX7FoUgI9lTci9njl5gkOYlx82U5Rrel4um4GvpvPpn hg/+KvFj+iCGBynXHXEZPW0a+9HGiZuvjI0NI4Df/D8rdjRXjuXQpXv0taLeHgcaKy2Qo71A2if9 ez6BYb1I26Dw8VDQBi5QDsUVPhovrAZgA3jlHT7K4ysizzcO1RXOpakjr0xsZgW47qsMjOoWsuKN vNQNIxvqgEKlk5f1xIO8TIT8k7XU17S7Oz/r7VcjTT2dFoHoY7Su8hUXdEtkHElXQFOI1Nf7KzMC 6hwBPOx2lVLIwvQxrOLo6FT6J9j7wyspxxg2kv+n8SRLk9aXZLYcxmitpepGKU1s0bXCGlptKyUi GqlHRzD01mZjYoExBgkmj35vMkbkkd3wWbKZYZT2EuwXUCZyhn00Rtl1Nh2lfGmaadF8XwKzVUBi 4IZzzJfzR79HDWyiH+wp+oC5LEd+1olj+7Dmk5b8S50RMXRNLwZNynGkEVfUiGPq5GPETeR6lQ8f QwNeR3fRkfVsUhFBwQoxEGGFBEDNJPAutL03tz91j/+WQYKcoosIi74rcCgfLPKeZom8O7jCupxN xoBNEqEiDwyoe81oc9hQasRbQFqXg97PkAQ5lBoa6IuQVVoI6//9S/lXCZDu7DJ7sztCqDAmE7Yr DIZ/mejxCApL/61KUGZUj6Uwc+wg/x5GDzMOgY+9ttnzkTUaenkvGF+XdOrU2S+z1dznzSd48q2g gW6jlWiPRzbnRkQTMEyZkeNx5QEZ/qWKVxduJlQ9VhI/TCyq4SZYR1SsdS3g6ipga5yNt2vdA7co 3ICv1J7bfhyY45U7icaN5AcCVhZf/OMkRF204Xot0T+KqUu1OggUEw8MDEW59B7YDcZWR+iJDFtM E1XTNdSux7zD2t2wSqVTIMFNL9aEDwnCKfExdwQApkKS5hBXWY66vikFQPu6wdQwBcemUMsCvpCp fWVMxU+V49mJQ3TvfRSq6dqjENHuaLNy0hs8dLOuhMFMWtLVUwLNtS88y7NkCe2OeLLJSdRZFuT9 pWMgRfoOebohqhS2QEWv+oQR5BgB6n835ClSXNjTx2o0g2C72Ainoqu87KNsBLYawvaZHJDkB9Nw OZTgAabkAwMKsjy1oOVeHRmk+MP7gUxDNFIVZHNYfK3RcqTDN5+sQGqpyZz3/aNDKBOq3Fvgubjf hruWSakUMGI4vyQ88jXfoJUPLe+ef+IeikhNp2I/BvwSND9+b80YeZB2IxLRObxb4y4u1YQEUVNl 3n++3Pd2glmEL7DC2ze/YvqDgrL4oKLkOGplEYWHPLHrFfNhbEE8cH/Wx+kVyqshJWLjWS4cQlBn 2H8oGuEOFdfkaPeXt13+ytwfhb6MWzf1jL0mCEQq0bZ70NsnXCEfefNaDWBaq1uW2ZexuL5wnz3r CL5QK9EviV9jvRSPAcIsj9CstkhJjJ2GlAKu25TW419JH5dJU0n43Su0+vSsw7gvevEH7eQzDZe9 XF/HnXvYQPeyG9v8VRbhjcovpzytZPJU63jm+Aypmyj2DW+JXCxe4l/CgOkWtvpKuUxzb6Af85X9 1T7Jf8j9J8kuvZlcrGBhDJuiSew6Ae1kV8UzKJ+lbJb9TGFZedgLUBn59XQjYFJQMR9YRpPC4v47 DghrV3VHlFpObQ8iZHgdhOhecv1kw3okf6rlDTKCC31LCiWHacoHrryMzWmXnvEfds+YYCgAx9x1 8Xhox9mCRUVutQzDB0Z/8qoftp/0nJtyKWoMnAklO1+iU94Of3Miz0fVRLaNN0KPoZdOq/Wklx4O XlfI4BPdlvyz4plz1+Aayng5esmas90XplECBAPP8VgJ7WCeRpKpRWWpjU3zKQWb4pzvywCXfO+t ufWYd9vNx2gpoyLBdPfOvAmJmzZkWUcqzP1mbOvcjznYzfIpPenYdePRp7TQbxccQrzkM6YUjEdz gGdJxMGgehlq8bjdUIqM6Jp1tJvUci+XAbbsY0q/gftkTR1+ujjeRQM5/TartI9AB2IF/3empJw6 twYpZHMYhNa3t+yo7b1mI5Gb1QFf/cPDZz8vPAdzcBjVonUU2GfCDzOXqObxHCg6Gun4MrYK6wZa 1rfjsXs2NvG8qQA9ObCjdeFgJlqs8bq516T/wIRp/68Rze++SzJJOEoAVi8sbMpOQ+Cz8H9ZkhkH hesDiPA6G+90/nfw6A1J0AXDQV610sjCfW5V8HLJJk3n8tUYYJsmJAYsL7mUZuBFgFz4Dt8OrzhK x4sVf6ZfxOy42lTHrufluinACEm6H4NfKXjBdseRA05erHpppWWE30qrR7ZAciXVT/E7Kbh5bkfT FgVM8a23V7vKgAauprEVLqmPLwUbxTFug51eXWTcPeAWgc0e2R2xllzhUaU6z8j8nPWsR2seHmTE iJA56wZFltsHfvUSJyCzy6V6gbvb8Fo7Gy9nW7gfMzTAT89/zZLEl3wDSZkyXpSDzeYxrf1UZyht mOmhgp6mpA/dfD1QRt/LYyeLq5ikfVNXBLfRlxo7584gcwNjyXndiaTw/l9KE+l5zTsxpAHglLfc iUavIAgBslRQnZ7KH5mW2vflsf81tuolVe+Rr6+E6qSJfLjxob3sHeqkRaJ2Kc+j4Pwp6vNh0N5f b0FaZYXz24QuDkbFWNkeCnKNqqiByrAJb2IhrDQvaOCKyt5wYpIz9FYgGrpSTACRZq4+nHciwKOe of7H2+TfRL8PQD3a5fn9CwurOv0MhQ42MW2jSABNRroIIrdrplaMBpMBmwtk+zj1eLM0y0ys3cy3 ZHWWvuUmG2zUNDlSMTJ5yn8xS8V+i+wpPnx2vS3d4E2nNnvpOQZQaSxHttZqhgRN8YT+mAdrX78h m9YVoYq9jkEcstgCWWrUFFiVNB0fWFZTeIJUMF2NIcESOVUFyGR7ESCOGxeW3v3ddGWUnFOnU2ER U39TfpKXpCrOJsmriQ5VvYjUH0RK8Kem5u2PXzMCiawQNwVeyODqzFQ0iyU6rXRdkWSypUHldTA+ 8aulr+2dUdDjmwvhS9hux9v0Qobh3gh2PdotABOj7eCqFq/obQBCbAkSYEWptxOKR/UJsesQY/QR b6VJXrRqEambu5qrBs2otAXT6oN7SzQj0m2V+ueMpT5NXK/2GwA2xxbsZdR+ExO/8RfkRXkl3540 s1cNfGWP27Hjf2et6q5y3nTxESN7V2dqIbtDiN375YN0uJZaQuHeVagrqcko6sTvb4/HyfYNzYDX SuXfCv69AslNPEXT2JtA6efZpRvj8oCtxZFGkpcF9P1KGDyK8JzaDV9VTjc/7QF6rnaWIMluaBVB ey+IKTbcaWFHv0hqlvYUBM0PVREAqcmn63A/E6pamO8lz6NDMTYa+q3qDvr/PtvlMmLC+J6aB864 ox2Rl+f2iYyGj1UwYcCPyUM99zSj1K7eCtqws1NgZD3KuL/mWpc5/q4sgqCIjLoxJRGXODDEkJA5 m0O0HcWNYO6cnHRRkB53SooXrmBqhl/TA2je6S05mLg3PWsSCPs= `protect end_protected
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Wed Mar 01 09:54:25 2017 -- Host : GILAMONSTER running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- C:/ZyboIP/examples/ov7670_fusion/ov7670_fusion.srcs/sources_1/bd/system/ip/system_ov7670_vga_0_0/system_ov7670_vga_0_0_sim_netlist.vhdl -- Design : system_ov7670_vga_0_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z010clg400-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_ov7670_vga_0_0_ov7670_vga is port ( rgb : out STD_LOGIC_VECTOR ( 15 downto 0 ); pclk : in STD_LOGIC; data : in STD_LOGIC_VECTOR ( 7 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_ov7670_vga_0_0_ov7670_vga : entity is "ov7670_vga"; end system_ov7670_vga_0_0_ov7670_vga; architecture STRUCTURE of system_ov7670_vga_0_0_ov7670_vga is signal cycle : STD_LOGIC; signal p_0_in0 : STD_LOGIC; begin cycle_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => pclk, CE => '1', D => p_0_in0, Q => cycle, R => '0' ); \rgb[15]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => cycle, O => p_0_in0 ); \rgb_reg[0]\: unisim.vcomponents.FDRE port map ( C => pclk, CE => cycle, D => data(0), Q => rgb(0), R => '0' ); \rgb_reg[10]\: unisim.vcomponents.FDRE port map ( C => pclk, CE => p_0_in0, D => data(2), Q => rgb(10), R => '0' ); \rgb_reg[11]\: unisim.vcomponents.FDRE port map ( C => pclk, CE => p_0_in0, D => data(3), Q => rgb(11), R => '0' ); \rgb_reg[12]\: unisim.vcomponents.FDRE port map ( C => pclk, CE => p_0_in0, D => data(4), Q => rgb(12), R => '0' ); \rgb_reg[13]\: unisim.vcomponents.FDRE port map ( C => pclk, CE => p_0_in0, D => data(5), Q => rgb(13), R => '0' ); \rgb_reg[14]\: unisim.vcomponents.FDRE port map ( C => pclk, CE => p_0_in0, D => data(6), Q => rgb(14), R => '0' ); \rgb_reg[15]\: unisim.vcomponents.FDRE port map ( C => pclk, CE => p_0_in0, D => data(7), Q => rgb(15), R => '0' ); \rgb_reg[1]\: unisim.vcomponents.FDRE port map ( C => pclk, CE => cycle, D => data(1), Q => rgb(1), R => '0' ); \rgb_reg[2]\: unisim.vcomponents.FDRE port map ( C => pclk, CE => cycle, D => data(2), Q => rgb(2), R => '0' ); \rgb_reg[3]\: unisim.vcomponents.FDRE port map ( C => pclk, CE => cycle, D => data(3), Q => rgb(3), R => '0' ); \rgb_reg[4]\: unisim.vcomponents.FDRE port map ( C => pclk, CE => cycle, D => data(4), Q => rgb(4), R => '0' ); \rgb_reg[5]\: unisim.vcomponents.FDRE port map ( C => pclk, CE => cycle, D => data(5), Q => rgb(5), R => '0' ); \rgb_reg[6]\: unisim.vcomponents.FDRE port map ( C => pclk, CE => cycle, D => data(6), Q => rgb(6), R => '0' ); \rgb_reg[7]\: unisim.vcomponents.FDRE port map ( C => pclk, CE => cycle, D => data(7), Q => rgb(7), R => '0' ); \rgb_reg[8]\: unisim.vcomponents.FDRE port map ( C => pclk, CE => p_0_in0, D => data(0), Q => rgb(8), R => '0' ); \rgb_reg[9]\: unisim.vcomponents.FDRE port map ( C => pclk, CE => p_0_in0, D => data(1), Q => rgb(9), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_ov7670_vga_0_0 is port ( pclk : in STD_LOGIC; data : in STD_LOGIC_VECTOR ( 7 downto 0 ); rgb : out STD_LOGIC_VECTOR ( 15 downto 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of system_ov7670_vga_0_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of system_ov7670_vga_0_0 : entity is "system_ov7670_vga_0_0,ov7670_vga,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of system_ov7670_vga_0_0 : entity is "yes"; attribute x_core_info : string; attribute x_core_info of system_ov7670_vga_0_0 : entity is "ov7670_vga,Vivado 2016.4"; end system_ov7670_vga_0_0; architecture STRUCTURE of system_ov7670_vga_0_0 is begin U0: entity work.system_ov7670_vga_0_0_ov7670_vga port map ( data(7 downto 0) => data(7 downto 0), pclk => pclk, rgb(15 downto 0) => rgb(15 downto 0) ); end STRUCTURE;
--test
--test
--test
--test
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity trans_tb is end entity; architecture behav of trans_tb is component trans is port ( A : in std_logic_vector (3 downto 0); clk : in std_logic; se : in std_logic; C: out std_logic_vector(3 downto 0); sum : out std_logic_vector(2 downto 0) ); end component; signal A, C : std_logic_vector(3 downto 0):="0000"; signal sum : std_logic_vector(2 downto 0) :="000"; signal clk, se: std_logic :='0'; constant period : time := 10 ns; begin uut: trans port map (clk=>clk, A=>A, C=>C, se=>se, sum=>sum); clk_proc: process begin clk<=not clk; wait for period/2; end process; stim_proc: process begin se<='1'; A<="1101"; wait for 20 ns; se<='0'; wait for 100 ns; wait; end process; end architecture;
USE work.opc_pack.all; ENTITY opc_circuit IS PORT(a, b: IN opc; q, qxor, qxnor: OUT opc); END opc_circuit; ARCHITECTURE behave of opc_circuit is BEGIN q<=a; q<=b; qxor<=a xor b; qxnor<=a xnor b; END behave;
-- -*- vhdl -*- ------------------------------------------------------------------------------- -- Copyright (c) 2012, The CARPE Project, All rights reserved. -- -- See the AUTHORS file for individual contributors. -- -- -- -- Copyright and related rights are licensed under the Solderpad -- -- Hardware License, Version 0.51 (the "License"); you may not use this -- -- file except in compliance with the License. You may obtain a copy of -- -- the License at http://solderpad.org/licenses/SHL-0.51. -- -- -- -- Unless required by applicable law or agreed to in writing, software, -- -- hardware and materials distributed under this License is distributed -- -- on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, -- -- either express or implied. See the License for the specific language -- -- governing permissions and limitations under the License. -- ------------------------------------------------------------------------------- -- LRU Cache Replacement Algorithm library ieee; use ieee.std_logic_1164.all; use work.cpu_btb_cache_replace_lru_pkg.all; entity cpu_btb_cache_replace_lru is port ( clk : in std_ulogic; rstn : in std_ulogic; cpu_btb_cache_replace_lru_ctrl_in : in cpu_btb_cache_replace_lru_ctrl_in_type; cpu_btb_cache_replace_lru_dp_in : in cpu_btb_cache_replace_lru_dp_in_type; cpu_btb_cache_replace_lru_dp_out : out cpu_btb_cache_replace_lru_dp_out_type ); end;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA entity inline_07a is end entity inline_07a; ---------------------------------------------------------------- library ieee_proposed; use ieee_proposed.thermal_systems.all; architecture test of inline_07a is -- code from book: type A is array (1 to 4, 31 downto 0) of boolean; nature B is array (1 to 10, 19 downto 0) of thermal; -- end of code from book begin process_1_i : process is variable free_map : bit_vector(1 to 10) := "0011010110"; variable count : natural; begin -- code from book (just the conditions): assert A'low(1) = 1; assert B'left(1) = 1; assert A'high(2) = 31; assert B'right(2) = 0; -- assert A'reverse_range(2) is 0 to 31; assert B'range(1) is 1 to 10; assert A'length(2) = 32; assert B'length(1) = 10; assert A'ascending(2) = false; assert B'ascending(1) = true; assert A'low = 1; assert A'length = 4; assert B'high = 10; assert B'length = 10; -- count := 0; for index in free_map'range loop if free_map(index) = '1' then count := count + 1; end if; end loop; -- end of code from book wait; end process process_1_i; end architecture test;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA entity inline_07a is end entity inline_07a; ---------------------------------------------------------------- library ieee_proposed; use ieee_proposed.thermal_systems.all; architecture test of inline_07a is -- code from book: type A is array (1 to 4, 31 downto 0) of boolean; nature B is array (1 to 10, 19 downto 0) of thermal; -- end of code from book begin process_1_i : process is variable free_map : bit_vector(1 to 10) := "0011010110"; variable count : natural; begin -- code from book (just the conditions): assert A'low(1) = 1; assert B'left(1) = 1; assert A'high(2) = 31; assert B'right(2) = 0; -- assert A'reverse_range(2) is 0 to 31; assert B'range(1) is 1 to 10; assert A'length(2) = 32; assert B'length(1) = 10; assert A'ascending(2) = false; assert B'ascending(1) = true; assert A'low = 1; assert A'length = 4; assert B'high = 10; assert B'length = 10; -- count := 0; for index in free_map'range loop if free_map(index) = '1' then count := count + 1; end if; end loop; -- end of code from book wait; end process process_1_i; end architecture test;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA entity inline_07a is end entity inline_07a; ---------------------------------------------------------------- library ieee_proposed; use ieee_proposed.thermal_systems.all; architecture test of inline_07a is -- code from book: type A is array (1 to 4, 31 downto 0) of boolean; nature B is array (1 to 10, 19 downto 0) of thermal; -- end of code from book begin process_1_i : process is variable free_map : bit_vector(1 to 10) := "0011010110"; variable count : natural; begin -- code from book (just the conditions): assert A'low(1) = 1; assert B'left(1) = 1; assert A'high(2) = 31; assert B'right(2) = 0; -- assert A'reverse_range(2) is 0 to 31; assert B'range(1) is 1 to 10; assert A'length(2) = 32; assert B'length(1) = 10; assert A'ascending(2) = false; assert B'ascending(1) = true; assert A'low = 1; assert A'length = 4; assert B'high = 10; assert B'length = 10; -- count := 0; for index in free_map'range loop if free_map(index) = '1' then count := count + 1; end if; end loop; -- end of code from book wait; end process process_1_i; end architecture test;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2689.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c13s04b01x00p02n01i02689ent IS --ERROR: no space is allowed in an integer literal constant a:integer:=54 321; -- failure_here END c13s04b01x00p02n01i02689ent; ARCHITECTURE c13s04b01x00p02n01i02689arch OF c13s04b01x00p02n01i02689ent IS BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c13s04b01x00p02n01i02689 - No space is allowed in literals." severity ERROR; wait; END PROCESS TESTING; END c13s04b01x00p02n01i02689arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2689.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c13s04b01x00p02n01i02689ent IS --ERROR: no space is allowed in an integer literal constant a:integer:=54 321; -- failure_here END c13s04b01x00p02n01i02689ent; ARCHITECTURE c13s04b01x00p02n01i02689arch OF c13s04b01x00p02n01i02689ent IS BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c13s04b01x00p02n01i02689 - No space is allowed in literals." severity ERROR; wait; END PROCESS TESTING; END c13s04b01x00p02n01i02689arch;