content
stringlengths
1
1.04M
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2689.vhd,v 1.2 2001-10-26 16:30:21 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c13s04b01x00p02n01i02689ent IS --ERROR: no space is allowed in an integer literal constant a:integer:=54 321; -- failure_here END c13s04b01x00p02n01i02689ent; ARCHITECTURE c13s04b01x00p02n01i02689arch OF c13s04b01x00p02n01i02689ent IS BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c13s04b01x00p02n01i02689 - No space is allowed in literals." severity ERROR; wait; END PROCESS TESTING; END c13s04b01x00p02n01i02689arch;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block TUg3INHh1m7IhzJTWhl4hakhjur7Vc43ogrhEddqQLKQ5cTmQJLyY/O39MHvxAMR2gKZYkMnwG2l 6cfBg6sy6w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Y/ia27A6wO8jmiJeDD7eisp/o0DINS9aBVInHA54TUKf2WoIq6hh9BVkHnKwsRC6y0ISNQHYfAzE PTfro7nWLiogO1UdUR6Fdg0dugY297GMGNSgJp4hSjDcncspoXCIzLXdW36IFe/bIH2I6rVCdQGq Bfb5Gy8ISAcPnQqsvfA= `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block kz0wef7kcYmKk02nW3FHEht391vWQJY92Du/ZIt2m7OdokLfO6Gv5DKbZwOuyO+yXdcUUdWHG1Hg t7gRWxEAkdlL4/9TviviX6GS9QtH9m8xJMYQY/3evLZuJv2spaJpj9XdTT9hQlWB3KOO/c4zrwkZ 4xmqwjxejGJsb+FM5sQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dkOPiv2V30YAr2yuyXphkE0lv+yYw3tHqA0yhJuJHSglEGmP0QTtSBhDMb+PGYV8NkSI8H4eVQnW syXqKR2vhzWnJ0zRCtYlN/vMwjrZm35SHeCGC3CWsCXPg5fWlXJzxzDU4vP5OD4maGH8Ec1mMktz gRtGcXleZSmjeO8rz4N7Zl+e7irHttUbvM4i2n84/VDlVWomp1+ZWh9VIiNadiVaF4GeyDmNDujq KQ5joBbbe4y2hoQTmu/mtfDUMZGGvUoImw+vazPIlVHH7z5MXdEpWiEKnH14qDniwjKNjq35y1au oZwXSsG5YkjKitE/OpWH3/uszWGUyrd02WCk7g== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block YPeWnh+rwbGP5pImDI2d2//p4f/IpBCUCuKd/hCDk+2PHES852iLZdoJloFPd1161LDanxCbRI/P 1nJbZi1obBy3B4ujpRc/a43DfJ7dxQHZtNjYKs9a//VCBS+23vBkqK8aImNg1Enfw1pvrz0j2FHW 6mOF4jYRiH5WXOIIuBHFpcloerzd0g9AWQUxk/T+WCSCqmYWUEWg517jiOu9LvsqInAOCZ5t0SWx 1A5jeWyL+aVl7ZT62sEEoT6kmD5KQH/kGkUI9nUWAJWa2/k8yR8JxLoz3s/KFTMxpyrcHKw2Mba6 kP0rB/IanjAxmkWkkMe1p+USCoEpuIy40jLfFA== `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2015_12", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block RAvb/4vlo/jbWHAYh5QgcKvlffxbz7XViXDEv3yRgfG8bjy/C4S5r75Las5nWMxVHPrwZeDhcP3y eWa+WDaWFUlrAa+9O9M4rBFwyH6vPJbUtAoKNZ7YapE5ME95Y4BKJQml4a6fc9hGkDkuDTohQL+e h1h5j2N9YkWtTKH92l+ACHoeTq3jJ7tMmqXWKNWTJN+Wsc2eZhJhClQDjPMSNa3YztWgvs7raemX fIP1EibAwQWW24hS/XYADD61gRmAHEtBDkKgnD8twsgno/WaAeXts9/ZgPRMk/yeorVQWfEagZMk 7092cD8GLSfd1pkbwQlcvmGKY4sXaCSxbhTWwA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 126032) `protect data_block 3xwWy/6MRkRZeShS+gzVnyCgu6hmxBCku8OmzHxtEmPfQhdBrJUFZbsyilcsmXF9fxe07WZOuEfd 29eDCusqS6+GDkQa1fObrFpDTlGsg/zuZPKlOxbgj2ogFnMxnIt9mTUOm/CSQZjfBQ7ygkuzC+46 LvVkp2t9HZAvuSAyMZznF4cqG5AAhJvQU1qqZfPY0AR7DCBAQy31VXzjIVWQ26+QZ0TGIhk1ERrN IJFDiUlNRrtxLq9WMMPF9Oy2fUuKxCbahSnogmQZTAWUYCja/VXw0bqyhlD4MXbIHXTM3X+aBCe/ 5QSNpZFK3LtLbQe68WvdwSyURbOkc2SzWP/a6odnSOay98eYKLf7Zq6mEXmWGJTlFH5lDdsIO94e S3v5LZIAnPAG7jPY1Ljr66SrMRz1EPDbrfQAvu6rmkTEAqvreWAFHrJhAshRav7gbDA1MZ981/xB b6kEszqjckJogNAa6Xl5kJurk7cpJABroH2dFytHhVy4ze/+fwesoHFV/Q3HZiAHFKEM9DtpLo2s qTltHTlgUzI6hS09RD6/zKLs6TcGgfgAR71IUe0mpuvr/pF90dBzgyIMr0ujtTjunzOLeOAeChWk RBxBgjAiFk5KsOeGA0jtyegJ5UN0Ol0JUlRmNCzL6kiajDqOsdvqZSN5ni8eUtnUy8W4Afkerlxz CmBiC/d1aBqVPxN5ppWmZEGvQWjujgx6gf1dj13x77Af7FfZOs9WqVRhSJJrWMO4fJQpXbUMoZSz Xq3bD3IlXclwTu4LkrwFD6EKQMdViPgZqIhu3ppfS3yQhn+g1GbhN7Hc9wgNYmm3XDOXH3wzV531 8LoBGd3Msr7am/v9mOeNGt6Xx4z5I7RPDkffQFOGsoprm4vCHMzurHlYzWHPUdDqDvJ7+b54Z5C8 DaxyLh+lLT9+/lDs4h+qH7yfL3eeqZBN2zDjrwjvlsnsIMnyVQgOPFC1dUYwkm88Vah6G7O0NuH0 UYdBDwSqdGyqnS6eHsB4ia+Y23Qd75J5twb9/lQGl0dr8fPaBdpxfZmq9LGRpdrBmvzngwEzR48T MxBVge0eDEnAaFnCNAI3AUE3mm34o9aH7piZNAA9b+JO7SAArLNZ6wgl2717AGAZ3TpU4bfmxVot 7Y6R7h23pTrqVY44GJdgKRBQ6DQ2SPnCwQRi4Q5/XPZDCKkr8gz1aseDxAtFQxdayMOHeQ9kCcp+ gQ2PoxKwDSVg8z3QjRFTvWFlohpIowOw2pZl7wU5RVRSPAXYGKYq+RjvRgrQAvIvxVOBrnHH11TO 826DmNQ3gbHIys2Y2kpesvU1cbackMog70cOelnYP/my6ZtOKRPwCwTeKNAPFmJgLwhWxmhKY8I9 xG/+ztD9I8FHWqozE3cxG1qhNPTT+dZ2x0S9fFA/INZnGGv0j1Em6zp6sKLTQIghWfde1SaTz+qK eOY5fU6Tgm4sIoV4nkz6LLRe8euzFyguFKDmFb2dH5hHk/oqGVB7+76y79TBfSFu00Ck9BPaB3hK oMvRy5+CE0/zGYz39+Z0gbBK5/YVJZ6Yx7BKlG5GKtj9fOjUCbJbPqgFqoAJFsJvBRCTalwWIwxi +47WnMnGA0mvSei/b16TrBI0d4nBMP4ydy5bNkSpUZNhfh17j+2DMhCpnsgvPTLMBM7qwLwbaSF4 Jl5U7eub2uJlvGDWM3j4icIkr2bfcnrhrLxF0sx06oz7jtjIBnME/CQaKU8GshBpQjLypwgIlT3w DWhKSRxRMHqq4Gi9QqXJBBIUWtiq8ziqfRGyHZkTT6c/Vjw4/gTWZ79eYQQ01+DVjp7RzA9KfLBT ld8tHNOQPwd2+gSGnp8zXhb2t4r4M6ujH6IMPIWgcWGmygzc1MhNRH19w7ysRu8rEXVkEhwG8Pb9 W4HICsubX8/PId9YvwsXYImeIW0wdUNSbZTxIIkfK55Go0NYXSrT0ap4CEPWzA7ZdWu8VJshAilj l+xM7KfsSNECpCRrBjG0RQrWsPMbH1B7E7IALtEFRjztXZzL9/7/+e4gGbIz5pfLybmeqqGhKzIR UH6Tlxm/8X77Km0ksofduOB/QyLZ6+FnjIFJ5GdzLairynMIW89p3LJO9YcOCX3Z4QqzOQdCn37L z8w0VxkfKeyKdTvcErn66yYcbV/9MFs/WL95UYAVYQOCw04b5992lULw3F5DVxkd5AwnfY0bE9RQ U1HEAOxgNpz+Qh2ipGvsDyRPyfW91WhnS/DmawyYbuP3FSm4r2knmPbd/U2TPkTlSFnItpl0ltZ9 iFepSSPjiXv2ZO1JWPcBt7LMYq8iR4geb3xR5WbpIAqb+LXu0dhsJP1SxqHSkdKfVAPHCK2nyyWy J8lO0B7zAUNvXnC+OUmGy60Rt0WC/2IaQv3dt/He/lGxndUaiFzFK6M+JAWOJx4GOi96Lf0DpiJY +3NdKiXELwi/GNpIRZilW/pJwmB5SLnksI9Nbb1S0Jem8zKUHRQrCA+qgGfO390iELI+AByRh5HJ vynXaQssjmt6zbe07zyrc8UwLNaud6BojgjT7wKq5oKCHVHfv70W4oqVeXyH1liz7knBRX3iPsFI GXqKwk7D+UYUx9f08715lPWRf9oMZxTsVGgWVdnECG5kPSp0tGZKK2h8PS+hgnCcE4y2aFoyfQlA wb4z1zQf2wKd89dDx0Zqup2btvzgY5U20sn+zzZocHMVYn/b9Mb8HkdiyVC4urvaxksPYhiJB+R4 xtceUdEWH07u2hnhoUJCQ7cBwH05NAQDwELAH37SWosxSTi4X7sJ5/lxIbHvD8Izwv8/iwrYlXPh hGilVMxUOjWQGI5LpjYFzwX/qsSCY3feFwnIVdZN5k8Ip/LwyuvywrI18nmfrqnxBgwczK661RJx sxg541vHBZHlYIkSaBOEte224IOSmurKEhPYSRzWBvD2CELtIKpFVj+okmUYgYH3grOrHdE9NXTV C0Asqzec2j6p44zM7VN+mpxrqapFfw2VQOcqx/qlrZ5nP1HvHi6P7xikvFsPUnnJWqf20F2x/Qul HHM0wNIsvyxcy/+Fi4TBVjpe7mv+LD5ajwNr66IAS6Cb1y3FfB8xoiPqgiTve8r96ZEnZHcE5OOL btzSXsBuwMvsyz5sIXZtLIgLdNcoGBUNEgdknzqM2iyBcGbhD+8sNOign+EpM88CFdZ4Od4xfyhI rSMeX5peXRiTP2myAWbiSFcumyI/9Xw9D+W8iT95MfH0qQbBea2jYuL6gWIEdvu87+vei+gxwtNs /BV4KzRPg884w83QMw1sOyPPavQ08O5DLRm+wxSAvlC9AVAsiuQPF3XjoItZaN19PTN3fuI2z42m sn2V4KKwkpo4N+T6Gz0JCyotjwCYllGeL1u3579HqFs/xdwhrw3zGWNexfs3UIzmqu3rdsKD94Js BBqAnNtycFU0rhAs4bhgr5yzapArb/KokI2YLBDLxVMukaiYQiWR8FinVUolIFSOB3/13c5Oyt62 EqDkjJ+/AYVeM4b2SxnqKXOWBOM3Sz7oG0pB0rxKRRTlq3oKaS0Pt2FucWveQd6Kl3L/bW9usFSs 0t1WDHjSjAYqPYNSyYxdHvtV0wj21ptbS0a3YFjmYv5KWvbbjJjWnTB2CnLduSqc5Ml43juDfeGO E9yz7LANbTiq8TK1hvb86WN0m5c5AOyVSVpJckl3RqlfOq79F6MrgX2MjYPF2avCfiKOyouFPIPQ 286pzSElFbEIjaDFPt0vUOgDoDOTfaKTkKQOc5lBhK/J4YVI7va4aEt2Qp6FzVuqNsYLc/0dwg5q 1T+xVLI6KJkF8mnfSM628qumcgAq7Cb3GpMlDNgGKK5KEPUNX/xhHEtHH/8JuCDc9HydWGPm7/yy TnBuXjZJcUcUKgeqkWNNSWZZqueHFI7/3htGB0IFbWLgZISJWcrSuBG8FdQlberEZ3fxg3kk6Dy9 GqfLp+WQLxvQ7z2uNYJHxpXnQDnhp4gDlkqQxptMIVj4NJHh5tHVzT1HV1frBOa4THdkmHRlvOKl tJaol17QIy9clnyy85gFbrf2ovwlZNuFeQLccfucDRhkfHbQ8mAGjikMtoREXnIbriXNWF13P49M Xl5YLHxuNgUyYbN23dptlDF07Ipwrg2oJUOg8gKRWHBylH1AKO4D7DYsI8JCRKtcXJdtjCja4Lpi Q1AZwWOSXKGpfP9bvazmHyYaNTyQTWdLUBe5heIqbG5f74t/Ctxme1O74ASrXNvo5BOuvOZiNCuU 2/yJgd2Hsnd1RoKCELbuuL0TJiVObnH4X4sZou1IEYx2M5UiFumGN8/bNuvBzUzn0SMn1EHSzIvO 9m1Ecq8fkVERm+7wDR5LuM82ARXVTQBQ1j+jV7FT7Gd2eEggTO7IcRI2exfI/YZMkDp1DBD8MEmS jHJl2xKdHfu6ZRm2GRUWZ9xrLP8HtndghUNSN8PB9EeF9wogCPnvTaZC1kojFxc9+1AIVIxMi+bm g5lbxREUBRqFf6om3hfMx5v+vEC8CX8EqkprmV7cPlmbYEQJJ5AqpA4CVyqtu/dZ6+hzZwhRfN3q p51vibWVlNPPlzAh1DodiDvHIOZ+sT+D0UM3iMSH0wGemyzZ+gSilO5cHf9Zyt9s9L1Th9pemiH9 e4SrxxLpWEpccWE6FnpMv1jQfNj5RakUH+VJNTZ1AftqdaRote1SjQgWW2ioU14l0HTD7bs6E7+y pX0/sgvDO/wYYuBs5SWLyTjaE70w+fATsbbnGW6Ry2FnKOypoGD4jYltyrbUVpLKvUf8GPjowQhW XKO7zsOBpwoLgNTGargsa1SEt1+1rHhLWQ+svstwz+aMBL/FyPh44Myrw4pmavybEZgtykGR4+x0 sNsw6ukm0j1QIk6cIgoAWWjalL/CpEW8P4mLcN3CMSgCEdcAD6ksKqtAQ1riCB0DSpA0nHDXsvua lFOHiM2JZX0alLn/WOXtDrJTiZOGB7aoRKIfdXgvIze6FsRWH/emlAkkuuJAzlxqtVGx9YkXk2Hr rVZLjy1NvN8Eb1ygI9eB0q9/Pf+LXYC6ffv1DkGEu11lk9QXWZUhNsUiMuRX/N2QNXHJfbVeMiLN qbXWmSGK0mtj06uEnVRhTFrb3z90wtVZGU5T1Tt1CssfB4bn4Q8pK8Y1b0+uKW6ixR7UYgJXBKOn femxWVfVyWH5zlE2F9TM42BixUq8i35s2gfRa7bHBmptb9/G1mXMRuEz86z9KvA7GcId7XGcMcr9 KSwAI4LR5eJjZohqutIhqrLZwUZDrwT2IV2v4CArFPHXGK3Q/b5IfAgrIxGl/FWsvNyfByAks/3R w9WxRmB+EAQsRlcS2aJaGpfCOJ94uJmP89Gl6Pxcz5JChLmV12pae7njNEsNAQ2kwe0TKoYc/YQf HeoucMNNCBWG2l3XChqO3rgL6/LLPoFBivdyPOmkVSfnIQ+j9rf7NU3nizRfjxb92lyve8tvhgdu 6DdOw9XTAF2YoCDZnLHr3jJCrkz+I0LBzh4vBrQZl/9AOs2B+EpBkRJ6gBgz0S/AMnU1V+1EzzQB CcMtXbwRozrrCSP0h6beeRjYS7Ab91gfOKbL/HiTNEhjUUh1TYm9pvFC6Yx2dxZ9s5ebCXwI3q5u qGPP8AYEk4T5IWvtfdnI2t1QcdU6nnReSaMKM5KNKVtUC83W/J6toqpRzlX8t3DQLyYBZrMf/WfI ssQwn2DIukW9eMQvof57Y5ID+2wP4OjyQ66+wBqIZlM+gT+TOALtc5xD8DsawZ9R+b7pCDmGGNr0 FjKYEUlf+KF6oTkDuA9dWND0csYZqJqmCc72qnu9/CutT/YiaVwgskGLRYBekX7XSQF0sbeWZeJj TKxkIibqhl9V/m51cTFfG7QU1Mnz6YIlWyvTGb90pa0nYoVXqjw+Ck2HX/00JkEY14oAlPYnTanL /cZAAALOtGr0uWvZ8iUuR7jk8C0X9lhS1X4J2VGhsZmxBtuBT84qi4QqsYEn86shGm69FVA229i8 ig0xIFGfi41lyboMA8gRAqwMdVyw1K/YefEGD9NaOrOSikh1G7IOCFg3XT3K2Pd37SNqaepITOP9 DDo1TNm+Rx/xSf4R9BDbw8pt9PcHagA7t0wBngMz0LymtzBqSS7l8AwzzaGYg0cGM5rjax/6luoS B2rAN3Z29Rg4bOW1fiMU3g6StWZrDlJMqtlsQAUSNT5SOyMZe7h42ipxTsadkKnKySxopg/tjQ6o 0ONLzeqlLjpyrndMbLdrePKYPQiuDqYj8ZimBQoRMMqevTSS3gbRx4pFHlV7E4GCQYY2KD0xh4w8 CiVOOm+67YGiZJNDVL6QzXOGYqTyBxR+E0J06IWQH3q7q8p/aUvtMLjTm4rFM+di5VUjycM6T2A6 W1rk/4cf2BGUhWm/n40gWJxbEdrxzBGevbLL0GLLq+W9NcZ9Bon1QEMOxxVtTFwjGv8rIKNzQs2V XaF/9iVrwEB3v5Eflhn/poejVSa3nRA3AklIVRGlOIjqYmQbIBXAB0NahTEXPmuLY0j1ubkcrnAG 3H9+NcfRC6mFXdhKVZCwnZBrQ2yekeTO7CdUWhjgz0t5mHA4SWhwdHe0YEBs2ixVikLqixuoYK3E BrE/z/B7uT7NbxHB3hKxq1E1jPc3z6uYqpys1jRGZCMqTgajZX/4D1CWww7CNf3mzQ7yc8hNw2Uz BL33FTZ3CO9pqzgMMp/LkhkzysXlNjrlqZHk4DRmH08hi5i1J19Fh1SkuwdyN9SP+AWI8ye4JORy 9RQUwe+9TXQ4GU6EED/t1mNjgy7LUA91qEh2+PkPuPAn/uY+QfuUnmeJBa+LiuEZ3EkLpba172wP h4ZqXMdW/ALm2wwbuqqYgH4mbFsp5SijaogjbDhXoGeVJ1t00wkgHzzC8R2CKQjzsQuRbW4ko4BY bz4bcLgo/Ve1ltxqamyZsfgwlFlkOacrBDvOvQDNdlIT8ysggAEKXMSeqbeSkqY9URS5PH0pilvV dgsA2n/wTGjgbbw+rTTnkDaMkIfLqEU0QeZxwMbp8ZwKqpw8Rx2m0Rkio70bilLoCZy9c/YJ7jPu UWYKBF497VJarIoYS7tykqiPdrHCWk9EqV111rgY+J8VnEieUzo5N8Cco1NbdtENdvesvRobfmKg bOXmj3JWXGBUdmatT9zC6uJbeLMIf9cVCEOIN+4sNW0DTiSaX5WwiSujlvJBIV3fMdWVj9lb+d7s NRpBSm+Py1FGG987smEwBlzIbdBQKPslVs/Dge2YJYwJrKLJbIudUfQvY7uMfeep5AvxSyDellIM RrvO+etyQ2ikJGg5uRfc83cAgALSLAWqe6lQrhZ6vQNoeWKYkaJdI8RR5hAH+qW5nYb8u9e1qz4w DXvZBi0GT7ZyWDzW7hV29hwSG5nyLg8KoWxgDcdl4qhtRnEaC0HFgiW9hW/K4G08umB0b9sinLcI +NNz+0/ixnkHUf4GJHDB4JtjFldCfHiJJs4l5sIcxZTYRsIAq7q/sFi/FzIxXTUxZ1hULazBidXp ZvKDOR06k694BjMcJR7k1hcUNN9rYUp3M3/XuukAn+Y2iNRnDMnXH8WcTPRIhdvRay6/tr1E2vub uOPC0UGKRfO5929SY+nVx/Z2WQ0pFKuHkDCdQO+a2WLpz30VBVj4h94h3yXTaZmQsZBcPdzqvDbD 4tFUYMcOFT+xf0WRvXtWEgu/B7VKzNZZWaRfNTbAd3wRpseOZBh3lJzHLTE0B5V8lnzt3r0K/fHj xY4of3tmp9Gvd2/SdubaVTQpb8PkjGJrRavSLGArMWnJ2gujtR3YglCKo/5gjA/1RTljiHXk4NyJ Syd8NhsEE8Aamz0FuY9GXhoX93ULxwHe9mFYxy7nycaWU+jxlNeKXfqOSFL8xUw9a7ZgQNMzr+2Y +llPAEqvYqYG6MZ3Q4eZIxcK1VWHl9/79QQXh3x1m4bMLikvG7eOcs520RCXC2sHM9OSSGT3rDTj 9XTBNVDjGG/KYFSOoPp7r7ruLopYSbL8iQXYO+bjN1K5VSDKtkgfPDEJp4/UFoMGEUelJOFGo//G n3S/RadW/yI4LY8KfJcDlYf4RBTcAsIddTihhAA5Ga+wQYGXGWt+jSoBgHAZJG6fSmcQy2ViaP/r fY9Zeev6fRhLiTFxqtNxnLCbIs1LIHQaErMi7OCQrCMrJktak5P/TKF/Kah/YtyRwnFBsqcECaPB 698sXJJQlKPsG4rLQgh+3Xa9Q38jmkky0HSrAQi1SAWSM0XlmiaKwfo0r769Vq8Ev7E8KrzWOmwV EzhE9YjIOQAlNZ90FEslBDpJVH6Ffw6hprKdsG3nhNbeBp6chOiTo48uFe9f6sGd+EWocCLOP4TJ bYOE9eu6nnzWCfp7aKj4bH+IFae5yh5pnTnkPsghJ2LI2RsBc8rJgbBXA184UnWQ18hBlV0hk564 pWm/HJV+l2IoHtRZYn/21IGnWpVYNeHhOnbIgVhklS2Hs1xEKGX9uzy3EjdT30f+Au8P9ryCtJzP RVSg942p0WpaXSr7RIdboq/7IHV0Dq4vwpkOJ40XKohjTQQZEYNjkdBJK93+nW8+GJNjVjQlvF72 JjVN/FID6lmMKK2Xmq+2tbiUfu1xunE/IvAJK8FxMAthe3iPYKlXDTiyrdw0opq56XzE5kQutBAD ibBl6mGvnLi0ruje5IMR0FOHEIlTd/Y9HRObc9vRItJgJdlbhwSh4kiFmMceCpijyCUyEIivuxoR FcIOafA39dxyzG8UVk7j1wMnY0umdiAWwMwrW5aE0dOm/WO6O9oyXGzPNGYxazZOwdYtY+hZH4hJ SBocgfbp5FYrCXD11UXbZJfiWZ4ejnCS03azhlGAz6rF2Wj1EKbjZcUB4SEXG7pCercuGdFM8LZC cFlD0BZvX3DAJOsnBkWQhCuRUOtYr6M2XXdqk1+3oFaBoUm+T8akn8MijLMMCQ1yMoFkGR2F8Oqn xnNbtd4xW4Qu81weM0H2YthCsyt1opEDMCudXaLk1PzFZhmtIZTTUXtpEB+CbE+5poyhieQ2cGdx K5Fm57FGYY000rqjcYoK0ZZVuIbNM4/j4Zx7eNYFCw4AjF6EHxpgds1sdxSy0N9EXro9CMAlb9yx zVAl+onZXbthmG4py43Si7wGtm4otXyhN57dFaDJNOY2Pr1Y4eKmNaimXOZgYEVybAanLO6vwUaw Tu1C0ayfLxVDb3MLfiZPzktjViIofAYsEIiKYyuER/dQjQJ/5kRFpYC/YKM5QzGeOs+xPrSmX+21 4wIkxcfVpyI7WJDA+OzJRtggCilRTGGPUYox2xKWl7TKqwK/6N5FT158B5fxtS4Thw4Alk72fs5R PoeFcz+TqJDhBJ78EnrTE2fbPuor56rVT80g8uA3XtEELaASzL0vVf75/k4re68wXCiFXfBPY6PA BQtNlt1WAOPo9A8Nm6gz3AE203VcQb348gx8WxM3kS+44c/EMyMspXPLViMpP41AFRB68CuZjSxP 8ma84BLUOyoScNB9P1cyEudSZSmC7hTTkC8Zat+oooNbQdC3tuwQHt0DL8yYs+eJ8pXgFpCgu0K7 DJzJDpl1+uSBe/+ww+R57IVHm1S/bSVN2EPlGomDWKCr1otXqd8yVmjwfmlDQKBJmgHtQnz5Gfxb FTLLCXizDVw+ZbO0/KDm2pdPpjN6nvhr3KPPHqpnruTuM0YPNV7fHk0c+xiDVEjhPsKvLttkHhjG 0sSMX1kh9Y1h+pLbzglqS2O0vBlbSOLgyxwSACTKmf5ngjIEXlAUfGrSmxD8cQPhmvfXpKgenD3J uCU8wtJ8CdMYxtnqGJlchWzSoh440JB4SMakAE28q3FhAZMiCpprJDL9U04tDYvhnuhEcLEWe0vS vdvguE/bXIRGv46MDTiF1MajhknTvCj1bKv8Kz0nOMtMX0PWdUUpQCvmnj0fZTR+w9NkFYsNE02y CqdpM1sR4klWDwTY4pTe9ls63swgFwTGKnGnwyy8gAsUq2aCfxrj/pDK6dQ4S+b+qnq/eeE7Jg/n 4FD6IZzHaIZchYllzT4Qm77BeMib8Z16RlNZkPf9izHvSPq4Vi/PtgHgF6VKA0P8sofGdWxq0onr jXdHRdSWDrTcEykL+lQ2t3E6lC2YC7CjpbkFgSeHBya1pgrekuKYgk9hihB1ErawXkT8VdT5OEIu vI+6N9sW+H4kkBhWsT77AgJfEz2iilvNGg3xcj/JuHEPxsAJLYeWM+5hnU4q5JyeMJteQBO9qfAk P0Li+WdLfbSILYAIcAGFamBF61geMLW95d19y0GWNoERPOPNW95f5hpM76n/VNIyB4dc0WycwzwE nCIQmmtXByB7YXi/3qQNvB6lofBkDTrm60DaqTDVP8upe7npvEbkFS0OGHh23GQJl/Mn+qnAJqnJ sjHKLuIAEi2q9dBjn+0LE/8uYWLjXJ5lAta5jY+Wxv/8BSFsNwh8yu8IhzOBkxPe+HzT8aw6FSxW qFIh7enw6Ft8I+ZX0zfbGa2RRkqC8niRKn4gYcmtALowkAjTxQz0Wk/4Zk1hC5xbkUKrzDZrz9eC QoBt3Vc47O0iubera6mLTBHtNGxOn9dLnePidqRYYYJnonHt7HHKgiBu7fC914w28mBUxh0DTyT4 xACjE2qmN5UDwNOZEIn9g/hKznh7Vqr4si5wP9TZsqQ4lNt89EkUURfQugiJH5vrw0dUltkxtHKe F+CQIXB8D081/7EcaroNXIUXaFYOepJD+cKWWF0R7CRVcSkFG+U7WFEvTkQ1XZ1yC5jbSfdv7Gbi XigYVe5lGMkDMdxIqE9oFyR2H8LkeJBKTnvdTLjABmLENNKU2ASzDvF10xGNzmoDbr4Ez6kpW8rn KC+5Yaza6aunDGsgY6G4l7+qiztHx2wAA5l5PiuyaJB3yCumZit76/rNDwtv9mdYDB6FFtI0DxnM ZNo8jCY6mYEWAhxWZI47JdLaLoJZ15qZSpGpSSs5KyOpV9EeqDyNitIomzlKesQTWMT1M1NE+P91 oruQ8MamqZuMeryCYNaWR13HkgF+V9hBu1srgW6o3Q+RBmjGy7Ju8ncxcuslOc3odvcA/sfINbxg VAeMXcJuYdN/nX12cpM9XxENoHp14p3VOQNdQeCArR0y1dWce8UgUkJ7/b2fUjE3yGTaJHR6WC6N TRLv0fCcOF1Ss8hYngJStTtvbFo2S7Yq+XpvYF4Qx3cnzSRwFHcMkftJOO6O/jSzxgsoJZmnLSvY 7ZNwfCaI+2OVUQWC2rMqbSX8o1XOmnCgdu5EZe8xrVm+GxkZrqZAKJiuukqi1/IoUUQjthUH3b52 39eB1gkjIoaUhay3an8vzUmMTTxSjumIV0Byj14DUNPxSYkxJ5v4nRg7JwJN86ek9oNKA03I3ziw aW76Wbu3XrudvHYSZS1t8uzFXj7t+EcS6PvLH63QMarrIbkc3aUhMvB/4wyoA7YLeXlEKzHPnxY7 5QQdS8TZh0Z4+nh440FklHNQPoxN+LCDtUrY3NsQTE529kiSkpuc+j5hNuXyaiHjX160HYZGrTMX FF2Sv4Cme08eBk3hjU0kUwKNOVMaZrpOGE8tVF1OOo0jdPDz/oznG/yslRUBETptOCII+uGKbbCn qFnEW+18XBEXdUo2+H2+HyUpO2JQEJfKnUIdIQ/+ffV45IWWqB+OuRER6Ntne7hlee30tTbdcdkF FJusTfikVlhQOljBotwGjMeBdbLDjGK+xXCZTIEm/ICYXz4vrH5b8M5nys0TOzowF5RSTgffaXEH i/F0u5UTVSKIIV9DTcfZ3gMPCuqvYuvteUNc9HXqtK9La37X/4Mg53xrinXfYqSgLHzno1H4zt0U NvSLuTRr67JBMCkesrBgjS5VFDdQtJY1KQq0ZwB6qIG6i0NXB1OJhGbqJ0xwr+KlQ/yY8q+TkY4O vMKVI9dnMME8rpbtrDGB6/X2peKRpPUoVqp7f6G/qqVO94+fWZ781n5YFKlm+DQ6Ok8kwbW9qpu5 mk7mSJ8UDisr19f7jm+dQBWJM1ktfyYhHCuPjYUJ9LYLReOgsQfNUsfZd9dlT6s0uU8Ip1zxHvZc Wks8d3m+iaZuYUKc3INcRV3E0dxksPhut8U9h2CuxX1yN9XjhrVw0iUq95V+WlJWx9hFwV/mOzj/ ZpZW8eSzD8j+GVWxNKqrDeC3soHMZ5WfeEvMNJHavOcAntdGdzqtqnfyKqp9G2N3ASGuXRAvW+rK T9p0c0zQmvz1SeCx+rlPhIWNTgW7qksRbvrJYy+k6vgaIS74r/MbMfehwYWnKYKGtn+wtlxrWrMk wy84m1WVydhJytYsjnIlf4IHqwsGVDH749FRI4SjGb+u1X/MCgGL5Ee2ufdxOEgE/aSvSiw+4zXT 01hULsrDp96wQ/QNdSFHBjN1fygRoTn2MRmSEQEtCu0b0mio+GRHWy/sPYRsRU782VyFYoyJjzXF k23yyM8G7ew/T5kbYr555PGbb6lYus2vlkyvINp/FYQJD7cnt1NBgUXGhiGZUnvVbiwJFB1/v79G GjHvcYql9kQPSj73c7aJQ47yReAr8qBY2Pzl+8u+88gKV0s2B7v5kG8+IvqqSMY3opBq9wEAU+EI a2gQ5Bkb/tr7kd9lpf16GKS2GC8m3Z5LpvJ2WXVHrJ23oMyBJDTkaVw9r0VQ+Y8zaWgwEe8PqOzJ WqnGaiRxABH1kALS+KsC2ahpK6DLFP6w2xJFDrdcEhy4ASA8FR1jqX9XWT7xKMUTyEzWxJ0Ip1Mr +sZoXutBIt75YcfsZKgntal5yRivEaXIex9AUOl4vRyhf5EqD89UBLiBHcDRagkcbx9ZblxJNYNy UtWtbts36uYiP8YLynt2BDCr6ycvFnfiv1d9aaOb9+ifYX29nKQW1D6SfYPAU1i9/tBlOH9u8eek aMUkndyHOKHaYtjgOHvo1v4SDCtEZcKSYmo21D/w8UKzk3zsxoIsAPzM9f7/0ojxgKQpkb3bHubK 3BwGadgqQRxvU3DxxaUtqg4YCl1bafgfPGGl1v76qPNowZ4qaaH0vHOI/O270tjoT7uQCiMNYL3V DTnDcSjcakVT7o7hO1W1TuRS4L5sE045MbgD3ziVtL0RtwFKr+d7dv0ZWkTwcMibnvJjPxYOVJx9 8Lk3NF4jKs3Ld2GGUoIjEGKPgfY0N2jxvOhmdZPRREBSttOCaUnc5bTWg661UwViFzYpPrUlJ2m1 1QSq/jt7jYW7g2vsUwgGaeioxHJQ10wP1Ry63Hm6L8GAD0Q7PyvgibvdzCls62V0UIFNWD/pEsNS Apop3LqeZAsCmD9zcu0qfpJjsfSolQIC/Hl5kHFOD3r/I5sPI0CZEncVoC3tR83Zz3Ty+Ml4nfCj 2HqEHj3jXPu/Fo5UFYwE1fAH/5y/pJI9pgGKXRtXXJXprULwxLsQW4q+f3sStvbGzendeTs01Ibl RxuE0evGPuIMwuNzzN1lsMPCW2al0763fKC95o3daRWQEo3ggXENWFywaGsLE1734y3Y+xL3d6uq VI4zHPpeJTUj8zrr3PtjGSl261O4+8gf9vswMb2XZxEcQLZrkFg2hs3Vh7dH6+b/8MiM3o4DKhxR Rs/CAXKRJOLv45HM9H/PTRQlvfe76UNpHmyq2+Lx99tyUMfaSdXUgTpc5Sfwcosu5coYHpHIK8Sy 45rBapBTITQvY23G48ni+WvzVbY7DdRCKVh7O7p4eu+VBZ+MTn/a454m1j/vhHmqnIUq5j1WodR3 VlUvpCLg/doV1eBMqwUSP+GoAqxd/JbrQ3B3jux40vjIntz7aV4mbEgnCmFg8Z1zXoD1W1LuTYBl /wUBlMf3/z0xBxmX26sY6hkMrzjATpRXGFk8Z4torCpYYWNPpz87bpbag1WWculwxPRODrG6uP6x 3Z+N5yGY9V0HjEYm7cjgF7Rhc22n0AqKbrkKyMZpmhzuSztgXwPhlDh2jWnKWYLX38uYuPRS8p2/ Kp802+MGiNOUhGKiBOyAEL2BQC9q0hvh5KmII9qR09vh/GkQiO9jVOvtf6TCQ6Jgt1Kyc4VGmm/w RS0JE30kd79DeRPgHrIFD2gdre56GhIltC+P/ZNrRrYh8Jd8u/I2jxA5Ej8dUQpnEdy6qSlHubCM ofJYMnjayHfjo67vzfs61/A5owPeGe+AhPgF7ss9107XjYragIveySsTRYgID+Il2sm23PJVsNeR fKJcUoGnPw+3Ny2o/CYXskKikv1UzNKDKiD8hffvgvCk2ugWagrmYe9V47FfvLnq7uACHs5+sCFF 9Z8gDL1LJj/Y2mFWvAhQQFZfjlh+YJlxY5HdqVUId7UG6zgB7DSVOFwpQ9KRGFdC9ua6/C9ewTxY 1TsvstA58Xbkgh0BGbmue2XhIm2Ck19WWDU3pgXRYcDg9dRiuDVA0LEzkfwxG0fx/qrqCiu13qPm 0s+qBHbfhd/ckXIpDkJ+8hfOwV4utyyla/Qobpq/6qjKdAvLE+FKGn/yoLgL3jVVnnpcpADc7Fn/ Y8IQh5ZeZwlPbB43ECVk0VR/JQ8ctXumIl+3PAt+u00tHXw77ziMPS7+Lo844co0fyjlzczjqJe0 JFb1IYt/+3mcK9oUj2daQE7lWBHekGYoA2wEGwHvm+9rfAfDUcnT/vFybg6qraHTngRfQo2xvIrM SxOi4EfrkRr4eXEfmXLXF83fm2iNATBgakntzDEpt7j9do6O57o5TqwAH9+TCnvv77TyFp+IRTLL QqKJvffUcUw7H/DhauPe5P6xXTJGADLKd4XyXrT4EGkG9cJe645nUIVRuwWgfGi0FaPfZV/G4hlS sbMVFsJwfrSHp95Wdqtxf/YRxlExhQ/XpFhT1aqZwWb3sC+kKRIfeNjoht0N2angZyhnI5/vSR5C HPtqxBtdzFXIoBVLWdvTDnzK2zWqKuX1iyua0VTWDYLy5lSqX1pAdP08oKu8KI91xBDr/HlbBk3U Z/Bdizf9ex7bzF+QZcWydvva9et6fvVBVwfqQzRNCCRloNpyaT/eEKHa7vjmLXa6bQBX2/B5Ql0R Jb64rRFxUU3pBgvQhJYCSK2+JUnppn4ec9IGwzf7CLvRTjXUyGhKDxda4Jt136WVhgwgr85xWUdK wYAhVLftJyfKoy5fVzZ7HXNdGfzMJsL2P9rQ7tP4WUc6/dKh9m7viWawGmriX0o/LZDm9uXvglv4 50kJCJ/nw94XyTaCkw0FyC74V96csFCV/1fpIHkUAIWUXBtwzkgVtNGiDSWAyF/WpzjtGkGt8Rd6 cQ9ngA6ashJIRaXI8aEkeA3AbhOAIxEFZoxdHy+yFuLNbE4piLVYI8axqQ34H4Jz8ALOo8jIJVPY ACw+nY+EJxx9ae/W18PZVCz4i8oLaYN4o3hzRVEJgCg1ecR/NZq6ToSFCVJSYpXmDMn+yG2+BKO3 L6uigdKKx/kLlqHKxHYfPaIoGTWY5AMn7oXaEsZwgC5y7DMyh9mZ0/W0QHHPtR6KMaZUMv9IoJ/s A0yYxD7objATYg16phqXG13Jpo/A4r/YvErCsKSKfKyW0W1mHnpV2SEADrZX7JD766X2jC7CKGhA Zw3O9oYG9/lbGdaI8FUDPZTisdZ1mPfei+34Te1R6bicbF0xCaOGwLQcMqeyjdS92JUT/9mKgeQt Y4ooora9KskKmKK6+OhIMrZ4hqm4juz5dEp7vf71p44OkAVvEcO0giOPLIJ3T47zpk+lZ+b5XiWZ KyNaS4sTG9dJlJSbtiEThVDeBtMEWPJvFMGtVPrYC2+X6SRsf1k54xilnJKqc0MSdmCQnK2Zu8Ek RtBx93h7N32f7pZoktB0oCxZHIT2HBYfOkvzK58mwOPDXKSXNAUy7a0awqM5e2H2bbVGWto+ji7l ncHXjQnJ1mUMcKNDMchMdK+4MABbDuLHtDi0wwIr9g8AU5bbt87RSUi8jMYUOOcbo8XtpbgY3cNp 3JooAeAIggUB26LkQA3ngk3h5DQuc/MDlSUONO6+PQp5zKo+xxByChHqb9R+RaqqIWPeArga2uH+ iHgYrEYePemcxDewJ6prlA+6sfKos+MI3zMW0GkLlPwxV7ELA+aySb4dgYLZQJY5lyHyrwjNNpGE SVGWAcQTmiSsCq1RWlw1bhl4Os+UMbzCZAiZq0gyP24Mqs6DojfyI4Qfhr7OhT0Azd3eWPXfMY8+ 0mHY3yxr8Uh77Wj+UYOz0Cs93+x16I8eMp3jnbU78dFF/b1g67N+FOwZD+7LJqTsVp+1LS/RRKeP jqbD5DJhM1wNQO40cluV6eOgsHiIATUdW0wHKIvzjmOY84WIEN7UdZbxbkOSCnWdN8Gzq2ZGD2ZB XTCb24SSG4ziSd+QQc/FNYejWEicVjwnfS4jonsD6N2r8imiUliysGauVR6vNRgfZiywIMNhL6WE c2ZgM2iWr2leosk6PCLtfXVxbt8/zaC+RNKt0iUvJimWyzGf7MFSOboyHepBdPzFnqlRwvI66KZv lKBmutiw+3YYKnNxTiVHi+WAFV9u80c9dc73DVsvd/OufwffyVK6slw6Lb+Zs5+gABAs2apRbEiJ UY7ZTdSqBrjc0jRjTMhJDfmJDTjNaaLhFIwKiFFy8k2NF/stU0O1aT9WIx8ZGqjhbZV4Lia14ju0 ZWP3rEhdIfj9SNlcaE0pw5kj5c+WvrThEAUZBaHDvNuJruuX4uCedksz5Og09XN71wTLn+OtpE3k k7mfunJ+Ar8FvEEZVSHBQUQ+m5JwH7BIDs39Hri9fHIeW4aMW6dWsU4KC4dOs/n/LnMaKtvNDzaV 58wOzz7b3XzKk9x+30dXcRp95dJyvzu2tH7LejvBhqouwZVzWzgwazP1svtVhJObO5Ff8uJdJW+N v08X9/ZvHCvJP79zPfN3HuuFEBuq3d6hHP3WxmTjRH3tUJ1wUIQUMaD2UcaWMn/Gnu58GDaTkQUT Vprb5P0fIn7zkqtNNoB7vO59XIe1t5Lp36VavtlrSC6JrRoUQzY1rLmGbk5EJJsk9Bat3gzNuloW zdM9jDK/6MYl6vdJv7fkTAdszGfTI0XZ5XtH7vGbxAPtEIr7OrIgMEX1HJJqdPa39EcJDHIRtvGs B+dJKmcsw149dVD04qTvGPrQ9y2utA9S0Hib6rYmiW127tMW/cVdzzS9DC3PLf6hL5DUp7HTwmSa DPQAxm6iKYlxrGH2K6FC1MEWqqJfCi259K4jq01SAbOlIPB8ecz9DAKwO5xgr+3fgMeO7XgaRMAc ilPrBgDoifYA1ztxIZaaSprdcXuxmsZ06F7oJ+ciGJgauSj2l7I6SAYH6UIsK2xSTVQu0J0cXBff oag6mbZSIbLbFfDCMP2/ViwWq69LYseOnqUta5PlqlTpv9Y+lZlz+vFZcYnesxUy24vcM8xxhMhE H6n5wE2UbOf51MKNNRgXqaNL3InahDGiVJcRn9jyuq5HW0KnGoRl2uBu4Uh1wJ8OabV3C158MZoG /aMlCoj847N4ot2hX9sHlaCHAyBugxGfJbj9DDDhWeaJ1yxnwcH2C8PmJsmH0Gzk2jlFkNwU0nmP /IzXP64q3/Ee+bkJ7wlRhzP37vZpOa4O9CN6CNCkiqP99auo5ljGFAULX/t6lGi7aBg+Zi6f2il5 iWckstU6YEL88oOB01iZDwkVjvUhCel8e/8NO7jjiIdtDslB+bwNW3SNGwQ8eJ80SbLM6oQeCsjv cZ+8mVfF67EGYjEnBDo3MlEh06Hce6MM22wq3A85cu75dsjzjLZnGfsc3xv6K/dyncmui4XXlPpH YPQtgklLpegiPbQYge7ZwGUV6hnSkQR1jgdPGZVT2v2vDh76ZNt7DrLtsDbDXKFRSm8c/VgHjJrA ld3ZVO31ttp1LrZgMIww/13GiNrX4CYZrVC0EhX6qX3EiIqP7H0GV47vR4LEAbjBrTiVm4vCyKji jePHR4fYS9g6GBfgVyQrADnVMawYGKbCOdjnR350c3Fu0GcQd4/47FGFWk1hcDVXYpyPwt4m47Fu uG+qlzE4Ol33tYdfM7fRc1PlTgaYIT3sO5AdNc2VcVqeaSY+qzf1J+vS6giWOKfEkhWJp5SZehVG fjk/iV2Xsdc0owL7oBR0c+JY3tPvFakT8//nEkoAnD7L7rClEYc2vLJgT0cFj4kp8cPcg/FdNzP3 fncSDkfWmHzKu7/zvJSqgtztaSo+oh55tYU+0lbQgmFktPxRgZur0KMDbRYOL0RF0G7kw+qypYzM pNWg893hiQxhENkf1jZWDoxRmdGxPqTgnZiz8wNtCP/IK9D/et8LwOKJcF2ZC+KXjcx9dd2Ye9r9 QGE972jVrlhzQGlr21uINt1cI5gpHy0QKKFZrPm192jFHoS1tdVLphOo8ouNx62bVvnQHpGr76LR rfMDlVQvQqO/Ehhhvqzc+sP9NJDrMIE3yUwGXHDhSbnCWzi9PglM/B3VSdjPRBU8SbYYBy7rBdOn ZRyDjfrLq4A2c0oS4pNgZzm6UYQqEXx40RBvZ7aaFG9h1UTKK9mOLmJI8kLP4V35FmfAVv7i2tz3 T2wVmvhk9q0hzc0eAGl25+osZAhyg/Hpyv2yrL4eN7Izhl5JxtF1mk+GEHbx0MCWyH7iufydDPgw YSxyJzchIPA43CaKWvoOFt9JY69azpnNuI88hIeBWBLyzLvHlWlRdstLRRw5gRGfGsD5yvfV6GvP gFqOpMJDznfXXuTsuyoZH+8mXlnhXdm75r1tmP31f4L4Hz6Nxx90DyG106tFhxrn+M378kCpTuDj rXD5ebsJKzxe1F8zIhbNZ1DX49rs2StpviPWzeddb2DpFuL0OJnRdmO+uYYeUBPGXsAXYUhN+O+0 BQjegZwrL4dmuqFL0lLduDwvR/2UEJVREPYAzoCFmPutqpgnORGNBJBlag2wivYLZfMbKol4ehU3 3WwMw2n05hALYWm94ZEB4i1rZ38doRAtsfedhCS1F5u/L2cGu5Va/6GGsxo1/OBXYNB9F1UZVf5q GtLqg7IWJqq022yM6uESuhaRlHtEHt0abOpV0cOHR+kQUenEwMewN3SABa46XbtRZHDxZyFR9idd z+6ii+QQ+68wDY6JF21peUvktcuv3GhLqz9+SIEvKS+HfAKlPsysYAtNfgEV636NheWnSYa3JHiV fLkouAC48OI0jrqgI3CWtzfK9IHOqiBHPE4ytQ+7qKwJ0w7oW6vM5jTsB9BbzEf7OtsZmGVzoy83 rth8prCeGxxRuNvYGehik+rlJ9QMjhYtOyPqSZhjyPt4HWflr5Lbt61JBbR2XeuDjhjP59cxgHEl GAWnOiC216g54xXEe3k42Z1eFevuLdm6pRK5kCpkQ/UnYdS37Dt/24oGYQ9eXpWCnXZVMGjdfTIu F/zQNBinsK0go56ptqAqLL7ieKA+7MLyCVlj+0g1UIR9vQuJOVtbYnWEfiI5NVGvUIMyTKFVHPrV wyGFt4bmI01Cpr5CX5Y3ObiAR2U1BAbmrLhRVdobJXUOpz0JmXd/k7elsJl8c9xr4qDJV9CtPuy1 YS9A6d3GD4N6hr2ID5kKr0tYh2TpqDTExRdRywd9dD99iPCXsEcz3OC6TV/Ea2AfLb8B45yJTSje K2cY5RgFZIf9J6ltaERex0hJ+pBfqR28u1+oIP9s+khPpNaratuIl6BqdPce9qAY49fkseOhiSCP gnj4f5qw0pyn3ti5AnXukR6fzXfk1MgzZIBEOayoW0qF2LnENGrvWjiuFchx78xa6WwUUymjx0Kb RoHX0X70xd8i0br/aVBS8z4gtzdBmZyO7I2p5lKMrLJq3P7OQDUPg4CdvAylmg5qYXxXdJ+64etR U//mDwbhsfv+Ycc7id+USGwl22YvYEd0LwrgJ0bTFx2giZ39swTP2MmJJTsG3cpG9FF5mVUJAnqX 1EbjmLcIGywF7rVDp9RP24nawtACpqn7Bn6nh2pzZ9bcFWj4+qJUYxGJWLX5zKL4/4t+LQ8eYxBd A2yoAYb/MkN7afFYCPe1sFH3ypreOxbTYVoYrmLNkK/izw9KtaOr+PD/k4boxovTsSF7vP4q3KEn ERsqQTspm+LgyrzSzRik2KTBfBJEkHXWNWpvCJqCg2J06IRufbBo6vNGbi84VaMu1NCXPf7k87QN NwZfrDc9gbbgvisvQYeOS55aoRc1XF5U5VP1hD/+oXxZKg47xNBqaKSMmsmeS4FJfj+uTff65Fym NpEkd081lU3UsG43oZfbywG/cqis1j0LJuZ2X9p4OeANwGCdcmBIbyGpKOCTxsqk8+/qdVa2hmla J9lvDdNISZ+xYxp1rqZSreHStfKPR3+pYtuE55Wkqg1xAlR0aVzFvTVYqnxvf7j2bDPrC6zd8Qp5 FXftmK261KJmsTMkPMsuR0tH1ikHzjfzghu/XiPEwRx0W170E4BD5+8U5xujbP6reCMQCSOjUyQj GXiGnff11h/jyb0BlZpN6L3aP8qWCdxus0HtihFYSsft9Jk0lmvQ8o1OuqKRiF5E99m69q+CqlPE KAv3yECpb4fYhXjdfKPQ1D1a9+ZVW6bJdKTtadzSWQKbGBqhz8c0hJM7CYGs/YZAsd/diummXKYJ XnOSoLJTApKcN/pZ1Xr0xUzAJ5yjdW9xy+QFWa9AbaBhNRqTQ7CYrTe1z5LF2Xfqwoow6+cPu+FY 5fuqdmd0y10fX8Y2CMegdQoxNVtvPE6SYaiGmFjHuLB4AulXsudvpjsLpXWEDLfwiSUqveD88hC/ gw9F8TacMw4/ZFltVBFCdXuctNkaOlW7RKsh3Ob9woNhTk1IQlGAV3z2N7JuVcRnQ932eM+O8Ynf tkvtN8bVE2xG+tbSaIncjYrxMLGYZELVXqUareEnQk/mTDr6LQzE0xziGse9u3NBWd1GLy/Ck+AI sNjp6QFeEcIX/ixej88isb/u2p/4p9vDMfKyCSUgU8S+hnmYNdber28C0anph0wi0gFECy9OGCjl aM7oixjVlGnk1jnHym0cG6lAuuSo8Qqx8oyWPzZuFzsN2fwIZ4UU/ZWS4QDLYjYUGO+s1EbLJmyw i8KY7WXPPGlfciujbNU1u2AWPlmRFyN7jLVxzTM+YRMavtNEbdajCu26iUFmYvTTuSWfnTc/9OZ8 OHqU/b6C9RdeRG2cZffRLZo4FfbFIMeK6dAKZZ8GybupbIfso6HtHoPvRVFPlNyQSUhNRVhhajwK TMk+UBaODMUgbsliyiriIAoXK9UinQ48d/iitO9BhfcJOJ59lfm+2pT/vIXFBT1lqa+dxPsnUi63 rFZ2GkSWfCvBgJrXZl+KguYl3VRyTcong2xXQF3skwDV9PZVp8ZoAlWIx99NnU4xX5pSVoJM9LDH yJAAHrL97UI0FkKXbmhn1qmzmL7k/1Er/r3q+22iX82gD2WaK00FrTzRT6nwbEx7kRlBpb3AIGKE 6pvAR9FaNgpsAHWcxY1Asc3084Q6BHbwMoizP73lBj1zWutdAF7ycRfgsZPcHNDnYXN42XGN8klP 3BzWbcxa4Kv3cSwRiMtVFu38tFQYCVPpsEwEwypyk/YkyQvqmJHHoz0KNQIKD+j3vUQQ6kEn0spA JPKpdx4vB6mCcTrrB3MMZ9LGayIMRfZc7h7boZ5xqhamwb5ScMo6KlsvxP+UPaFyyyTvK+MK1Cmt gyurwgJ6IS/iNUAmkeen8Hgg9UaJmAlqxOSO6jvKVW4PPnT1SUwqg5Ce9gvAHRUKYCwvEAcA7m7S qm+1etCo1ngkPJdsX6OGwnZsi1l6DyRAz1v+U6qk+IbRgbM8IfpoUhNMZDcnio1Pgu7FIidnXoF7 tJ8gwkM62ij+8WStb+4L9lH8gZa27xGc1NpAKT8o2bK1S4kXPwtMe9oRAfgA1x/imbQYEEAIW9Bs ghurvr071NUKuUuehrYN+n4oE+iRTTyF1WbpMCnY64XdHnUO5ZNCPzBhkO4hwt74OV+syHVBwS6n w8zwikBqOtSR/uZ9qlmnF2glr60BBGLjlwDCuhvcajSrPuGlJqPryzN7YHcTIHKwV9rRyk7uZF+e 63kQ37znph3lHznl8cbVMR65HiOIVLpRbtI82OfWWALqEAJ0BlmEwInnP3wgyhTjs3bq9xY1MuTT DS9jP+j41UsSzOZxO0BzZAp12tcd6T2MY2Sl+RP0rJEC+cfS+T92aUqKBDv8G2t19jKSLjQdTa6o FxHfxo4gc7Kmla1KQJNlwChzHHNQ+DUFAeioMKqZTmuCA0Dlfb0BS3oWvX5jQb7gBR5SIFN9E89e xkoNc01U1EyECTGzHZbpk+TwC68ZRu2+aTBdgpz5G6uyOICf6xa6+XAde6QsIcohM9bZPmd9bA6X U7JVnMc+J/Sa3/hIX/6UXnAn3qftD6a4720weyIAncBit8Lmc4n9zg3LPrGFIQGPqKkfpRGu7Sww NpE1PJtfdiXuflYxcL4pwXvtJJ/zluY0u4WgCEVyKuki11gBZjRKW6DlmhHUQ5oK6dnQDvMBTZzK Zkwe7tnxFrSZtCc6iM97v1WG8mmNHYacXcii0V03tyOINdiujDiLPza10IkcD6Web9ZYVDR4f7n2 v8yscM8nNsAbKx7tm0IdLzhzt1SC8KhDAdZ/3CWZ0zIxBFwhsW42XRKlKJCmKHU++jJxFY/yOjVU xfxl50uwY4Lu2mnJsW7qgUxp7iTa4p3Fo3BnYXckGCa1lgja0jGmYTyesFyJnFcY+Quzz92S2ZtZ nIcTb33mUpXbowf9d/c/KGcrIv2i3hYhlF/ImRzEeD8svy/UxKsMI52PkTKnv/ot0FBy3bg66+o7 D4icPcZY5ktBzMRk15v86U4ZYGriWHGwfw1LNjW41n4zb9kgw83yY/4xiNBGwK6XvW3ixm9kOEN0 7moIsavyq9gOVQjjXTPPzXDdXgOZe8Gbb/0sOXwZV+paSogxw3kKejMgS5SjK0SMJDUV/ySxFg4e 4PeJ1FLeuzbGp5tVNQ91nnZENjkdTldBvGiBAgss8fTPV58yakr5XLwV9uMUrinEMwJHL1D8yuPP +ktM/EduxlrEbbHqw1gverlRVLMVSpxrUtKu7/HU0KzQ7GiKIpbN1LVjSMloWHWREVd95GOXyUtJ Ux6RaLPGcaqnDjYgsaODOkjAZLPUcINxMKMfYSXip2WdPUgyOKolAEFn6A20qnSg6QyFGSc6Cvjf ZkShPBThUMehk1bIYTuTRuuz+pc4VXwHXbthdfGvN6XoINZh0RXHaF1eYH9csJwvX1zXg2vj3i8l bdV9aG0lZIYvMGZttpU+m9ejs6kpz6QxjWeQXoqmafY5nl242zEGWgyqa87cS7uIDTkdqJ70ycD/ WYURYPsGobbwSYoJALXibFzKnjDFOu4wy+3+1k5NVhRJd6zUbeB8M+pt9Uj3d9+KhcJKv6YzT00i UCIMaIUT6iYtN9OVpJlyC3IeZuh/PsdFE1YQsM0tJfpcQiLFvjHsZmu93o1kngZDGlNkm8ygbXRl unK7/vrPqotglrwEeFmAOc7+H6j7AC/4qQ2zx1eLHvLByQ25QEKnCjy5JRkq1u2cdTPRf2LlXkQU mbcu7wX0vuXdqTJ0+z13e8L2vpIIjB2UbfkHYCgf//iT/S0nWrQq7edupS8gSITX3U3SItPdlJ2/ pOjBpPGhngsVxZRg8QPqtUo3QjggNTGnXxDMuYIDsF7Uw4MMpoyYKStXBnO5pxOz5jyOJJxXre90 bWf7OLKxuw0wKlKp+L+bP9eDzVQII0/J3rOn2zubzUv8HGpnflHMZZfT6k9hwXoECWT4AMupREwa xdhuf6QGh1M+8CLzZoPr19eO+No8bKRNtpS5BW1FuU99WP9CEY9HEY6a07xCurDBqCZUJf9eluTG 9RHxewQMSVAQp8RSdBpJ+3qPTvYAkn/980hcmBV+Dv25zIvNKdpnaz9ndNyAg47U3oDNmiXhDrxz zYM4gsHL5SoOiwe6/QLmw4hLMeJj09VJFzOtqzdkecE7OuFLF8DQovxeZX4d3+kpICat2mAb3/tb uxOUqsfIlSUaWN/BGgL1f6oO0acnwVtnUr9OromWDhLmZlxs+fA/Ocp8P+MGsdcdzJ9lnKRY1eSb GAlYmzGouuIdBs3odvZH6No1rr9R9vZ8WuXGCzNGEeMaD0KUPwLnv7PZwpNeUrKCDhO0C72PVk+W lXulsuzUEKxIq0UYrjytX4yEKvYGRzXvsceVTBxc2cb5Tn2+fNSfXqwEI+jB6YSkh0SHfNYvgrU7 F5JaGiUwm4RKaYfYH8f45f5BH24PvodhUAqNyX75VJqBmP5Z+ER+zL/LR5d5LWC773wCiYfNZIi8 Ub0i4Wmu3RlvPNYyaqeCgc3AThO5NHtxmjXw+P5ljuFGr7SkfxVzCY5yB0jJZpkJNDfc0eQCX6SP disCRnM1K+GkG1n0rQkctVfzF+tu8/uIhw7I352FfTJlWwXFFv2CIvCJani6axKtOXdpPImJ1N/6 r3jdf3vNj7DSqiC9x4SDW9D6YUvHmbptwE+q10e13tFDRo4125n9sYYTfIoZKvnBgTJ9IMCLKc8a EQ91gbgEHVbxFGWL7Kx5YOiLEILteBy3hA0vVekoZHoL23JC03Gk/j48+AidDBH6tBHCAwG+bBOT N7ueH0l9bhu/+ANYC9q7Lw9aaMEDMGhhDdWItIaSLVetXhYrsTaYfQTsnWUisUopV3jk5cWhiQwa OPQVuaD35/fon1EWwHgnvHgQbVooaspHv7PObh87NVTxW2Xgg3tyrUEDlNP3vWK12QOC0ipGOcEo MqAcR9wXkCfdT17wMmqpnmFt0/RHgfqW513RTnCcZMQp0Fd3bz9fPGt1e6lG/lOSE2nptH+JTCSg gUyEzjrTo8xibmqqyc7vs5DHCNeCJ6RisT6SU+mmDAf1pA4nCPz8qpD50bgKxy4q4hBHgKNxNfd0 2i1J1wGCKj5/2+jVlWnt28PW7B1LoDa+KRycmhzvLVrBqX3/xOiBF6Mwd15fyoqLEPEFExtnmTAb fma85LiqEOC8KocuPTysxYuTYuFomDTqXDei/kkx3hlLqId/v3v0GktGti/1zEdrlvSMpRbeGnHS t6yRh90GePwxcxPZTlbMs/aWR/3hPIoq346wf7uByh2FttoWPN8ajVI+i+vLrcIU7xiJb1BJPfyl 7sNVmCXRuYjgp82uwL6qjbtuyTMNy3gP+gDbMgQ932iSHmHT8X8oNlANWCzbsQghf7RbuAxtdSI5 tCzHntl8GZ5B1Zmzfom/9N2odK5TUK6X3e3mwLsG5aayIb0aQRH8daUygNRC3Slx/isv45gdzphE Dij3tJbp9KLn/XYg5Vn+Y3iP/aNP+VozG2rBF8qDXVRamoJtm1DiZhsL/fWFEWAQQrl7Zd2RNyN8 Ps86yJSJPh+EifhEHwQidd/VEQ/lR/zRoFTtoQF7261RAhKkPytNJneqgS9HxBVPHNYjMGseJYi4 SCDPoRMWHHHqIdowfx42B6cWHPBqqRZUQmJwJStg+tic1VoYZjMlL281nBMvXjBArL8eHWQYygpj b1kBPK0/pNNNqGKrc3OJJNWnhgCAW9D1i2Bxq3In2szE3ZJ8Uu4ijzab64PwSgzYisWsdZuaLVIW ceEYgZ3z93aUqfY0J0e/xWa8GoRJ58YMuXCqq4EjMdiNtTXucMV4dInmyvCVsEZY303+9JmuNrbs /hfls9ByYk729k3cHHNgrMiMg1y9q+FlTXdLHKMosv0wrN/ptLhm+YLYQPNJvme6N8NM67n02i53 NU7MuokpfTxWiGcLzTZAsY9Rs/bU7um2MRMDL8fs5pUM35qOlUljDPUQnNo3v/+RE7uWLRJpu/cC zsMtwmvzxM01rbtHWOoCvoh0mn+tDFB7/Pbq8coHn9pNFPOTV/gMyGL4+OY5SxD0y/6Golafn+Yf 2yr1D4UUNm2w1gJYLqZveVIef0iDOlh2yZz0a6SPiK53QYsElZcQ54nxIDwOkuQTsEBIkZhKZn0V IfxUykKOY6KSzJiB5H+U8flmTAZR/TjmzKx10h15f0JevxrUoiqHnIDqiVgo4gye6FGbq8nmXaKN FgrYik5713R6bw5srihaSFByC1MF/YNMsTUmdsoiXJ4qaQKsaN5/q265FfEUgOHHg0nNhDwWKfrP epAv3/6MuVNyusK7f5+XHAfnDJpBqwfmaV8dY35nzL6fyxGONTfpfuAyLHbQH6ayIgdYLTqC22rs yYEQEH83IorfXaYiYjn5B+bUcp38e1GZFe6FgGWHh1W1ouP86P/BqYy54cBZBSe1MwmpFy/lQ04C KatVDXu9u4Por8J6VvPiFZbYXeJKEKrr/RhbE1N5Q9PvhnxYmYblfqcA9DRZk8+LFdNkUR+4PQfm 2UrmplVnzlN/YJnPpswJnfC6pQpkBWvSFBxCPgxC6YKezwXzF6cViQ85Ck6wTzNGuRwZJpa2zhB5 kEY2P2U1grAzxlRYxqI17yWcQzwNcLthxjc8xn+YU217UbbPkQRFmhOFxhhE03TEcFeMfZ91yNKg Kpria/nL3bKoPf9eBXBtZQI4mkuH3Z6Wzsr+YDLtTdlHzhvA6OR151KJ9XSHpbv5OWphBx7V0aJ/ WqmQ9cpJQct2L5ZvkIvJoaxzoKCH9cUSiPZmU70T5Bu3Kuu3xCxgEjaiYjIB39vx63UzDxe6gYuz z4mO8D2alPpjhsOAsmFxH4LKHYpQp1sLwsxs9WMaC2khbbiIWZRTOQ1Pu4fzBlsVsG3zXhohlWMc K05dd6vF1fplXGD1qgGOv9gA1znZYUtiDmr54dKVMr29BUYTu9EqVJhOBujaR/LZNyVVlDFP71rf eTkTuU2uozTWyQBRdrOPdT5S29HkUObWJ6d6VO3+sI4lNOR1qUmtwz0JK0rVf0uTaTteMSmVADKI INl7FlPDF+7JqfLIiDGaBj5H7LXTTvPxICLZUOjqC1jmeaTvUaK6QpD0BUj0zXQFLCSVr+dBd6jj rbS9zIzl7UovHd7SvAxIme4ADOFL+7Azq0jacAcE3Hs00r/E+BqsubgFLd3hzxQe5GCsHjKKWVJ1 VvxK4ext9SIoDXgsgy3FgVMzbCPvd1aCC9H/2DpTjmzimVChzTHtvyZ1CEqj/KgP3tgXGZXhwFVr rA4WS0xqr/hZuh7xKPiK2lFYw28GlmbmOd+9WvZnT5N7CiG2STOMdDTxCZOV4s7pcVF/XfeU196s 8zyJ7DtNxLuqhhpbCYEwUkQfyfBjTpHNripY0I9zNlUTXCXdJtvpeQ8jnnpoSD6Jl4voC0ysxqik Otyj3nbxQSBcFvKH9ATu0n9DCqx/zGzgoOTsyXOjchwIbLeFJlafh+FoeqtJP5xqV4rWSJ7V9Ma4 2v0PCqYeLiKXX1pkWNVue6MRjMDolQmM0Q/Ac9kGilDnAIYNfYNKWRrMGHjIc5/UC+W7v1/Vg3Pw jbDdh9cvDoAx/C3RzfBsdam0q7UiKi+hs0Jz+NpNe1t86iReeMdOLYhobiwwAiyD8PoHdN67d1dL XG+HFxZxSC2uAd39RHoCuSN3CtlnOze2SmuSmajB1BZ8lbyt1p5gKDcghSMCTdCvArUVsvUQJCxX 5fk9DKvH5YbARxOoRZ6w/Xu39tuUlCrxNz2n/kijiBZDU512eyQeOnFAfBTuNraqYbUWw+HkqdYS w7BaY/Ns1/nrBubYSFZ7+sMlbn4UHuu4wYs70QJiCPKVMRkjS57YlT1CPp4jS3jcWqptBtxGBZEA Pa7h56xVHh4mapCTVnB/KtanbN1QjQz9YgP0T2sXLJhMeV+Pdgg21sEew6Q+KOs3f+KhILiZQLQN prcThPitU0aSuI/NU9vogkDwUy6MxvlHOg6zG093FfDrSdcxqfgurT4XooYtYVfKrfia8ATvDQ0z 24fkqLmnlkRRLI99YEqyzI42iwnlRGf35lCdPCoR49LO35KzqUCgVm+kc2nV6Ji/GxbYTSTnXe26 eHnjPZ+dmU9B3VZWA6FbDoy2fAZ26BrOdKOmXgcChE50LauoCiLFMZqw6LqmJ8jMtjV4xvWEgozW 0nIBT0ZDnI7meUtAic5SC3fZg/jG+LeogOVV8SiP39aIDIurRzIKRzNXOoBRvounclXpJHYPONKt 62JmPbycPcvFzZ7jZxXWF9DghWrkuINRgVUcCbPgXo5v0hWXSg1et9unXvKqoVoVCxKgDtljWugM GZMj2gGEZaEbSYp+WB8teHxVN5kTO3uG2VUApNB6WOoOKwSoMzAUKq7ctB7laptMi7hvoaHd1m2T Bkpx6L6fiUwSlwALSoRQq9XR8QynPIysLVxUvZJ+Xme+/m8l4BI50szVIamv7dZJpef1JUfRRGgl vjtr8DkFB86D34+87q6SY2HE8HIHD7+vu4JsZIqiJvHZLyCWtYQRksrsIJ7xBBrvLd8UVHp1wZlp gdIRUL5sybeGyI3q9DpkyvBDo0ha6NV9GUhy8T/v1MgTaP04koWZ295rvKepF5poSesxkGoubz2m zyyxz02ciTZ4X+aSQqk8pTVZwWyC7D5bFrmK7lgktvjxy255Ua8SsZ1ihIUXQjnQhql8KH8f04i7 NeezV135/m4m67AhvErI7/K3099u3Wz/s6qdffJ2oV+2TSFAfh4/1iQaJqxeZWtT8lJF1gDMGCeJ ire6iu3tYkEG3CRxieMddkMpSSHLMLRWYr6wCJTlATuBaa5Y7gGkZejPJ0jER3bsK9U6C/ROIqhI didS5+qif1iNWh2hD91H09mzGTboPTXBlajss6hq9lUaUBZaw/I4icHsec/KDQYWsdokiPb3SvKH QTQ88v6Xcb0eUbpN2TOJMCL+cWFtJDbaoCoMpG+NLKsFaWxnrcpqv1Ecm2nS5kb5fnUdPszC8Po3 J3yO98fnm4j1sPQHPfEycptqS6LYD4Vk4a1cGlAx4FxSogdcMBUf6cF0L2CXyRLz/bvUatTg/pPw ctoMfKSz+bxYEHpgKxV63/U3WU9ozrZjT4b6bajJ6ru6wZuWGOj4vjEGxmp52yxHNbqFlVecJSvJ nXhYjYr4h6S667fJqzAS4k2pxwOp14OH/ySr5XuxRly9zt21Szi9eD4eWWSigac6O2yV+VvYVkx+ KIOORQK7iiPsPwNOaIgxw0ykvaXNMJmFVm7cCPZ9t5N0P7MTj+euo9h/Slpvk3oh4dlWp3b4oaCn vWqYq79eUCxTGfcj0Yc6YL1eqPvYF5BD8nAdHvUzpAZUXhNSPY3S4RBjjyq8+yBiCE1FS1gx3FPA 1xjE1/T+fgCFkly0MwDZeYL40Hq9bASWTEGSaPQ1JSv0ektd1bfMus2E5nl0FG84B/oGfOZ+4+r5 QjjkIB18rNazcsNyR7cYDNwDNivZgjDF/tZkNFjHywm3ndOfxSSoNyJjeNIxULpjXJPzVzQuXrWe gQmfEpn+lYp68AVT+04jTVZRkdD8Y+dXNjKpQ3KPRapvS9lAtdQDFbVO9B6vPBoEadZSO7srgnD7 on/fRQgb6UWic/bx3wwxsCXCssxZuX5Wb+pvcRfc4sL2CkvRiZyS54qyn2oGFTPKplxY3J8LQB4y dXDjjwXiAVuIc/MMzdYkCpdloc+6BbWSrSdDQgq2TfA3W8ZpTMFiJTaMswvLpxPROgDoDLGVeFeD ykLdPZnPEwy1R0qhQnFcbMsLXSoJK6C0LNzKe73G7rDcQzkrosx85bg9nwwijQ/pYFYn6fYUrvsX 7E4eTn2UtZTeKaGXcaIQAXHQHiY/eC3pfKibphzrYE3rv5z7czUEIuZdHFLcvTlLRpl7X6VXC3Fi DBSAZkjJb08WRAVhzYtrqf/3XR3ESJteWMg++wG+WCxQzxcneSIdsIPxlmOuzd291w6rhZKXhvOA hipXBeopj5i+ybSwLkd4dF/c0itBPjmhSC0yxsHvmycsokc3eFfGyT8w2w08aqlf/hh42q8UZpEq J6j7Lh4dtniNSQ4UanbrEEnw9+ZmbJlE9iI8pdbUhYpyltjDKZjuIbNk3nAvscc79zvWbzXFx2js YVMCGRl5KrlHtEaJ7Fg96h71Z4M835dbUqoZv2bA6gD38wyd6xbLdFL951CrksN3nDmCVUDY6oAv p1BfbPl3BJwfcdUQuZAIlj1jAEgaU1RdweMJRQpZT+uLjcPqWr3/IrBE4AMk0ND4O8FmqwuPecSP anCobQw6oxP4oQQ5t4UY5o/LPe8qHqn77oSezaINy5nBFh/R4caZv6KT/9TR0+uErw/ku/GOd8wV ttveHC/rHu2Z2WYgIR42239w2h6lULhsWO3L3SkSb9mJ75B08UWtWTBuFDTjSjBXECCBm4BZHCMN Lp3ms3O5or+UpPbeCMIa66ypGqHKIIV92r3ReZXhxdYCZGVK1Ykzz7l736RUo5yF4ywe7k6rWwTO 7pxsE13QQZSNXjIe5OTvm7K0MjzSbJ7QhfiFb+9r3ysb4NbIxeU8N6bo7CQHZMGcOzJy2ubr5mbM 1yFCEJMgfxR3256MIAzzj3MjXs4w3M9Omg+PkaL56IlrpgX0XyXKRQMvR56hq85Bb/soMhTvluUb fxDTdYCjx56p3AbfLp5XJumFGXQywWnubls5GXxSBZbLzmFlvpN7O3C/I+dTw0QiQC99UQEV+VXW Dy8MTEe2crcG1DkUHOhilMD5Cs73H/2lslyIDr8E9PExo7qKahEVhNSzNp87Si3MalqmmEfYCsfV lm4tT9IDggxoUo1sUXhI5ozNe7bpHtkmGU8bO4ccaaAi12IYEGNhOhe/1cphdd+dx14DMwPVjGcu 44iTvyw1/J5gnSAPBop+LJJi/RRn1CfPYae5n6w7LobGHHrqgxQK17bV2VdeKMmyIzy7jMQG9ZUe RN3+y40n7z7bUvvKiEDgJRgliO+mWYbMSXt1APaSXSkdN6/0xgp96mH3J0Tz+a3qHaG5ZmThI6j2 QRiy5DW0ziaQk9h6E/43oURlS3ieduG/oeYDHZr4DTX2fM4TjrbWWwneDNSOuR7PBQxHCAyaCxTq KTqFv3+AsEU9fYeT/CpkzdaU5oZpXdelDzbZM/t6pWwgURyFsTI9cqE06wuwAYBzq1Rr68gJGTX/ eDHN4G4m8ZIfygkF0ui3TJ2NmKQcil6OS4FGLv0jJZgiUE68kA5+1ZJPJBQWRINNd8i+AG4sWGPi fsMtJascZa32urwMofNzY6P5UEKMWPFppm17w7C+zW1iqZTi1bYWOuDsXvBlpuWYAYEa3Z9qfpaV MfIBfnxNJgmV3IlOEsEXg23LD40odCYQRCLPmbA2UWialIIQ/ybA/B7ZBjmsl91O0X4FG3jGVJJp u6KOlSGTYItR8Q0pY4n6V2y715DUdf2iEk1lHgu7ShroJeN8MZlXPkI12qHfdIz22salceYl/qYQ 2nbz8uJCUWViwsMY3h/VjN+HhYSkuuAPzaPTUMLoBMqqqgvdinkeH25XyrJAj4cKFfCIKexktrsL mM9f+RqRKE34CdNhznBMOfcNFfIpTSCAoklHOB5XP5SvR+4y/thiCsmkopKGiiSEo9xD6gvSYHZZ uPDBPV+JdQIQt+QX8nYXLE1G1ELyVInSXfLrg67fS1kzUxP8WAop6KGK2JNwnacPZEQN7hNvs489 UZTWuKKnJLr90K8HbMyiRcAWIq0GekqgFE+QXEiIfq+afzR7le1Cr6DsXVKgo1GIJgNEp4xeUGlS b6XV+UMJA1/014PJCGoRnmoPqpUiR+bIaFvjqQW4AKOHHqsYif32K2iMuD3w4f3NfQ2BLqNquRJi xzNakPTeydbP+TqRu28++bUdOLnaabQjMUXRP/aQ6lDP5y0fdfB/7pSdpSXxT14t+Q4GdHQymL8l Bg8F5TMYikJfVBAypnezAEFLNC3y/DU8tvx6kZse/Bcwtm+G/RoqDdLxGfpd2TvuwHb1vJkblsAx sGeEr7JthSBXuyoPk5MkaIh5h8yljb/Y6ptuTE1IP2wq40UZpk2Gy9wn5UqcMDVcW9SiE2UDs4ye 4VWE90i4JlKCB+yJibF898NAYH6DHV5idDBr7unFoRHyGcy/Fmed/U7MqBTZGanKgzqbsdA+KwSw PIEhhDmzusSU+iiiyM8GrRXAFW7n7I3o7p/QUG+tuCP2SRwH2MtGPe7asRbdyICOdx7IDSCJHGv+ TCPEt+Yy4vkeMs6I2kZ+8gzap9lI7rjkK3UZ67alTwNMu6KA8bq6L17TpCHzQw5i8/n9NZGvIcpp JTH0fBfCiCPjDvt54JCQhu9wkjFTmcSM9c8ysjJWCjmgbvJ8jh1A0ri8SRj+7l4BQSjn2Vlu1lPO axnRHyaFDrFFNvQximdzd+J796xHEZndw/lSc918Ov8niXuaKBaa+lAnT/n0IgDUauVOjfBVUDYt gjDbLAFdYggXqnOENfFQShG7TDRn/Po4PkMYZR41FqIHsQoGr0VbCy5rIqODAjfdrBQeeCLJOMcC DbRqRwyvBM5eOBmdZSH/i9V6De7qV3QORWSADDOQj9grfv7wXCgL4H8++f/0ZFY07nt8PPkKam57 E6Vaqcq76YLLSZqxkXjVDKs2CdlZPQ/D5oyZF6J29V9antgFlcoEbaZXJqXDGnf7J62UWjyJMFTh VzBCW7KNt0Pih70swOSPziTDr3SuQlgJ7OUtkJdUkMHnUDox/Rw6v6IzXfGAaq4EqLXBA8DYJYcz L6w6CHzlKtui69wplravj/m3YctkmgXTZ1pDRsFrHMfOa3QJyuPDIEs5Rl7KHTcw48jo54x4ZaaP 1T5K0+T4tPrVnOdOEhZhc8qLDwX2Q45aj5ULazuPEtbj/2naKEBcpnz/EDaXt+5hbYHdfnGPZPXC o29CrZVGDcv1Km9lFE1qpccFsyQmwUSkHAjgdQeazQH25hxqQjOoftGPTvsKQgxUjN3DM1JNdZeq rK0uuannizjGSZD2EEZ7nHVHbGMVR1390nm9A7LY8NNKef5n/KsjBF9bgwRe9zuHWrh7uQLTu1XA KMl8q3h2ECuE3LCyXhz9zkfwb8357ayUNNxDl86fZJrXFvowm9o6oqqkV2rzI/UwG4SW6UIBL/Kq nXk1VjN9Ffn1qJCJZhv2cOwo5m9VXzE23gKpB6ofP7VXMoDAqJj1Q9eey/ydtak656WcCN7WIlJ+ Qf4LdbgijdTNg/9stG4qy3kNkgsbWqPaDhzFFeD9aKsEhSLDkmeGMc6GtT604xfFV020P9GJ/nrV /Ryph13KF8TTzlOny8OMNVr4nP21AxQh0wBgp3uPUxjN5cKjIcGJ78IrDk1E+A/VbGwwEcCVZ1bh tS7DVk9NZ9HfoZhwRNVWOyDXtgO3GjOw4YpwOWCvk7iahJ/1gDnbUnKerHTGFxF9TmXqYaLD/lIQ y1sYDOHHLk9LYOu8jgEbyU+2zhKm+Lf3RvC2kgwyJPbCnQ4cy83mzpHaSuUjEvNCMRz/FH72sHdQ ivQLw2HwcUUPnqO+mf0nBDupXnKiRxqTonl3g7zJlqzjnnXAQ4mfl56jxOvBMkXVaUNccaB2MH/x lLrlrCzyyZHrhZJ9Sn4QoM249Xzz+lZISIpxCiQ4BEpiDPEf+aafuOLSqhgTZch9jaXStV1EiWPr qS4Aw1PuxysvPVBxO8rq559bBtb+2oY0J7qxaw+LgLK4FhdEJZZKMWYqLQwcx02bk2QMEyImTjaA NO2uHuqNdZ2p2nSTC0N02ytUnPEK9b+9iZCQ+Zy2eyTvE4YJCEXzVqx2Z3XZX7153HF7W31nKumd NeHSw+Gjk4hgiINxKnZ02bx7YLBhNF9TVluyv4plq1+yE0O15wulQnEQmOin1BC5j5+wKE3UeEwF e8wMx9n4iboRNzOqaL/bqQyoq5gqlt2U8v/KBvOMQ0Vsuu7mmctMvbXghlyk6LQ6hfGnhsFnWYn1 vIbaRXpeGddst4n/gCrs+O21eII/LKrFvnVSyZ4xPOTBahLtHo8E1cwuTrJMI8leNjD6mT2BHrLB E1zQCLSijeka2z99jKeTIyl69E1T/b6TfeH7ow0K/IMvyaejevcN5sa/CK0dXtduLGAHQb+aWtFi uI71J73rKN/jOHFTYwVgy738ifzbHWdoimzkRYVwnxG4DcuV1EO6jcmviisGuU3mHSShvh6Jq5bb HBJakA/Re3qlnLnnVlRRY2/i7zG7tXO0PJJP/7MHTNsxmTvvS0YUPXfP1wzsRvGflskvTCgKEFSa kxjFGCFzpTuMpyOXiv3oh3/ZDGqoS2W+du0rYtBeooNYk7eAzlluXaZiqZV5Mgks3jfUXxnYWKiK 2klYWGJ8NAlXmygmOEh3lDHMyUcRBpQRR5+lyroI95VHocMAozXfTYn0Ef1ZHrvKlob7YlF5kvIi opC6dMQ2swIbH2GNYeikY92v0fcre1UG5QQDIg5le8h3GSm9Ujy3NtyQnmoWcAuTScNi3dgNKA+l Bq3tPOF4uZKwr3xQvNS3+IDaHCG41zna6Es9Q1jma23eTqRBEK61ZHXKQZ8++Fm1neIXdHN0gvln Yv5cvPPPS95blYkMuD8JjhPZi9YQ+/1Mm+2o1UJPVuqhD0Owx/jW2MZTafodDYA9mdrkElSQyrMQ Kr/KS6SAPTcrYZBL8cK+r0ZuTpxt33jaeQvqfNASpE4zmdAR+PJ69p6MvAUCCr5V1bLRZhjWAQ14 JPcJw//PzmxOLF8cYgYw8pIa0yM2OY+DM3LVkvhN5FW7E9QqJVqFvRWgIYSjxfhVxWwWK4xAmQVJ 803IuX8zVrudwQuhjapyeGiCqzXiWbPyaSVd54xWm+vtSLn11MW8Doo5fokKFLuiRT9JyP/8OEbK uyTYjCKxWWwok/8lRm2DDywoQ215P1aqZD3aSYTzIvXj+5Os1vSjbxI0dOQw+QHfpnXfhkQnc1jE k1WZsgSeZpqiQZCITzuQ42JKEhhUgKYd5kc2kaKCJIN2Nt+b4mQ8ToJLfZkSjbVRtc1S18BvWP8S U7E10ulmd0ixN0rLhIv7UHC65VWIOKvpLXs+mUYTiMSnV+6IUNr2fKC0uKXPtyfISup/ohI7TpU1 qa7kMynCmFgJNTFYxDvffIYzuy2wEt0cv23tFCoMCGDFzNsptkS+ImbE6B0DYmA0y314a470YJQJ OcvBl2tMK+Yubrc53FZs7ls2jamk3GOWQVCE2ZyOb/UGGe03W3eGxCoSlqmAlPNscBkGGaiAITOg 4i/nmZ1jHiKb82xmtBmSh4hw4cZet/uqI8zeoCm306/4P2eHd7o6EP3JUgH21SwuOPhvmY7tUl5d DMv1zAInydrZfhVNg9CZ0SNW19oYZN/T8Z0HKuPPmJevXExckVLwCb+H5XBgzTlq7QS1Q4qZ2p7K tQYJf9NLyu9VIBt4oAqgpBvOq3WSqztT9zNqFhrUSztz7jSYVoMSNTwxLXtmWTuTXaQMYR5zmNd3 Crca46fbbqL5TjbQY7IdmE9m/A4yNRzsgJn/9wx/WcCIZL8qsVmVZMhyeuaK9OBwk4v1cTNHPAIm uSHSD2pz6izxqHWrRchdsUis7cjE1ybSLOYuduHCdLgleri7Vy9DZg/K2vpZJnKaKg0PpqeC2YO/ PTDxcUBPNAuIsDCQN7T/bO29Np64a1sbWatbnR5pn698tPylT0FvR1DOEMHdAqArBQkeZ4Lox7nf qLMokmaNa3qPDKTHTQDBpJUQsWnwXGZnmILrF4enLN1ioFuozdN39a307/qKI5gFSCvwP9FB2L1b awL1Soa2wNQ7wY6dOTZvXAStfa2nmMtMi4N3/W8nymh4xreZEAmh9Nh9gSy3jlWd3PAVTGqpN8Sw ZexE7vBdutcpslwoYVVc7Cd5YbH0d815s+Q87zvO1UDLZPLpXEUo6F3MaQNsHIO1xcv0esSU6RX3 LFlef/B9ccgLMEUpjC5SkpeERrOLNJ13c5bJ5zS0UY3mL33TSyKQlqPSmfZ8iQ77rih8GKKWyd5K atsaIURXlOFq72jRTCyQBe/Yx6KJJ2p2onxHSmZtapNculWeU657hdOGCu4P/4f4mv4pyOaUSQys E1riqziI+u31CrniMw5dSmOj3cZ+dZGanG5KPqxzoi+wCz1iNepOPMOfd8R19C2whQi9h0SAmZFX NBf1ciwJeeZWEBxsK8AV+1dJzcEjBbXOmIShbBC4BU1JYmHuFPT91AxUlZG0XeDqacl/lIA4rO4d lyXGVRR5dzKQy4SfsGivT34hjyEwyA+Qj8WFiTtVn9RejGnHCbHPzAaC1vehQ804fVHFBy0bRea/ mWuoONtEUsfVVXf+sDplGacB26qrAqmHCFsO4XCD5Vfskl1IqkvpenHzTiEnTKWhlx78AyBlw8LT hLLeJVJ9r4Qj2XGdJ4PZ+s10uKI1BNPT0ZLRiceg+grHeWzSKdVZBgD+S9ZE6nYSri7g/abUIgKd eW4uAAQRkzUJs8OJ8WQDk6mS+zZgKDFk8TH1Em21dXTHn3v84Rqhrm3KI88orceyRAXGTEhkVfki a7kfH3gLws7kYR+lFVdtQ30O11Zh7VVpTdcOe7C5Dx5bcC9TDKmH8isNWi9nOdD1vEmp2LEiwQhb Fhf+8aFAEx3n6+ioRKle7wm3S2i4N9qBoTMxvDNu+ncPhq/9LiXYBqYvM70Gsq5Gp1GEQpRajfxi 31LBZJAiYspQrWK3CLqgxKVLJKZ2G6cbrDTEsJL2mAlSX6gaLd4HVToQPATtI3han20Iwii31/J3 8oGCUwwrBsTvMYlpl/xKe8JG9Pucr4OvDKxHXnrOPun6wLNpO0PIIbd/6+RpfiH50717lj4rtRiE 3Hfu4fASpeExIGWyhBWkQMBlkiwokVeFXbVMwIMkMfpDfFp2gkTF6rXvD1/rKfiuJo8yaOJOBm/6 II8fuP7Qh+yY/FssHqaCt0kszGfYUNxPTDnJgPrFItK+Lp118qM6WZQRWuMbIX0pNhTE75p4d8a9 6be0c9/Bjxhu7ppL+TmzFZFiOv6wHVeJYpHaSpiGigElwvtb2cUR59enyOTqtpqXzDKOotBID73f gDM8G1JjRPLRaZynZLnae6yxTl74UW4o4iaTQBB7c7CWjjAFSt2pF15Ertg5YMzPe+MdePPbuiYg mtMKcljjEerPCEhQemwU/M33jL3SR4wg4rNbPbSBi8EhvK3mVR0GZfZDOZVgM+ich2t3mQEJqu3X eb3hieIbxEsCBUy0ir5u3i9EpKMZroW+V4yS5i76bhd2uGuhPJnGskAANDNwvPIBfZ+NOLDfvqVQ cZn7tH3bz54hNnLXC9q8Dh5jK7Utdu+jtNg268+gRmcG9JV/OZbVIfO6oR+T6AfJl+3/dVc2iCV5 d34y8Qm8+jpKoErr2CGESHPpp30op/URrLCHSVhK8J317Yec2ruumml/RuytCAxvCnPYpKO2pvDx v/v+mnwawtSt4ckXFOCulYHkEQ0UNAGG9jEhYoUAjKmFThp6A6zHNhsqzn0eISIyWAXObfk3s0Z8 Pt+ata6HMKqDZ4vfmGe1m0d6YTcBQv31oyEZjaaPitAB/yIOC/4Ptzm7315vyW0Ls8lR0ohvnmEb Nil2aeuAxdaDK952WQtFGYlMv3drLtzeGIOX5P9FSC17o1qz59VchRj054QE49WRATYss9nA0dpm kJfUK1PurHw4z0rHp+5doj5iSTTv2aGCmhid7nd+raVFoK96KGtwUDgHfIx6OWLGYn0iO7Pdi4Ve 5aLoImbUvy8r6JDr18BH3HsriiL+AnkatV8je2rBXbh9GiPIGfrVvz5qWhvo2RgGukZLiQJabdji L4Entz2j+QhDPWe4QyhmC8Ci8nxZhSIUWMbOhpWXwIdySjwsv5xiKP6X6VP+OMj9O6IQnjztWATJ PJofkvo1IwFTLuy9OVTKAxRPrkdWzLac0VlQEn5MVimzSQAtNsTu8Sl5l6jnCESfuQ4gzCqygwT3 a8g7lh/TreVOoK9R3WRD+1UCYODT0naf5/07PRmNd24ZKbdJmX39YuLF/Dna4NhBcq5woECJFi9/ igmyxR0o2fl0oI0P5dJTtuOtbtcfqFG5gwkl9d31m7gyODNymjLmg7sCBA9ECCcgBAVyJ6x7D7XV 7e/Ov9I4OYs4zD5L7t8pDSS6Zt14pJqsjJDdznE8Ek15/HTwSdvGkxeZkZnD/ikgtlH51gnPWwhg PuNIvqvNX9wcbGHxQCqLaUubfkkuJ8pRsxB6hsDH+lJgM3ouHStHlmh1w619yAyGyZJelUymQmrR 7E5qpV0b9cC3ozZTO4NlUJBuiXi9Lqd4CPYDoL5wqQ5VeWAs6CoCUmyLrgAGZBaYLzLXZCF4DRUi HypdiqeIj/YxyATEltkk0KLAFVqM3G1KGCST5t74rfMoFKzkaUpcpvJhHmRwXtiDNCFOsfaYG2au /RVrIOl6Fd+M30anUwBV4XBDFkwpYSFFv4ZKKq2Kdg6bOus4EVN8dEKC5W9bokmceWBok8hf+mel H6lsTmNwVp411YH7A8uS0TnbQiKlaHRxOlLYjHao7cUjcNGjeIl/3WxFBXaZREo95xdQlnv2GIZj TashDW6bwbsFwUi2yGcEK90q3uecoOTH7G60Olr+i+AySaf2X5243+HHd2P93h15e+ZeAn7dSOhO rr31QKGd145qU2uug/PWpUVzz0Fa5CCWMPJNNoQKNXbnw5xbZmlBAjOwexNnoKzO1Sg3d/PKFID+ aprAoY/QFR2cWHKA8u+VjC29ZJfZCuQZrVBHBjedAoJgW0XEKEa97MJpDxXw+SyksHUuwAsU1FY5 yTHEmW1WxCn/tqU0IQcKuBnmD4sFsJpsP6LRjSc2buyJKK7gNgKRH8em+t6zB03szNeRhH0r4P2l c28lwFrkWKZR54OWCl+nPjR5bZRS42YhcZrImAXrDcCg47BSj2uMQPvr+X95yla7EdhCplZJrdAp +BguWnYzyfv5WQmHoxp8G8XdwP9GZXPQjuhxTd2DrHIDmfVm+pStGa7sv3JGqhCVJkOJocpEO3B4 6TuZUbQ0h5sIyZdMdusXfPsiCa57bqqEqYG3E4N86WYB6anIHZyIQV/R14+gQx7XTY2O+z7exJq4 nX9t/6y5/VomxHsSYdl9y3fQ8LvT19UmjiF9LMPlY6csYmfByNilEgV/dr7CEukpMYdgXcu/53Z3 0a0ahfXlW0MTiFCWfLag5ATzFCZNVlHeFSSBt22GgGkizvYXD8KGYYkSKV1NuDkxtAnv90sZIcxH OtpUqiWeRCz42ugDjSQbgS2/egC6BLFx+/XUIAKeNP02aIPGX8qVcoBS6zE3iCHApnyE2p337f08 xP1hkBMz0dohW54Kr3HO+FOioz9lgX24XmySmpXc37P+HbnZs0NE7uCEexU3oShXyE/nLMWb3aw+ z4vC5BIL4b2XVJLv5/TaqdHbH0/d9SbSlLr8s3P58Q5Ra3R/KLrNaujDYZ0gv4+1WC840vZiZzjd 2NEI65lHyXEuS+ZmLXCYwwIciGZIyssZIVim/CVXU2jmmH/QDOQ8UpwWoNgGbLsWMl2NnoOCjWn7 cf+adLJozIkl9q7PyecuVWlZUX46dLbDjarDLbEbEUCMObL1oOkX5HWopxC7xtJE0S0767EBj5Jq 4zljT2fZlPJkRZVgfX0lAHN62fH0RSi2E5RrkXiz7YjUrZ/FZXjXi2I899h+tY1jIvd4wheNB3uP UwCj9h7enBa+2Rgv17XxgEb5a1YYz6d+9UyN72c/5Y0Nv0FyqYr2US2o91aakwisTBCTIjqcaEr0 sX71HiwF6y+As3ANgKvQZp2uTbbiZl9Rm8NOpb39gzhiZjNGM3GGsG2H330td/uX0wxSJOznr2uo jFhXw4RhXDP5xzmpZkB551z7IDeItjq9VYEoNYPyzTF77iWxMFO5QMSC2gxZe7gmGwATfbR/VnHz /Sn90tkRxlyk9h8H0EO7bLZ6CcIMFxlY+1QiWNDKQgzDpd+d/e3C09J64PZgqqvHk48QUOYjmK8E Rkw6WiET7JKLWx3x9VNlG8d/cnz8nfo0BSj/OsBzeDK2WhdVZf3ZNd/3yCnuLPADosrP6tAIOp3P 2fz48NAkuXFdKkuQOHQ5fM8PI5+PWC576wuUB8qjBvbr0SJkiajq7C7c4C3Zu1FQz507jmyNC6QC XSyPJs8tvqwO+rmGQv/RSBgqmyRGh5qFJPQnl9g5CDRATrQsznUhjSl8+Sy4c4frk8lvAe+rSOed DrJJSNaYa3UwTnwJg8RdLgH/waLrnZeBgZKeguPUPVqNEE8PD87Istxo6rHFp/0yZfucGHKTakNR Jb0TmLBq7zedRZmoWRxXlL6/OoJdfozgnM3nbfujmXrZ9N2vi6ppvLCht6KZeRKvKo+v6P6OcQ9c 1s1HYYPwOTqhNHjA68voFdD4CJKHBYax6mbVSlFpvmC6jIvjMxSAI4g6pqzePvks3syOVxxlZsqU uxQ+BGj9ZutePvI41lxVjSw62tO7R+JqliuAkfhIwYBVUfJZGpqTxwAr3y2kR5IFKbF24VDTBh7h S0uQw4QEWN8n/QBy5P458IHssZxkzM1dC600PyGHsi5sYc74KyIO3vdgh8zKNT2uXmEVkiDpNJv5 Udc8PZCbRoPALiHbByr9MokjR2a+h5RvzT8+Tu5esxPf6Mfowz56ZZ8nRvJUkhOG2+ze069Jgh3u khoJa7Lz05Fo561ywnUSRaTVNivYYtn5FyL2yO+8NSxMRHoEGK5cyafUXkLpHTcUfD++hG//dnB9 cdSTqK4QxPtBD0JwCGC6v/azughS4mgfXNQ6XJhLkGUDklcCqwXTtv2GnzHHXfCZ3PMuRhp5oVLu aAC87e2da3wVhpw0tRHacmrGmyWdJC4lSoeq4BwlvIyQyTbOVOt7zP5XMspFb6awSii2BR39Wwr+ V/l1sv/JVGxiBD2YzdsxUqVxwqX7HEor0KgllRHbab+MB4SFZ1coeXG10kmUv8ALHmyfFiHO/BOn 02XGbNAeL97RSM+IS/7toOc02z3i0KM69dDcfEaW3yE3Qj1wBZZfizYhNckDdYglbDCwUOV1Ll8a 3useperasxf1cwMrQ2fDoJeyMvh7XVqGGEbRZVueiG1GWydwzBQW4+fVaR4W7DPxb3HWkQfB+tkk aj6M5qYQ6+JuCjJC6wIke3XYmJeAo8g2/ewRZuNYAvShZ8NSVdSsVtN3FkLdsQNAkhtUpidg/8hM 0D1em8b0ozvOwCqhcDv4xqsooj50HL2BD234MADrr5Yw3MbTlFBjV7DfIVbFhvziQzUVqkDRvJS3 x4vuuuKj2J12JHapbDHTxfBJe8T08nTHZD5MYZKF9NxF8/BV0gq7pSbD7cb6nezzKPO+gTlGQ/Uc DliVagSfH979RW0ZzJ+BCG6Kwg6usSno67E/fn5JNnUrinwzRCS0GjckdzFONPu7TvWxmXWC4rXn 5f/6RXb6Q4vJMGnRWm2wBWkxdE/cKTVIGs6FRENkkRQ7WJ19mOfD3OB4KKS+Ny54Oyp4y3x+nU09 Sfuz4pfOsz7NlfbkhZnjERNyenEit1U0KsN2UVmcUy4V3dGQwQ/fM177q9/6s469/rBT2EcdYoFU K2DQEtspQJOq/Rkx/TkyqU7p2rgWuWljpgDB7kykaB3FsEr3O/gFAk6yLGOTBeCsdSi9Bkq6Lv9I Dd5CF4Vr5att7WqjHnamkTX36aHy6GMU6XWd8JEyv0iz80WbUU3r5h4iFOS1wUJONjWm+cKMv7RF rmciTOrIEACiT/90tcP2H6kkAP6Dj+t4+l9XgQscgS60fPyrtyYiMlig4BIHwhDHM+hRP8kOcrNr 5qcojephtdTlUDNgdpNzIAeezNsQGQ7H/jCcVJ8Ha6bGKe6MAmAPE5+L3V10YnsmBMNAzL3UfJm3 O+QYtfbQ7wUKAPkC6nwIElzHl6NUDqEOAYYsq5bWqnXsLnHRGQxFD+OiYoknQEgB2YlR60aQadUn 1LBX2bsGJLT0k5BaQ1tzp2Qost7jtlmtXAma4i30SM1mLwEp25OneNdbW9Kar50xEfvGhmuvzCk8 LsW7tZEFB3P3dJp4ghAOg4MELHVhyaaGur5/CPfF4dSbruThRksme8qcjfl3C7IC5q1j1tPL0ieR d9HId39UbW9X6W6fUokfmiaFVK6UYAjG/kWvSx29dO7StnFWyhRopqar6zr0hHJpi/U/597neKsM jXKvVCzzHRi6wPV128QGAJBvbt51ndTLuLXfHZJ80ErVkfMA0oRQDphAt741hw94lLWq6F2OIvet FgTdbWIgEzDGQffIs/AxUiJUuJTyVl7qgHzgMA1JqL0utaJT2HCGILDKCglWMvEW72wuS1/iHm0q Lo3z1LCFYdJ3RFJP6yq6dOvBh+vzhRUfNLxxYOWEDlZozNbNPHPFcpYiGBY41jQHxg3gI4HDZUVc 7dslCy9kIFngdLRQYriJ6JFgmEM9VbQnipPKN2QZMKJ6UrlC6UpRGrq0G4mXPpN8Hayx6a8GdPjK 4tn8XAPBv2bBqmWSKlNh2ZxaEJQ7B8geUgzrUoFYu1L0yODAhZ5xCflEE2Nfae8+2Qtm79oLGSmm anThzo2wvyP1p/t3VtmI8mh0ZzFz04fy9yIUV39gdFeNcPBOHkxSUjk1R/vee8d1ZyuQZ6mMZuSa 2bOHnuWySnrXryquSw1TBdD7WihD8aoSyLbRAKtWJXk+od+Ia8WCcDMStCJinASM9kVkbUrb9Yba iARrPPX34ei2b4E0eOLk7i+4wuA2W9xxfTFmJdaoAM9ChNvMFnnOtk/WoRWgMw6MReBsXujO/4fb YjGfMCaFgt1EagtA9r4iZXswZGBFZTukH9CXUTzF4BeqSIDslJdovoqry328jpAevsuMJ2EWgI9M T/4WbNR6/b9ind7BSUrHMPlIITQnSirNLtr4cm11r8yrbTgWM4Vz1i5D2FmuXGTVxhl+U0RKX85v vv1iDIXTXLy0X5tJauEAytVvuQjkx9HmMAhdmHiIiQ3xh8glsdtQQ7IC8zgsUINLfWoc7ndxO01c Zi3Vi4ylQEoW4NuSkNvTPZianXt+1sL+ntXxBE4KtfXvnd8hYbRgAAOwW0z1FBq9UrdgnIWACkBs 2bQOd8IwihZ4w+Lw1wgQwYQbt4qRE4TlKf+a/gmVYzgWG08UMXDjTyIM7qMVN4EEKmNdIYYjM+4N vz7f1Gb8GK1MI+nNurH522TqmdWD4aTzpiQGmM8u6JMDxtOzaPtbwGv/ZlnVQMoS5Uc02KuA1s9+ iwCs/95GGOwEn1FZ6eghFrKq2s2LGmTjBhX2WwkNEFBqqml415F/L5HrnEZxuxNrNyDczeAheB0r 4Y+EG1TMvor8n+QSJWQP/d/q5fF6oj9hdccElVbDAxYDQUUHPjnvyP+AH5wYUASbCpPERWti78dD 01902aAcfZafItHHTh3CmuK2GSVG+epMLOVsRxAER6PxmEGJPYXlZHNIus/EKSG1x4BUqfVp1EsF lgtxBqKezEaD52UtUCWV8FvVEGNCkI245d1NkJZzcf0R1jNkdny7IEmHAU3IDuHFVvqF1YRXFwQ0 Jyua9HM8lFf73EQJJoXmiYY6jqDvK+rKf/qQBMYlaNETpEnEyDFmbz0qK7O0BIyDZbQ7CAXeqpXp mFqCU1cAYZ/egw/2ewfLnIBsa+UenO1CbSEAKth7UITRpip9xBuXwtgazP88NXBX68RbMsUQXI0u bRAQKF1hTyOd2tVg8MlLlZ72qjYQ54GBtdMgb3FZzorq6Ubh437/IlBZx3LsYs85Hi/KSslGbktp 6rGDn1qWp6W/65DoAolSnzvYz1nMA3LJn/LbaQBLPZsNRYS48Kp4w8zJvv5sbYvr0pVZldqDGBeL 21BLrlunZ+jGos24WsU+3pAxR4XnMEveRXZO1i3Y7O97kj/VhP2BmqeXqw4XO2892Yrh5Q8h2eFn KH5gepruIbsfaMxX5WQsD/B93SkIEK+5HBRFfpyU3GoVKDt6xlcQ5fcBheGDS2+SDhvnAvi9SvNY F+jfvrX3xX3P5XkJopIkmW9GJ0TrP7C5Io3jzBwSTxztm/FEALB4EzQcC/pUcaB6//+jw37Sw1yq dUrfzk9MILgChgZ7jro/1GYNHpnKkaIWcmOgHERjuBrHrKBCJnJc05VerPCepSLjHOXHltAwpv49 LOoYkICYEb/XHvlWAS1Rj+TiH9kozXzB6ApayLY+nhpzN8Rh85C6uuEq+x/UQhZPg/VfsMF2DNwN QB1AswefQ5s8qY8yUiV1nHmFEp3zbeL8QP4LcKa6A87Eus9q3DDMZd8dWlW3MPX/T4BvW+l1U/XJ NLQR/3arHdueK1/hpc6xSO/NOmMuRzkL80hYsqjiukmW2SidCUiBuaZ0LAkOVgfCNw0/YV+ecMCj 9imKu1kOqfgWwV8VvcIU2HMm9szqVgS/A17gpcd5pQdRZI+Ljd+4IuHmze2oO4VFq9+qzASS85hb koTtMZ+Uyua0QoSR8leVnCOWBLTLH01HZhFqaNERJOBPhUS+A3PCWhMCGdrTJbgSbzOMapZVal0N j5JrWKftXzRFHI5dLk+HYOrjHKHxHtJ67nUhyZokdLl+yb79T7muPgVXh9u6U/OaXys0YPY6nQq4 pb59LzHmN/mxqDMLNL1m+cEHXUGGFStUdukJmVDTN5c/OfdE7zM+2kJyQ8+SwFRCFCv6wmejsqoU H9jbpS9m72vXzJkmG4mHH0KfoOf7K+S3Unre/sODhhMAU1vpnUu+2XrVDweKgB+key/9oVGs0PKY 7tkZlQiumnT+IA0FmwgG1r3GLwVDWNDEQCjZvLPNH34cLTMisqQJXkKL45x+/bKjvtsFqSoeRXtA csRV5P75T1fA/Zp4XNamjaZgCNCIePG9Tlrdz8ClmUXru+GSqCr+6ngUL0RwUiT86y/dakewp8Bm gpdEsHhsn4JpL7b+OryUUle07yn50uLqzYjjKekUiMy444eYWTO/U8COX3eomt19wAKFqjC5Q+tp M1fG3rEcLkhp9Ww9HrFYre1ECfZ/DGzIrHykwLGilsR+uZdgFZk2wxscUNSDyl2RzZrTLpaqwRJj OXt4wPps2lLwk/635AfQZuM3DC/iMNpBpO7Jk2PwwA2jtzxJ8HG+CD5MmzXJKwNMmYJPqxV6dRKS 6VMgFxrO22o0cOwkBoggvXOs+RkOp9BuG9L53qrOMo6bK1xezaDr87NwKwVoOtNfrNyyRcfJcNpD jPxDUdu+NDRiiYAqSiw25Lg5k2vNMF4RiSdO6kE3OJEdGMdDl2fHlZB8zardysINJlP9D4qllK0O bFy9F3T/Vg8BifzNLP+ok6HSbCjBqJXLbcz5s/24+8UNQQ/N9u/Dg/6YBVuBFpN093DwgkXTPYdJ wQSszTABwRiObqvsrF5VXDlffBv5v192KDteNxIbStd2W8XO7uJjyHi7WQURTX4NV/1wKbJasnCi RHmhPVQuOuPDoZRMEHq9wWIqUh6iIimwt+hpnYhDKaPBQdtYxEGEuzni7mNtLBXJLVu90P8/v8tn AZbySS2y9or4uRc6DdzCcGw+IBk1nfM3DNRWvXM2EphenKTqhai9RfKN8qwFvT3TdH/Fqw8kYjlA DO4joHDQD1QD8pY0s2k1Z8LnD9AVKNjBfGlHF4Tbbu0P/HzoYn8B+zlope4heRMIjp3/0zUZTrDA gogwTyGNFpA3fwbDk5ql0J/5ejEJdtxGZ/08uQGzOZn4cIczu8kLhYEJCzFAn/6FQCfDl4K5JIVN suaoNbQqaLmcxwzv9hPqlI3zFT/21X6HwUA1ZUwso7wxHGEPgpIgb3fzYJ1Uve0Q8Xl/H/xMuAJV izmeXbTrkTqu87SsDwwzm+NGBktNEMIv/fRykq9LU9UAEwQQPV89sa5EyleucPM4iEOFe63IrQ/M pjnpF0N3Y/LaX6lc/CHiQFA+fnQmfyNX7S7U4TzqaIPKw+DSn/vwll9UEIXF5NjBFjDxVRIQZqNd To1+uukxCtXDqmQbXZDsYNwrnJtRMij6NOv09sHpecPV/eXZGTQ9AMMnvx8EMz8slkVFznBDBGcc qZ4HuDkstWE68yvO7to02LW6VVkA0V1TuxnNrNzu/FXNUknIM0yezYBvpO6O5WM5nua3kz5tMkB3 qClpbhfIHyG923nK3VG1MSCoDjmDyKIR/DBclSh6qlaADerQKoUZyjtR8ZRk/1Wvq0rKvq3NpjZc YFhl0lIayZ6DQVTCA0q+FP5LeFJhvRewwLLllTCE1HwHXRJE+D823GZTrWWwmNoC5ulpHehu5D2Z xEhrMfQT9nxzvt2zFsxkCINUKq1b1VB6cDdIEiCTRUO504SLBp3BA6L1NwA3NpAwS6fKA6ub0LVv sb62+o6V0vc8+/XxDvjRXYmoVsr39P2Ra6g5GnGZp4LwpkRfXr/YqEVRCdYJjmPPEtrD0+fxtZG/ IzhPHnPLIH+E0ANw0bncD5a4Tt4B99lOerVMG8xVcww8w+SsLPIa3mDMHZrBFAks5SZfw9aOdw/e V6EwL8uI0jhdbs6mCSnYyiI5vBCtzIleP/iag2yllU7O/1iDfS3fyJO0ANqEEzcmYog2tlXgiRXT 5+bfdW/6B2ukSaYjp2Lt4IGjAs+akO9EVYjKY6m03Avss6l3wIG+EuoYFclPGpJuEV7jzQ5l+ZYf Rjv01shXJMPpINe8mEoRXEjxAQyjBt3QVGdFAuilfeun9PasM3+T+lzB+PxiQRoySsLXsJDY5LCC JPoLvWVyLjbHjgAFdwAd+Lq+QKI6bfkKkILbbEYPcinGq54swl0UGmTI7QlcVEjf+JcgIKRJomQi kFV+EL2CAHi3ahAh2QHIR6xDIcd4rz78MihSt87kM1ZjUUd2z0IbqOo9HMgpK1EImr/K/Yu524wW bBlhrVtgIqk4SCLVfcJsjotHmP+/H+MvBHv0Zq32agTRtA3oR0GKJfdwipbC+l9cYIwetqS7ws9L +n/cNmCQ6EsfrKcfQqylItlk8JAamkMOxqI+KSblAfIynetZZz8DSGYd0fQPLd/cjXikkaa8fa/N cc5CkWkntda3dUdujbKhZmyTPTddaI87cdxHbatagtcPz6CFGMXcB455+a7xYk5+MS+3LZx52vwq GEC9zqc5GemwH+qmCIQNNJLcauZFrOI+dIyeBYpQMHKJb5klKm0C0itBAsee+L9C9PoRYMT/Z5wc VLM0eoC67vNmbQ7OwZVuPufdBhvDGcG//8Cy1usek1wBgrir9pmearfui/xZbReEq6ZI89zM044x yeWZCKLUxanTP97QdDPqJy16SV7MFvlX7avT8QHffxxkdQtXJreU8H26VZPugMvrZzozqmxp1+cL Wcmuk6b6qUD9107EfyjwxCWX/6VKKUmtxRw8c1bsRKhiK4l0S++2VD4biIpOVsq/OWMMF3GXOyEd H/sMCzFDVROYc0FVRTUn83uhgB9yvskYf272mDcDnCOW9ubSFdU3ilqqSWokNK6LnvS2C5I6QByH QN/JqkXvWwkUGHmBQoqoiBk9ciHcDrlrfaYos/Hs777HsM0BUIDJdpt8cfrdUAwpBdZKa1Myb7iR 5b0glGME7cHY9UXKR6S1PuU9IhgijI7xPTyUp8u4WF1zZgGYI/ZIxZ2rQimWMFMj2ZLf2PfzL3JM sOx8HE6rfPQk8UaO7Q66iCGsVUXQk08KtVmkCIfN356fPi+qd3r3rRa3eZus71zJb9glr+BTo261 +3aECDpma1wbINEbJ3UmJ1pV5r5SPUnAL83cVlw3ZV84y2TTzZ0gD3U41gDryJikRObhsFuTidMx 7MUiYw1a8fyzFKByBFOXzbhBPjrKElh46hZTmPAMGGmMy970PY2eKF9zm3u3PLkZZTqIsrPwsQ5t xGxMgRt/0cCW0txK15b6BjBHaSielft32vC0KeEI9EmXqWWDt3ljvVJNudmV/xkwBmFMePYUCNiA hqPjnlMWX5UFSFTgJesdTuqgJysOvtHGWxtizc7du1EUYXGXL0+SgI/nbo7AGD1FSG3GHAK9KmHv ZHpw6LDiFquZ41gMqHuequxNcdERqRN2YQiiq9uQtnLB0CFYbdkd71KepaoO9RlUpGh3nPj9ZsFF 4aMKhzPvHpmqyzhLcGqYkO7w00EbKoNlXB2DgrAyXrO9utvOPmWoTy0+H1rLat65CHwE9Ain6wzF r33tR4ijneWmcjuduy2bAfvjQqYpaazWB2rKyvu208agCXq/btHDsmppSiTRG7iqFYyrNQUa+xMH f5nzgdoUJn0LXFHs7GVYIkInkRvYthDeAKeKpu99w2Sgro5i3WFFZ1ZU/VFFGa1Cfso00mnx7n3n tW8MiKmynP27Nl9pJZOR5d+4C76UqEweBgQ1D+pH5dW+NzOrXXn2WirgJ4BYD6WR5rBD0Tcw4772 WJWnO2FVnF/MwT29tJlGIpzWUmczk8JsRXVT2zsrHVdV3Fr7jSZowxZfvVpkoC7J01AVeWoXm6O7 3EiPdBbaambB1AOipcrCDgohRgBuEr93vy+EuAzS+SWxWFGULOGL1szFDvNYp79vQjiMJex6hAQx 3dKDrADPkBTSbUg+FrQ4SnQD5IJL9ZJ6d3fG4MRHCz70YqwEmoPWTbKBG9iv+mGpA9QciAACYDQg WYlMCq5soy0Om/8rz0JGu4+Gx74lKpnOIDLZkdvCCkTbGdWHi+0SeWniKoTUp5wLd0DYlF+Z6cNm lznSgXj+K0Zg7b6nSIo77cq6HZv8EKyQV0zLeWFC9Wh/HR7mCXnyRl/oi6ArakHXVzdzMqSkkEpN LtAM/4vrjqWNbLFG96ZYTrm0FAIlpikyRXQ1GYxKB9dZwAPd/ytvFaeuN0DLVTSK5FidlpdKaEw7 1Ozjcy1boeYkD8lAeN9oqkkBvMrY1PoYeHBF/eCE3e/lSt4ZkNYc6wfWnJkqwmxV/Ww2Odo4IOFg vwdxap0Dd7dUDA120L3t2fPhZ9KPn8NrtCmxfKrn4ypnlNz3NZAlbv5NPqMJPOvNE3eongjJSCPA zR+sbjrO1QQQNBDlKBtsSY/iXo+id8bhpn2xSEF29cJ+wo2iVrDsSkv+U9TqC7qpkuLCNcA2UHaZ bIjezZOSRI13L2KwCmaSiQTTI9kKNC2ZHHT1vxj+UB22d6/xJP0LamvntcsLxAcx9ZjMw2y4+Td7 2M2G/bjURNC6hmkMNh1seCXmj0iHyinuUptXtS36BYWbDy+ZmSOfivmTzr3rv0Hhg3AAT5LWY5Qf EKEmViQpjhYWsI+s3ymgzdY0OZvqqvpI+BNbcRC9b3r50M4zgSv1i91DkL/afmlw70XGlpgYkJoG oWBymPAfiVsVy4gm4xJ0z6juYc6Vyn4sBHHbGWHegZPzQRXmd+xMaYKuyzyD46n1DjaidKQW+SuF 97QVWB+5dV6OVk9ZVNqNoPKu+9fz3NQOP8i2FhRa93Fq5RTQ6+UVxeuxyjUQ84s/irtX2SelqvpL dyABpsk0KJttz0NuN/y5TSZiwYSOhNWjOhCbCZHZKh9kD8bXqW9I6cqXGsSdUoIkISfnQezLz6yn /EbIhW84DTWsJO/gmR2e8uSmU78MMhU8Ttau7T8ry5EQiyNw6nfLiCFBlXbvJUMVRZnN+A9ucrqt /ueIbi1RG8wFYlg5e+TU6VLs8TJMPdSpL67pJYVAjV0FUrgu8a8OXtfh+QDXcB0oiwED9VYNVY0z 53oRTJB5VyOUTP92gY85VCq2q8tDsadjtfiNK4KhUeDekqe7YQshYu7lIaz4Jrd49atJN9KBslDg 4apdwLdsSPsDrSYSYyIVdJICzIUeRwptvcyjL+tDzb/zLu+oeUB5iaCIEGFuoTgaowibE/MlHm6I 0JZQbIeZYnLQoJJKaDZUAozZ3eWZHqjCJU+GR2gh1fYOuFmX/v/Ha0zjxutQD5iSrT5mMoEKnWet LP4C334NcY1EFilpQlQ5AMV0HL0CDvN7Zld/fbRmbo2bzqHuJeimHjFpuzOhlnb65MgUanmgYOs3 QECPPXa36OtregkKOARWOo1FDbRlzqhXfnZI0ElGhhSn7viwtxS0Kbzs6MwrA10K5DH0smE2uKCx JzkUPMGGn1fP/bRfR4myIpKtoQ/n4o/Xlrp/ZIRGVvk49TudAPvnrEakPM9gjP0zT+2k78ifCWJb RN/0a2fA2YJxGyCgvCla2pEb+6RXB/dy2rp9oeMp43OLBgHtziDv4tkDVkFeTkxBeeqH3/+y17hn 2Uz5DUY9O+ib/0+/7T856XVmc+Vpex4GoqsiQd2IQsSqaLfs/hxipgjRyMnjclCkHP8kbVgksI/j S0n7bexRowEG2DnZOvCRfDKaWV0FPVwMx17HJmU/SWOu52y+JxKss8vs3DRBGxHBjCJCtQIuPfjW GcZUhgUtfeE/eKKV4bvoD385Su8+AuW1DsWvmnvtbKFruoJhqf1bZgpg6Rx0B/qJq/Tdq9+Lnmac 0BxXog03EfWi4qZOTGokZZFRagfH1zWRn6J9nzDZGjlPiqEFexPxDlp16r09Gq/KeSXvIqtLrG8c YPlgeXq3UU/qz0zDlybvW1ORD4t2vyos1m5q09tmRQxZX5HdcEQn1aLAmVpjZOqYra0thVbqGTz6 q72f/Q9DbNZB0uLniSXNlzdevSBbSQCwfHfYFs6ULRdW+vzsDZAX5klrD+cUA8sfRyCspeQs4Vwl TqXieH0Xb7OkgWc823qOkjbdpVOxjYXo7u7QepDaqincd3pqoAi1+90ysw3wbKAGlAK69MTPxaWe LYLKKEu3/T8dQrDAaUrkylYUYHf73wvxOo7VAf1oSNqjEKdaNoZUg+vPR+kcODeqD0QhOERnXB3L 2mPFi13G53A5kLUz0kHTA+FyyRkiZT8uROjbrjQaeEu9cZVKWb6oSMxIBjXjShxKnaZExjv8NY4L wki0qvBTipnVJvQfqZeeR+9M4WS+9DFyvtqXdnWl45p1srZrUic5GqxvJQYKL6amM4BBA4U8178c OT5Qj7xerS+uMMz2SHZcK4ZyWcI+b+SLLe/6+dWnaB6vzmBhJ5PeU50VbDIHOjZ5oBa4U0XPyovp +h3tVtxQoIwSIGlaTzRBjeDXpTB0SHqyZVBGjyHO92tluOFk0kc9C9hWlgagK6PK4qMlrnkAnoeE iCIfYEwF7gLuhErKCikMfmyxbjlwxFNXfnS/lZsrr5WWMqLcXFOtlSFJUDC5dWE5N8yuLUu5ZWLr oaXTRoabpphZQi5oxSXjz45L5anrAxwrhrVf0jOOC9GLHfhfF20a+WMvt6UWnS5KvwLFCGZZ/Vnf kz/BTYb+pTN6PvLD2V6sAEd0kOHXeQkSDgDEHPhrdf5OQYJCHl4WJEHeDT1HotHj6TQqIJv16LLE Gklw/q5oK4dQvx8Yj3OeAQD0wEb/5HekkPtFk2aq6DY4tprKnp0lK5/++prWVoyaxA0a3yf9u12/ kjeIBdoteG2qTeO6iSTOoMApwpTVoe9A07K5QbYL/RbT6TNeGGQucOl7CNYULp7or8TiuVScIMaW 7JKOAz2fd+CQogYWbPa0H46fLGNwiPPFd5jodPhJuMqEPuuxd4weNJUw95pqEq+pOiniljPulkQy BBQHcwYvKdS/Bz7ml4U5Ohv8//KWw7uQA1/HY2kW3lMBbDWBNvkliAllBQal/YwZ2N9Iry9q+s6A W3vbL1YRhob0F7ICZPpuJ/uM79qgWQAwq7lUzr6Q/7zg4q0mtFZqzhrVGaBsQzBosCMIaeSbBZsa rfJXPPnLFJm9jPdvl0qkKq+oda9NzUGE3Qbaheu2KU9qfyugZQOpcm032mkTPgxvxRDrAI10n/yL mWd/B1zuLe2MhXT/ja1CUnWNo0f6KBThFAxnOKtTSfJzjfzBci1+Bcj3P+VMT8bSp4r+ArwRhmsu Yuh2ve4gVggQQyX1QkJIADEQQcvhrLqBU5qow2M5+YFZzLfymBINBPKf8JqDbXBJbwUzXmcDTBuI GxESqSXyj2BGAcdtjfa5ZMhTsf2Ap5SBbGO+h9X/ZCv6u6s0oUdWfp4K37S6kDAbWlSL+wIY0Olv dqohufIpMquq69ONpFWPzD92M2x+n+Rlj3S05Gce2P239495/cpQl/hspCxwr3SrLdNhLI2U3xqg 7NtJhybu5DZTOkRUzyZJYYT+Tq4idJKqGT82cczl/B2asnc3XGN67Tjsw5HwKDscONwB8cQxsI0T 4VgcvfGq1G7v1LFaklqkaQY597NqbTsVOnnApktyCiZbJV7Uy8f1dN0mBOyrwev4YsStgb1+KuRE zjh8EUNxZEw6oP8MMfzJ9V/J+wvPxcg8VsSFcm5UOWZcArs60hfFoDbkSczmi6iZq4clnwmWkHXM KLMa6y8mpF/O4/CD4XgS6GI2OJmOuHat7HEjZRcLtILgDYX0RMKgbh+GogkZ5TGxIWJI3vrPkI9Z 8ZUkllW8ERohs2aI4e1bgBzGT7fbTOTJITNZoAbwmi2kfoztbtBjZvWi4OFlTHdJ+jsqJIe9c2SX EQMFCCx0CB+FCrnKp8x1/cwj9elsEpq+YhCTdFiUcSOfJ73Akd2QXJeQdgCHIagw0bPThP1TYn3b dZP18hYr1BffnCweJeFdNLhuC3lpd1NayDoEcTCtupTU70IqVcje1NhIWgvnXljjTSiawy0Ni5OA 27z0gLaC27bBHkwI2soXWUd/CbHUV/LjKCTVlGr7cY3vJ9quQbteHZ6iPCpJJkLmMRMivsivE8zr JrqnNI3BGaIwJ+Qr6KRaC7DT5jDSnKHAljjqu6zZTz2gJiDZK2Skl4qkwDPJzCB99BIXYfthFW8w jTL0L1lwnPpIkLyhWTwwYWcZJTMnqszoKSJ7FylMmw0bKDhlvqE1Uk9Ft2i3spBv87Sl0MiU36X0 ONqRFtqdI57S0fk1CzTtfg8u52lyNKVMeMRZ7tDZtgBwnO1rHaK6Gn/0I9nMVEfoIhq4T1ikOUNe Oq0l49xCbAKC0kpn+QtEiWmAAAVQ3BbTvqE1J6i5xbQqkh3omU5A8kXoSNwIqRDzHN07PBZRdrjR PghQAZTVycJ7I6jy3lTxnoK4p6TrqojjSPeb2MQvF8R/NWR0GD1jx720/o2jB5LiC9nR2gcCOLiR 4tzur94yrqqihBSkEod1RIk0Ps/a4mR50NgBbGa1CHA826i50JYev7O5J6ZUFHq2AueoVg4hIAWK //Oy8b3/veBaihFw1hvykO4AOi1jWgJ/5x6cgIwRNHsnNJkXBv2iy8VETS0kfyDRyTpQMA4ciIII 1DhofRwXMjAgBtJMCMJRYasTbpnvqZpkhSKSfbeSeRXVxMCIdwQMRelaVyoAITyhigA6Uo7AEgv/ yJIoRojaDj0s6wZV3rqQGRaQElROsG8cANa/8JNOpyvttH1F0FzlRbDPyM06XWAckJDCi5dxHkfw QdckGOneIbZajTOnXCLD9ltS+1RX3Z7pATorElVqAnWz2smHMBSHapC72/927gGIYkE+LijmIcdn ea5jT905SNFg+b3UeKbv3FWwDh8tKbtbK0cGn2wsQNN6tsh4sGPkjzTfknUIrS513Ica2lGbDlZ3 zmOdN3WT3+Rdvhj9Nq96VsbkPM3JhcHO14FoZ6YkbPIJ95lJhHTEIOSpsrEjK20YmpG7gbC6c6C4 SwuxXPVBPboyo6A3CRUtzyeRxLpwhmkBa8BkRRAYGCfu7vVVXttuOz5uUf+87JILrsMqMjUGRU3N QlhfgI7zGF6cQs9uG6vGGD5U6LHZfG04JHcSY3iFXD+uZVVUeOrjq/meIwg7+HrjmtV0yvMdzBd3 +RK16Cqr71nNeKvEdAhVtqopn0KGTkFiWsk4PdhJORrj0Cfmq97yPTmN4wVehZb3PnFPCa+WMZcB GB/hRgzDy4S+6aEHBTYxRUqPxnvothC9emo0nCxZqFBptz8uVJV9COFZhC8c6TlFE7DXLabpMPwY t32DJAJnLknj/1xKPSyz+m+pGUNe8JOi01vE4y80GHlAXGf9j8cK7maNgwUC13KlZtYATrfrjv5t AP+5XHkz1QEm+OBqI4ji4MQ0FdRXu7waoetZ+zW0l7by0i4Gf3u6wWlce1VjtAbR6gnXQ6zsaCG/ qLgeiPCkif4Y9N6z7HrT887LvrIszt9n2YtZV0DicOukWU8fgzPSaXSrhtnt+lwfKJx7tWzaldpl 0Z351Pu/rq56nmkND9qAkAPf0YdT3dT7cYEAAUkMHuK/pO5hOki2/+hZKG0pLoghyD/JlX5nwKaK Z69algRHI4UfOyJB4IFyiDnuQaDtljws9PQ3VAMjFc1CGj8aRTV6P7YAkQlQ3pOi50R5WsHGOdsm r0tDMtPY5T/Q6XlAdfK+dmyj0TCZS6hEx51g11vfOktOWQBEdfrjYmZgG0MYfFIYYkxbzCiJHVKs JroKuxu+iSg/bKGub08UKmeJjGIN5iFb4+tuICLkxojCUEVVbXCWsds2jnfOCOyv00LKOXTRZuTS nTaZFWDcPFkjvmB8jEnlw9YWBRQUdG8nQ2btlNpqfkDqRXNuruuZUx4k12CasE4cp27CcAR2b0/N jF6KicLH2yTq2PTLameLoPZvwq45Pbpnh52ICWEotAjOf/mNY92CHEUYPt1qCXCkRTprVSV7Hz9J 72aZHDQ1KrpGLrme70dYqzm84EUDw37xyZb8v9mX75d1PP1z5tEU6AECOIjAILzoBsS8ymT+tH88 CDvVdOfmOM8WQtJmFGZ8cyK3G42UyhqiiS3YQzRbh0F+PAe3mfcNTmPInz6ZquVZMRAIHLl5pOoz tfESw955ZZ7+8704A++plBPnOSfvY2om/JT+rPz3dPlgRlltfAuIfXbm+zHAyWFjoETU3RZ3fOpA z49Lx4kamI6ocqKeTEu6ZF7R20zC2jGws/4MJi2dAt8SjhzwofHfjlNosWA1+i5yHc+U07NGiSBo jXW0K+cP1McR0JeXcIHHCxxkYK+h+yMRWjml6FXcnazx6W2Kqs2Z+2K7Dae6ePU2sZ0JaoZplDGI T5RTDW+59UvxL/0BfTIsHbrNmdgtPqmwxE4nkwTd1vM1EDOCxQz8Z3NVSDJc3FRlgxqjXxclLubH OgJh71P3CUqhqdnPRk86GJ5dVNDiJ3Nxy9eSaLjuhXHAWrc98ASGzkQaYu+Vi6dUwnBLiXUF0tkl NIn6icWSoy3VTVX/G4u9qQuMSGoBGI+zf31siJHuMG0BKlVgqGUiOJ3cqsk2/9o94ItqFmjw/zfk VxrrVBa3Tc/RF0UBp2ldxdKnn5ELdgLPDR3s7JLwiZCyBfIyT4X9eKCEmaSJyAhOga40k2HUngN8 Y0azXmwemciubKtJX0WJZ8Id9tBZFHSn8go4byI0YQXkRsP0ARkW0npP5PlVEKyHaaFldAu2g5i0 8otsI1+PKTXtiCm8mEa5o8cLZUYAdjnSXVgtCS33azqmdS88Entii+0SdbCPZiX81PIXw/XcTxNA g+GTvIH8/xX5YuW4oYyHey2aZFc7A1WqdE4UECB0cAZqHvwpEvdJbT1avDfA1n95+PNe9mz32QkF Qrs4yh0eV27PzMOPwkQ4AuDMAywk1R9XPNQaCUju7o2iwAtPIsmaIo88T1DQKWya8+rCVXtHKwZb TmXEMKCoOCd63xOWbiWpDvn5c5kVOFHvfB+Lt7d/ZHrE16zJ55nUmIIIhtYTEmxm6c5+BiDXIyMo 9Vg0vWEsVKr+7kX0SexQTLV5h7qmjZS4O9hf/UvoYRFmGaUBJ7K1MaLRI0UhCTLfZEbTKQuF/+Fd gfFwQPfRdkBcibl4/wyzvIMejG4ET2Ph9IATBJZrmv1E7U/3SVmgUwrfCwHsxuw+S2Tq0GoD7Xn8 zyAz+eO31UaFDB4d1os5JvjCyO5ZnFSnw+Sj4ZsoxBr6EOaDb6QwGYkarNKBcr+MEHNdsVUmRF9h zCs4qno/aeUKOJKvU/TcM4tTnqqVafv0uq9RJKd6ZIDdo+BEi6SJmDMHekMfzAyk/nQ0Y8Ng+qkA LBO00UixCn96p7zsYgonaw4OSg6CqIV6x2kvkA6K/5f8HK1kZrLwJQd162hc5gYZhRX57cfBT/Gq ohg4ioJiqNrzIY7XeLoncVLLXjqUrVoPTGEoL8j1irTKc/flAeBQCgxm0Hokq4aHNA0cexLqsYDT uCP1720d4l01gjiab9l48YCD9PIIfeWJ9GebtXRktG2KoCpltdKtzGdTBA9xwXArEv2/0P024eyl H54B79RZ9JcPX0cT9cHqB0GQ8FZ61TVGyYt8nHDsMMHXjMDiCbQUptxBvoa9Nj1mC4JF5HqVMX7/ 2215/zEXJv2KtWmpqY4bdxXWZmSW+h3HMrmYmmXwB/yVPACk4hkZQZCIHhevn13eipm4/XiESyQt qrTAEs59K7LjNN2qKYXxHRziyT4A9Oj9S3cF3PQOUFODIgbNcsuYi7lpMSjGrv1Z/irroKYYp3Ch efVTNL36SE13mqRZD8kiksqpWgMNVQoXSRRQo/rR8PR2U8nbmpiJ1A9ovG7tCdWh2F3sTqZIciEN B3Ge17MbAxfZYYOg+XZr0MPFGM6J3/vWiygkqHy1HGHeCbqeOn+9noAl5ePldEjj150kMiu1xB2s Qd4MZClBQ7s8vPgoamc/jem5DPHI7KGIJ/VslCfXGm6mSzOMnaGfBJKNvempVGYMnrmIyNPVpJl8 rvP+Iq1KYfabGHK5rT7OzdpZDQ6Ta+k/o3hSfpZuKVWvqYSn4EsEwLMhiqbauuBnxUiWa/pswkjY WHoU9hcDZAHfwd9lNvUBi0hsDOxVVghL6oXvcmC50T0p8RadcdkrFNy0M1vIladPWM5t3SpxLOr+ NFYrSkdh94anO9907cieCTvE5Y3QDSArOEK2nDuWuat8t5I5tdYQT5P78xya1drqY5nNlIa3qMt8 Y/FMmSRu7Excthqk0DBMkHGWPvcVEqiDoPjL50DemKHMnHiX07OWl1oI0aEYKTnaNHxdHJMyt7NJ PrVckqMd3yUkI5/OiC7MTCah6FaX9FOq/J47x9YfmdjqarKT7xA4yJzwZ4CoQpJQ362huuLwlYPm QQEKRfKU6NoxiBVMypVuyQo+c1QPVvdU5uPErOfJOiIzLLgnj8ggHAoPxO0XNYf/vxWlINKMqTwA oVQVlx62GvoaYjAm8D+hUov7aAAh7KwrEmrdmaJrGzvVzo4bqHsy+KYB0040E8wS82nt5WrpMv4e LuRVy7J3MULNc83HU8XCZBVicf2dmJUGhhFaP3wMZGjv+vBnNGtCt3aLgJGLv+UldZRVC2dibcRU PA7z8gg8f5ax9DX4GomKohzsC3DkHumEiR4FJFv5arFUbE5BBvib2ug5odJ1BJrJPjI84P0mPku3 3dAeqsWfDH4BKCuwurXx/jtDR6vOpv3emtZRJUnAguYXNlvHdr+xDXxXK2Wa8oxKLT/mRMzSaPvf FAAH96PFskQTh4sPLiOHFeGLZ0CYDz6AyD4AeQqdwMYvTL8fX+4+8TWfQ0Tk3KzF7+GLYbjrr7ZU RCFVZSVAMvqrN+GiNk/19zz+sK3ECVKa/AVWjavDBVfCRHsENyEOqOKYQdmWvrvTPeUskJ/VZXiQ J/9oo1IBwCOzU/Taz6n2gMxBo/YfGAfHKIsRia3Fd4LDvMk8TSdwA7crWMuW+ICWqmCh3lRTy7ys Qi+qwGxKaFzF8Tu8j+gJ1e5XioYMJBVyP0xFLZjYrtSyZj2o8WFU1FgULhwjvRUJqsTKBBIX2FCX mi8rHqHJqX1zMz5VbUStQLgMpNnqcjmcG03twfETuJ4xcQ+2xfZlKRtx6L9OS1x9R6KQsf7c0P6o HfTZHAFfsvghfO66wQKsRHcOPrHpm5D2z3H+icN6zWqvOHDyKPD74UtqSao/jIw+xiRJFWS28hTH 3f6Zf9LxuUbehC46E1xKK8aOxj6lglNi+s1AOn6h5/Nsz8dZ2483Lz+qLP12DbX9t/jhpBEaLzHo GX8WxYlF2WVsJgmjnLMe9RvCO0uu8dmWcYFyku6AB69Bn39Fve/SoydB9gJyQ0GLA6g+bINoqbo1 M3wmGF0V3c1WJdYcx1CpRuIJ0hEMWkeVtmysohOBynjck1u/4vca3CXOhRK3klqYvLpNCpLA2goi tUrJ2X82GjsRgJEgRbOgzlCQedXhkDpBlSz1KgYpqlLWc0zuYyrTvEXO3k1fHs67a7GVTr+yARr2 2I886PCA9SK5E1Fb9lrtN2EpymQ8hi79sKY/OjVzrpjt2Yk9c6a6RD+y2ZynujK2IDjU0aLO5pUc AstqTTL9DLWh2bQHwQL+YC48N2XXJYv5j6fIleV0miAPevHP01iu/L/OcKYgJEXesD6CPcxLXb+p +O3T+wE2Uek8++L6IQoLEkB4YYxyYG+OWDOmrqZMXE/1xDs/7WeeStf5NdxPBH5zW2iuQBPhbU+s NEIXD+UEg9IBKIQyS+9O3eRKeDHGaCGmT2SMCzj+k2oxJ7/0BjAb1jBa9hkzJzqZ5ygEi+CVY8E2 7QsWXrYP07Ens8PHr218vQU/XoYRXY2I+RQ86/WjyBLl4Wdk/yYq0PGbKXkY1FvmduIj3vX3JrQu l2sfEqPAX2TyC9zgwsiIJSvfeA0KZw00TyG1TdG9jpPcWsXiJmF5cx+7OpyG0rwO/+FSxEtPkVTu ffziO52cVQg+Xmh6Hq/sfk8YhC+ZriINVx06TceNsLiLYHUE5bx4Lg0C5nNkNio03q9vQSqkUkg8 R+ZbU5Es9fM7aHI4sTIQ3DuFawSo+KNsFjqw+LjKsad5BRN3Fkr4MG8gm/aPj4Cced/nMLZbfW83 foz96dlGsARgvsPMbrL/a04aC+p5zcXbklx0iKviyZ59xCTRsuFFr27pLEPXFuTKwgE0LNsFTTKw pVLYmGDymWxvb35OL2AYA16KUMvVWFbKsjfCsBLQ8izZrurB2cM3CPwe1/ItQsIzm4UwBoTCseXz 0mZI2bws0cgJuV+Ng+Oxu69oTgqptbsA+a4d3XEn2+f5re+qZPkc3JFVg9XAWi4qiPm2bhkizmt5 B2KrDTAKV3JL4u5lgWf1FXb3J1XzJAZY7OQxIeWEx1A5TAf/J7JnLzYURGkb5GtQML8r5sPZPE2M POSzmgaH8HgLFuqskhf6IcGPabnS8bdwpI/47oUaoo7gCkX0tQ59AxEO6r0HtV5T9oDjUaawmKkz DANMWYPE6xtQwKvMbHmb60G9gJA+NTFwFORxX6n6BdANAqbbwEf96KORO6s8SbBAqSoRk5f3hiD7 rEd01c8PF0h/lcES8hdd3C3r0W91bLeaeON54Wl0OGlYCaPEYY8OSTJJmgOIJhMtkswI3u0rc+Bc D5O8ZtJYuPIljtSEhGEEeLdp+qOIKzOUhwU0zsbFFpdFuatkLrINa+prlXc65gV4MUA3b0rLuhGx Nwj7We9dJqIxZYskXTtPbs8i+13xzYSDBkeRrnXk7tL177suL/ZKUXooEICRD1NDt6S1p7i4r104 0xW/J1zmHoCtDcyINwsQRSRreYGWJQhcFKwDvZIFaIJ7ObQGor54pLcaMtMSlyut3lAWLU1qdyBT iOMggPTabopRDHY4AYCMgslSNlNULj55cIa2LGxWq7glLFbT2uLaeqVKCwjbauw8rGCN3ouBi2kP pxp2Jy6VCxKRwYvF/4vdmdjUM9wq6o03UavdwclH8qY1TAmGre1MJw8Jfl2gHaxHSCJ/smaOpAnt /7M6RIcDa4iBlAPB8CEAwJIQGul1HJoPab3R/X+WPLs36Oy5n8g+/Lw7W4v5fdouQlg31yBFPcqF 07UN4lFEHBmD67zwPp2C7WUbXoKhJBcTct5yZLpniRxsLHXPLps4ZfdVKfcqv5a7Jh5+ME69iTjA ElYlVgw/XUzPO69eHZwv4+zlXLA8dk44HfyrzxzS+tF91GfNXUjNtkUeyEtSYEKU+DQiBQTJ8Hkq J5//4/PsuVR2/5oGNRiTyA1V8+DrccFdzFPsPfp0iGo6r4iwdE8nh+jW3IXnGbp6CziFfLO58GTJ AjG+9HNVni+ZLPTcgRU2DyKMNPZ+4yeG8bG4WTld/lB7mm6S3vKvVWX+mChZNi2pBK1Y/95/yi+r GZh76GN74hZyDairL0NtVDMnnu1s5D4g5nP8ijyOrfFKuOYERSZkcziyr+dgxQ0m/JtGysH++uX3 ivL8co+2Dgu3pjm23mO9L0BBBskRzuItOcEQEBPjFWL91Tdq/DTZ4qDVpb/GV+5Kn02GzF2q5ylP O3f27RSoVu8NVsF7KO4TOO1odOvAEAKG2VHRPYfueseoa511064mH1smqh1mo85JGqfaWqIacqHl HMDWTR0MEIzgYQgEFcZ1UEChIHWpMrLmJCG/5UgIEMmtjDa03yyY0fvLqCwqFxbp14s3YoWnRFV6 pDGhidjVvKpReVRLE+oGrOdI4PjX5aefgr1o/kbj7uXKC91rtIx5zyy8fKEyRQFBI6dGoYzko3pP Eq1RT+epUE+tYBX7fDoqvqfJ7T53Z+kWwOdOSj4D3WoKEn34nd9WA3DRKTuRMaNiHSFvI6o7fe7D FITPhawA1ZJ+SuAhdiPFaDMVoIRRSK9zQAx607vt3wzY6fmC6WvLnBeVcKZcTfSuJix19zgvGwfp nyisXlGGbknX7Q478NlZHQBqcLVG+ukS1EFbJGK3xHFjQkouTFmhoDX5vWVdhUlYAKIOmWFARdBG /s7pdd0B2RY5N3CEI9CbdCfUzPg46TACu17qqZMVYQ5IBQjBt4l9o6RVzH0d0rq5shq2GewwmXFY d/Tv/aZ11srUJRmMBP6MKyLkPOj0yyo4zoykEQYj5lQ/Wsfnsc7BDZ1NSPONnmgV/ZpfiGhNZe4D t28SPAYfr1q5d1/wyvEfnZNvXhlHCUCgdy+XiCg4xKUKxifuMYzE+tmgRBweIhUclN3DRfQydwtd 1ZjKXM/ojAggLbSgYW+IdR/s4PU8ZK0Ct91NYq2vwrT5GE/Qehvg/kpCuPVBwKfcTwi1Ro9tlmLA AUbB+uLF7tx6Mx1IyyakyryzvDD9FZJUI6p8+Ezs2eLhysmvsTPh9OQ0NYvborkGzjYerloVgcgX HLJMhDtiCkdiMH5wVrpJfhRNIqLRIFX5DVoeA8aKiejCvQO18J/EoJQwDX5kndHs/0WYgUt4FP8F xlE3SWcgdYAEKtQ4uVaPlel2xCZErO4q8N3dt4d27SPUktOZpfyut3Vp0I8gdUkMiP/i4sGcbV37 PH5XshgTNQsASXYKO2sGEEnDOWAbAXOhjbtGfTZlirV5CW71eJzHz6K0Sx8ZQLzAgEGgPi/0pwLf hcEQ1G/UHYaHQ58e+nZaFRlqLJswRqGyugdQnRC8TePEgScbOBYieBgc/43c89gRsSyEoKXI/3u5 zYn8vc3YQ7k2wEvhSBD4mkiQxjnxvwFHyQfpd4bQ/wcrE4gnN340iZHmgrTgXgCTZBkQTTWZ8KNK 0mrHDEka4XsG91rzFPMqGz4Vkmv0zApG3cNRsswJLM9P56E4uiCW9/N0F4sIZ2tm+avJACLtMOMc iRyCb8HODvChWOO8qoRBAAo+xwp3M6k5p3y56oIGWhkJGgmYYVZ0b53KChUGiThKoeCUdtv7DjIi h2BtDgtEUgPlFvuOrmGuKUPFXG2oDRHsv5Gv5ZGi+SQUQtmwghkqdyWc4MfgRI8EF9NJrxxmW6UP +TIDLBufujSKmMwuMB3eo1ISkw0mocvl+lC7hltFkd1sJB75n2yo7DUhqXlLBXB40z2OPKRi7dyh 7eB1raoYDpUucBmWld3Wz/J6b3DO0iUZ+UPc8wzydzXY2ei2xQMyTUDaRPQdZ+w40dBrFSRmrmfO hFmoLs3HZwowrW2z1hJiVkCgSd4w4TuZs095FBfvu1Mm/gdayydWXqOehynDFlWW3M0tSBalCWH9 +iZPAS96XndIRl7/7SXMYYG7hdhB44VAI9QRVYxFciZTkZ1IwR/+9D6eM8BiVgHKN0dKDGsivVBQ K4PYy0DIXRSLHy6q+Vs/2QqsShpxadnlkxiq/6yKI/mUhRj5WQOubXdWGMWlst1oZiwX2yyC0yJf TKcUzjxvURxQ9PFbr4Gu/VkqrgqRYamGNNBIjJPL0wOYWe/GOyqLxOu44XqnJh79KIxvP485uXTe Hq+D8M0IeIwOBgXUieDAosHdWnZNioMANkROSbzqxL1kuh3+GzdG/DtS5loIS05lc8lho+ni33Po 8/SlF1E+Ao+GqA7t4fOk7dqdJQInJf9sQzFHM1yObn24dVRu3z8Lm0m05rsuhljo1wJCOP+yuRlE y7oaYuS4eam8m6pFQKrhwKCHU9YlM1nkScFCkCBb1LA2UTuEeIzu4i4ltlX/gT9Y4iZZNj5HCCFR 02wiU2GpkMqFGfdqRDVnibOfKK8vMCkWHzry6zoGQoU1anjdk9arS4T+r5j8Z/HN2Kxa8fIziCIX 14RTakkRIRE+oQLb1NjurORn2RACszaiK17IXa3KR+oDJZRRg1rNLpn92hhcFbUep86ZYWZBHwNq Q57BfIJskCnaD4qRi733dgUjHqvBO9lEgkoHF+sRXap0hy0x3BlXP6eLCZ7+ZX4B2QsDX7iXCxtr VzultQLNTSvo7lvfwoF0lM5IhOKYUvKbIHt4m4XRTGAoAy72VfZ5qprU/iZB4wbfDn2W7C1mqvcN 2W5u+omiv59K3FaxJ3uKKTw1E+YZp270i92vRoi15JmNoxq+sBuO3Rb4/fBUlY58VVoR+fgMDe/A X1JXt2334uYbDXGeDjs5XW1iTq1Ca4l2KG9UAxTuwyFObFRCU0Fxwtg5XV1PwgtdjeY8tEkMtowB 3gQwIrIDrwkfA7yj+asogkL3+x8/VQOLxrQO+9LAC34JOYLG13VG9n9oNf4G/27mC1ykUXaizW4q aauL2tSuiGXIZfXQpfuEYvZZPJfhNasFxAYDGg5ReffKXrQxr6GciKeeui1MsocKVv+kq9wH3/Pt F31DZUp5XVyUpQpby8IJdsM/JSl5dX2JpFC6Wk/WUgnaSM0tvA2OiEz124gFVF4deWxlNH1lRzhn kmebvlI6InPcWy1tKeR2OjsglelSSqwvIKF0Opd1hwTJ4soIou5LpJApN8uLnTfzPhVD9LkQEK+L S7Nvi24uDr7dHbt0tInqpx6A10tOoZdQ3qk82dfPtjd2+d+iaKIb/e/2YqgOENlmSvsVOniJiZTy 2x9kvcSq2VXMAHv9NrIyuu6wVsw9YqDtJzmU1jw55/g6nE7ll/dIbKHvAw7xgdl9LDj06sxYZvCD G/lEfvq7aJP8WPb+jwBNfrzrDuR0/ljcxba2GrbqRz9kVxGWuRA2q+snVwKnkroazupZtsASmI9r pUwC9JtAMO2NSe6wLtNpEwPTb6OPO2jQK58QlBhMHSgYhLRNrua8fAsv2zISEkLreIT5OystOlNT RFbclRKZjEJ3qMqNSqXXHrQ4chOyPYmoTsZSmMvNEYSQtz1zip4MFsFDUwybf9s0DrL8J/OCVbkF wBlOgDjJuidDt24f7U3sxwusjytOWG9GccPJp35zIqXpcsGTASwgQD19zVxA7i2VjPusZUZnCrTx f+kXWH9I8Oo63tbk2yFxcnhoiRdJQroU8qDDUDzMHg/zFXlzL+b0P0wDL7JBD8hbjU5kGc8hyqON UKpSpV9zn2S3X9xpwAr1jZX3GWhGQgpz61A9KsjTI14q5aDa3MjJxczPY6kPa0eb5znLS44pOS05 BqNe7MFsgD17JBBO9l4ZWrA69itBdIwYpddpxdHQ3pMjuoOH18NaWghFGZymHL0GhjnnXv72qcQm IcmgzxWNF1r9JMrGy7YqkvnDjFiWcG40WCqon6Fk1Ope9p5hi58nfiBy1b8MgUdGrgmbtjyZOXCP zugqYdDSyJwJahQ6i6F4cJioDRKUsnrIXOltXzcr6lEmmCveKC+lrNxIiDvCIrtaBsfnvzR45nSx N+SH0EpPOrRr2Dxmiwun9eY1Goc7TA780Dj++02AlHlIst7GHU5CUWkSXKB7tqOS4StlVdIpayjg xKtfblg0DojIwi3OxesSEhewQgOSruxH9H/aMp4CUVlTnFHv19/LYLXBNpzV6Dqq0XmKzUekmPsA siovYzZGQX1xQJ7ifr7cTQjeURr4m4+Vj7XH6G/SkTNEOwBVFit6Dx7kjVbey+3TsPc+t+cyEsQX 0yRGD7NIzRheeShw5cFtd+etuWIxc1QhBgUkQ/POMWa8C9qRjUjMrLX8Yw7ghcO/y8XHGQWFXp0L mBsBHn9GiPkuZY91nDd3tb9tQiyyMO+VLhv2pJ/3pZhME8EASHUkQi1O6CenfAO3tkb8F4sYlyA4 sdB+f2z49AQb4M+1aEPtwsTx+HPJb693gKXbaAShvJK8lh/kKbieo7ViRl7Gt9WCqfYUd3HSptdy S04CC7s4Pvw3cThQz71UbS1csHQcK5fgUVo30Bshm88+v7X5y5DeUIYYA/rE2HOs7xKP+NvmBPFK rET9ffDon2zPM15mSIi85Jia9YOyq8zxPtM3Bp+k1blbYkEKxJwjgNL/wmjMlnTxyAdZNohPeBZY WEA7dne8EDZKrJFtmnisU0UhAAj7vD4yzAW3IEi7Kyt7wO6m7lx1wQypub1uA8iqwJQDpt2pstWH rsprtqyERlxr1vB90d+61R3o6V9Xa7dbGLAoWT5QgUWjnEr6kbJlOUh7H+7wyBoRRgAcIdkU8cI2 8mKF0xpUc09hg2dnoBAbvSqK8mXca3Rg80+C2GpN3Ukg9h5iz79wN+wQRfNdmx4RZ7VMlcMbNRPB qahZLbNMEVNp1rnI0rp0zzJ89wdz3t0Bu0YnPQO7niIyuuRc+l5zqDip84xgV1TRQxOU7EHq9sfZ fuDKSMz+mZpYZmRrW2EJqAOy+vs19Ngf+oTyIUF5LSHp5L1xSURjp1iYHVgIgFXjjk/xSg9HLxku mF5CDlrBJc22xFVpJxwoMjLKHUOks0ZblGB9lp9FAhXGbjRGaiHpmEB+7TC0TjweJTE00xJXDihW mapFCqZ0xm4UAb6VPO2uBMKujM6f3npVpRAqVoN4JaC+o+m0wIvwXTZIoNbPVkyHEPEKwvuAGSm7 ren4basxcWmI0G9UscJs80VwlFTEvDay6SX1xSM9oUAzEx/iFWjIKbmDWiDW2RTjXI2kC8SmJZQt uZ99QEULTaqTy3nzcc4LKoNmg7YRBwm84hlINWaw351UsjMzvfaRmhmpW23TEPx3e7LiI9hSHKO5 l7EB3HHlEeTH7D9TOvt+RXpMw68jfzneecPbgw2cmElVqTc/OwDOaOMY/3cstdwtezfhwmI0ryyc FzUb4eYXt4wFEKzvB2lA/W+FPbi0cW4WxrJsFaoWRBux71lje+Qvc22o3pWfBdlaKIBUMejT11wo 9pM5f0EvibjEmhwVJp50HgNbXNXyN+zzzgyJmkeAUX9rl0snGY9tdXAsue/uBj9xTZRkkZ4Lbk7d JNJlUANpaatVenSV4zzXWFmgzTYKPKYV/9F4fFbuQuExFlnfSCXi3Wacp3lhPxpeAAAoKth2jFhu rmqXPYojXpYHx9KtjwSK/VzZFUl1w3PfffdZnWQ9vr3JCvmeNMk3IlFE1h2QbuF+OQLCuCtohVXw 1Pd18bEpihth3bwWFxKXmQQzXMQ3+sKzPzpM6O51o1hooEuQpKhyNdRv8FPOAeLmikzNvEIrvpWH 255CEigTuPo+CDxeOPfdg0kWetdRv3BdcCX1AzuDzHDP3H0SKw33I7GlLmeOsZK7iL9Xl9U664le 2bSsrUC9ynj6tOSmo61NLek+ZffKFO3Grd1edGpvm6bBkwnDNcivDU6iCupObRwvMVZ7naBBfgD6 pGAcIfIBOcmKYqHV/OCfGEvU5C+lJ7A0ElIzEIsBXqdvpiauZm2hb+XczoU/zP1DGjccIRRc+DcP NFbQvuhe0thKPeZIo3SBIqje8Kd6v3DDpIp5JW3YUe6oW6s/oKCdB/kMXQnvU+fJFW9Su/RmhMOe GDcu4NdnEXyAfLDIPWp54lXxWAxbE2TYg7dG6lbilGTiJFYcVgUHBEFqKHohHVzT5gOIr7yE1cmv uEWSohmD8kz4/iOROmE1qNU3jHqJOaEcHfJxaOK2OG+Du8yxhhwv4i8heYxhULFrnsrPTuhDRWCx gnSgAXTMrjSAwie3UAm6le5q1vi/+DcomdqQmwaiKAfs6afy8Qosp1Iov1vuTr4j28wHDe18GB69 pz6kCuMkaYpDG7GBTTg23szXOOIkMZVgT4FLu9Fuh2sx08ncW+xTaCZJivr4yHp/ZegxVMWpWOWZ MB38Wtcrhe3hr6dRIQuPUZcaYx4fQ+cmKdH1id9GhQykUmzlXOqgbdT+sOGdQJyUu7xrLYuM0eRt gnVpFUNEY3V0vyJirCdeZQcEvkafsIgI+sZtxgF1GViRJfWu/yNMg+3fRVxADGCXDhP+ylGKjkkf veP8Mciv6PKb1fKq3UO3ylFDvk84HuvZvUB53kKlDOX7XQn+M8QOlhAoM3jUB5Q7sdeTGKXdC3+4 yoZ0UfdoBKg6Rmiy3fP7KoEfYJ4wgsP8JmsrT/D6Tdwid3sveks15oXEWHmB/HRzEboi0klG1tdK 8+zdp69Z1DMt0va8eH3BOG6BzGL/2TCTmM+xIg6xSU8FjGfK+hOCcwfk4Sb4orEKr/63Ka/WvdPB FlntpQs24tWC8mcYBXdpx/0vDINOHItUd0FxeQ4i9H4mvrki8l1tRz8Drx5ymu7RbLNAoYPQvyje dWimKhIXAtEh2BrJKAYnH49I6rDxbrYcXQ0GgOk1Lt1A1923Zdx1q9iDeU3IRM8s8eCH+Ai3JVXW diCPrsKKFDcpEU1D2E2MVuEVBssRon4yuK1oIhK2oxD9V0nyddIVyaVWEyx9lyTvR2FFDPBc6dC2 0Alqhx5zBl7hOLpmFnyF9fGksJj1rJyabkdV1Gz3XKtVgnik4QQT9XAXZCJ3ULUv38krUABgLf+u mGnzgNnSlzP27K/Nqxu/P0Zt7AzIvcxcOhuZc6FFABhE56bqVEJaEgZsdnCGej5z7DJ/qp1xAAVm X8OrJybFaFcHATw/Pzo9sPqZsNJdgN8lhhtG4bHTQ6UCnBQ2K4GFKWZQ35wrH6i5LGapfRRe/E2I 5quf4lv3zVS8GTfm97nmrFtk+ZveiSoRPeqXSluYrweOLXG7j/wNsIipSYKPq0DalMR0PLIxY1hQ F3O6PMU1yl1ul1zZSgqxZolFBy1xT6eLIIjJ2OVunhMdIJLYB/mg8wHVoBHFa+PrSPi0YA/pUR4+ pqyGLCYi06V5qvlgKfMW5q2YkzD5H6dYDdMQzaLbNNjyUI2ttYz+/rsx4VJr0Z84gPuygQWBHY/u HVr97LFA43cI+EOGvuKZXfi/xTlWgSVIrNE1psOr22nhhhK7vD/cdVc+PlmuXb3J3nPz575BstHo BJyDCf2EfQL+prxmiqZ5hqw4fOG1uPsEWtKMVKFDsghL7Fu2EC2kvaWWcFFOCcz0cfPKpuGPCUQf /JO/q0U2mJmADeF1tIE+fd1KNtl6uk8MjP4qR11ysxkwnhdVgV3neoOPPGiu1P1EfNfHGoIANd+j nqQwKSvko5TMKwIQfJeO2nigOAv5Dc7/TfRkM7TcQ73pnH1aJn1hf4xiHT4kXroW1OH979KytMzF OgIdpstERqYrwGF+By+yx2K2nij9+D0pP7G0xFnUeI3jjhKBi8/fNrWmrYzxkWhuAbxKI0gYzPLw VacTjFTO+Y7cLf6zXWx5bBQ0UqE3IUZcUIWbd5gvE8Qx8EtF4bG80K8aZ2SonFCW0JT10wPTmazQ xGY7HbBC7lS9vH0MdokfgyPb0RJxlUX+2yuALfDbYXgsMzSE35i0xAEUvhS6TJRRgnTP7FYIHNby oGsZaGfqNCOuUo2VUD3Aw8N6BaRk8vucGsV/51EikMHll2IbW6CO3XMQoirYJahtCKdbhcZeLSbj 63ERSku/n9Gxm2/67kvf10DWIyvU9hOELqYhWaaecZhaaQOVEpmFqLLrVK7zujtCEKu+O0vsFAxt ONpmS2iMDylKgCeSjG9l4Qa7EYjaTe8srLfwN56IJxJ17Wc7JY4peSsD9Hm9FWatd23hx1i8Piig ld+O5ENHeA7jJ62mPXK7AqPzJtCKaTirNl4OZoPxyR+DvvBAPnq6H1xMMI9Ojl8kqGwUvJX6ow1G Q7/QTeWXllGVztKoJ+3Uj2qm2PP5cWG8MA3amJ6ZCOTeks/MHHjjDNjLB8a1TssrUk8C7aGDlArq wLe+Mz8WmnqfvIITIzjwNw8pZxaEi8p79sKNgiQkmMtfNAdopGZ8FkJxfMZC5X18zKSgl/wAJ6OI h9ltEGlMQU7ltlQoyHR3bGGXFhPSbBN9kdfmmxCJoYv1eHEk34CNxYhye0lIKYIPx35pkJPMM0p6 etA5SMoogAG2bDsgUBlan0clk00diTT/Qio3Ya1o95ZJ9CiyGHTbK8KtD988lJ59e1XkU87hQrqf 7zYuIEr1fwUrobPzByQEYdyoAOxjcVhTsD69qz762XLxYxyUOfJqsEZtCYiuc/k0O4iGiXNT6oRm eCgLD2K8Gvk5wQCETOeelErsnYzvrlNOcXV2GBXYkreIxQmURo0IOdQ0gZ5TmiI06b04ybNog9x4 3oQX0Y1Y7ivEYq0kRY2YQ0dBAThjHAEWuwdp/Ql7jXD58xow2V9maTb0rTnDR0wF9XcO26TxJlvH wvopcQvVSj+mOI4b+Coe46Pu9vCTM5i6f3PSRXNeg1DFg2fPf11sBW2sk/giPuOZ3tzm+uiW+RJl VkRGCXjqBL/6t/nLOkvFBS1W/uc9D00NCOsVlLJ5rot531+Ir2WgYna3D3o2r2Zbazt2XsCg+PoI 2CAW/vbVr3IaQhIwx8n5nSzPLJl0IRWD1OkLzAoowivCmYsioJ0hOWYgqf6jpoPQyuePeT0gaUrD YnjXGnV8e96sNEzoN9mjcsXjEPi/KyzV6tTeb0ZyB2D5dk/h5cjV8zzlonGrRdE0zw/RL78OCrQR r0fZ7w3dANzAHYAEBvrW8FY+z9r/lH6AiZX/tKjYW2EeQ8mUJrRBOEaWhyOOqTgHyTJqxhrG/IDm VNcOUWCTlNJ0tKkblLNmSpHlaClW+C3UQOF8QsTibdiN/Dev0n+nnyMBAC77rqG3X8TFHpZ38k9d Bmd/IK6EqxeLvs5S7QB7X0Nws0MKeHJbMdN4XuiJWEGWw2xWqjrm+GZlCFvys1vBWqYLJZk/Qb0J 15+MJsB4Zh+oOMY4SqbOo/dKc3yyDq+tWCysRcyZclQTgirScegV8eQUb7DPVEMzU+vjHmXqXy43 WkIz4WbIlZ8icICTqOwj5zyv0Badn5LKEeA5rfouWELsvtC1hHMLpPskfDTEzv40+s+FBV9klQE+ OOLmRfQdVRIy3OKiJTCz0+S6mQCoulsf5UYThGNqm++Wat14e7CnsnDuPvnGaM0J/x5EtCvXHIu6 HnF/wD188l8/r6unGkXlOp1MzcqioCuePBCnpArdw7KqM3349BYFs/K0wWulMAorC2yapvWSLhxc ohggSeOKQrhvGrV+nt/4bJS20xx2djH4H1+JvXzLr14AIGoXbRR/9KG3XZCBVXauMh6EwZISt3nv ozEm1W1on2VgxQ12RlHVIawjwxbjqu4bphfgP/fkQtqeFQaClJZwNIuWZksSsPD7wHH23OI8bFXF NVOApR/y7vxnynoE0l6D9mLpljnJuqG+Z/G7Je0SQ7ua/oLgS3x90wJlllFIsqW+O9LOZb+MMPE/ yStM+v6lbVJjEK0E5Krvj/6tZrrJ1z+5LRJ/RpfO2u4+/J4w0lphMrJWQpBeiMwVY24lSmJsf4ab XWyVHsSpyDrOPz8pq7LBB6lDENDcGWBgnX6SsEcsFKfYkMITL2bC7r+xJI4n89NMXInK3PFN0PmB iLgR/JfzjLEGcElsneo14XiTUk+30al8DusGw431KBlZ14fVtOBofeUl7wok0rmnTF0Jwj1GZgTS SUCxvGJNdjMfsqOpnoV13OySIFdq3L/Q+HWIU3CAknxQWHIYckuFCZ8AZlj+Owaiu3RWR2LXZi8C iog3+AG5nHurEYVjqvlvcAcWB259TJDfqZxFTho8wCQ+Xi7FNVozDYj8e/l1whFw9utVa4YxRbsZ dPpkaoEEwH1yWB0XZFucLZDGYS24vtKpp3dBuYKDGmQ3nHSgYLzGLovWhnvaRB/ZjdtWi2VzoDmE iKerw4nPYz8SVTOs4GCOhQEOYiRAwdtmN5rMEA9nsa9RXC5kkZsHXCI5By5wivrqxdPUgiT0mi/k yIuoHpfBKjPGk0WRTOXwHv/nefv1UyNDaj2SskTLCT9OZq3ydxAKAi99v93eHuuM21z+sZfopaFj WFBzmY9/BoPVt76/gA/L2uzBSyalVvoAkJhp982mFiOnnnN8l2IUoK4HZLNPjEfrQ4X5Uc5C3eEQ tKemhJ1nNGuVdISzyPDTlV6CyQnCpQmrXX98ALyBElLP2h0xSmem3IvpBXwh3Ng6POCTjm+P3s3r 4kjDeiuCOZmPT9CqIoepjsYUSlBRWrBhmaupvQ05qptxj02YnspZ+gG3vYfgc3ZaivJxJKyOhmEs rsnfJTtRwT8F4jpTKqeCtYuJCXacY/S97cOIgTn1oUZ4cuc3o1tLvdP60d2IJcwWhNQab9SqRTJ+ AvSOWIDmJLESeiDZzLlM7PJIi5xlN1wwknx38B72LgndG48BKtn96H5B/ylk3e/DE2DV8pRMH5oH jbn42nShFqM4Ueen/+xdH88BC6CaBKVZJ4WKzrYjYVfDNV6odnQqdcar4ftEdZDpRMe6O1JXWFGd +EUf+GVbXYQ5hVQOOs4c5ONe7Si8ULs8R4j2LEBZaFTjYWldHqqAPMmptWzkhAlcSLmsn0ve6y8f sBR8h2Rs0bP49i9SyWOgAaru7+A90uFUg3SfmY182BW8Y3hWIZsgdJnNBaBv65Uynett6k9ZIJ78 jl3mfnREy2ZIh4X2/+TXwPCFmnyB7iV2ba8YnDdnuf7FlEn69C1lNohsMzIMCs1J6M6w9iUp83u2 jfRLkYSP9vzK3GXRZ5/9H+SzkcQKdbIZcBSw4IBulxz0r/htvXdweqW14jr51Vy/OGUYMb8yXsJ+ glXLMEOabl8rzKm9ww9o9ElrD7BkXxkEHLCnFaRaPcPqSPhvWyqGbavv+pvKXvHZwPMKsdcYoNR4 BYdS/tr/79UVApJpSKx2thvMJIfq8tbxl5vZq4eCGHQw/gXZV1PClbbKsIycUhD4cAYN9c7vyBji uN307YSpkYtQtFzx/lluYtzYwkgfJfyCzBKkxukQdCb3xHNA4DFl6QJjQUw7DXfc73Pt8Ij7uWW6 smt//OS+2hpZGQwNCpl0HU3jW+/0K9EqPTozn/kOX6smly8vtmTHay8zLq8CLqQtac3ipGjUk9H/ vfvL1ldqIFG5GpJ2zqxxRpvKzX45M6w+Us/w5UAg/CMW9DAZ6GxoxXcFKTqsRH85QogDbdTXhffa U09UAdJupYPqH/vKXkOead1BWSiak1P2wUu4u+Nhj1D1CXmZLWdcw9veN+pJx2IoNCYCQ5+Pxi5Y jC9eIJG97ZNBtqkQNHVHUG43Sdq9L+if8nAnacDEcIi2JgnKub7swRq/dddkXVup6tuWjzlY7zkw 3uA6T8Q4kbXBI/ED+yW1HsionXwOO/7Yw/U3t6SSu0ty6wg7zpg3WaYhUfwhrM4PKMcnW1Bydb3j muSliDUjpqr2TLfZbI6Ax9KjynYjJpUnwGDo9yK41YKonUngGXqUoufQJyRchsOqZAUBbRec+bbc OzcD/94krGS5aSW7j0zavbbCbrGqp9gpi39/dJLqwv7mAGql9OoRB+uAU0TNUb5Hxmpqj4tIkr5Y yxLbB7ybwXxNCYS5m6HVsoNm5KZHTORHCzjH89UKb2ozPYl1t+QhOVUDhDm7BoD+6CUxldSn+Lab 1RZ2M0oORAZbeYWZt250aAss6nvIzmEl24WQ4Je0NQI25rkvIBR+EMYCQkIHVVByPF30dLfiUjgx o8WwzOtG7EjDpMDwi0lU+/9WkSP1PyUytv6Fx0IxnS3DKSEL19cNx0Nk2if4ZPQQaDU08vFsMkor U+4cNFDZggLgacHc+90YCRRAYueW5nHEyqiwgvVS5SrHeMaZju4W7ZTVQ3yi2IMVaCy/9b8w9r33 Ce3a5Ip0BjQMkJebuQ7hZJCEPNUgF/Ei2C8Csm5PAOJrWQPJS/YIxuiN3HODx7DjGLu2IWGGUxeT 6iOiPsmb26UX+LaaF1+DIoHslFH/ECLNZVuVvfa+zbbiUS4tCepJUxgidW+8AUXq+u9eg7H5zJN0 XovSLuwjMTet8o5vvlZ9RUD6LDmbB/6AATgUBDH5gI1avNBXgKdVTs+UV+VcZcR6VZS5Zar4Ggqb MpVjNzO+zCMh4UPIntVjJTl8Gm1cohRfgEH3Xo+rPaAkZ23voiKtp26b4qJDaEJ2W36KD3j0/nQG 4GgQqmdXcdz4Sx1Pi78K8VymeNHZprGn/KiRRW7IYYF4NA19g9I+k+zHr1OUpOToQpdRKTApP5xz L63Q4UzyKG6avy0x2WnwdzcuHWTrdN7rhUm5gw4h6vMuuwOY4w0sXD5uvm2RU7VlaAR/4yF/g8Yv YCT6QFW7kdAsd8skRVghSNjorna33e1ZTMsZV2G3qqYupgj1GQ+HjaqY1UZd8++ZhWK8Hx1wk4Zi wkf4VmwnhNm/dDOAEI4SqfdlvONllVbbx37/d2hfCQLF792ghjgcRkcSyk8FMFM0523NqkwySYtz OL118GJb9N4by5xch3Gy9UNcg8mXni03m5rEkSU7DkOsogQgAiHJiah3FgrHSZUOn5212ag891mV zTrVhZgvKYGVdXrAi7+DB9NzJ5ii6HmT48yQgk9fLnZddI2NZH/XwxY4AJySZK/nRGlkC57gmuNo JZ9gN7qH6O/SqG/lllNPVWJphbu1tzMQGz8e/AH5nvGE6z1AUbVyDj2PSZk+jItTv/BIEaIlGAFs 5rgcvCAVyruA5xpCZ7Qf5Lj1pZcYR6yh1Yxtgt0Zum1DBD1UTVLMsUYkDoWn19D8EkZYHrELWCPL tcjs5ux/Vhj8ix5dqcc3WZVpjFzi2OMrMoAFV7b6lXanR9jq/+WRzH3RL/yN/jjeMHv55MqFcY9E czEwgRJo00Te/prEvEuDsw9NsBQMp7J6c5SD7B1Ios/2081CiWJCiDdDC2rGaWP83WCiy//zzG8j Tec1N/oNtA+XoSSyKeCK/HmxhIdmTLir88+P6t7s73guFG7BSxhlTLuBdbKwmxSVAd0rbOzXMQ1J SZ1J8HYqHjc1wG96V2RfY+fD2ytgMul1tNX9VT2o4/MWixoij/e2QaCSnykpHInTqIsJFEx7Pk5X 0N+tjlTukAhGWXqnOu1R9qBxuDFDM1jRyxH8Mkh7EYNC5srnlySmu2AXdkAUeC3Hwr4lITF2r30f l3lSKSAYeYrPYLtII9WGGQvTNvXvfhy3zMnVIrHLSZl7Y7xtDenffrXn48AdjsBMAacU2hFNJ+Gu Pe2ihn5RSw71TRKngfqGjYnE/6sUd0X1GqX0/wkSiwuhtrrz5fr2ENhPoPzoYp/08FwScAXT2VG7 3tMkA/4c/HvhZazpy5Em2axUP2n0t1kStTMiMz5wCQf87/9B1YELdhuNH15IR23+S7rFinQgmGGE BLDSAX8Wqm1gWZkzYMJMD25Ou46FmbmYdkLIG4DwvR8QWHebCGkowOcTBl0BG7IMaPXcuJhK1/nB wNnvKoV04XorY49kzIdgTQcKHzkP0uE1vbHigdyab5rf01DrecVoFfDZ8N4aNwRJervj1gw36yKu 9VioIpGda/Ir4aTkp0Zs6nv5gp9OwSGfMxHZnBqgc7xFyR2ngkyz2OW6Ra+dkxXEqy9uELIQN2Yn HxfwJJuWyqhPApetK9XV/SnVInp9i2EmjOGmNxOxoKeGcgbwCndmQ4EJuSYnHxFfsFYfCPs5aYjy jyT05bXEIAyz0dzjJpNtq+cptPmuQwKvznuMuAJ7F5U9tRWiPODr1PYia3jNdQFphCGXqhcpSp6g oSgNyjPMTBAGAFOxq+JZbii5jvMa3CwKF+8VNEIsT30XKCOWSmC5Rtv00UJQFTDdd1qwt80NhLge lMImzKm5hcqfXyhZn4PvWtJtTZA7lJWr+nT1KunfDbzJJag8fqsmozIJSPgNskstyCar0+Jk3+LA vVyZh9+Ba/aKwakzRv1/t7d60azIBhp/4mGb8wy/Wsmnet94d4RRW7/CljhklaoesV704AIfHnhS I4xAh/yipxFV6d7q+9lgL96AVBCecNoHC3/yqwGgiWFXpZmiBiJ/k2+TTmWssQ8NzjDG+gFkfFnc l489UHCzoTDEfDOq9/u/lavxSmq5Ln97RElDwZ8ISA+131AdjhleiSIbnzElPa8s9xfXna8lvywl b8zMkCBmjWlDT8dVcRL7JPTBkXtUSPGyZ55uwzAknaRVAbtLIkhoGuMwwiXFs3DykEYhe3J0SrXH FeY4kVwE7xY8cgitR9rGlofnRLV4/cfGf6jF5N9WKw+pvnEiZ5aTDXkvpi6lIe/Wyv5kB2riTeOi Ac/3zeAB2P7enxMKY4l2SNCftw/4k7zUFD4m+bpxfryUCW7a9VaF+1xf0QzExnPh8bgdHyn3h0Il Ds5G3wXjBYMsEu6JUuE/0d05P9jmC26agPor6l/pVCgBUcpiQHm8Z/xE+5eZx2uUYiuHlNW5kag1 fWfmauZO72yI17iy45BdR++gfgl8IrG9B3OTilSSrrL0ccAVocX06uhSQ0Uf89NN9asdiR9SzFW/ E+ImPPuI7uqvXECCsPte2x4tfP1RARW6lHX1Lv9hmOJ2BlcCK+976UKa469LoFo4go6LYQ7/0eAY 3wdsXeWKjbKTG5E8dwZ/r5xMUFdSG9ECGvxNsxjvJ4HxlN5WDou8l7y4SFKtpnUVcPMtgTZcA0gL CfVyqNPUn4pOnOAS0HVMTAp5vc4aCF78wE1bYTuL7CbHQe5sIbj6TMOBv5EbQ0hrFhXnw1xdMZ6Y PxDhRLO86rDmZmMIqfeIHUyWtylijxSkv5qkkT2hrGeAD/7H2CEz6fKTv41YyKAfemXhwXLkRy9c DYm00QW3EXV+0C29BOkjtAk/lkVsYwPyKx6bnb2kbX39G3lo2kvO/h4XK2br2qSmU34i+sfmiX+y gHFhI53ek2grO3e15yzosNL0wDUDbkgQevlN4wDbEWIJyUGZb7Glzh+Hus6neXzTexOQo5xZiSLk 0bljVmdC4q6yyo63lpHRDaXkEct1SUy7tRToHYsr0lA29tcAOxDCtN4SgH4eSrjB4u6E0kYKT3id tWqQitthhGRi9JWublWT8+GiNRpSzXNmPyD1qp+b70Wx4wzPUowB23zm5McenQBXBfzV0qnjjrrh XoV15bFSIfMTx3rdeWHlCRXzPo9V9Beo5Oy3Iu2mFfCO5Z2VXr4ZKhwR9MHUghF1DObFUt3sC4BW 1a2YacGKjhbFx5CiQOqVMy2EAoS61gFlIj0qoQm4/Z4S7TmMhYi5Mff2GgjcInyGn/GE7e+tvqff 2/hxzxHR1akH37j7tD6W8r70VSCukwQU+WeCGz0ctY7WRxRupmN1C8aapddTJXokuy2h76qyEy0+ PoHiaSJ0+aFRpLM1rqcJlaxWfWh/DjOJpd9kP1qn5DhUXm+QOGOD+My9APHeX7jG0lfYk7Rp2KHr z0ULblSe8ZP5g8awXUUEBth7t6x1sqQA4UVjwgX0mPbkjIZ5vqq89EEtbkf6I1odmRZP9tS8ePaV 9JJo8H4skLt19bKl9w5b989t0FtXqteLsOFmUHOUhsxywwoBr1GB+lr86oZjBIGtwzk8k8H+bfTU IbyRvg1aNw0tdkXsEw3nOdODgRLWfRqSJLo9qTeenRAFCG9tC4RnuZyUuNOdmYxYIJ7eVuZyryoy eKgk9dDs7flK616GTYmF4bdshnyjQGkR100DpFJtG4ixHyoA8mDtQ9fdsQShw64gwrxaonl6Bn0i nI6CcrVVwgtfXpM4KbyFmrqMfWj780zjqW2/pSCAMs/A8BhlKN6arfEiGtwWmc/ZJzWKa7iOoJqg 6lK4ZmNJCkGL/kJGMWTgpWCqEMTvoh6SsUe7wufK+MDjPSl0rftG6RacogFWFmJbif4K1YWyJazB WjfpJMdUifDV0E1OuHA1jgOGOxy8HOFaZrrgZuJuGwHKPeG4vtH2OQQLw+aIQsq7OLxDvCpDzGEi ljFD/N4/JRhw0p2pCy2nJJKv4D417QL6Iin2cnHb8FfxDZKr9BtQW9xez+Z1y7Dmvltw/HQnCNnc 12Gc0koy2JwH770lOPuOR0Q4INJIBqO7Y1tSxhqFEsamXEjWjOXaCGLlBVTQ3eBrlRKtycYes3Nk ey1eDY2Wk82G5qS496+nurt9t3zwAk3opvLel0J72jglqZetCWZRMXKDhfgH93ayt5CtNzyhCJLd ASpMFIxCt+GL/7fXu6O4grfss4q69PrC6GuNq5Y+WlsVVQz3VYFgaiLbpGtOoX1t7qMor03JQ37N H0Uh3tz4pKf6rsR7kusUvynn0nuJY+P/SxnXUQ3pLga8qQYJlJr8PAalEUdYHjidyu1zzEVAopBC qp+hQzYKZ8YPsRcJYBp4xNZVlND9SBgzRGewqpcACPeqKXg9PUQohXjt7C5FzQBIqcLu9B/mzX1M k2lWqnMvZ5sJiGrfozi1HaCLnbZPk4l5TbhBQ3jFTjRe9s7KwbuuW7T28O9+3ab+RGHsQg/umLqy VyWWjmfCxMmQgi3n+/+kmyrAI/CB2i/p+WrsrJi7rx/ELHGbdUN9gwKwHu9RcRrtZzE5eGToFgky 0SMdIjbAGSHyb8XKBznLEOfVae5A2m7PgmLKkU68EqfeH4hfehnDea56XuYbRvbpWTY6WukGojgT R9M9EEko0HYuHXqwFfdT9aNTkM0YGDCBZtnC/nJVhacVbJpxzEgt0HX9IDAHvs0nulYsVQe3xjYd FkzXukOybAKHKuZ2AHvrQ0zqcqWgG0IVis97Ml3y2makpaN3zdoMTXTIBDOWvoHK24KDZfq4iCb1 HnH8oj/L1zNArfNHBlfu6YrUdythq0dFhq1dcSoZMSxAAWgRZ3vAUe38H2yNrPNPXEiu/9AtjwJt JBivFz+DkTZLzyfIJfjFo/s+69v80KHnSYkQwjOeRquQG4ubYTnsxup3aUX0Pu+clyz0JCmsprdj JpcixPWfcjXYNMLnZ6aY4EuXYuX3e9B7fI47PxqTuKfqtouLUHaaO7t6ggT1eHJ2e9CpTyFvKdTX w1o9kisIv6obOR6kBXk2a1vPiVgKHHvLQhmsttc1HxV9dAQdfm4CJRt7EBMiXDnw1QAcnj/H/wz8 7Jm0xGofu2SoXjmNbqtcE8nX8aYRXSgJ0eJ0hA7Ed0n3fRPR5/RYtAhVIpsHNMh4qEwZlQ3zWLGE vVphkK2+8QG8iKkYMd1BhfRrAR0ZCpcmxHc1IiUMV1Luqwx81d9Tt+yx5T2pi+I+iQTknkA2x2q2 am5/kCYBp6ECy5MpjWTT+1twFWATai72OU3awQTogdXg3BBGtMrbYI5tGkfxKE2kjV8u1FnSWgCf F+Sad6PK7YXEN0hlVswOgmVjOB8lQfkEg6gagFxE3zIJbQjI6iDodEE4rzRg42z840P6A6AR3ZHy gTxU+nr8L8z6cURemPWeNHE4wqpIMYactojrFtETOkPkIXrsqt6lAS0QMYM4gB9m2wGvHvh7dUmn Mv2KnpxUekK+jANHXb5aA+jZqzrnCngXgXB9E1bzjQwbt/7y+0vOc2x7Qqp6FCYHmq3Ved+LMmvX WIaaTtVGLE/PzsSRdZN1bNm6d4/8pzYpKBzR27hATDvn/y61wLEH08OTRQStXSS6huwhYAN6HUtI StmVcHczGUfNddDF0IkVf170UJ9zrHSGxWypOZu+2aoHRtfB/XcFLXeTzSwA9Hh5mYhSYMqardDG UaGkUk08+dgq92x5iLJhFMpdAKwVzHJCAWLjeAH9H2rleGWHhRPkAjGsjzbYFPdWQLSK4TuBzIIp MpnvOIe+KLX4n9IOjIBGqeoCXVAthbjPEfNJ+evHH2PemWSaf0LuNjUWl6s3uxNWaN8iTj6SRwi/ bwrBuotXYdaV/w/bRzxfgapEq/MXjOM2kUcwU8Ajd2gm2EcvkS2G0Z0PktHoi0/fTURwFXQvuit3 ftByWqdiaTiphmoe228C3UhjCp0KUtZsj00PurPrR6CnA2hk8BxLIcB4BHYZM0sepPn5jpq72kzC ka5K4I4T29E7MOd85N+QFuN/gqUbNDJwk8ftrbxaP5mU61uNbz7aAdilpUafwF3dyLGuyKupHZTS 71Q9a2sviFsI7UgMQEyre0YYulAjLbuCn7h1l/XGXQIXnfksYL3elg9gPTNiWhfG5rZU5bPZUkG/ M7jtm9WJEwABLPut9OBKIqncBtSDqrxYizkkbHQd5a9vNg4nXRPsRNlTqj1oL2LiKEKIDpzlGjPa 4wdV+0a3CuLamkQ/FDfdfbreVhx6stYRcLhLI/JD4VD5SFbhwVZVGHrWgaxZUgYLfjABY1KHMoaH VrJnjGoBaydkgHYz9FxShYjRhOnY55oFXYWfVKU7aMgRS+E9KPIfD96oMxEwKx6pEnsC2IwT2Kfz v/+wMT5cgSEY/oDxQZaLRihNcJ6pRR+BZor4vUkKdqyV++napfQ70cHM+aHkNQFjRcQkkNSp12ki FEq9K6308MP4pkgqiO2qR6+/121DiChCP38BygMUqwILFeqTYcd3Ji/9T1pzQwAUbarCzjAhl1AF CPs/eUvktePWdSi8YYSU5RCaG7PdiU0o/5bI1BPC58zGRVs8unX9WKygPhEIfjuF/RGZY28J9Iiu MVFAY0kEh1gw88gMdU3kvCBZyOoKG02ShXv/FXHAv9H1EFbSx+cISHvoqxuAbxMezv7mpp22l5SR bEmUNdY/MY3S6o8PjDEWm7JJqe8hWF9QkS5kOQ12pMgXFfaJLqiVGiNld/Z8+r24OXh8uBBdcPx+ Xmvdf88ud9R8zbc/osCdXSCX4b7bh4FTL80I1HlfjDNV2DmQ/wxtF+/aVhYkgmq2QuUMzmEKlwn+ r+dCVcXOC6Q1A7Ia77sQibDXwFt74VQOD2O8G9wVQczoBnn925h9oBUZaKyed0QZ0FiWBHMjcljH l4afN3NSeFnNeBUytSTGeOVXsoHLSGP79/8EXxl0EmgYsbQQKf38mapg+XT1niAfKWAUzPXRNqt+ VZNuy21l8vlKCHEEJ7WUj95XbSKxwg9j3NxunUnBYZX+Zpk8Xb2XcWOlDJd6BfcEkIxrmspi860D E3cR2m+TNwt2Sq3OPjLAct4wntk705gmX3gPjm4HFQJsA9KpcViiI+9RZeUewuNRnl+FPPeOZVf5 GgUIYTGw7ZHWdeITZOTMwmSeCNqi6xaozhqpNGmxB8+QLt2kCE/W4yofacRs7ukcjypEYmnW99fp 1j0pxrmgXQaSFkIx4v+4loS+znI+6iPru546kK3us8oB9qdwx9Ifl1qAF1DUZzB3J0hOmaxgLFGJ PgAZ01i34nGRmNK1IJnAwzRqUBpBqwnceUGAMyWLkRj6qDY5niKhZobLz5fQvBwRUN//Xb2vsJ21 ev7s1X+AM0lv7BWhKmrkMeY4hJQIjviiNE/GJWx3baMEKQ4bBwmSDPRyOyATmSyV6Pkxx/YNjckh 1h0TnKptK8hmnpGwQZvxYH3sSsb4xrGX7zYmQu9o9sDI6EAM0NrO0n3007Wl0mhlerCStoOFKauM H+NEN0Lk5sWGgHIGM/FYY2aZHk1wOVHECd/uGIqAgIUF1gFwld8nTBcWOvmljJ183YjHxqLJST3b LgQZ9B3zlmkrUd0Xy/VKo2KNcpjqT96HNQQqV7jYxM3ARnbgnLwc84uM+WoeZ68wl0rNhKgkagZR da4vtCFjoj/3PBLSVnN60MHvH6gJReMI5PrWZR55z1DI2zy2lYEXX6CHsWBmjiwt4W+qzsxiEBCs dVlC42kSCWAfGvz26qOwsPiDc0CuulpYqKNK8LvxFq0ATD2fVHwL7RH2hwZsaZV5hPIAupCa4xXO VfoZ4KC07DMZnrijGuwdFixRxBCt7eVhjMovDCsjANVPT3VkiX4bnkchnnMWosIjDQt53TvWOMF3 /cN5xBPw9bb57AwGK3a47psWD+XtA76U7z+Bjv4PV8ldVDqro4VgMWVcLx7ZG+A8XB4/3Q2kY5sc RItmYjZJGrMEMsSVz2dN74doYVDVDkcDROn4wl1s6UWAr2PLC6M7QdFjxF52KsufYquSnfW4dJxo yMLztGuDzgylYxIbQZ3wUdIJykVlmkbjsh4GjFWQRbAgL/qP45fxVsi8KG0fF4DC1AP9qGlBOKec GOgB4NV5pRzRgqjy9ivoAmyP0i6zSFrFHrkFdGEh3XYkL0sojCyuQ5Gqgv7oBEX3L7wVl8IUMoEG cHxGSFg3P260yKAH8DM+Z0lUvP9E765f2LFogcgMQseEQ5OAVR4xzDoS/pc7I08/AvESdBlqTMWE vAbIhsJl8Qhl9OHzKVG+cSQl8iCbstadNhYULdul/KCmEjIFekJp/djxSUKJ6vCRURAlun51tQDj mU1DrfVJ05ue2V7XrB//pWL/FBD2aqlHk0fExFitIg1GjwqwW8QotBBXiainJQOsVbrVQ2C9qVBa 5tDxCdRTlQ1jZ7Xnoriz0sy9Qo3szUknTCCxEU8cIl5LJrwbhI3vPaxIBI//geJ7PtsCNceaJ4eb dIpboh7jY24rHKqNS4mWlAAziAS20kZ2YM6wd1qrkGIul4tm23GjYGu8Zv1h1bW1gSaR3tZmC226 rK7XPP31+ToOoOa9QeRixiXZqUba5NvCql9gVCmcvukyXCrgkG5fmYGbMLaT/WuUjduPWJg2wIa+ gvRZWaRZxicz//MtSUJQMJCe7CzSM+ibR8IPjyhRlnMU9DJ9M8UDILkcMETgEpCf5q4zFeU4CKfT NwnN1hygglPZAyPFl4NwAHuY+Rh2+DDhz0gQVrQ4dyviJxp3TquDOKo6fXqtkZpgrRAc5gH4KNg+ dfPNIPTcKfoKNeWdLse9FF0/9uhG1rEceaoM6rxPkZl99x9jSZLYaZGKv7EkeHJ9B4y3uDgmVFMd sLKkfcWul0BFv3V93EpKuwvG+nJmk+rvZ/0+0FFpf6i/Kb5gSBxGomHwNRn5rNDx37co5FrgWz7Y 1ns1LNx2IBbBaItjXXYdgkW0/ZvcjheRaHZ4gdfTYUu5cHrKtwD3c4JA93alWla2CbcOCKXG11XW 7QIZwMZKty4lomLoONENF2YwBcBd6imGLYmcVmC+R5rGdoY8eMRsE92hiBHdfLXoRhkduPC6lYVo 91dEReH8IXGoVptmSBJuUUZ72pybMRztdogOKj6vmomHqS7GEFFHLOHhz3KgNi7GXPge13tWC8uS iw5WXNW8ED1YB70GGrF917oXcwtIglqMY+Dk/wPcz/Z/xN0FE9iOfQWOKQzaADNY38Tu89wvYpYv VfidPLQ4TnAF1/+dGwHG2Txm/2/0yxjdhkOIk/stSTzmIAMHmmTo3dHIO9UnYBg3oQczrGT2Lojt ttSZyDE44VbH+zM5+zW9BuNkrd7awqIrFRXQ7xu+wZ9gef1hBlxQSTumAqQ65pL35IbpoNlgvgK/ MVNJ2ITp3Y7KhIUOVtplP6/Tla7ZcDnR0r0y6doLfhc+cQEOBumNskrj8k2etw/uIC3fVi6d/6dO aOwx+xOmml6zsZtgxkJfARuplePWmdyCbosKFcELjA41NoVaC8X/4WqIVprWIGn7nwlXxygjb5yf qtWBu5w4ydDxfdMOftrqlftbT56EGvqfxgBheJZMIwwXY3wh6XCD3e3sM08xS/T4l2omqOGP0vel IqzSkbmzdaTKLyJa1mDN70S9K1ry7acHXbGnJyfOpuHutIm3efFHb6dkGsgTJIosJi26dEphlkIs QsjfpgtxMUouIQ7IIbB38qhYx4Rnac3J3O2sMdlEqFAZE80KsdvmCZdNY0zS0Bp5bNtroV/GO6Ja Kn6KpxTXatnU9hn8v9Pb6xO37vUp6d4hu86snY8IQSp1E3R8nokmuZJPk1QBC6Ggd+KChUz3rr8l ydYo1J5xF7I89lpq9ZDrt+k+NPrmOkw+aI0QueM0jUcTAkgjKTo2Th36E3ipisZxfKz4IJlB5J9f QR70WuMhlt24z3FJp1sIwr66gtZCw+HOy2BtOuhPoHtNHVxBtv+VGT944HN9mchdbLtdgezxF/XR 5R96exN2224LB9fZtMgXFIUQuxBrApZxSY5auCGyfQ35GY7kQhiRget6Pt+gl/fm4MsZ4T9U8BJd Ykgg6zvkc4p9OS+SLLlak9sj84nzDuMi2fiZNhnYUM+xQLf401gMIN2kXpdRlwb2B8Saalf4Tmdb Q89AWPxxInPirOd78eRC1qSk8rxmWRUD55Ba3cVjjoIqWPrdmUwc9ocG2s2EL8XF5IWs8oD+Z/WY m7yq4eLh1Myovm2ZJ1L3ZsH28aGvOMumNOXCrirXF+cF2CUI3rNL5Ox5shTisQUteOW1Xo2OB2NA ZYyLjBkRBd7SclRQkCelvqSRNo+hfT874cQ0YTsXIn7DaKaEeGTSYoqEBml9bJ3IIpOF0QdnBXmt ifH91h5p78Sw4JHHIG0WNq2/oz1COMsMclkb6JQmESGfG8eOX6T/CAJWIwMUoRbxmQHOTIa5Lrxs FwoA+lKkuPr1ga9lMKTvJ0vVxiuwH9xCO7/SD1/tSlcPOuQAsbbP/qiG+thGkSGwIc2QxsSNrIi7 ObFBITtcnz7d4ctlMR9HiON96uerstMlOckLt8AyLrfLMfEjtDGDuqB2xTbvE7mcGfTo0ZJL4iKR 1MrnBAb9upioBrmsjTfmKmTkfYszqINzrvUzAgEnJNsY9R4eEg3HM3zManU1NEb8m+fgBbsn04Hv cANQAK7+HlvBJ+KkqX79UJHkvAcioRiMhZ1JukCyCsLRjKU7uMdivwY5j9HITi3DKQ4zbpLclMmB DXNZM6tw4yYL6yTR8wgP0DFMmLDrlKr05+9HaAkv/i5a/GzDrVmH9a9RXn6njGS8lRP0e0eBh9hb 0w+TIRZm/igLOGbDWjK9HQbEsRSVcup2KM7g39M60P7qeaI0aOfy5yIyOOar7mUjeESAF2glqQri E9uNCWBmW0zTMHhZFmN5tpB83RWbYkyWmBjHcHGhzFe4Sw4WEZLxWeEEdENUy6AFsXyiH6ws7jKP v8APZ1DynEgccbUS8z2E55yW3hqYmlJhbK5gmUARseVjETVw2Nt0K3QjkTHyaq1axfSRq6xbmcI9 FkBESPpTads//8CXTfrp7fGYuCgfPAF6jWt277gfYuVPUUPsCa3ZGpGVp+NRhxrB0O1mHtTsJRmQ w/08l6irIm7qin2fINTvCivj7e4yYKR5YkJi7zcYCfif5A5EGnEvY1Qm5Mq1k3cJp6vsz9g4klBB vQTI/agTMFfCHwiFQHMxYjjvKh+HA4pw2ALMDXbUxWamQCYpDkP5PhNo2PMhthcZAZTSGT5BoAI4 YKIpBxdn0bH32zuimkbG7OdH9DQzGWW9u3If3MLqBIGYt4gXQlwlKsPTCOdfs25MUDDV00y1ccjr MAirjlLjpuHRGWb1JblbF4hG+/KNrLEJX3EbhAXDikDQ/kMXV0FzryURYe5tn3qrVBkUcTtmtQq5 ACCvApafM+eKuK/Wroexjv7/oBfaYXM9RXHWjvFdci+iZw3RAF6SviP2ABSsCyb3PlJ6CJJ1AurF NEsAKFvpvN641mdd1XBWuF71Qfhr9IQ7CvDmIBQ/4FAB/kwTZ5HI7FRGpI87b4xVK/th2GYj9w7Z j0NopijIJ6hvyFdkPdD3EY78jj8y8X4EOEan2f2CriCs+5mxhQ1jwy/Ijs7MKHf1qC56y/7WB73b qpLCrNiG11NZZa0FKzxrc3VSYUey8Pvb5ddtgcFHIqrYkOnvKSqumMDQMHbEdyitg51qUnhg3huU zeBjFQxD0KXawp07TGvbg1kg89CTJ8/rGYTzdQN4Bjwug3YlJYYXfCrdaVo5f67KurCkIDYEtHiS 2GsSbOkyUQDncBc/3138wDT4xWhLfQsW+QnzOuZBGOU4N0M/MrbJfRTUP0j4Lz1VnGv0qgQ5zzNY /9JHzpOekmxiLEUn2m+4nDxN+4H7EjwDocZFvMG+D/1FZcjk3kDXCmrqpWvNmB/BN31uExmbZHuT fZJSi/1xNA6d0Hh1gh4DRXCtdaS2WPMRyUJi5z0vT+mu4HvJ9Eok5lTO1+kEoA3fcdXp/TQ7QxX6 zZOdtd5wdQQeuHAauzlo23bGXk3MrwYR8FhNIWXqDcr2rk/Avx6r6Aqd4HBf6qjrmv6o4V9Yz0S+ 2FKxsMHpou5yvDJB9B3hLKTtl9HByAPpGKgnIpPYVg+xQx8e4+26rwVd7pcykIXofYhANIwNFrgB Y0BucPFTJbt8NEyF7SIqeTBjvf9GGr/you2bChOXF1HE9eao8NMWcM8Sy/zPUMAIWoy4NseaDO2z 9sZualnI5aq15qPTX1n59tfXjZrtCedZNrJD7vz8DC/oeIaQwUFDYTvrpEYKMNwEv3ggnbT5nLXb Do5YvInOXFbbB2YOGycnDCRRHX/VV0Rk3+sw2CbbOEUPutzeuwV3ciSMj4M0isdmih9WlTW4QgXQ OjpJa2AlK2A4FmwpNNOD0iB+Gk3al3Yki1eHf6bQfIB/TQ5u+31tp2MulCFYY4fTz+c8vfbLcsdH y3MtsnA/WnNf2Ip8Oj0kQGX7aDR6/EpqANLUiarmIRcwWnVOf1LQuje3wpVwa9ezz4OXiHujb0U8 5oEXumq8oXRGyWyZHB4vk+qB/9zboRIwsFBM04S1MnS2k+L+lb6VL10GtrT9L6EdGHVdx9DKkzU6 +4Wc1xjHwajHiqfxSw3Qn0apCP1/iEwe+YJ6XSSZYc50ajKYuYpAR7UvVV8QAnvJ9KlqPfydy5uM jckC/7jIAfL4kcU5OdZa2tGJuTFvyRv3nWNB0pgA/lhj95OCsEt4esI2+n5aT11n8yrlhdcgLDk4 Z8649Xpz0k3nl+mcsqqWsvpigBLi9hMCyfegSGFkwUKV5gt1UBAzy/WSubohAzFhnQP1UzX+71GP 5t2Q065tvB4R6RxsPRQTqs69qcR6jqDrBPqAVVxMpI6OPlMvNSeF8BltQsf9M1o899r8Ib8PT0RY dXlUb5tqwBf4esdxv+wNY41gGx+VfmMV9Efh1SWjLYwiiqB16tEEjwJdixIR5UPebTgac3pkzv4O AjSZux8yD0LdJ8TagGsjS5mu0OK2L4p2pD1d4y0B0bReB5v109YPxitvjh8bGl3mCmCTh201hfzv 0HL3ql/TrVmT//UPFu6I7jBm9uX6el6mKHtszETJCKeiNp4TVZNrYGsEnzuBJE7q0XTNlHnWnjko kAMmdnTseR9HMZndtxJWaN4bbCSbJALzvXT6lX0COcKhVV/YR+AIp9tmRjemvlJUTydpdqf3p4AN CjgHLm0GJdDSbkSToHVGqHlD06i2iYaHD2Lput+zt/ukS6UAF2pqRdLjs0qByNEHOc6HtDT6Dw2C mrUbAlePoByfn/bj9zy3dtkiR0TnwI21AtAj7nIRAcZAheJBxz5YTuaKavQjNJN9/Lu4sw9oxKOV BLkDbuVXFHdzQMMx5zqbgqqiRu+b50uQQukrVGjauiCNKWTQ450y/2BNI0XMqAHdXk+QvU9Hl+qD Bd8n687yU28bfA6lQs2V5Q24y7ibKsKX+epWXg56Za2jiIaPVS3aMDtMXmyhkfh2Ua/w0xwS/wsS unVi80iSANICmNXDESn7slUyJ2jp374wXEeivR5+FkkwOkbxZ7iiCshwq90yPgpF6UmpBLRvuTwY q9z6gfckporKjNLRsiBVczBBcQJV6gZpD1fDuDtzW7+0zK0/em+r+/gGhhCH7BoiiKg+BIogU9NP z+sE3uCdcmHmjXqfjIOooh6XzQbzjTAxiRekC+O5Bg8uOrz6DNctj9PrRVipEWWYkJ/3PRvGMMlx plreKU5STsSj98j9fUPeYws4taGayM6awNWOZl8En/T3M26QnM1dXbxVdRh3FwpYP3kHAZqPGOHz lDBR0tn8dvqqesNkCgjEuiTQo8RB5FTzft8b3tZAMNSJT5CNsg2wGWp+9+DwrGqqVkZ6VHbdpDKc gon4UiWHZ43giKcyxjhtRD9cw/8iRMSiJgOZuPy9oQ+keKhgGsaacJFOowP6kgd8OTYPPPkNyOpM fEXj9UbzJ8o/06IEdD8DyalaL+zE10SRoPOBvyl5KwTOzuJwB4dxAWattreRCzAY6cuM5r4U0Oxg XJI8OxwzbfPkr8bM9F5/abU7OKdBnkopttw2RjfbfC2i9lCrtpXKweZ3AhI2SbtMxtC/Z6Rx2Rbv NSNOdqvc9zCNHYc1FrhLXyyzM4j4Nkj0f9Hl5jk9TKSGYHxQTOaifcP+xy6tO8JWFRfjWpXpDvhO ICfN3IUPzZ9jTxir+Gy7T/UTMErG6KBnFlh5JpR84vd3nnXiR2zB5Gf69/HQPOHT4E/FBSidCJnW CxifZEUXWBJlAX3T3mflDr8/QWfKFvf0ks3ivbopO21oGMyc3miAq8t7oLfBBbpMIcfdqtchZPHk 2caqvE7qSu7prF+RGV89r9OXTem1quOR+ASM78z5OLeCYMTFAR0KdWbs5LDDQhmOxOj5blmlARMl R5Y8BgU4PcxFYWgCRdCPA8AOGv9KaAY0EJBWzBiFctMfqGbeHznDuO+T+tYi//Tcw2npLf1k34zu nbW8woZVQuyVYkh9WuAM2mrOQvWiL0aKe6ntCwUJXbMWofE/FrvKRPUUm9Fuv5EezY7rmfL7UsZX lensW1n3vFsUrHMYybIzIUqXhSUUw/5LpDdKJlo3zDJjGUdOzu9svUNH0aL0ly3Db4PvxElQhzcy kh+Lp59fJp00lWgvu7AGjniTkxQwNMi1uydDllcTOsCB+rUGPyYmzxSpRz9uq43P7tSf4yxXAySC yGwVK4urgUJ5/e6rE4F6euGU35ULnrITgjqDfnVRr7L+Kd8ZiTwg4j/SAKt64i7NUOsgQdSP8eM4 ZPEtqWOmanv/RGFRxlVmCH8HbBoW2blCgf+Evwe3VcoGSohITLZ6LzBL5iN8HX3ra0+mpE0CVzN9 lbmEBNUVxvK5HFq3BRKHjRoNimoezb2Q/iS4ERB2ooLUP2C9nhF4Xp84bTPXMctyI9qoIB8n/vMA L3uPG1JKZzeTZzKPb5F5fi9pG8/3yAXxd2ATkb9YLP7DrnNcHxwxAEJlRFBI9pD/twUQpgV/APDs VVKcuIq54QG+BlP9EcU8i2DuCFFbe6sAluiRUAjrbRAGNehQvlZNjp15KhWSSqLnDKcyYV4qhksa 1ySuXcnUnDduhsKYCp2KaQJ+uLpLPlm1hF58KbXPrwS8gBO6imTcqXBCw3TLfjcYw91VZThBQBA/ B8G0KZw/OB2PU7h5VrCMaNuUSC6fiF1dGoHOpNwKkiPZNXKFIPtiLqoW+DBu9gjSvD7biZUwoHp/ LwKdiyxL+d91n1oMrqOQwMWkkRe//bdQRKuL3Ks30u+rtnXWX2+1bH1De+EYtlITa5YvluT9AJfj KZAHL3yR0krZf3kejcnPR6SOB9HmIhcBnX3GjYlsyVaGRFBS7cIxHOIb80kXSF4m3QfmFS16ZLlI gXV9BgsJfMPTRGC4/YsUTg97uzo98ioSJS/l5kySbLuduTdK7hHwA/JP4ltA0U8qtOAtgKEL2m6b wmgW+tIwWyLcDTS4TJ/DGXWfHfEUKCbORAGpDaQFlWAOI4vy+4Ai5kMjKBtjun2RkY5dHZC09u/X Nq1AWFmEjgj1S4Kml5si8ROOmYBvup0fcE1lxBUmLSNmWSCuCI6Gvfc5dTYJ8OLNF74oSYAJzKov 5nCE7+yhb/hr3c7+xvPjWLTjKkMcPITvgOBCqhTyDrEkZScy4j8Dkz46m5DQQ0lbQ0N6A8rjmOOI 0Mku+s4fYliD0OQ3L0nrdrseic1+wtTUOJzhAZuPS+v1sLZY0au62zhmBRjuAnqLko3hsp52oFPD EEEafk5LIpGrAu76qK99RJ8nS3ShIvNCj0cP8F/dFUvq4tHDjNdFDVHW+sy/wZqw0n3e/Wnvl8tw f3WPWgc2QtEjbvDIKvOC34olkGS2wNVmJhbqdNzsp/An3wYNYZbEKIMLxl55qhRqXzXsDAT3IxnT VSs3Dp18Ii+QshUwBZB1qGZx7Y0epCjZEQxH3XWrol9gLvIy8knvKFDHrO4haXqqJMxKjjEgOnMI f+A1hRJdlvV+q7ogUJnfQeYPXXn0Kx/aQUjXEAfHozzqoYB+9ib8NfM2Rh+wLIoNKKJb65U1iMM9 /VnodDvrnPRZTfgVlDIz/s7k1xJGePDt1ngaMsJPh6anHiaBA0QxWNQSLTYFK3IkTajxBS9c7kxb pC2zCCOqEJ1d8bVthfQJ43gNLdHKDQBwZrhEV0g/BAgGva8Le6rsmYgdXMmY2cV/ELDd/Z9agr6j gbH4R5LYLFSIQIjV0ipGv/FGksidLpYR+tXKK+9s5FFOmhF17XsR/UFkz7e/oM+7exUHLkON4Yk2 a3/uzduQtuBJGZZt4wG3awW1xKUST5XoHJozIetq44lrgw5B+iv2k6Cenhhqtn2nNX4DOsEfiRXl hMpUBBbJZr8WifuCdKM7v4LARRdWRqYYrKANwb2j5OtNuDcUeRCqA0uqSTdCcVd2Z4TN3KhizDDm vD0XbLnH/gnSBYNjQPEeJx79JgBTWlR7JumKjiyr83DtTW53gQsfEnPNmiBU+IVQhCIvTFSipbgW D5XsSrGrJAoO6/vnxQG8lLDkBWbmZdlOTboSxg++TXrsVkb4jzRDKRXH7R5at2nnGchSxKAiUCpO e2PopvwrRY4mirpIeqULOrKC3JEIHzXZDQebbynOCOrrCoYO69skl7ys3aU46mAyTChLHsaGL7JP V7sL5cBWMy9WVgXYn4oXDMMlLQpv1Dmxan4DAYlrLSFuDR2bSiG1lvemywpT0R4huOGr2WoLYjxQ pfwgkHyKiyRPWEnPCIFyZA8wWAY53W8FaYbu0U0FMd8SMSIvwNcGp8MP6NCLnlhL4qvyHe46F6Vt mCml8xNSIERJVWk5j4eGAAnKDGm4O/PReVyPNfpg2aPbqtvN5tv4xQ4kzZLi0n2Eu8Xwwnxos2/d 5/Q9q2ZWll+QLfvljUa6xpOpEujrugAMFMlWkEiWzQhGkB+BK70Y2ZS+QGZGiRNyVidKyTYxSVW8 ncAT2No1qXtqQusmp9GnX1E6TC5w6cGZBlAn7ir+9DwHq4Fwnp9ptS1rL1pC43VHZWH4jtzjuQ+2 f2xGWjkm9IvSN2N1NmQ8mNnfxxoAMWZ2TLsWGhP9XoGrCgmfYeoLjbrsGE7J5aUQQXtZ8fUQSd6H XU//DgtNTvQMPglkl1STGsYbNBvnvTNXa1vcGhy1d52Aw+7Toh/rCeaTVFLfzPqgHvi3EFOYKNZN 7WiXo34cGOqTTkaImXKFIl6JdVD/Sj52LhBjb3SJFtNPw1mrDgkykqZNpQzIizfvQ49pcG3F21dE QZAJ7ObsfwcD1DBRVXZi5HHcmaQB3nRAllrrLT4pbl+NRZVuVobEkzmJ3xqMFyUJGyLTdYrdk5pb USf80GZ2lde40+Yq3p396Dww08fYhU519RqilZLGmpMXCvG0pT4nsCuTQQm54E0ju/fDj80mjT3z nyu/lm54uAITRsZEsQ1mmJhBcT0V/wSEAoqPKAVtroZl8+GWf+jlJwrW01b+2/Q/iSMiDRX3dVaU zTwlpHCMRhMFLHQWSOfIP8CKWRPuJ3qs0vZmgtTHrNhN7BcTMRxoBTrSO3+Zf7+/YgTdaF9MfSY3 dslCg0YW5Hatkqv4Og6nvuNpA7c9wz4eLKE2OT7ecAmG8aOFXLBvtMspVRRCbmX9eau0Ip5RjpIF 7SrU3fONJk0ZTO8F7YopXmjiy6GxMkyaSbia+gGbhoFYNu6exCKE9Eoz5ZdNAD6MDNZ4tdbOjzVC Wq4tCRTsGOKR/GvRFxHgiGEliZlqmucfIdSRyYdij/QdHvDXcbphdCqatHAMtEKwT1NvYhfCRLcN MLZHhlfDOMm+BwivMelCG1uN9XdNpAzsDzH8uHwxqhkuoR8vqklTZEXxi+y2NF/I+bIbv7LXr+u7 V4BDsUW5/5qYupCXlG+Xq3rc1XI7GekRmqm+Un/MRzG2Lu9Bte77050uP0zfAMq25QsjeDEkKMm0 EPm+EAEEhyptNRcRXfUIlTgKLFUmm1wY/cZ+5+/3OFqAAWu4C74dKq80D3i39ctQBe85dHebmUTQ 8WU48TXNblG7hwlTv1zxHffBHVGZbOJhMM1WeeQpviDNW3Lg2gXsjdD4A0Q25Yu/1wTMjtX2aegC CLIeqJQF6kDI5iRLDGf+VGf25s4K9r4GWMN71CvVTbHWFS4CZDu/IIVaDZfRrz5Xh4BHpOnAvLoN aY4OdH7RUidd/GNW3cBWrRVDgo6eGd8sngQDkkpv3sx0jOjvv9oi6+luLU2HzSuxqS9uNrxGfSkR Qwea1BIVwLk/XsDDpCrsoyOhm5LYiWZZV/5Sa+BZkvA1MbsmDgacNc3ajIWKEMshN0ecE3O4V6Ei +lsDNE/A848GXt0Wkz3k7zNOhWrBBts3Po0smTexpDTQIfqPPhjcjeUBlkgA0/9+wIPlC99USMpi NuY2YNQpvkQqLwXKu3uFOjqtZS8w2HjJGcw5+iKpNBE+RYvxYk7s/vFExzRHIW3N85n3uoR3xrkj gzQpaCbajIbiFhR4IW7F8ry21kjBHR8QqH8w5xCNMCSe0bZjQhcjzT2LEgSVdCZDme1FLIv6Lkn6 jlWqJ/b/mhLMg28I4KAzvFDRPAHfRBmJ65sZS8ByGbiRaCjuTA1VeTGnlKLrWnxP/y6iTscZFA0v 9sB8IED5KN12qoZHWy27syEX9fTpCmc5T1SYvO1aQLDVzgCNqJVLWN3pwfI9NWRNgavrIO6Nko1o /m4gm/JDudEpT6A8+qCAja7vUaB3ne3krBLx/PMUjLvLfLCfMEMFlDtcVbEv5WaY9AH+kcsVhjSb YMarD6eISHtwUSJDRYcmeYBHk5wWojZ8yZDx0RqO+6p0uIZKkWdgfAhKd/nF1MFmy53H+63vIj/h OnL7dBC1tZGfiNfIF704+KBvYrqzlty4luJ8ZB47E2I6Fe/6FFT0wGMMQqQgW4wLeRvFkeS32cBs ZNhdwhpEHmF3ff/aqNC9H3vxTWP9GPCV3xSOjPVQg1lhIpsG3as4afk2KA+xq/p18WkR+LOmfuuX 91x8wicioBBJQdMOnPp8YL5ri2sRVR7aiTTUcLGcecxqRYZCgvEM6u8iDQjliCez/sTTjnOZmSKd b70IVILz87sTAtWCtn92hYrR3KZGLBjg+ziYuysT5DOz9Cq2YawQCQ0OuVh5xG+hfmc6K921dZkW am312ijG2o/U+9Mxnh0EOrVrNCvAtf3rLCyupV6Nmf8GtaQ0BDuLXqflYoltXmocY/UJ9KmIbJEu I2vcbpuUv+PiFtDLox4VuSE+0USkH/qV6nLh6gw7uIUsdOgNxsUNXqwWVO9OSOB7CzSODFL6M0R2 RhQc2Btb98DoMxm858/soSZQMn82hdejT5PtGfekFcVyDPqVy5gTxCtoJEaSYdbAZsRGsuuQK+XM 11HrBNGOEHlD0bCwCCIKXeo/2v6Psz+Lupf2aj5J3wOCL0mc/2PGbnplwwT5GsMDDfhjNZTgsmdy 5AuDC8P++Es+iF6WdIsKQY3MJw+da4y+ShZ4sfrROwgwZimBRzfJ0edqCqWu5TUfrHKVwOoT9EU+ wWJemafG8ZEoXobbOiWeJ5AP5u5tgPza5osAX3Ub3BVRaBBpQ0aJ3dDpOu/yTPK7wd16e/xQRIQ5 CvUS7AZ/GL0n7jhZRqutIAsAaNCHJbmqwH5laPbUM9+7hT/cSwDFEtm71qxOJh+TydurpyTUcwwZ PijfKk99qmdkn2r9vZA7lS6FPDXRT4HmwtiHZnx4YRA4ntFs/qwDzctl6vBGMRe2GwzUNClpNBvn WHHX0bkJE5CRT3aTYoueEumltVOdY8G0ph0y1ApQ3ZlrfEGmSVrL7ccj++a6/+sya+lYLgV0Nkho YUEbk8nhtUbhBW2muvPgjP5sfnzn9FukVcDwsXpWHYWaep3Kxey4kvsM8+IxHbOkwRdZmLIGRYpC zq2Gp5xqxcxKo42Em1MxDxeb02Fv8588oOz8TuOjej2JnKhKaIAqD42DswylfGFMs7kEdmkftyRg y9TSslouvSSHNX1XL9aRqEu81XeWZNgxpqwJKP5+FrlAbsn193BhZLPygFCG/vW7XvZ35syunwW7 qBnj48ZsaFNDAbuS3g5xrHUU6qqR1Tj9pvY6EIvl35UwsEUjJBTAqpQCaYkYkgmrlhrPhC8h5024 MqYM6T3yE+hpwDYZXr7r3pNpKONo+vn2oo8LlgKu9sltUpe99tat/4PP8ywxHh04baIZ8LHC4u5D g0yETowr0t8sQdNsYbTCOt5f0LmSGsjef0GkcNvIvTNneEB3teWZHjRzqu+l+WxuDnt97MHAqLey 8QdnSUZKjTMqsH1NiGAKaUhCH/wynhlvnY/CobbdFI/z5GMxAmx4ZkBRTOFULRkajChuI3WxNf/0 UFd8lhwGvDVMrmGJwbQ7dXNULp4xmHzaLrC78M7FWmBai2wl5Pe7eSSrRtEm7zHRUTvYG3O3M6iE +lT6Bu7ziBk3Cqb7rN+jNR14FWOenj/94iOUEDWUlmVNDa6vta4Y5kM7ycGFKDx/K6IKaPv7pPTJ V8jGUXfCMtF+yGY6NKlJ21h2Xd2HGLXHVai9kQZQtrS2v6jVSbX8j0EsazAg2d3W89OSBIYp/Spb OHLiXXXrIgPB8MkSRfvbXF6OBJO5C1eQtgahioYdUl0uop3yAqcEqcZ51U6F0K38WvOSpbDKu+MZ C40TYfxlGj4+acf4eOMlmgnpl+mbGYfuA/1/K136AVJzpRjQ5zA5HKRjLUniPg2uMSY5gYasOX2D k6vE6C9lexRINLlFsOf4Ukgy3u2114/btB1rjKOEQdX/KzrTAGLu4fDx6i0wiUqBaVmmaRygWRPZ 3+2exSqXt4DkEjzDoZnzuXo5IqZOmHlxiCLE72JaPxZ8RWg0zUGKlXXrM2aBA+290QfXQGhiwgaQ YkRywsEUFqcPWdR6tRAuXcJx81zxMhaNaaJAay3z/PprJNmOzjVAHB3ChV0InglV0b/INjISkZJD 4i8+fCz9CMm4oxdzeAMWG33/rqSTG9nRDWsaxBSvGLRjJ6rdWNLVKCQ5+F2A7T/kETqCTtahjmfE kmlHn/RfphhY9GimfsMNOUX1bBh4pcKa3SUZ4RL7r9IMc5eAs01FfYOSwuzRMpsCX/QG2kLhhluN okCByyh70LITqPuAJnOhEkMTWGogZhTanb7EjiqKe8KeyCygeZOOt+4vaiA6vSbLhhNciuZLcMjW HMRQVmrl/XPqpTB6OD+0DjmPq6GV4Ie3SAi6t8jClOweKTDHYBNbr/uAuHCU+G6/F+k2RutxkggS LbRGre0rEzuaB9M/i/wSIGw44VQZtsjYHy111VpsvhZJ3+pcM0utfIgS2A0kY4YsVbInTSNV8Y7R YRdLffEOfss42MiXyw9TuvI8u2BmSK7cdvXGl/nWBv33zU80VlYigGWQpTv0e5yHqEZxQ9acf0x/ 1dCQ6hDF06dYY53oBqEkmu3Z/4oUjUomrKGKT7f3V+3iY9ZNQE9HSblhDrJgBVVN+EO6rRvn4Ep7 pf3mVyd5y4XrCs4/19QpFdiCL5ayMQOLHCpXzxvppdcJJt/H0aMO2AdBxGxTQiNrmJvdZsDNbjBH sTNBQ6MUKjkv9GUwhb7QwNUYTh6TKnn/japj9qCW5R+OpYhgLubd5908gTOEqyvYLuA4uIxd0X1B Uo2ayqwCFcMubytqUzxJWGN/Lj3G40HDiSa0mdgljhfkBViaHXlN9kc79D2SxBarAsclFHqh1tA1 wUMFc2bfVkMaPKBruQv0L6ExZv/dwuCjhcExoDVBV0Rpm0j81tFp9WY33orn4if2C7Q4xNAxa7WH P7toDRLh+Ah1UeoVvId5B77yvjzUs8z4KnaEDXwmBVtaUMA7CumM9hdRMCzjhJLjZg6zrhhYdL9k V2TM4tZjZyV0mf5x5bX/DEAo0GdgN8WBA6aH6JrR+CLBRXXMQhljOZOzegsJY6uoaC7wHM3QMf2S AabfPmDOhgvqYAhJgu2eEcgvv8VXi0O4nyHPS3sICtyDEuSVPfqqa+VVx0+cSKDUuhxOXuk7/iAm Y9+i/tY0JO3mEjojzyWufa5xRwawUf6jRdNx8im6HVXOVZdRnPQueMwSij55pyKx/A73NuMEWckX 84LwXtS6BR/vFDye+nnU32E/8SxyTsLjbzfRTk/QGUNUqTlZQ0bZwQP9Po0SnKtkdDps9KSo12lS adLvKVcI6S5BmVb/PrH3X7C1+d720XSCbH4HUhPE0xn2ILN8hurzcX86nlx7234ACiarq9nesu2z DLr5svMQftMctBRgws/0Nb2oL0YeI947Z3btBeJ5RUyGdNiwfkuTzEPybky/2GlO6g9byimi0DhQ kqXRHSTWTkn0A1+KOt+jr6gKs9gwT1fCdgxS5Yo+vFuYEonzv+1E9LLtNHJWX3ucP6GsCVsGZrm2 ciJPk9NI9SvE1v9w4tik4iityWnvspyRxNvFWlqjnK+hi3t5oJJ6Nmrk9n5D40SyQBdmlv1HcpBT DjihxaWCNdLyrjpsAZ2y/Jx9E5il0/2cPa2B/UljjfrZwpJly8U+AOC+8+DH39aCN+g3FiuYg8LI TY/TnNki25O2k8ZNJ7dFoxsspHH10ugdusc6Bnyrjxuy39sStcfHFerlq1TxTF9ejQHkdRwcTYiW JBxws45iNhc79I9ABAA7TgBNK38m8B/AuXhebJbpB0t191B/hGDn6PUzx8dVOUJByjvYqrhEpCbN +kfqBz73eTeOa3r8DxCHkDIz3xuFQNt4TDgrsk4fr709MEnWukbSlirkFK0bed7Ie21P3msIuIJp LtP71rJch+9W2t5ryZDu+ujGGVsU8fh+aWmEwWbkrNW3/oVQXjF0D7cQ/tlon6wbijDizTS3DJVH BW+BKW7meGN7t1dpLVGeK+3/dQboTyG8/rOLzxUCDIWG5+G+9AUBSfkU8g4K9ymZ3Z8bloJus7CA XfPeOyg8jjUCce/aSiSVORRI6sPJi0Rcm2FgGCnij1od8MqTSyYgfqMeeHxvhsIXA+8RKJP5KJ/E DQDMDyGHvtDIxJabGQG2rfnEOWr9rUMVjFRi4KngUrSFkCx8laexw4QnyKcmNqd1RQABNcEHf/J3 jwQ+NlsIqhOMYzu3JD/doD/7+qBOjKdiYp2RU4gnJ8szSuRda3fohAJilLJ9koyzrKWqrfDUt9KF sy/FsiK07O03/wYEcx59+kgB4wAPHJpx8U6FtbB7X8wVc1SKaUGU4ZJC8mMj691wLKraLi+WDjUU M8uKISrACV0R/caWvJPBlegTS759Pt2n4XIKF+XA5SFFBPRgG9LmyQf2UPRxVfRW0fUE0iKJDXvp GcPQbfehCzzIXgF5EtQ6sPlU+/cDDBqYg9hsN5cRRVTuxQAX4nLz+uiDiEvAXzBvOM/H98++1zSl RHBEg0tAny9BBV3I7dx/LMjer16o8ckptk+kbpmb5+IkVZdf1dOZ6SpJ9nmNFbObzfny3Akw0XyZ vvu0CFaNxhgqCFzIyM8WnLt/RXhN1em27pqw4I8a9tpqxoPy/deiAWg9/c3sMaM3NRj3ZL861nL+ fhwKzEMGEBLLX+oGFAxb5XkxwyfP7T5pxUrQWwp72BV54zJihzoU1jb7uzbVKgsc07jcccq362sy 7c+4XWM+H4SFlzeNL3x5/wJwGQRUyJ56Rrs/IAqLPeLgusCCp0gUqL1X/NmhUSIEHBYTjhRIOBfx HAfdQbgRPDh55g08qZqMyrHuCtenoW+zNGSHW58XGJjFDz7o+bAYTNwFWlG/hUwB5GYLgKNakBU8 qdDo+uQok5s8L83djKFLNvjE9tEMEUbBxvUJkO/xm4nt4jFautjqpsRVRmdQ1kubwi0V473mQ8pT ViMr8pKRwcDomByPntww4OjqD4cY91CYwlpDdFMMlnhGRJnHc+6Gju5N49B1fKtB9BoFS66zfzq/ iHI0jL6+nNKywIEbDdn9Kr4gY1dHqPLJ9c3mllNOHg/zoPpV3Vb0A6xAacEb8IA9JeZYNBPSjl8b xp2+CnQn4B2Qt6XIzEzhBcu9GfvGFkjBXHheFePNwAh56v9GMZOT2rFxyJSW3epQhVxg2p3kp33A JBMjL3UQcTD8mj88MOXSiQ3nI+wwZRC98Tgwng5EN9oSpspABQZ7Hww9J4qXrrZLl05uNI9iVUrl mHC2KuCUBC7zZE5EYq4z+CdQBURBh4GPpunRnG7YD9+PQ1+2jNhUcGWxqMajzTBLxPB4LA1Pnj3Z MuDauTT5yOmGOCpdQJZjCsloCEydLr0sOvEtVVYOSRwXuqaH3sHyDoe+HkLtvsWVV5Lbh/g3HLBw FwQ1MiovLT8mFg0ZNjMvTNWxPpSLd/UdhF4GnDOAJzqt2/PUSmBuZkpexWCnVttvhkx6Nqiz741e C2oZGuELyxIoWIesZ9zXi1PQn3ZgsFsBR8azxKTufY3UsVRF8T9E1Vq1k+FysWWXSu4kqMZvlqNN XsKbMjesSHh4HuA0sAurxNjZnBer02+9bE60J75W5g+QSKogYqn0fP9TU/eggi0phtH/E80tpsTD +n+xKJOh/J3wQNJQ7UOQ/CGI0hR1HiiCDYMrbpQ8yJlmsvsen3nsDrPzD4p9wgOapT+rc4aEp+X3 e1yBHM3HzyhRE8ceBsn9zylTTnD+XYm0vlyn27T2FzCOuL6Zo+GmZs0PngOZR+9rjWkShzgEY2Uz J+X807HYjUa77JhL2HkRygjdLXRC7dtP9nW+3wKYZPFo5LTwcMW1w8oCNDL26m8zwnZdCqVI/mg1 OIwS3nOWLGG/H34zBoZQtveHwGTQGdGhe4zlf32avZwq6htWKFn6cZffsjPKb7ro+a37/vKZpwhs Ufqazb8LCmM+8cbwtlaJ5fxImK7ziJQedzPyHdaVCZ4c3KkN3QV9wX03NbyIY/GQzoHNL766lw3q bxz12oYsdeVnUg3NRrw9P0ta+OlYez4U9WxSjILNNAptp9QTq7mhGSFsBrh/NVJMd/a5h2I47jx+ nQ5c/koXcm/wZD+zgReJU1GkSQnrssGNwUtrnn3ggKcZTDCu1BzM+tXzi2//rsI1tWyDH/03pyBw f4M20GWx4UjkVneRGw3WF8WvHSsKU/+B03SwZQbVTA15eVRFfUkHMQArC9dBaFSwxHWgZmMzHz3c TrXbNcKRSGKgp2Go669CHh11HGw62k2k9iNV3ZzR95IPppKZ+hs0kb2RJGxSioAA5sraWpHc1KNJ rmJCkPReBWuQjr8VEC79xbrO93/bEkqOzIVlAnKUZqTbWRjqZFJFOiwF/6EfIT6TSqWVQfFkVmIb x5v4zbC3OJ58y2GQZx/oZzBB3GnG+zKCxsGk0DvyTAv6YW+wWuOscI/g7K7gq2UO3sUe0gqGDmBD uuWC9Wuv9dntd44AU0zRj6H7knVsfdw7kxOr9taT3pKBeS/6zrrT2PqnRN5YPj1zNEpEatvuPXhJ oiulrKJhD58RDKAkMP/kRt7s6cGscUJV3O5BusThzsp2iipA7wXONAovR/vYggFGy4DE49817RBt 6OXeCDTT0ZRhECQftxQhRfFf5TDw4eVor3XpVIetI2jXeA0Tf5Vfswp4ZxWVmL6Mx0nNWOL9ua9K 85uH4gLEgKyHJbD53OXhSTQ18qGX4HVpuM1opb1Dtal9pZBY94w9NFB+MrtK3GFXKw8UQX0Hl4Ul EKAXA2LhzlNHP9p+0GHeTPs9TkejkjMuygXKFAomMkgH1dtmj0cdb+YMqNUsoNcoWv2+nGwRwbux IhTqYeGpk/N2M1twvI581PTWWp+ZYoG4YUieJju9X4xMxgPHRCajcjTDB8AuiaTJFWc1WmObbX0k 0hvJHFn5ddbBGDEgiQccM5vFfw0a9sh5v3rZmdwsVrLOvnXy6woBPwD+HPxKmF2z+wlW2wsjteca mGuWnX57CpWmfj6ohaQLW08L/PCOFGmtOeajWr9iH3SywhwDBTCADoyTbVLLqEoBcw1DVR0la8+4 oYytU1metMlUVl2r9AfDuahHqcscKMeYcT2l8d/bAWTxPsbo9xORP+NlhXtnaGXwodeWTwBl2BKS G/kukMh/GVm/Di3v/OJStp6puCH2aAXhUlPt4uPpjdtc5EWcrzLQaguTdRHCZm1v9y1HdUtPZyax 1gJoj2eO6ufZgFRGcckFOag2TEQBTfAVChjk0S8M1LEDdrp56FQkz8hQSwXpJKxjkhCAKRoQPGI9 r5PfsbqmZoiQutD6fcvoZVK8lfB+7CzdC8l8D4c5h44dwKQIEPgnLEux8w7m4zJSdi4NUGLHQDKw g5T8eyEl1ku7P2A8Ngdz08cpCMPv2pNIOrj4xiylHMdZ944gubRkQCvrxsLOJmurKUPBkWovaglr crO9a43Lnfj+vEYdnDCw5aAXtj1Qs7h2tidSZJGsyVv1K1+TOYGSYUCBupsxVmkWBCamrth5Hq6D IviPHjM8Zq1z3Vurdugo1k3ysVX8tid2Nmmzs8uPlRGALJQXi3DnjGridT6drnHHmnzBQZN78NzX oPNVRJw8R6qlgJkl/s5+CMI7N7pELjIWrj1OsdoDjpi37EqTAEngj/mbbw6pJ2TANFfd7JF75tm4 nnchBW14/+wC1pVGKZierVbuSU+6PmMdkp2hl5DTw285JX2zCm/nSXItiqDqBlaD4/ujKBQ5gBD4 WGH73WoTKYWl/LMOe8Z405A0EtqZfq2hE40SCjzx9xgO7+JO84GLx9BEhOtFoiubHG23zDX9LFl2 I2n4BesFToq6xjF6OSwHv2/nxRS4OAW23coSnY/OrGQOltQMAaUUYPKWo93HzLnNkiUzisfoFGoX ACrNFTAKGTqd+1TIWjfw39PeXHXV21G1gJdkejkR/uwy4ZzCXmrEobhcKYmC5Vnr5LyID3xIAMAo XBBOWD4rK34POMLQ+HHuRWZEmkS1pgOf97e07fGwAyy13xLRU62gbST7yu+N0Ya5O86pOoP4mqnF UkOY9pjYQtaNH2Dlb8AMkO5s19PYN0D7PijPCG/SUgGJs3baPgW5kXXdfaIgX1AtvkYOErVXi0ZF Sg+IQuo4Up1Eq9GRNPriZjIsfjPc2NX9ksV1sgzfHKVF7gSVB5ZjYZwBWv6fYpOiiOEBANKvVtYC OziKvXlSG9/TnzIbkr6+2xU+jUlLhpNgVyuxhZrTsRM5ZrXQUpBdfPQ6Latz0h6UQHH9tDNpAbtv i7yAFIozKTgwcjzZqfqICX/Lopj1cw97LpsLkpEI2wJfvn9l3YBLb9tD6fQsv9463A/tU7R1x+Kg efYLGdAGgi/3CH8qJ0tP6+vjgXFAsCSa7hm5aOo2XvmSuKeI369ad1xFQa1aO20VNC+YuepiU40T LE6Fgr4vdqohRUVVtdfy/mzxYOvvRkqjf0FjoU5UhPeuE4PYSCmdQP3Kb2Jq81PYIMSOxIZ44tM4 FWk+CJnpalhe9AsQOXVkZxeybVu27dKuLnNJq1U8MCD2uN8NvZROf1CwDrutZnQO8qgiiIzlaQNv 4ARNVB/1l1WWG2dbzbpvq7K7S+GnyZi4o/ftQstw0MS6SpF/M0caeLL64wpNUCOJSTstlnaa5hEC FyKDGGsDBBWm2J8yE9QlWU6DB9G/M0nIsWj2hXonL2d87WcI7Z/ibOefmfKV5aYQiw1g95yX97Bk Qm+x/V7n9kq9ykMqmNHFBv1z6tuaBrCJdMcru44XbZkYYmtKMzWG7fYrmKl0dOCUeN1p9GyAf5NL kma31+a42wZ3s6jSJi3rfzo9/f+dPqMSsQJQ0wwf0PyJh0rBP6t9AC1P8xHlFJ9rajQJf04Esr+Q fCmBSJmRVuusdU9yT0a/Nc/chcMnCiF4Ia4wRTIIadqNF0rQGibdc4Kgx8woclr4FbLnrYL2p67k I74No5lZEPIxbIYFNsfN6CU3c3I8a5eCoeDHdfaOsfFFujMiG9ygDINlWFWV1+sEyQ7t1ACIoCgd l0XWICgoHVGtKDXNNQgurV2dWB4b1XRDuXdliCACnz6jRBlGOm96fQ9VN1Zw032zMozP1ol5yLgH SiNODqqAOnTcANsZtZ8/XJM+6f/0XvYA1iy0I5G2KxBci6UDz3KJ9OQ6KVg6xnau0dzYXdxpa7uo ABSiXyP4qW7Zfz4YWpQUehAP3wvVaTgo8e5gE0AIZ/cE1BnCk9+1Yqh0L5cnQ2dsJVDsC01Rlx+8 KCVZ8YVxmk2W9AQTnZZjEMFgPFengmIwVa6rnOViLQenqEznAnAZSuNd13OslDtw+66ZItSHPzmk 9vdXQKy1lhdHZloJkkTslC7I79P2sQi2eKN5L3UOhqYkf7dYzQtoRy8oa+FB6bR30qwyXcTDfjNC axHQJeYA9YkEOdvOF21b757cUugd3Mgc11o5ICteBN6+cpvEFSvMCuK6wBTLmc5/aDB+bi/IUWg8 d9U2ROcJpqjN1bmiEgmFHshntMo8H5IgUEO+tPAtIpDLRGUmPbGUqdQyAie7qleoThn70/OHPGZA BYbUu/0qpJoKLanPlpDL1n4/OcF7ZyIyGIHAtmsg2t+Ohu8r/vYJdUcAvDgiOLGKEql4LEjQylZB 5kAPC4uvAxwB4oCYuLIB7kmJuithEhYOXbGeRABEcjlRMKJzdpau1I6EpoENYtXTg3ghUQHbJ4Qx d5bs4cN6bXWDpuGZcBYh0H/hJo02g954a6TcTTlGjY7RS4UbIIFkrtEyQOddIl2ClZHrnIfpbGhj kTvN6PUUwFSBmVUvrW5vcW1ETMW5P4tb0R27UJ2aQgqnOWtzMpW5E6JTPnedcwtUo8FdcAz2Jp8U W2jifhCsifrgQBB3tpLDADYxSYVAuLHntFThPKiVP50fG5yWsGFkrbdwkSoPcF5v8098psN5o7ab bptqBCnLt3TKdHCGwPKGCraUpVtHjiIl75PhCoqDvlh2b3qJJ3Xn+KJFqJQhHf4w4R6qG6TfL7gA BIwZwMcW87jGkvbvWj8jG6Dulo5ZsBB6w7bLJXB8/+dpPqTIPGPBZ01hl4iyE8pSK4MUcUr0pQkx q9F7hM+c8K6ltHebNDhHnqvUwynACbOxjsMm4CT+IG9s7WQRO2R7rv607IRqqXHI/Xaw8RSOduMO wF/gvJG0ANXFR1CAVP0gB529x6RSIJe9GEkCd/yPCGSH+DaWbkNjGB9dwNayYKC7rid/6G9ahh+e BB52idjFM1GmA41/qlVb7w7l92ZZ5X8n4owHfnUIcD9UOrUN7p5EFj+nPXWVz84dVis+ngV05zgz Nii6eagwUSmbBP432bFI8cRJO91IJdt09k0AEYRTxnSKv4rxR6ug0lDpdpJ9UsegppcU4NsPlpWR w2LBomt/NdXGnPFg4tHNhORqQAt6UF5OxR1zboSxkTm6ognNjqiAxNpCXS408FsmQZghrE92BARw Z3t+mLiR/epcDbaGQ6p9eybO1O2UsHC36dBN0h2DsAlN9vmlrk6XQobHFI9EYJn7HPji5CiF/7Nt FCd6O+UpYVwiFFbH/icOOPrALKxFhkq5OHcHXm3bNJlRjDfafSvY7tCuAu8wV5N7k0MN2zN0AkAj GgW1KJ9YrrKkRUpTlxFOfX3xM1j+3lPxkwW1llycvshxlWCpyEejlkDy06dpYPl5phmZ4Z2Rwdxh rGRwZUOh457S6FBdEJmYhUo+ooBYntY+IEGMVUZZrS4M0TqtDOISCKqSnSLOa5nIrdNjy4B6cxPv G/5ZmZhWDI8JhdEo3BouEMV9i8ODcrq4SPUeAkikC1cbL7xmgqLBBzNxrWQIcRPK4b9vRW4yShHT +x4u1X8Xg5zuBGTwzVJ95BZcV+guUeAhleasHreQCAuR2Etqpo16vZRHpRZF5b6cd5N3HHuGv/ZL NvejKM4a6oUq+amJbMEEAmWtMSJVESKfbsUeMIzViJf8/VYZ3VF0ZfYTB9es8r7X5arDtO8ywmkZ CjVy09rOcR5QsQl/W3Dp29nidfE9ZF6SORUIJIRBuQTGBe6miNPu4ZtZhTeaKbQUtx/gkmHS/VTU gavYScEJQ+XE7NC/1y9rKX2kXZdbO/s8Uoj/HQ5v66KZG+gOib2vRbEdf61oAHBIYeix802wrffP SPKHwD1QC6Ori/8whvA+xMmuc/KoUh+0s+InqCwNdUDGVmGFw1KacohKbiivai4SdZ0v7rCp0rtJ ribanztMHRDx2BN6BRR5n26xU3blhTN0NV/tnzB25DQ9LhVTCXeiwuoZASPjf7SY+NSnMQoJiyuu NlOkUBUTxH9YGe5NdibwTRqg2oW9nMer+1O+MJSeyt20n2djc0PTNRY019tR/nRyPjjV+fmWQIV/ V3jKOJ1kyovGheND5ovTr1FYHDzcyBQAn9I42ZvhivsW+CEdLPMAZYE/I1bXlL9PqMQhcYkuThN/ nPF4G+fngxTW9MLQJeSAZ3KF89ge2xwlLKWso5EYVTjaroFaMwrwguHoeS1d/K0Yeb2m3Q0eJNCq nlsjNktr3cIqhhGEXSPAGJaxizh+deR0Ye/qD1Ai7ybnCiDLUx0sXnpeNdq5gvkt+lONJSXe9t1D Zn+ECSTagpMqHz3zGzoOCpUvlp7ovYiiwF0My4Ygrm1+mrLlgjbOQYa76RIZ+Y4omLaRd0T1tm79 kpQWMYYJ3Lh3IK0esgm3C4KB4LGagzVKPJg83BR++1zhcLaxhvZY4jZnDrdvGlGCwKFNy6ZnryV2 ih/+9vwIYJFLzoSeJOOetH6Wh+IqQraoOqOV3furQG8ohkBzUU9ozavw2FyjCRgZ6RTvOh69OpU0 3X+6Fu7spB4v4bIo/0oY1vjfJ8XejkpydyoDj1m3uTzo87q5XHt/Nqp48rQG1Xy1fas54TVckdC7 AEEPeGTSrHsjkzZ0/E7p3DjV/O4AbOX2TbkMBE+7chjda6nz026eTW6TfR1Oktdo/v91kcX4+/65 76AJk/53hPibOMtPpn4YSyDctgg/0Tc7EdK9fUglocggkyHXz+k9xk2OT8qHYs9OcZ/vyWzqt4GN sZXmse10KlwdQZPvT9hudOLrets1oj+Fryesz8jg4b+8wXBCimvDg11IpFhxsJ3x0tPTrR7BwQSf W+QBKp4pokPv8guHWSgnxgZ19Qx0m6LUPa8jhhPsoKHpW3gF2WgNYeXW0jy06ejCCaAUkSFc/fD4 6FB1dP3F5JXqr1CCfz+CctP0Yjeesb1N/hkfLRyIpdM/yaKJ4AcA1kiuCUzOHlEp7T/UOPE7ZxTb ZZhOvIRL+70zkTKE1fYAZ+mXw8T4mKVpurKpTkegyeAFldtiRGsIK+AwfBZOn9VKy+dLlcDRZh5F vrfgLhTcR/hNakZdSfti3jZf7AnHcOqOAxd1SrUHpfe2JP7Gd8v8OYKM5bcWTOFKrrn5BJzQIKZp zwKKMunB5Xp2j1MXGitnNNbjHVrxos3oYNmfr/APmwNCn2q1EHM/Hj3JU9b40gJQu0yu3cb6cBNO CEq/uKKo6Mmjo6MPjKqDwdYljVZIkZfq+AC2GoI9Iau6Ow9PLxyP50Jrlxt6I8VUFWu018tj7yWZ 0ramVskBy5RONEj7Ut8ZxUHDdthyV153zgBzQGiziBmc/flzE7YSnFqiBmLo/mYalyEpAmeMp30i k0viAV9HoROjVnbJNHNWXFxe2LrrLvMTjDkkJtWBfgCPEYeubdtCc/hiwEwo2aMGdHbomyjke1h+ 8Ee4QiJVxtHPg9DrlIqKcxOco0l9xegPTpTeIHD+KLv90Pv9oSRMQkqshWxrg89+zOpIuu5pzmNJ EsahyelsA6smtCzugplqea7qcTKQEclbrLKakvsZIoK9vi9jMdQhoH3TcsPrOb8J4beLkoSbgP5y 9RdjA7uBa6+SQqzJ2ox7RN6xc2IEojZSC3nQV8LDpDVxywOy8tXaRGIiCHoBedgVLubiga39YUCQ NT0q0R3H6+vKA1m3H+s5V5NmMzpxa9Fb50ZRq+i97II3r3lppki6ioAfugvM3ZtxOnbzIduTurkU UQ0Vl0ue3gpkoODGSATHchHwNfi5+Re4X6FiqeE4HEDh3D/N4VwQs+6G4YrL/7x+HtIiOkEjcLcI kGYcLI/VCF9dnhVMXuQloRvqomwZZ4TZHP96QMzrM7tV1/q/2xxYthFmGvn0dIQV8tULrqvl61Pm RmlIzXD0hGCf8xuq8tMOadENgLrcPPVHUcMs5qAQlMdthJMxThts5/IcGVPFSmb6ldqKyd8FoyAm /30sgJYyhNyVb8JS6/U9IxSKvQbNm2eRuHcnqCggzWNj05gz1KRpg/Q9YIT1p3HYpK8rju2ik21x pgOVDkmHtdV7BkaM47hqilYnTHRuUeou++LfZoZIO7fcUyzMU2F6NzUb3aFu2tFaYWrrAv2s+kSr BxlQPzQ8Pd8vRGwGXrXSelcgx+68y9fPycUunwhvCSHhnULHruO5OxKuBHkzgZWDVTHKOCCR6woI HXCQYcky3sEH2vQKY3ueqYwOaeLCt44a6beMN+2AD2TDLBQD3ktDDt1InHZsU7HXjkFCTintNBWV Nm7Y+xGakZDC8ags5BWhaOQM6kwgrfFtACN+8bBPPmnbU0oFyCyH2cGGaWq1C2LlEOK4uVGQjqRN Apu/Czk59XOmxpuSxqi6eAkpu7OjMYlSgM0EBu9PAFfejen3WZOXrvqPC8uUNdDlbYGS2NQ+yfeT FUA/SwL8EmOeFt43ylQc+auvS8pIhiEvXP2p9rWA9piwe25mmooA94CLugwyjKNLfmQvUeDsFfTO NC5KZQoH7H3dUDMwYBjYAn/qogFWe4IqUApUXe+9xWUlvtLsdJfnD3v1DKTjY4iyFRu/wE0aieSW dC1mOrKx7cvBnXt+cw2sIIJ/2O864Ghnu3tQDAIeMlD1gymIGTdJtvgxGPGPklGmU3micU+jXPDh w2iCMYpR+e/IQzJsGiQHrDslk7K0MZlwRJz6CCq/Hld3Ja3d8UxTMQvz073a66JhhkM48GTPzXOL IZ/7onoGujchWnKZ8BbXqA/a5EipcwIU5J1rAhEncTAPKWuzAIh+79F1eZI0gY9WniHaSy1cWkBh 7/jCpfjgYOlu+ZyyfjKGRxph79LsQuQ9y8NfJK54mMQwBolpZDbfVJA8F9NJXGmExbazdXojvrkh imx0IkOF1zVwj0p6wm3D0aw0qIJmhiyaJbpHluu+SwnUcbPHyNhhD+uE/uPtgndppS1B/pZUMrBC gHQrFB/yM2WgyRPUL1YmHxAJghUFc01pvtsMxAkCa6/uwAEQzN3/dKQmVB7k1Bkq3BwB0cInYgCJ qMeGnHd5lGyK/d2I9vZwW45yl7Jb9cKiIqNc2RAU1as5J3PgSE0T9K81GvJ0TLR5WwFFifAMcNcL 9xlGpNazxJZ6TagGzkE0nCJFBPBBIeA/i45ef/6CgtujkiI4jgLzoidbFdB4yn8ckMtmQqwAAeNK /dCPVnAAacJ3SmIQGmhDl1vW055mJoJnbir6UFCyAdxIAyDvqysgkI/rqOFiqWPlwfsBc60kDxSl R7R7IBLrHHRGeZc98mYFsWU1cbJngiSGjqP8Y+Zjkn2OCwVRc8jgycaHPZPf+Azd615ray8tQ9rT hnvSi8r1E+9mFZzens63Wn3cjTYj3wRaZGxHOFh33eMiuL5nH93jF1hZLsEFDWFJUOtJNW4PkhlT iIQTjEtfUbmgmLj8NUbaoN2+EAO2hURo2FIDcOpH3eLP0QDi7Af/SA9Fh3bULJcgQ4RB9nqIMyIc BMldfOL1wHSAJRZc3tRAraBK22TE0v4i0nx72fgMlYXUJhKg7I+xdUVAdcB25AMvYzgidhQM46Ap 6qMgOkOkJ5Hoyb2m668+FMCnEyiJMu5TznP4apOGQu+k0skjt5pdi94NxYhKEKL6aOsVotL9WIwU 2vfDFeK9b+tIx/mLCMwfU3cF/Z6wh8LopS416N8BAzZzDFMvoSoxezMDQaGzWgsdjr1UEX63cEiV sP7KyBGljATW09d7cnYXt1ei7v+oSBMks4MWbuX29jrFkrxj0i8HReum3WDLoxhbrFtt5gp1uvB+ QrQyyUjI6BjJPvHRm7Ezb/mwz1dvRtMOOVLp6GX9HQNMG5TSQVpZ05o41/sPu2KhRLGaOAJ2RR8r Xr/TQqviCSWp86w0n+6XlBq8vFfG/eymHymRUz9xvPQugfVq48vCd7+135rFDOfBTW0krjD+WeYd Hu0BaYXREHE9lKa4juPnxWh56qexNrELjtT2ncUHzqBc3DkAkh3z1Ng2OjACMMnLIRkPSfG293Ax RXmelf51Wz8tmZFc9iR6+w5yX0eNcCt4Zi7YWEW/zRg4HK5NB7KJPYT6PEg5R0a+5F4/DePHcdAk Vik+bEssdbX2rY/ycAwfk3x07ppptAtC/H7VQD2dqhQm6yvKhQhVSWWU2uI+ZVpxnxp+UQkK5PNS 1wv6H7tYSE66Z7nSODQ01AUkkkXJmJx6PPgeWDwgAqtZOrwcjx1g43dWBjUR37OPFuX56slXrtLu TnkKVtiKb6Kzz8kEgruVHvvk5E3wlyz7IguNZhyWiLd9PWzFhmY7zGOjtGWH/9u1YytyrJgu8MwO bzJPQzvKYtVCiVZX6WVD5NCgPsjD2bCGpmN1MLoBrhSBt6w/x6ASZUXZGPzUePmDBk8F9cC+1zAz mgKOWDirc+OK1xJYhdiUbcDaq3ArrijWf0qTxBJTGi/nFCsqj9JFdMwZNZn/Iv3BsZmUddSmGgR3 1v162Vbenhp2M9bt2WuhFGRZCYCRbCdC51vnRtIWWLy9HGEBHQKdPOfs0fyAnPNt2sGj6dyfg67o 4ZxKw9iflbw6yi0ALWuFm5KftYCgtCuU29tKEkTwJ+lsjyOwZ+tq8JvYwOXMs2g527/30vFuxwT2 k1irOMOv0b2IEAQo6JJ3hBcOOTQbjQfHUHZEWdTgPTFHzqbZDO/bIKSeV6QypDakgA7MZMMeLiNj HlZQJtGODZGlPj+5j8GpElhtWH5djHvR8Bez5PyoHG0Y0B3qdWCgGHf2GWNwG6AVTjnOpl/oydyi o0pidqlqRaViswN1UsUvKj7O3s0uHJipF6DYeX4Exp6cvz4DtZ9A6MSXPvhFckmAGscGs0y/W/Xj 9+J7wg5Q0enEbef6pju06pxWdngk8o3BN0A/A/6lD2bXFQF5q8HaJ1bEthpm3w2spaJQUpLgFrmP qw9y/f8YXlqoKKHXajTiU3pOFC3WAjST0TmO+jBcef3s0tFypwsztnYeOyxOrX6/mk3acYLB1kNA 3C7vY31HLTO6O2yI2/FFcKsG8qByqGplic3cnEz+D6OXlC74uK7olUHt/G3StYHioPRrs3ipQ6aG 05siLkZZO2bX0ZB+eXNTXYzip8iO3sUzFMHX6m6tmLQKx1EVoyXledlce7Wm3lxZ2guixXlpTHwK uPKr4Gf2cjdsgG6PLzwC+WVI9PzZe5gTi7Y2XXnOXdjlPTODVQRV5nZ0LtZbLyipPDSQ+YQjtdf+ t8QTHz6Qb2hYFaHF8/Wk5U8qYxO9AY1wojop5R3UVlsZv/0z6qcPaCzr585CdEJxPTfEVc/uA6hG VDJVIpjLP/8y0/Jgn7k8CNmr9VfSIEzqfi6xtv/xbSo4P35MXXQ+OuKNyfLel3HvVqk2BBIStQzq CYTKFyNp+oNTwxT6/rv8+zOagXtMfVyCahP53aH4vRM47xFV3AptZzY5jTT+xkJOXh5ibaGHFHeo ykbABXuff962Q+6rtDT8WPy+JWtDLRMSZbZblr3/vEvTNHiYrSgeDM3PCCM4ldFCtpIhvxowWvJW lG5fmQOWPENsffvyHMHfkf1IsP1tdh6tQ6zGMz/8uIvcM8j3lYhjSJJg/jWw0GZ4Tf3wCzYOiWxk iCxsHbkuE87aeecwMMMpDFZVcrrC3Sdt4URI5VRLwb8zs539CXpUYhI+A78iZpAO7W6/TV06lHuh IjuDMlF1kyE5o/6pK15sf8cqTL9KjtFaAXWpgdWrRZnoPkWc5Fnaf5210L2f0PJtujRZu+TYFAEg V3e3xeO7LE4zNPMPEfcSBfr/fO4aqDMASxaDWC4L8/aYjfyO5neTxJk2rQuSw5ne+RLczDcfcJbv JkID/uSapNlIBhhFof96YdXzN3ehpVZBMQXVr+y1yR/n3YvUpzeebO/ga3/4326dfhWSlXqQJ0D1 xeBUBoRkP8qrWtoN4i3X+4fp1NkNJBJcmtf1lPwOQsSTKL6pNVaAIBzxq1lkP9E6uyxt9alj3hgQ Y4zaULxVSRHHlwutgnE7BqS6EPHG3gHsulbk9QCBTiO7u/E7uBgRpke7C1uYkBS/VZPXGbvY0v7b EcouWD5gUTFrNYm3OnIa7N2Wkp9sW4MPXBYintsyUvMh3OH/fAEaKMaKKrKw1F88PV0ouoVfC17+ nGkMjMOsvUr/O20MFd/mWQEpYWhvCUvJEmq44kkpCV6HiBmaIHlCb3oeEJO+zjnjG52UhoMB8Dzd ynVF7Qsoj+ZOxYktJBpwxIavmcckUqO38vQLXR5lDKWa2KCkfb0grifjDOZ2HjVAx9hgfXhaMjJ3 X4gLWz9wSOkEOwDi3hy038Soc3hoAyoJcuBv+1U8r9vkknRebvuaymZUQ35zdL5aCCoz3m4Y/Rdo +0aoTbG+ItofW0cexnfh1POgv/L3/PJhZOUbAot5WrUbedU0SI7o9jmVEKNvX95uW+6f9U5Bnv4N UzUCNGV+mqQrZA/fgcYkHzgsHdqUbuU5YqvO4lN3D1R32Jf1dm5r3J9W0NFFU/kEZH6RNnrQGi6c iPfK8ocBWya3NU7hI264nZrfMdR4en/cHxeRLs8YmdTOBElQAwOqA78UkzgxgjLYZ23MHgFatX8Z VtHOWCsZ8q0Icb7ZExSWW8H27TolneI46fYFK7P/GBjsH7QMVLRQnrqx9sSPbDjWdJrFr7gz0Feq AZQ0aydGyq/f1m5wPvBsKffjIDVtVKpMltaoJp4J8Qx/opNOJG+xYInzpRWtmZSimPyZzwOFig7v HOQ1sW4FNM/kiiXmk+Z/1QbUC13uVhCf2xLhUX1fdZQ9H6aJGiTd3lo0WU7OXiquMUmuCcmw7Xur BXm4TCTUfqxMu8JUAMrCMxZXJyvgcnHorQwLxH+na7vMRKKbj/RtFb71Yh2VJ70Kl/Bdj3FwO1tF f36wwdqNlnOPk2h7LV1gChE07741RhW8n/jlN+F8sY3z318p84Nswpb5pOpVGRkwVE3tUVEL5MT8 V0gUC10g6uWlhHkBezl+zJ8z9Wk9dLCv5t7TzPcswvUtZoGzOSdjOS4LNxJdFHs9iWstDGW1mKyq eh/cV3NNOf3lglHZtR0EUDaias8vkgz2GyXWDAk4u2sgnKNPanhTQKPEw0Po5XYsr4CEGcymQDC3 q+TM9Jw9YPIUFrgIkJfShEPZapgtYWP7fngl2xK8IdC/footTR2qaZvhIQtquhJbpzWpwnyvrYtz QOgQWpaFMfbN/w3JrR7oLBv5vTi2B3pv0i4FK1zP/5hnWX0ODq8+4wE36EUfQwSI3mLW+uN+Tao0 52R81lzHqdNHlUws3VPse2Ggvk1qgq++jw5vOFBNCQfQK0Tswye8SKZ3WmG70itAdCkqHx08ReEJ 4kiQOHhEEil5p/+U5rAGMIms2zEN+lCGH4m8ATyWc704XvVEdLhmcWXbOa1KRHh7uzbqIsUFKhux QunbTKZOLABmQ8sBH4vOjiEEZF+OIFDtS+sVi3bgOZOLK5cbpIHb1BpNjY2d6CNuK78qkleJxR6C UlSQPL+OpKIW7rmULJmcH8KQaU+uR8+Ie1NvkiHKj4cR7skHhTc+nhNEYI1cS4c+o20PPyyWDTVT hlRR5+0O9pTaIGUWqk7PUWcIKmtPMdnG4LaiUPNpb7QUPf6cqgMR5SOSSpa5Tmx/mc7JoLPtcDGF /OBGo8hjiUtH7QhL6QHkH4q/DwrsWTGrtnE8jGpDNXe3r3SjlxFDfry6JNdadVDtNkgz4SWeGFuk MUWPOZX/nf1gJJBYpT72f1sZ+9v/loQpTJ+7/5UNnqZLCfoBc6coyzXr2xKUz05IiMcEJLlZPSm1 X6T3MMKBPyw1gJ3JSkkm9dqTFgr0p6xSD8NN6TaLzIzk961M4iEZkOvu8BQkqfm4loTSFpoZGW8y 2LoEn1SuecjahEYgEleNr2KiJoyLYKWPkqo6aCxhAEWMkluOW0oz0oDprnBGTk/7PHOB/C18KVsG aJ1FxQqbgVUmBmQ5L6hiIjii87026QnLWErHuTPi+5by7zw69qo/LmTkdEmTI8dj6v9fVMfDSnzh UYrNLVgGMI9QBIYHeEca9rrsqMseg7JbiWiuaK2cV7f3rl/rCBDPHBG2HeuSEU5hHem6B0MEOu2y OIQ3LS1Tx4i4usoPUs52m6kYTW9d2Jud6rfNMNha6JLs71DaBTa3rkMqh8NhpkLQZCFv3YzgotsQ aruz8wJoz/xcjpNZHFXzmhuB8IUqV/970/ivOJjwJecjwoAuAle6hvpijaLopJu3GhF3HSOcp+mB 9LeIijmrDNoMOeMb0jTRI5IzJ1fSL9t3kQPrrmDpFBlv73JrXYansMMRPNp+HSqyYtfc9XTxoU33 C038HwY+V8TXTTuSqATrf7QS9b3Af++zkxn78RJKBFQUm5QmZFyockd2ZlxdyyS1lGhNGaihicHu BCLmVk1cv/OdoBqG4iAaRFq1KdVBmc7S0+mUKIgzjg2xvCIns23kbAhl8sRL9IYYMNmgRxeNMmem PtqyYOoc9hCQesfxUXGr4tfUVA6eIUyiXylUFpr9N9htdWGuyH/CMqm1QghU4c4S0PDxOOzRcFJl cl8kDl47BHlf3mcE988BtDcgTvdyiq4hkLlvA7VtSEtY8R2zCzQKbJT/CarINXvc/ZZIIjdoj3wD A5q9xflSD5NFRGtFInxMsV72zJFflR7PWysQBnEvE3ng54/D+akAK+6KT4gIDYm54qVO0HA0wLgb 69UZsNmzO2Qh5KaVkUZhc9fz0c2rOhv5QVONwX9lMplmUcfzB1Oyy8XWJyszFkdDATvw4tWHah8h /LBpZ3E8FJvqCUpz6iIgyDElmguRBMIj5Z4oDo/IMB/19GEQLAPh40dkwEx5iCtstn5OkH4yPqft 2OUZZwn7/PY+cLlhN3aP1RTqgp8M8m30DwT2eM6ap+B/1w51t155VhwgBIVhdf9bER4TIldy5yu4 pRZwwmGJfLgZe92FwubO0KLJcF7mwRL5fwrDYs6AtmFspbPPaYVsxjpsNy7nPfxzjb/HltNmbNro fNmUNCV6vaM4075+0mL756qheLvzDyopQKvGj4r4DtLqruwdnPO/B2OSnRHP0R01dWTTtosp7bR7 xdvCAce89AHBJ4nzO7HWllLeUVjS9PgDGJB6POCQRbqYnTQCzAvwZNoYpvakgY7kjbJEVU9RZf/J jzRqRB6PM+PJ9jhxjUL+YWdwoG+CnbLbDOmwQPl2/9kXwAvtOGgIJnfQxwRYfutTZW8YPfCem4cm tseOR/XrNZj9wMdMMx9qEpJkwRhYojnNVh4BvDAl+/HZtgxAtvffowSZklhASKh/xynQfuM55kjY xJyRuj8bm2ZcduUy2ntxhPirX1FmdX3GnTqzIyM+wf0399NxIfn0D4F8vKPHdjIckATqJeziRmas X/MwMCBVYO+G2m5qRmFLPBFPaCDhjUWvYwT/qExYhAuKtjTDhAH7O0JWS7ZdUm14LA8jki1PC+vl j7XYgS9t/B2VUi3iIew1wneR5TlfstAE52Fycgw+8nt59Z4ehG7aJmto1yqSP1D+KFBbFcTw3IgM ucChZtkg6uzLiRZlBZD2MBaPEhPuMHQABE2YmiRnUgdG06MmQNTZ7eCNo1bykyMIMyLD2CVFU1y7 wmz8idvpJoKYMLGpqfXBa+Gf72xOq1T1O90xZil3gepPzCed5JcqOrUd92KAYE1Nr1Yn1DfGkSY1 +CrgEl+NxhgOmIzzko0LHP27yGOoDSIQTn6OvAYKcxZHrdwAFO/DNDBTAg3stoNjoBsTi+YZ//hU RYBq/qnTbQfl7o5wrV4x3LrQm24qH10Cr1Qs7vObLXU24tMwMBlk2As0z69Heph66PEhZZK/Fyrh mpQTvVzWBgtBgbTU9AyckggQRfSsLS4KvbAzTLfZmzA9cx23lDKd9TKDhkpc3kKOIXUJ0OzeA1u3 XtLCQpk9RLKrnaEzofOWCS4OCel5KRPSltRRouTXcx7ob3fLb+tVv182ODUygyGm+dCitpkIoA5+ UBmcsUxvkR4iDAAwkXVodlHyPGLUDHTqBOO09W1OCSSQTg7REJzAbX6igOuA3GA8HsV253D4YyIb LSBiXsfSdbKReUy0Rd/vk8zjhNmVOBabq/ZdeFFKFMZhzt+4EVL1rHLIbcFfdyLIPVWBsiRgVC2q 18U88UMAglO4G+ha+i39pehLHdgTxZeefDjRkMK3A/jBJxW8tlQRxaVWpMpnOiwezuevRc4lr0PG etHi0Ird6h80UzGJ+qXPeZtVg/je/z220JgqU/W6+RauzD679TnBoS/t3qsbKcOvdMlHTzyPV+20 OQRkrw1LPE9FRor6iobH6W5ugIDSHHpfIThPMDAjASALs6A3eWrey6uEXDwgfTOKLQp2E1ltPM9e tV3hishotUKQuRYTedSF84d+Hxv7Yw1gYT3xQgaF01OvNydb366liG2JOiv8KqyclAkp/ybXjEtv hfpGlKTr+GTtuVz4QhcjlhDFAv3M/O6QwKa8EPDYsa5tAVE1Cjytz1sTw70QcX0cR1MfFQxbyeaQ HGS8pR9sQVpPsERanBOqQDSFAg0MzbosYmhnsU9Hc+haKG8q5gXtoGKbI7pZhB6yQfVRANB/0eOC 7FOkIb2k3b+tQm5JoSRaIRvFYhLIPbFnAbdRIMW7Angjlay9gU72ervcXDhWi7iyInLNFSnUGJbU JSuw7dxivLJzw1YdjARyqhLNdJcZXr1ARBtxa5tw3pob6kFsDU5Yd5TVC5ZNnXuwQ3egf0uQJ9wU 90dSH/rhj5xFHgbHtBdTWKzDrE1ZpjPQ1JHsT3fvHJkzGiBkLtXmMU41YN2jHEwG/nIQ0gXHhdbw 8/d6SE1CNGCwG9yBHqgVt6TM9IZsL9bOAhQCwlmwSZdM/w9PFI17sE6zCAcLWP+9EWYmnHv24tm5 FJHOYO0HLvnJw3eSLvmiK9d/YM17lEKsktXca4L97sRu7eojV0955SWC9Mxmu+slAjmdNB5NJgh7 BaZ1rlZfgZGS+wAfv3I731bjfMBgBEhjazELCQI3OE010OeYD4M7MjUqSXdJ5ptgiIOAfW6ZAaQE vMPr+PsHbX35TwFEgOo/nRSA1ReVos4rED1eysqhfxlY9MA278IHWyDSYIGu9QedrgHXBW2EnvGp ze/tCVw/usJG4S/Zn3Z+Qs0JBp2B0qwNE+9eyucaDaLN/+DYilQMOysM93338UMcUrOnIxT/6upE 2q6maiqmE+4IvuntK4WhRB3X49F09Ja4TOPORXv53AZHw8cxdWqBUHAWhpw2SeM1UsgPmB7+HRm3 tXnAwkFAwoAFVoo2h+2XqtBqAZdLku6MNhoec9CDsCh9+IoW5cWTy73RJRUNhqIZoy8fFvwSvpmE D+KR4YbF1RDHWEUDvH0HyL065w790XgmAPzlC6RI+IP0PJQ/LGZd//qLSvc5zdyHyYxosoKlixug 4iSWPutjmAXYX330T5Va8g/4dYAPswAo1SRsnFampLuU4zp7kHzZHlg8+NWp2qXHA2fCJ0srStZE QylrWaT5w42wuVt+jrG+LZroGEADT2jMc23tbWnXeYbXM+icG6o9HpMlp/flxNPV0Igl+Hh33nLT nrI+Fg1jW1jfq1M2biH91Qpon7+eVaTY3yny3HYAYS9sJmSf9XjM+QDi+4ZQkcN7E+tQH35U0QA/ vWx2YwbBHB1+NkiFV5FYMnIyTVnQKjWAK/1SP3XMqOZ43fHyLBMVVxshvSmmqiahgP9cWKyA6Qa1 oUF3AG/8Cp/cuauaiagwrq4tSvwZA6hLW9dgCJ8c1sW6jIEjE84HIAnkJsF0b7PDeY9XObm3/nRY sOpbQNwjWLzd5gC/H6Ji+zCrA/JFkNBM82U1+3B936GGwiFT7DFdGoNZ0+lfP3a7WMpC2sxl1fLG d0tbAs2zpMXSkD1hbp0RwLMCz7yudjDlzK23xlsGAPB8EMcNW7LbPGJnx922nmTPoE1gjTBEKfVZ HsOzhu1z+CcFHIl7Byc2WG5EW6QrvJeA0ZndpMfKGw4jozOneuZEE4STnyhxedy1ZMl+Jdwu2Kg8 nndHrmfYDGDyg1weyfCH3W8C5PHVfWOVNcCDt7eMoCDGJURZt/IFyQN2ZXBTCnsXxB6NKpERNxkJ U7U/8rphODZrFN8l+wYzzn5vV+OAh2ATHkXdbZdEhKddXK/6VbASM+vVgofLkWIMy4obvWDmBjLY DsJiRi0TxXKql/WHFIS9375wief09tU37Beb4cSndZ6TnF81eVyZAayaQtgNwuhRbiKaMQUEl1ZV JSY5tU5TP00+Dcsf01MKTPcYskY0Jsd4WKk63fv6ECERpaxBVadQAAV8OjQYwfhpq3K5lB4JT24n gyMASQcWqQ0a+yEUZTD+Lgan51P7v/zRXHDTkDI8+6/Gm29Y8dQaNkDZfzVlFNidwNwHGoRFRhSe 5YQUX4pZbjtsxCykMm6mqUwBOxE1yknXYu2GNdcHNA3oa8kIl+C3dE4aa2AfsmbtRG1JsCgOAt1f UA1y78wf2lOwppwcMosyzpDYOYK2a+hJk3oT7sSKFHEv354TNWK2wGsSwPpCoHrjfjsRyGtJTWYe ahI7iEd08Kf9VhLjzpun0+rOyr8CUYFI4bvQFO1544Rdd1xALaNU8RCAzTjZXrS31c7QOWT/HoK7 7OhZU/IgTLy/km6NBh+CITuDfrCXQPSET7pfy0Vjx3Zippxuq0ohiEqbB9Z6gecdhd+Hyke11w/r 3iHVzQBiRrsKEExhGJbHjqlImwTfqxSeFlPmIcfEYvCD3rC7Uzs1m1g4s1+6fXQCdiKjv6iWyHh3 4DH9vZzwXhPH6IPz8bqvM1yzbHLpCW1tSULK28778l28d/TATLe3Hth+crD9Kqx95zFiVByaMclT f8Z8VpQd6Po8jyx/Wy64Z0MTRa5lHdIwT+TYGXtozoyZEioH20yFjzh8FmPh6OtlZ4CbZSWpkHsv aWqN1oZw4PlapEmtpsM2fzKgJZJ1cjajw0wOvL5U4rDPtU+jM2wLYCSXvXqsNtrU1tx7+CJMvayY xzDlwBhDmhkDJa3YygyLr/vEpeA0dz02vulbdhr06T33O20cOja9vyyO5+vAfoCGdT4Xkj56Rfzq cebtJJs+vhKxdBI3A8K38lgBJjPaB+EKFtikn+Fpz3D3MyxpfZQpEtbOsNjCeDbm9MjmNwAo8BIJ Upv7qe33GNgVP9FhBYrKnnVPR6tu5sFCBFjUzttaZh9D7YUUUZiZI7OPBczDHRfs1BnegxGv7ZSF VUdOKzQeNM4zn1j/Q9P9jVyWBmbJMcOoEzMluF1oypQQ03Eh3Gz88PYh20HFkTzUF7/XNpMayKr2 onurVTIT6PRCvASfNx2k6EmcD7iItnUX2E2SSAM9wsDLkaqBw6VLw525btmRG/MkzEBLO7Bibp98 aWCVv21V+tLColN7jVeAfxNs11FJUolw9F8iUkdBTlCCpaMvsYMDL+fmeQeu1c+EMzptF0yT8+Pt 2gkNxeA6W7CuswLzvkDuIv8w1kBwbRE0Wzu/NqlR88oVwswvAY5xi82pNyKEr0T9V0WlJtayyIyu gSGccZ8Euwr6B9o9Qyf7DH+yiB0/qwg++ALGUaBfIZpbtT4liK5HB67KIV/KOjl1CAb+pat472dP 8OvJ9Dz8QfE8UTktW+SkJSlEuj+U+TVN8Wey6wN2k8nrBVyYPQH7q5B+J2O5HAy9mYAcckOfAP/k 34Ue3+83OTKAQJobpbcFld7cctyi7dJxUpSSfPRzw3D0taj9p9EmPwBuUq4DWtL2UyvpG3rEU9c6 h6uMzIqlkM4gJPE9dDFML3IOdtq97hbiUFmqgvl4zlcR8Q5yC9u/pLVeZLbMfxy5X6UUWg2bOw5B X/WE/5dtgyTsOBdkvBY/HMI3hGuUo/gxwm8Cbjg7NgZ6JKgA9HFxc1fe1peaMezP3E7/f0ainhpP GtaaXUruJWKBsU085ApDwAirf3oGBk3dlMkR6tQNo2wn7wpP0XF5CsdIRVzrUp/DCo+id1r5MPqs y6ERK0RfR9hGpJcEoan4CE6Rs8YtJMGHsOZmFuIynx2kP7cqb46HR/4e8QRqNCEft1WSA3FsNfDm 48LG9xlBbMCk+4VQfwai6pSmiD5nhhmUedEGFVvAWNUAIUk/kGdQt6p1jlOWSP7QchQuXtJ3BFJG iAP6+EfA5d4X4U638MaVZkieSVXPD6xDHOFsugW/QF1cuWB7isiDkZ6t7EmSie0YRHyo2BG50zCk FsVsDkDYuIRvCnXwUAny8mEQ3TYrIlBOvuux/xJmWUQZw53hQ8tqFVCVI2IxfqCzE+OoHXZTkT8a 2WTgDH93fX1NaiMKDv4OiFwZx9HyU7xxuFSnOTEH0WJsrNbH+9+oDzZ6dmBAw5xanx17dQBvjLrz qhlBicDjEKHBNQtD5Yk6BMpPFG3Mkwxo/SaoED02SaMyPyQsqFcY5x8SAG6lDhJfJygOSW/ba03f Y+BrPI5kBFLIe+q4h6TenzD/sq/0YqzQC015EsFB/AgPOeDqC5e3fgdUax2nlIxxOCf3pMPEB+Qb AI8IcExFY2JwkJU2DP7MYJjKiR5kFKULMmz57KucEs0dQ+rF0rx4tI+QN3tO3Fq4x5b3vXWwtYMv dz50GHM/zG0PG8w8VbzUW/Xl3WCECJweESovKcg+o3gBbdNGXqsFhLLdL6MgJ43qKZgJTlgUIhad 44u/JzE5549T78V/2aTd5tp7etKEV81N3uL+NmJV8N22nrFdMw/cNOtbqp40Oiz7dfuRYpjUmgSW 9SbkIr8+2b/WqcPtSgK6Sso/CCT687c7rq+Um676eAvsR8Ykfzkab74lAhl9md7JuqOfdzx95bIh 18nFZ32koZTprW7kmqchxMG2GrgU4DBnNKnTgucbiaSw8tq29APF4Ys9IiTQ8OFom2r8mgNr/uz4 SuRHH9SQGnKKfg3Ojjpm2m3HcnTvB/JY4pjyoHREsL5EUw5dlhOff1lXmazJ1OwDUZu1nlV7R4jJ 523OVQ3qZcxulNosEavCRrUoze9R/1JDN0NvO/O8tjGvcQHpTL9ggBKtRVGZmd3JcdOLSIsg1YrK O/aMC5dZdhCPqf909KQfeM8Z6/BySQOvWskPZ3Py1UkYd7M0tvzoWIdZeqehzcSbgCP80sTnbwTg As2sERpb1ODbrqLeTdK56yKdtnxkbOAiNgUzFZH75mcL1A1MJUaGffDg/PMv08c7fiwtcX8Mjov3 /nw6wv2sU3vyycnWL8R/vgXbBPGVkxqBWPPLKlzZyQHmdKbhRCyX+CE3uafBOJq1x3O5eM6OZRB2 ZLDUXApn4qOqZj3m/uvQQm7FfSWkoYeauUKtoQXM40xwgLlhyOc2+fsQqCUeQ7vRtL6O//8vcpFA a2DHZnQQCSy8hmx1RHKgGXcIzrf1gq3cdMb9uGorPba8MA6snIomwe2M+HAGCLaX+F+fxc6oHMOe NfeMuQZW5CQQeWpTNyQD80ktcE8ygNA7tibtW42WiFIGSQSPnlCmpDoIQZ/ro5QkVdqwG33Pv95g 78SoM1ueJs8Tw9/Dz0YuMuV+nhDPQdejl/NPkDcp3GyO8gJNYNYmDVWbVJ2OzA0R32yDsL0NNjG5 Blw2MU8Dt2acxg/OmyVjAhs6W0pwhElZ01F50TQNACLhZ/8aLajRm10TgI1qM7xJN2AEMOHXM2cW 53EN2UbcCRhPK+bYAC24782XWCrC6Tr0d+Q6G9bemxzd5ln0Uh8TTOMgk7d2xM6pQ3rAfG1JKziX l9N3EwHvMYADRGEbX0oRd51ZKD19Xl0ueoiKCVGoU6DhfRwROO4qzPSd8cYmfQSOy7PpdT6PUVRU yeMTdAfGUiymhPxGMQ8QN2Lkk/6p9xBI6EPEFYx9l8rWZUAqYw4thZ2IqhK7d03pSd3kEEZdIEQv jp2lFaYFOMMi9PI+VvC4/EUOe9Pa+JiBARRVI7Ut/zqFDWcuzdNQvuttahz6xmt9AFVQy/tQodJ0 lzQkVOaBliQrrtWjB59oBD+agvVjBFUEJo3YiUkiPsrajkot6UDjinyqVDyl8e+IaXy1LlcliTPt ZivPySvoa40/oqr1cGPItTbSRMUnkt1rO8Mr8FbR+KqQNUKJWb3x6A7MWeiEpsjkCFX10XOmFsP2 1zYV8MmIxAKeMLxeHDZY6tEOVJusFI35jMomfuqUhXXuho1fBQ8Ju3rGb0Gup7sW6JBp9UEPoW2f PqD4pJ5HG4wdkJuLy1LbB6j1QJTyj9K/OWNZeF3kxTlg3gnFvV1At8s6RJlN5y5GXjLyebhrHSkT llCaXjkdHJLN6x6WKtsEARtDNcsRzzsRjFVITXY3BqkubcawmIq1UgVqcnrvt7K6xJWJuuq7cZmj Hd7fDZ/UAkb7YeaCVj4QZo8REngIdq9aIltN7mxs4Rnek0gMApQZMm5ZX7xao/03DOx49jDOW9zx ydOZw4KMG1r8qA4dBlw0MLugYOY90wevTLHQPjXX0DPKFcePa85m4ZmwSb4uSKnHCE1i+GX5GfQp n2LeJ9IWYiEQ0D59ruHAYFoERKiKHkGxQEEvt5QikIXrSHUqTPjTLBFQoS7mqklHiOLMX66zInAQ eKjU7LeuB6OTmv2t2IMLGRqB0plngWIY9UuEL+QMItHQMh5JcU6+jrnE7DZkm49IftEhGtNb0fi/ uo+Gsp7oNal1Evhjbq8phNzg8w1Kwt0diKXGGoAI3NDD0z5ZGMz/d99f8woynEReGk7eh/bsWt0T zxLC7qzsTKnConMeXTwJrnfsgTXDbMK+cUSvFAYmRgcJm9OasuCk1Sw32yskTJJe5pUW+lY0GgJL tU0AKWkYog5aJhDUY3nSVGphHZUA2mMCDWJGOMAo+nwWL84Xumhuxv/1V2wP6BgfHBVRqJFso4iY qB+NC+2rdqOhznGK96ma6VfB2XdqjJO1p5UYJBQ7srXZT7YBBiNRMQ4QwDI+Qnc+n0cs1OWfnUyQ uUCsZL2qtwkDvjAlhZUfGCClchkrgKV2QzRQu9coDs896mbiq3HLqhCJ6i5RV59KIMXXfBBl8MJI EbFzfJNpyOjivnj0ucCDyHXtRyDV3I9FbrZJY6JgIqAYbx8MzBbrRs/TMuteHV/ompGBIdUxL7u/ CLcsWM9E7BVpGa+tQHFyt7zsx0Tlmm5k9AKkjz7P9wjDKV9blUXglZK/6jLQOcoI6mzcso4mDqmJ aT3tGD7Mz6OxiFFhOjV4owL3/o9biYHHLqAk3ysXny9YMWunp88lFGYJ3Xwo80tA6878/qyMCIL/ /R67cR8CD6UFO6g6Cj26vAmbh1er3nowU+I5F1KmEcD9S9DmLMXEok9g9sCYf2A/xwtZ3LbcS/BP i70DDJmWvTjh0w97K9JvNgw68302rVDN/Flv6oJrT7iQFMmJHyHwLJ2BkOagdsmggbR0yBRodwMm kRaOy1p/H1SVIzyO6oBSJKbByx2avLycId0SEfEAtP+6zS9Kdv0gGTkO1It+xDUgh22AWeV4I0Au HUffzaPOyVxZpbAKIv6ww6Gma4S12a2Jda+vpvoFvN5H/pWF70eB9vIAOz0aDD79RGQJDcYp+tYH m0tbyjm+pmD9dLJCT0KvuczxQ5UZQqVhj9Gi583f+gMoIFgLESKuJABUrAzaAziJG49HXSSOAHYH TjhYNmEAagrTZBgp7QTSl2Tzt8yySOz8u8OkE22psAltTmx6L5/wgVDUoyHzcdCGyQ75mdK0xnBy XQWR+ZximTaF9tOLEMvAiwX75unxY8FVEE88cpJI0C69SaAe5j5NqPOvc9MlU7Z8F7HulpUfdMiT M0tVl8G76Y5u/JB4X1+6WnOjzMArPIALGgYjhyZFzPPvEj7V7CrbY2WWz9JuJFNMUGd8w8n7Fr96 dQEvf9GwXcTSPEuZlHe4r0+uKlb5PFXg84nXVlwx43ojsnnNmtggs3YCqHZcjoyxBGZ06ni6UmZg KrB8f2IXo+p6myfMgtUo2NjSel5Gekh8C+bDvA2M3XIwHEoeEuy2E6ZBl2motUUUjoHtmahLKmcf cGan2g/sL5PaexGmtXx/X/bLpIPnRAKk3YwXDutBU8mP4ux7QT1M0jFK+lacdZ+LRUVrysc8dEap rexqQb11ivqC1Q7OJI70FeFs7yG00hrWNgmJ0sIQOlx/9fNPcnFvZvHGp17vYkqVsQe38fOapkOl yKwraE4oFqQn39HnyPQe/+rQCmBGF6Jf7EmW2ImBOtp5RmvZ0GS5pxLnH+tnu1MuDEvpkZkFUtq2 j/hzYaEVj3J88o3ggWHv+RYvsyyBYWAiuC902lLih75YXEvN3PUtcf9IMWAXKD/X87M++ZqFMVLU C+kBjvqSFBymwcA+8z2LBqkNBj1ZK1tGSuWVKEvNwbLo58ytK2ZFPWjbw5xjVOBx3t0X+cp+BUdt iqY3Cx3cP+U83CTl3dHYlD0qyasiCXaydjJKCMll2C7MABQ9AnC9ag+PZlVscPyWaVLYzYej1hqn dX85ws3IE1ac2tdCltvaglUNxMAmliZ1LaRWzbmbul5cMAs+2/b3RLkLb6eA+FtfMEd3Kn7h4aMD 7spjhHjI6hsY2QFAysXq8R53htlkf9UtaNO1qlZelz7usoB6JQNpcpZH2qUeRt1prvLHMqrpYDlZ DZTyLcvRbUjXGjBQ3GnkaPladsHfnUW+ArNIKxVkgx8OXa2KvEHuBczDCHsum8rIZ6q7P3vwPUpx SpqDglsrIk4FunsujGG0DMZ0IrjEmFc2LYUV5gce7okQ0Pg+JIpezhimYImABeSt74l+MFiE+o1t uH7sht/CizO7cb5NLFTiTr5rjwidVnJQ/kiMYuED+XTLgyA7Ngn4+2aNiYsze+SlrRsVoMDMn+Fn 6wCE60Rk3vzM7Xv2rmRYl7x2bE0b87OfCDExqiedLp3G6mPTxQEeK30i7eaOxPAz9j/XQV8Xfvtf imB3GRecn4zjaWZ+KcMInOl5+toKIuEL7c3JQskw02jKRzDx/GXGuvSs/fneDLLQDOGFZTJ4N4qD RFH1idKpQiw2NWRCdsaVu6xLVdLtAmO4PVJGA7ZaPnudsah5gTqYoJMVQ64k6/wPmoKqb6PocyfZ x8F8s1AEf6fmPSq0jWNlmninlVJ4WhOdokvrJS3GMeIHcKgs5fHR7B7lykbq1wS/zp23v4J7IEWo 63rXHJuGyi8BzKuNoSS5hEwvWU4nlCfEQCx4BOEhbU8Fe3E7EttrUeWxwNB4Lbk2QUp1f3cNstzM zey3pEe+kOYk5YCOVQTS0dCp6C68u8SwC7XYC9RAmc2XfH1G6dMVLZAfPhTmTOoafrpKpjsH2JFi 3mYeryC/FbtO2co7Jm5ckvLeOjs34n7z7PoyHAfo1Z6Oq5NIOINyK5/cQLkfXRpjBbMeoskswkWv x2eM2NLWR+vdVOEnB+O9K5xNZvei0Iy+M60FW6Qzk/Dk2nho67HKl7mV2r18rvcFE0mNAs1Lw1nj RWI3p2SqWQrYloZ/jctcYjFBbka2VaKgEk70Q2gASKUFus/j4KgbvwL11sgCkAg5kyfIV/2N34GU pNCqyDmfshI5enPgy4VBpS8pvhO81b/PK669aG3z9aFNLaoV7z0aPNsBQ2c0TnACkbXdJ35zycdm 4WKppiAoqo7M3t0lskRdK4paj7YH5/1QSu0wxAYHTIz0fxbh/mx7mNV93DhuFGdE7u9QEvJtBk1E aimiom3DbzAbr+bnOTQH3O7wHwpyE4mKaCt6spABSvA2H1p3YbEprKm9c2J11gVAlS5DyNjwJRkk MENBtg2YaAkVaXdH4fSkS7/tceYbuTlag6aFV68+Vmdm7wSscyEbD84b6qNxuL0o9DJMv/+bADEc 7TKjB9O8o8PzFrNP0kO9pjfNa92bYNDSHZcEh4PaPEwRnCqRW6g4RWHJkts5XjBvsyTXNN1QbFm4 6Cowi2pKC3MpfCGPX0a9QO7Di6QiYFdZy9xLx5AJ8ELG20c/ta/w+OachoIYYf8BU9f4TE2T2PIp VRjMe2fvktXhgcjHhEuNwhQT+vbayA1gR2LqKnHy+yJe5MGCRTOBxkBlkBnacxHVXyUNeiu3ilMs Hm6drmvjPX0apRlBbB3mxmDu/lid8IUMhgMTAIiv84586zNhOHGISQvjhRxVSffrrO54cPflQ5Pu hhSd7uFEF7nQB1tkwT9l2Ygfb1GAcuvehCOutfjiIiDApfDpk9QUzFvmZm9A7GyZ9+xhrG5Fwrlt rkPcsRDyGK5GzhcrhQSC3lVEFZiQ6T7DUVHc7LRelZKaUu19XTK3Ci89XrRJog01CBAVPRr1CfBp rdekHMZzdGYWYrojJMeUk14Xa7+OcTHBy2RB2V8XjC2T/90iMYWlDo41l1x5k2yIxcHZO2mgv4fh 5NY5Vw7i5kkjTLV5cFaThg28imXl4SvMOosQvmjx2kkvrW92eFBXkr6XKm9z+KrXi1w8pTJvudvr uFCe+eIvVctfkiRvH6kshv37tqzH5IXDypVYdE9lLyDctBZhHMPI1GsZP54tqmQJL3Y4V2IkJ0j1 QeyF1d3D3ASIj1fsdhI3YEVPTDCO+UZroqMYATTscan2ny60FOue0vJIg5JmptahaTWe7cpHc6z4 GZqHiySrsUEuRQUTxUtBbugAtJyHhPivu8LuNKqjRYXByAyxDIUZ56Ozr1tWzl6+I/Su5TrpQvkt DKTaOt9Dfo6hPqdkAC4rPPHMpW0miLIIxMHQreSmFqTz7HqzAUD/JZDIYu30cy8OSSIaRPc+UioW REKJfA1/Upg4zTxd2xNT71hpvx3YbIGD9m5pDT66UuqaoTXVvzed3onIf7xX5K7OjagnwOZXVvdA 2KV3bUcFUyRstClFWX7N5aibtQ/fr2I8Sc6p68QdtSK5DUTXtm/KaswHso3GmJOGX9d0EVU3O2Qa 5wsC6EMDTQ81dIc4stwZwVV9sWGwNes45/MAKSzFyDTy03sMMb85VCrBhEol3oy+9ylwLex0nhm9 UnJ2dZwEdW4i19xHJf5uZ2Dy2vxm2unIo0JVz6W4DcajRi9mGTTFNIaG5EepCb6rCgP8cXwqMbJ8 8sDMgjwXm3UY0VDkHs6cNaJmOnsGaSeCdOiwpmT1Ct9i1cIrgALSwG9x6NHBSqDc9NyCTupLKorP jgX1pH6ju7495AgPy7ZEphxYKL9EV1O88JegHpa5J4HNNowypQrFyvLG3lduqsTkE1av/c1fezv8 kux0Oy51gGYysxyPuuppVpwgsfP5NxfgboKmcIlAFVMT62xHmSJRBTVOaqfIEAmtPwxxTzrskY8x zhMnOuHwcSEI5myauJJW5Z1dKgjjYfljccvKg/A2D1E+U7OZSK17CBpJUhaRcMr917ZBC9DeVs4I gOoeZj2uV/KTki0rvajG4g3J8CpLkyuxjiMZqfIaDxuonA/VXFZrxymXFLk0yNn/VDKk3BSNES6v IsryeX27cX6jwLgDadBJeTovXlRAker2zWdvCuj9QlWZi3t0942W3xhysiGpyniRqkiH8OOKkTeI UVVtg5B+XD2mzGe4fDmVtNG54VdWK1Ht2iteqNd/g30/k6JUYFD2ugpRNnrGKJLOJLqn6ug8M6UU pUJw1RzhUFYTKL9or6+Wm2qwOxAKtuMs1XMyqXH1VFrQ+vw7yft+yLuXvk4yycdCBNBmKxjpQEYA 6V8RgKUi57Lvu5MilGEp8bzNw+la0EfLmQtDOF7rp2xp/MIvTT/qxSscP1LgNAQwpopMjpl5xsO2 eQWxHWefNKbifp8hxGKIXi/Ymysfbcygl0UCgD2OEsklhq27Sh3AgIoC9PJz3GdohFveKffvWJBa KtZ/TYv4ffCQgjeMzdHmi9MwHlV5Rz4xC8QPuPnJTTK8gO6jvyQyJ/1urZe2X9Kmht/0DKn/a22W pO8tovnDr47lePZ8kEp9JGI5di2WLyn3WnnvGFH5ujLxN5w/h53slQ5HK1HVqGJyi1iBIaYttfoQ g0k9J+FUU2rIc2GOdedd8QJV8Ifh9QY5ypGVCvL4WM0AgHwPJIQ5KFdrbACDTBx9beP6NQvtz3gG l+vo5ZRe8dGPr1le1R2CHGLkUmH86o1DyfvPq79m6dexZ0iU6N06KG0nvjTxbHqhWgy9qFTRuR7y kcac/G7KqZHGHV12NgWUev2YGgyqYM1j62kWx5ux/oSSNtg9aiNZ9mT3rhDLj8cNFPA3b9Vt3lZF fIAOqqcYJ4wg5HtUEEIgNPcUsuOQMERniFcPZfNKzHNIOUmTU/dWModNYMkbO6MpQ9twLfi0IXUt fU/Mk3r3L1m8EvkDEJNNn8JcSQIju8uFDafWBFFnj7VGZ1EwIa/HWcGF5Ve/iXdTGR8qrz95S5GU 6FupobUY3TQvYsd/csxSeIx4KHnznWeOeZsX2B7qZr/eXHLZwmE9yx/yDreiMRXTdbobBk1/eBzA iwFPpNtSfgM6B5/zYIJ/FtOnWYmh8A3cEsNM/+Y0/NECxNr79Cq81eWgeOLTGE7U0olhXYSSmcG7 R1kC5tcniWZH65c/GyA3NVAU0ZD3MJ7Q4ydZ1kXLeLeTbxxOhKep5UMG1rgfCuL9WfX2OZwoJIox lZGlZS0JFPNPuuSgDwGyGWJeRSEUa6jcV0SUueTQgE9kKzFGB0ZDcMcGgrc3u43Yd9MRGpA6YyXc /Om1USXVymdE543L6QOUeV25ZQlTt4Ym5TJNQqzZ9EsI8UMNGYVfpMtnGrq4DqKuJcIVTZowbQG4 /h1Xm8BT77KyzmigEF3AMZpIqToL8ITXHRuOz9hO/giUqu60Pjsdw9Y7qy80+r6ELmb7RMy4BOJz SyCsYn9xAUKerr33fC2RQaA4nixY+JZlKgZCau8D8G9NIAdC4lxixQnbTHGixVIkhwW0GN5jGq2J g+BhaWY85rUrL9UCP/n5/7y8jnTr9OINvgTFQ3afHyOiWB+VrFRoVSWvwfB70+hz7KxFbXHCNYEN PDMYtC7c862ku6JD6av+6BShHXq2Vh2QohwWmJS5RU9ImvvWDBT+P4qUTNSyIPyIKszC0WBLFkHd inTOzsOxeJyeeby2fdoo2CIluqxx5IsPB81jFqEsxwadbMotZl+9SOdLpxDHVeCvoA9Id0FdR/Gq uYRC7F9qMQIq0okEOO3Od3W9bP1HpHwWV9s+Xeo2G7963SqAePT3Klzfte8JYutcV0PtOxpm2/EP 8Vf9iTiPSlR6OlHqmB+ZqYSwHUKoafa7cPLMm+URC9BamLK1ihg1DVh3Us4wsIoqFK7DKVl0DKA1 I77kkoxWbUtyScP16UmQTwyGjX2o/tsjsx1MOiK1lpSRyOdd2pdcXO5gb0drd5zp8tsoR8YjPYay wqRit7uklYlcqm1cncMZEpwKyYqgXOOh9fZXfsHKHWuMfuhpPzEAOj4lJ0JWtzfvIs8l00DoyTEc Hv6nldru4iQXZY/4Wxtv87PSIreYhZiOjXgjk/RAvSJgS8wsaseqkI/AqdBsy1prPGqjEL9Sq3c7 +YcRlWBstq/FoJaSSyAEagBqE7AUWdniuMKi3I+yy1ugd3n6JZ0v+SasmAbdMM6JlCaoFqpJHx+W 2BBa7f214v221VfT2uyTVDm3P+KUmevvM2D3g/v8B6FcccbeufNDztZ35IhFYnfFYNUH3NRA/m2C QpmYOnfSted1tbXApC4rvxbOYaQgQnxxlKCXzCq597mqkc/UTppjrKf3jG3tHd1QPoyM57JV4i4T iaUEPgid7VTye1f7UkTEDaHocqPY/CA8LTBFzuzThESbbIGUInM10icyizZYfQM1+/gq5XeRwrf0 61MQU4YBOcf5EPBkEnub+atz+DzILLkkhl9liz83UKXmFHG/iN8Bl06cRFQXfi+uHcHcbvxpx1pB IPEfEX1z2i3Hmijisvnf+S1aAhAskw5aRDJ+i6uCRujSY9TOe3+8OebtUlmPS9LOfyJQ9QzoAfam EVsEzDXZc5ONakkw5i3yDZsQAcwGr8ehcKVHFqY/2VWbFpA8YUcHLMFcW1C4VbNn5rRwJUaKoTeP Z6j2HzZ9l1q5PjKU5K3RFWAJuGElrrgnhVlBjrKTCw0p37z2Lx4m23kWzR/oMHZiB7DHcXgaKvY4 z96B1WGD2GxFqluK8WEQGhAri8b5NEbD/y0U0WoA3zL7jVAlxf2HUmmWkrnKR1tDSow+HJhhapjb hkXtSYAZarW/YyfouG8A6je/q46VUarfMavmQhfaCE4BI6YvVAE++7N3+QdtZvv/Bhls5dSmjhZn 33q/CDJl0XL3UQPQ53+QItGO/46Wy4LxqweBueNlqu+mJDZDGEP3JL4EWsw+vyz6JfsSM8+M8gUP z/mhbPooqAGjJlsRFsTDaLz2ITyvnjln4JiSacMvxAn1hDdM0eYQ0XdHqrUafrJXbmDGRbsfmC5x w7CM2Emk5qQLaA5WIwXD1CmAic6Mzw2lkkP9EAIHiRp2SVxkd4dbzKH8SXmoYfOgVsHHgHg8oDf2 e8DXPlYw89Qo7ScGRWD+jUQMxL40V9hRdeGJKQfUwZbCiIiK6hAzMc91Z7chmOy2PBWrALKilfnr rqOtHCJEta6phgVJd1oISZCcFR2ZBCWqUIqG5y2mMCtJKMCopo6qXYZnePsDk1XTx0NXvgTTWwRt cRcG3KIT/4gTwgS0oDq2JQQhOEgyQrOupUAgo3i5m9VlxdOapkUa9abz/rY3jkSTC1ikwSpDsVi6 4cH02VcJNbVGQZYUoDymTGFihGwVSI8hFwI/kMT8muX9mfaktk+gQXYi0LWMkrYGM00bNERpkvZY KTgH9SW/AHkc+EhvqumNI1QoS36pZ5thMU80wUz1FObJqZXhBIk5zen6+Q6yurSosmXnH+j62rps fMbs0T13zpjFGqZf/nObuYDhS2LH3CKnB1ar8INR03G+4pPmOdNKb0hsEIFQjlmLsBHZWloa3v+f EFSV0BA+x5P4hCzyJX6ey4OzqH6kv6s0rxLl0Y5gIKS1O/HGuI4ShbjW41Bcn+Lb8Aytx+rRbt7X Wwke5R6ShjrF1WdEdba4nRBr2AT72plFffo4ZtEP8JNUNuv+ThwyCRA+kVvS5EQHRxBAR0AaPiT2 zhqf6WeuC86F35oijf2xil4CUjc85ixKuaNgwavgwW+5zxV4RkQ2LVyX+LTCpwCW02cHZifITFTg yN6n0Gp6cLEfsb9mQjMyZh91EF7lEHP0xf/pS1FD9e1JADReblg7vp3CI+9f9hrSpBo4VQNPHxYM Vu3upxHS8dSUNfuEKOzB7JiGTcxpmysVa3TSz4Oq7AGceRekGi+41IBu6gTbjsrs0jp2CRJIfotm lqT8BpIOM2sRTVpMWjko8y9f/Qp8dwrXr9Jn16Nz3klyuZJwWQWafupNyWFBT8J+LlnQpYf2HkLI nEq4bH8E6wxdc4e+XMh8TpFRJe4I+/un7DtM8aYGRIxCFOo5kV2cBJdSOQEho8aksPSeCMEYUKo9 1z9L17zAnxftyZYpF6Dp5l33Qai8LNEhJAcQOlDOX/1Xb7+GKJ7Zg5iri9W6P5lyuXudxXRAw/pq G44NXa63HE1t3/XXXhsj9Vc1I2SIM7TwFSItESW1bZ5GkZCuzhnQzOju6WnC2sKWTAFV/JFE5XuT tmekQHLOEwbuJvT/PYjTQ4m/9BRZekfwDMSvibOlcjWwFmBEaYOI1wERp52sSlegIMKx/kwe8v9s CtRGiAgVFmeMwIUkEntABGGy6V7pYjEKFlLtvnS4aLjRo3L6ajFyj59O6VVZK3G+N0H/Wp7YHzAk ZL1EQG2+cpw0RA1y442cmvqtAuL49s23Ko2MO20CCm9ZVX1WJ3VkKwOtqIjrurV+VoPkEreIka/P nD87/41cba0Knld0ss32pM/hHHjs3hMOTsZusbRd/9WQ5lNcxp9ktdyZKJYoIkCYo+lKACDXfoMT lMuuHCNq97OF6lFrrjh5BtEmflL2OKC+pCLwi+UqiO7R9yw44yE8ATs8fpCDL5gSLKXqfN0IhhZB kAKe/KZnrgeA6ddXilgTyWxkiFg0pjlMCPufZH8I2sD22KWmWY8sNnyQFFpwS/I+wbR1BQ1zdsYq Pgg6dZ9FNSQU8uaEKIDk7s+ryLl0LJcst/e+CnETIsrS5JJh9e4Nx701JWOJ9S64wyRsu/H2/pzJ 3IAFJjiIc7qY6WhOlWCGHWAeWWA5sKrYAKPGSjHd22M5baRjD64Ri3vjI4ZsYp2dVcCzpoIvQClL aASjz92CNLvJUEwiwa+8IGwXrmmtyrdO/OX2o4k1lOZULTUL3Khn10MWkC7gg13MCvbMTkOTc9zx rPPLpG8uEx4E2kR5qSaAH0pzaTjRTBJywosdci6FjVaZuLuuydJ3gReh/SI6kw+Uggc490cAbLJ1 mhSWVqJSmu2T+JTIWDtjAinxx83KYKgHmfcCE8N7UitDzNHLgRb3pHcgc413jk/zAhjLBEzpT26V r5qN1DqQHq9uRIpdRK569CtDfXFgfa8ApdPQxSlaXsTBJuGA0JhVN1eOBanl7dWIgJB9CtH9L6NU zwR/L4ZudnSiJImRWFyN57LaGucKaLYiD0Q33weZqrgQJzbZ2+Xpnqp/mi3wfakrKMmglDgZLtRC WR18tLx3mF91bkr/2fX/Mc/eDNsLeFQHMc1atrFVX8IyT0aI4vSd7h6dxd8IcuLavqWrBUeHDMgf +G/bYS+qTKGUR1mEbVebH/Ibu0JlMtJHYMeywkpXrWvHiCeMbbYoOZlC1e+piLBUuBeMvjaBVmyP wQSINzcfhrDLCXRuwjZALoLCSJYrQz80cU/wFx0OSRj30TB2TLjzC1CDn8XgF1Gwbq+NULMVieCS HDPq1FLpGfW0rHElX0z0O5PBhbWabhwYFPgIyWGQvyppq9dagGZjtmcrCYVNTteaXAFdbaOUeckR JnFeqNFczhGWTIlMPtr+jCtJIUloLvCXhvc3G8B/PSNqEQ6PldaJJefwdnljwtBLKefvAFjJUvGM L3okIVrSJhsnll8xWwDtxkSZbKHtqInzUBN1XMjqSSWZNCj3u9WLA2S6ykXDpo2n/1n+R/S3ema7 otQVx6QPWXybIa9zrgHub7kF4ahpZLTnpE3yacVClPpAVG64ArK6kXiOAwH4FgIiZ/cLzWoBlR9S ClVxQA5o0gJzDdUPKoCbFPSHTMQMirbFXA092Lblx1G1Wzt2/kBAaIMIzIMhFFkHgx9/STbTCSev qPdxC8b1dL6jyOGePPwbbmAokeHWE+APrtHZqV415J16365/EcM2lq3gs9TycXCqSa41UXvqlMnw r92ZC/QIiuf8WURnl7uKAIjJ/nRuaVNB7+81uCnRiyOx2pvGeoh44FIrFG9GY+ZAK8xtMhoXa0uG Ix1ovyZwj3S0mqd75KtIBAP34m/DW7q59NihsWjbsEKHZKOSD4OHJXScnQJDZUs9sjNzoDVbUCqT 8AR/n0RhkdCwSrCem2PhzF2KRUYDMK+AXkSKMbwbXrLqjutLz+p3SgSUqSD3+2VNeTsNMGwQ4aQ2 odMw1nVNr54bYhnLccWw66naSKLMBASfYtJdbmwTKHY/rlRonipUwpG1Lr2QYW6la+o6hG+dFLGL OkNW0rB56e+FqoJgNLIHsiIf/FTDkFk48cd0/PJQsEQCHCUt2IB5XeeNUPaSGkbXUwV6iktdHHu7 L/DQ0iO1V1fjLRsI4XZvj06dQ7A6b5ZfvlrBKb9h6Tz7hIFcfMc2Ndx6l0xmxS/keHp2yTnJqPnH g67gXkP/1EGD4ptpA/NUL/gLIlMQhe4GaNTRIRFp6AkGdWJkRV3SHXlIw++4jGC1+iGi3TJgTxLC p2/bsuPBhjAMIE73m7+Jnt/B1m4BsBP7oRhn2sRE4zhXCW3QA7I0NFCbrh340a/SCZZ8raHrXIuj 8DKxi7jPsWJHh0xQ+2tux/kGbKsiAgnuywaugQ4187DJc4U5Tp5GUliGmPuxyOl1Iof0ll/2uE9b Eyik2r6FGXnXvxA1HD7ZGt/JDMh2Nmj1Vi/IxOmfGH3tlC868ZzEaOKVC5sRS4ZAVz8CshuLhHpN fB0P65w3IstVsdHlx9+MKtvuV8Th6cq9cODAyWu1ag6xh3ByxUBPeuq8rKEms2cwikidy1+220dl iHNEdbB9n7ExKiZwvg6ndQPg1PashQeDV4cbuqIiUOTb6UfVffe0+qnVLvRwogG24fciI6Oxs1K9 cz+hiFCxFAt2BpyOavaHvGWrXTwHhLEKuXpCMqLMYyn1noaURcnzvcce4WuNwDebZkpZy1JJxMCO 1+M7p1rdle5bpwN0pkpmvZHqYl403zggnp0m34LPtnllFGnbFMUMngmHj9G1mbnr1NAUhcxR3Bnp a4OJToHKGd/EP/dGh2RJ9uRSUG4oQQehP4HmDm342GZ8vipo7OIyXJgHVJgnvDV720643I3d1IZH +Zd77x4gnF+M9fUHzqF2PQkJ9Uaep133Ie+OvfZlfrZ9iXZZfJFehzss2ApO7T36G8+uHtVuP0cY zEBJ8EIPhC+ytDjnTI0gM2k7prkdXaDjowkJr0/u+RVCWB4SUJ2bd6P2NZcU0dx112pkkSX/wHhm L6wwgAGehiSCB1eUUtiUl25/nwKWccbgEYrsUUtZeVTe5A5C5wSU4Ys990oqktXrxmgrs+kVlhc1 GJ4zIF1wtabCV4BALjhN8OnefsIiN8rLmSsjgT2ff73oXrIRb474S641PtAkBvz4Acd/yt6EbuFh GcNX5r8jv4MQJs/cZ8wBrFuuWxLj1GN/QMQdRQD5rt65tmIuW7OU+eAtmey3DnaIWmRJe+rP9hht WYZJ8iHHMsx4IwPwvgyMGihESiLnVUOmC3fdTCflzco09VnQ+SMUWIuGvVNgOCuVV2jT3cMGg7Er N0Acj4gejD/cdH5itZvEyxDiJNTuzlmMy4PwHj7AAas1GdIjbITJUFzgbKUZ9wgbAsK55LXjn4/x DJoIKd2aWlABvjbz2WbVgomOBBsZgcFiD35aRj/t4jwlqcf7IaGmI6BN0V+DiNGRsgTLGsC9eltB lPoYHQkuvq8MfjvUfIMoaBVtcOLsgVAW631aJrA1nUOMuA9lqVJfk110nw3DDoVa5f1l9SPDv0vn evYRCh9Fi67noS8qaEAg0BaO3Vk9fEXisquk2y0yOjaaTErwsAQ+YR1q79gJ/bI6f4U0c+DVqdjW ER9Xny2YZ4UOYnw7MLmphUJfzkXzf3NTMiGeecISHfYfqWbFkK7TKa2HFSp0Q1HyhgiNbuwn8sGw kcJalkJxwrrqlzDgSC09VVAgGy7ghxD4SJniXpFa37YVZZkN1G9oZdJc3RDwoo3t6707DaWaTZI7 otnrQSeCH0Upq1uaYqMaSppp1nxr+tqY8gkZAAsdgeTB42UOobWHVk/q0i2XlECT38T0Wf+8hoB9 3vvYsvLdBzmMNG7HLiirfXZQtZI8CKoUwM8BXTzQTb9BPacsAmxVNxQJAgbjNx7WKvj1cBZ/i1d2 7+DsOfx4cQrtinMJsx9pmtUMAIrWrfuI4JUf73wOdF7coqBgUMo0UgL+kN+Wp+NW+FEidsEs7Ge8 AVlMPUBZvabo9di6uffh53Fnwjd8OHqWs+4WYz3Js4PXILjN0hxA/idg9PPnxvRF1c73L/3ev0Tr b1IdGnoWqNdQyKZ0k8kQfu/VFaHkKuGfGp/n996t8xxj3sNE+SaVd+9/hfIkDE6n+gz7UrwHSVpP LHqVCSp45UcZvMOUs97y7ZW7svupKHDDOmQWKHn0/0WGpPRSoQr3D5Lrsd9kOHxetDm5JVtN9cQC BLrYgGat8QqILn0DHPSqfDbRO6iDdLqvXwBJjg1t0SG/wX4ErzY2Ru8K+Tj3HQZ8bL4PG5JyaYHL NXgtNlOFO46so0SnHvyBzJGbZYd98Z5QrdwzGSxvlmNVMa/bN3IlKyvzwdN45c7rHGvE5zQa7F4y U/3lmSkUPkN8hCXyzwarFO16Q2puKmr5mOLbUP9Bnpez2nbfkH/utF7kJafPBBXTrb3lOwuTZpWi eu1OM5TVzqD9H+N9cHDoaHD9UODyzpGldYIwlcJE+A993JWZsVYqc0vP6sg8kkqXiIqIYTiY3lNr uwNsEpZAt205Hho+ogSHMGmRpw+IPpbomFJ1rdkBweWUVgbfm8NJcjx2bTOiR4kK0I4hN+7mcz1Y 14hVLSlVzhm5q1iZwvhRvFAGnVh1zq/WBqBaf+bXnbd6f9uprB2o38IOx3KpTtyL9tIF2fvN0MdX wr2un+H+sVOlvoNNItmn+gRov4utpWEjHVSLiQTwgG7z1SprXqnPkRxKAt2Ta68AOLIf7OIXIiSl Ru8LQeEvskIkMAcu7T/+lFejs1dYglZqYDRwO/22b5ea2tMySUnyMO6TuFk/cWd+yBI7Ja6IMssr cMpZMvaGBI186bQmc3PIP5CDKy7wgf5HbhFOfdyJ6fAjCnaCyYAYqw6laBolOFlmagvJX74Lw/qi FEaV8ypHuPfb22Qaagiw9R7Z7sC2g+uYBJY3aVt0yAvizQIV+fYoKUvVQZ4sePtbK+53qKFCB3e1 G7JGdiY0OZZLl60Mo0oMYb92w+JjavoEHRwAWY4/wCktsaj0aVEC7avPG7jsF1FO7lnZB+Oo1U2/ 1Lt4D+TdW2pOO/jyaDgbDP3ijNnLQ0zJPlaNvLXsL/VMIu+Ne/Tw9K9WioxlvjRPR6fMQyny4Sd4 Nq6latOXuW0vIDTuTpQFaibb1D0i3PGG5xg/D3QqvmauB+2VVsrarSKqNlT9TxLr8wMkN6XrJRra cYgb3kWpn8FgoYMhY15EGTZRKCUTEKo1yOe1Q04Hzui3GMoobyi3OHHn7MXXqz/+s3q+yKoI+/0C r7HjSXPu1EHXNJFaKfP8NgQn+ujfDvvoHbPUBnwYwM4JQ2Bfqg6796acTsCwHBKi2OPDN6zNv3Ny 8Hzj/PyyTaAd9zDKuXg7cge0lJn3PCkED1k1PC+s9/fdl9x3U9NaZzS5GjdIAvmhf5zDlgjLvKIB Kx8sSYzhz4AUa+lgfuz9AMeNRcS2J4MbbqAoXS4UNp68w8tpiHwf3iTMtWE3ba+Js5OcKGf9FJYC MFYmlabXb3niiI7cWqOjQP4KNIalnvV+XurluU87LkqSnLjgKcSM3KqEvH/39MGSuCdeUSNqh/fH /Ut2EyuLs+79XuNzp0hYZPpvZFQlpFDwtr0dMM5pHn9L9PTTfeTPaSsJAR5yBwIiEFVrTnTDV8pX +GsovRjcG+tnYhwt07SJmeNwaQfTHnNiFc4rwnxhk8vKnRc8CkNjZMgOtvfBwUv22iAv+dzBYlF4 psi/xiE58mPigV4vgynont6OLfk4zKpRUamMwWWZ2ISnDtnAQSpjiz7ify4mJoqlU4mJ5eVZJcFY kBE/Aa6DVkZ+ngMccgMWrqao70ziSDQ4onvNfj/qt9uO0ge5cx+x2H6Tki/NO5UYoDA+G2OWmrXb 0vEcLFa+yzURca+XMH+45B7av2JadlqBsw1UOvy051tHz6hoCExXcCAIg57frF37kjp118kRGvpg SVH7pqNKJSHuO7pZe9DrC6puoTAGj/Op3iklOtn4n1YC261pcrUPaooYM6xncMnam3BXAmFVLQaX dh2SZ/jb6RL2hVhW5X5oH1KCaILbKFGA8M5y40so8cgZK1RvL9ol4dO0noKh4gjvr/JYGzxayOIb 5mBx64kxokG9NBFcirT3ZRZNyBcQNUv6GgFxqe/yEOouPWjYfP/wdvmBtfkReKShy6CkVG/8o0/u 3lWmnurHV0859hHDllmASqpUyr88oiYaSedz0hOi9qekMmtRBAnSgevjs2NGlqxgwCR3NKiiyoZo tUbuO6E6P8rcU9Fsni5idiD3PlfvZ3c3RBrX9ajcjLCYkUswjxjrgc7KhErCtothCuCywYgA/5xS pn7MQPQSBZNhBHWyxsksq0G84zVdykSIjoRDLnp+pYH5hj/t/pJMPhEMXu/mI+pXRh9OQI2mkD3q QaYpSszh1w68ZmgtrUstgIhDWoDedEJ4aVXKermBZ1UUrSSQiFEyj/OnWyPKu1IfT0LVO0n8zTyI YOz+jH32pVoXrEIwSCsWH5OYtlQEZ75zPHEGfo1wUqcURPEy8xjMqx7gTG6Qic8zXQUcGl5Het0U AeyOxiUqQduBvUSs9p0WkzHXY8mpFteBkg1uD1giLIb6HBW5LnVt4fKccLfdtBqqPUJ0w79rlmIl gG7yRJ74oE9QAyIzdjBl7DTJHRU5GvmFxgasTprSE5vGS+5jJ8jbdw6fBmwdLn8yi0u6KFAY4vPo C1jfDeJHz7MO9kpakDuY52WFLiJWr6RmwEK+cWLclXSQeGs5Xb9gamZzvxfMS5c2+ndz33E8SsCZ 8bhszk2AZjQNErJsYOZIE/bPBKT2zalpsSX9vzqijDURVYO0vI/rN1m/kVp5jXztI3f54D2CikCL KJF32BFYCYfOtLxoN/M2btZ3Zy7QlwO/MfjQQiEg/JedVQdCOxfEncHm0ohuJstL9ueAgFzgLv0R CyNd1sf4K8RvgLtpOIeCZeeeTP3z7cRYLOMKad/pb//sq649UNh6ZdcprSU5BzcosxBqf3EeJQqC A3tweOtSYg6rWKw6crMRN6KOvqQdZNsZQ0BltnnhAp4pP3hp6XH4I5r22GGR3grsONmA+87wDYI7 dUP/5X7xKqsomwJXdh1Ah63sjCWeyYD2WPJmEuNTa/vw3AnHPax2GFsqydTR76YNHVFJrZirk+IC 4WBHZ4m6QV42NpFHtXyajwr+jqnXSwLrwTk2SzFnwcWKNEtgvoEAdjOz+rGkWajrdadicPo8er/7 FLKTzqz3ias5KrYwdDYdHfFPJpt8Ebos+XrJiMRK3xvflR/CKSBlV5kiJAENooOeSFmE6PD95G3/ aXV8VeBY3aYGUy+JfUq+P03gLzbnktSzpHps1Rhs6dcGGlQnoRbJhqMJ220yankQ7x3iJ2Wf1wi6 zDAfZDXSEU4OtefCmxOiOmLGkn5m4r+juKRf3vtJhXnzSBCO96XUPkNMYyeaovTvc9TmFrR5Y0nS 3JCzw44RcE3prp9T5CokAkK9/a0cEfYXFL8sQGYYmROrNxcoA5D3ig5SljsHMkIeTvTNZ78rXzLO 1qT78mKuq9zOZ3yyvItpSKk+NUzr8nsLtzghayJ3OgbZNM2ehpyPT92A3RfMOL4u5R3X2CydAElE 7tGpg3ttHNkQaX67HuY8sSIqqqqABA1iz2xYWlYsnJ/Yv87Qzt2UHHTlWXiGkxJJZhKz9iJDXPEv PjUj9Y/dMc2NqrL9JsDPT6Y2aGzNjlm9FxEzMBpRmIaZNfTHMvcI7VWxp6nroxzEAlmq8EtR4NE8 6rfAbgtB5rlwtVFPPWh+iaO3HtFM4NLYCL2Ge7meBLxXxa+92yr4Oz+sj/GoqxsEP6I0ZjPD9MO/ MjqPBhjwkReg0WKJ6peCZgRa+DsIuTLqo9AnBS+ISXmeJwPyU9hSlM4f2mpuLSqFXOPaGYgIVR7N A59vBUhWbTBfyKAC1pD/ZGa16pwwxw8erN6i3zn0buzgTdvcSLcLjfPBZibSk1/MmkD/maVIy9K7 uJtTe6dKptqcH2GrfxzyQ/gVL+zP6n3lbYu+yuQ5GxpeIuwFNcbObtfGwjXNOxeCTiUrQL3rPTnB uNZPM0DunDvOSzK4vTynzI8Q2c9cEuJxP1h5LAnJf8pjmZf8r0bsM+LGq5rNNnKqQWr1oCsjvF2I mkm5TVxT29ihQGCpHwRNDrzr2cenPyHqJOj7hit3uqUc59J5s4zMkKGHdbf+C+MbQeXqvl0Dc8Ke sWvSFLPR1TL70/fB/Y05YXDJkBxau6Qd2glshFgaJKimHH3JKlWtsH26kth9dkrXk3+nrsWrwp/1 EgQujhquez2ls1wHTAfGyG0FIVfDtp6CeLENzYRVyruJi3roXDe25X3Xw1pHW129ejPxvlgFu4Mq FZ21ONbqJ3xN9nHYyApXPQCM4QtDDUzFQjbz2N6ZrCb6PA7KhPeInZKCVeqayKpNdCwmv0UTG6jt trE3Dy1o7Gs7KsVCCC+0WS6l0gl4Cze/K0JuCtpfwrhUUjdJaA/1m9ML1pf7XCmIpr+OSFCrMqIG LcQkWo5pmOxMbepc7++Oq2p4aTrjxHuZN0iAAs1x0otbCxTkmtP6nRvmLgUhAwmoZXL1hI9/+sK+ rAJ9jnHncImnPYqwvrTOs8P2CP2nd7j0RI3Y2PH1GQZUN6KNKC29O/sZfTNsgB40kVMrnitE2/lm mnkZ2g2ch7jGFmWUQIMtPDSrgqeJNgoPpMWD6Zqe997qxyZOC+gX+Pe+zbv/iUduVpWmP+YkfHCV Hj6XTYBdXpqNH2mjs2SHhhBRgj5PP4XEosELGtZclBE6viHddCwA2DiOiztceRU5jOF2qMspJY7l vHYpZW3AT6QHfh/uHElSoYAsw+q1+2LllqkpRO4a8h1jc/GeiWGNL5pt/2ZI9zwprq9tLIYYElg7 9EwYil8V+OsAMYeTee3TbdM1uihRLZXn/0wNVwIlrB7YKRXzsLk/E0p35PTwVvRzhcdtRGXeZ/IV VEsyKmY+X7credNBr1lw6HG7PHMMCaD5jD5bXdXG0nFHvCfqNYskui0a/JIHEl0oY/vI8huQnEwF wIp7D6xOkAZneRdcHaxXDvPRNZAT+Yapss4MLBOORJUtYp2GCI6PuTW5jwpvHwWHb8btgGxwiwOb I/umF5ixRTHg6sWNnEV5Gwv1Zwhpp3Oae134IQbPXaRhlXjyi+afA6Ab2gcVssIOdGWJkrT3jzaC IXiqrYpgHsj4+Pj+nwJsfyOvQRkTmEh7LzKxRCZyCs7eSvBmpUSsHwQJ3YiNWUb+qk23Y+FHa1Uw RxuzmkSAwVWAm3zJtc1dHbxT3XzXNt5hx0H+byMq+V87Spx4oWekkWnabxh0E3PPnnGvXhEZVMMR bNOKfAYR/glKIBiKe+F6B5vyIX2J8gbs12KFREQ6JlGk4MjAp/gJuFhacWSF2/nwLo9TPy6cFeYc hyzmKnjR/sid56dYPp1Ajd0AwzArxN3fFUfdN11uhaFrrXreZAWJqhFBpMnnlXDFba41XMYdHsk6 V+No+vC1/kuyJZwk2Vbaf0+pBv/RyegmYyZHLyRKKn+rV9NLLw3KZMdvukDYJ2ONpS+gBx5F9xtc o6DqQA8wq00UyGTk84nm7iqgC9vkRGjwvjMIwYeaR/BsqlaKajgDGt2L7GqgIFZxObJPxcqd8kVh KLRl9n3SNagYsvxjgBX7rq7UIw8gDJRRbrK6vEYO4/7lUmNmYoe55tdvDgTDpMslMxMACsueGdN0 GAV+5dbAypoT3JCT9a9vgApHNK84BymlR0GwjKvH4JLaXbnOPxElzFU06bHXmMpCoCMKQeiZAMva V6b/n1f38h4UElj/M1RKSH7U+INqiAgobvEsKNOTrWDFxqkpk/Ov2k8mAh02CPQRuvd4pmazqv5k puYHfc/suaWwNddFKt4Y+FLN1+LzlepH4sMRQn2LeAGOWXnqNZQ2bZ/U4WJMMtZjK4AJqCxdk8b0 4vKq4YfaWawuJLufp9iFBJuCVXgy9dg7MRITvCHIGMOmoBEnwDS1QMluV8R7DuTA6w33kOKmMCZK 8YODjRz2qvcCFmUf00xKqdd/FVY+01DoVIZgEpq/svae11X2GGQXFbOFfghOmZstuwxtUb+G9fUT Hh2h9c7zJcOkZPycToFSVRC/iTAUpy/TBb4fnEPnVi7/N7SO3PbNN2tMKmWoDkaOjNoRxC27vQ5a nQ8wqwbXwYVNjgjs2axiau0sVPxfyRDiMcGS2lIM0rLneX/FrYgvDwvTVq2cs4bFwPh7IQo044jJ UR6apcfhFdFq9mr/y16RBBVJaK9646nKXl6S4+enxPsq2EOCFNlpW/snbLoQhUyhrbDkZ1r7QPdt HxZPPH6v2eWStZi0MFVceicAvYJywUNIh9/myqqVAyLU75GvMZMHZAFduZfiW2L9QYdP6uL+AQ7k 9JvEGf5tPrClTqMbw6hTINdanX1WdHaoudNzcOqZRYHmklrhqgO0XfqVEwg54k9mLPIhH4zwBzWU wcCfIZR7Y55qKGfKgjQTLlLqwoDaH3bLpII4wew7A4YVlhy9S+H8xAIZcSdrMfISttdS0ZQLrGyh OUH7N8MZplOyrBnHSCK4mfQK/bhwKqYSQAtCmP7fRhmmiV6kvx/hjIyhsQ80HpnA7zFZ6ndvawTO ot+WI4MK8n26RAT4khrgV1oEAhNrsXDwf4xqi+kaXgwkTSTJcwgnUcwWLGizXXZ2omeuBFguiR1j M/JnzdmI8LvrNeuJPtNHKXBJEbCHpxwtlGtrkjUuU40MHOdAbYvxYgPECvIOEj2s7FzN5fxbNAAd tz+kkAlqED6l/DM/ZQbuLk/oSx1dqPxr3YKmnRma24z6zsU0EkkD79v8v+pDM5ohqOuojCRJjWMY cddcoJ8PWKeODvO54+qy8nsUzkBPpDsJjCjo3HzcHecMJnb/Xwv2jtkPOEOL7DNVVlHP0rubxp8o Bj3HA2sPLnOIuDlI541nHYVm++iJYBVXaik8pdHEv0McOATy7GhdE2weDlS+oHaBVktdVDc0knsg TShDMRPAzkeeuwJQXGnx+kNlS+SS/QWrPG92Bb8CF7fSODuIwFqtrjWCId8TVXSiK5cv35oScnAl q6oqya4iAbYhf8jGld1pe3Ee6EZHBdmgCPXakAXNDGJyvbOi4qd+scVlocLVhpeJZ57JzEknSF39 XAU/muUEyGDZA3vSBS6rwtm2eLXKI3bjQr4twdo9z4msvObaUso8gvzV319HpLRa6AE2krWAwc/2 bZV8fxv9X8wcxydwc9c8XKnDX/9Wl4zRAFI3SNFwHaw3/6L1di/x2dsefIQbH9rv1fFOkNY+K4LZ qvf8Q0PBo3HaQhhFQ/dBER1TdqvKsET6nEmb78B1yyI+0fmHSv7hixP/bmibHzXDWLqvvKaEkSUf 8sO5k4rx6kUtTOQMv2snBI9avRg1LulXjHqPqCK9G3K65RWrRO4baTzdRBSGJXexQcmenriWsgqs NdG7meCrI2GsZcH0QPOd8UxiXAUGumPZS0/emb1ezaVIQgQ84SJoLkU2FQKsKnzIo2GoK3YQ2lOv /OqFJOfmYKIZIo1X00EJpYfBHkAloQpt8c7GkyMX9J7YqCY3tQAPE6224/VypxglsoYqighh99es ytrRJAnIbc7Yg70efHLu704qRY7X54ULhBuf4U5AlId7FWg2B05SUY9GNNfGyblFicjIw3skXphe 7Sba58XcKxyLLD8GInVo6OgABsQwqLx89HHMD63wwE7LpY7HBXD9PiOf1WpTbjMLoZilqHZ2vY+b ZwSxNIL0RTMLcP9o23fWVwagAgVylaTTMKtcfy6hpExuKGPWcTgIYgUuo8KbXjJl3fJoF5ex/tX+ 5cDsUbmAzgJqYpq6hGsIb5QQdOiysWYfhRdXl/FV0VhHom4/ncgFdORZcs+5ywnxmVOpJwuCo/AS mPEyxGQu5PThVSwIZ0MfAyJiwE733EFegSbblcRMFc0c8RfczV8mzmnt+ML1K+4sJ7EZg3riE32Y 1Lssk43GaT5lJL/ctUK9JD3DZgcTWW9Q60qHgE6IoTcoSHT/W+7usHG6tb+mxwVjGTjc6Ubq6kV9 qrRbtGwgetVw3Kicsfh7kmRPLdbqcuC0zNuv3iazP9A2Fm6IMpr88LsGDclInGOpd3c0LzMVileG wixgoWmTVK7hpywJB/9b4nXBed2FN9l6r4mEfB13e+q+bDMUUxdklvebSIuFC2yG+IGxhcA97YeI ehcrRs7Ji50XI6yhboFr0DLhnixJlyOtCA70W0bCVXnStutL+NVVr/QcfD24k16d2JoaYpf/zg49 HFeSzgdgvnj8VG42adPbRLMuWbKHV7o3btuOFZ3sOigu53f+UtQNjnLSkVOjKjtvelQgu7c8ESWT s6M9hH/olodSmCtsnAGVB7h06ji79ru++3KEqf5TZRavBA6e3bw2NCSa6EmMFa8VdJ1xkmb/bwUV JdJLS1sd5Qjhb553luMhGZVyRx32ONLsFbqnncM3BVT/eAgfhMw319o8SHd3x/ikVCQ49KM4xYA+ cVrYU/9H4HNPmC+I5A3iyYAroiFZ5DJw3nQ1RhZ4iXmJ8M37NKibQ6ATQGx8upze9z6GSkSzDAE6 nayMjBZQr3N9lzbOpAHTUrljS4+cNTBZnvST05dtY9J+KM3DfEPwl7j8B/5kr9lLi5Y333sMVBbm fxygIdcUncnmDrOMkV7D/E7B5pT9PnhkIEQ2JOcC3ibYkecc31KUPlqELN6F6DJkHi0Lomx/7Qrg +khsB9miWfu4V4ZjwJx4JNy+CC474OaRWEFypIpiA0erhtq+pCzOQDqV/Nht3wA0wUuNvLryl1/v /P+WY8bupPdQME7eYlbi6iDOS9z61A5IFOqYMl1REK6AREQbcILilfMJGzVndmBWMWa8zC/MCLQJ OYsRISRFx/1grf2r4He5ISxnMiSlK6iKK0xQ/Qcu31nQjp517dAlfLy+hU3j+kog2FXyGB3YDMjr Q70MS7m9QNOpTZ8SsshMFutUIugUpvSal0hSsuIJC3YPBNKQqE7zkyW/sdgxe5mAmoIgD+MGD88n Dr4TNVvyVoqKELFx6M3RY5u83b9Cs6CwQ1oe7Oss0MMr0zvLZEm0NN02RcSsIERPaL4ZgEa2XF5y fVYd5/v6fhBEm5lZq9tDeo8unumd+2+0+VtWB/iZEAgQb7oZaQDk9QB7zt0SjkbBtd297taDSJBf EFqwd6q3nJyW7R7i51dt2uK0ePntzjC7QamTqeGEPnvoK1Rxt9uQ3Ez+xJ0LsN6qLpEv+K9NTKtc p7n6ZVe4PiknNMkRPQqSFAPZCsTmPsDg8xw3gRDiyLKVQzO/s2GolK8pk2sn/NsDsfczIzGnZvKX 1kVzFtIQP5W7cgInVvJgerYsV/KSIbJxUSzOW/Y+CyNy7hyHUX1SOdqz931Uyl0KveFK8j+guvAx zz6hjiPmt8LkjJRVxep7K4YnvdRqSm6P4I3qKMOC3jiyoU7EypYZ5QVZHFPCddsLsH5NHuxpGH3Y T3Ef2sFEVN1XNI2g8I3ouvEEHxSKD0/7NJwWekZ1hdNQvWXCzrQoQ3PqoNbx1vw16xR4mKQ9pO+I dciV9NUbvkM8ssN8IoBg3F7H8j3nHRetBxVObsSY0TE7DaaTOQMDkzW5sOiK+XYHsW9unZfCaWO8 nTFxe1mqddRrK2C3t3cc1vYxW36Ih6ZDmd2JrQ4tfLaTa2tZ9xx+Pe+O+nsM8L0W7yBEIhC3+D99 kFYgLrBIbYBsYSlvNpvKsN8rtZxBFd0D63ilhAIQgBYf35QfGr9fj47NQawsIAbK6TPaecK2nG0X 2uodcNR8xT8zNGRcIi9IhhgvAIRh5XFYw0PmR/CFRhyQHrUw076MBP74kzVr26j+Pb3MqGgMe8uo RVK3ktDh05TxJSH7Sq67Fx2xyTA20suLjIjeDSlpM4IXoAEha5yq+O+urwtxVBY7r/SlmoC9THZ8 VKnTu98ekORWuU84za9S84p2FgOlJmpoLIHtenqsiVPNyrzJ5aaw2nRzoEn/lhVc363w3D0w/WY/ IQeUDXxQZv6oMudvO5bk9i+yUahXtQfnCHEz52Ir5a6ojWXBlOOW1Nklu8I+T+5U/y6KD4jtaqj+ xFYJPdaZ4OYEjtAvy1Jwdz46sl5XBf64KuJBT5S7WwQO0hcUUrFZNraSkuzpjgiA8aWypJ9qsaRr ztKfaIYfxw1zVC5UHAsBe1+AfOSjSWD5nr7Fun3qFUxCIoqj/6L0nN7Lr0hoMJY+MjAtJBiKYiUA 94u0NYqXduK8h6hu0f9AtQZ7iHd25ekeFy2C7CBOys7U6R5KylinyRf7dLNWhTpZILCbj9jgdVey y3Ye3Z0nrrU8S9VHWqme4HEsjLgbR2nDW1s8rMhHM09sSXchEoVbV2zZXbl5CqdcnUIGTneRiws8 abhygy7l2131nZh4u2lQWHAdTyFVwwJ+IAGdkROF2+tSL3yjNixaqncOU6FUqyoF/s4rsoprKKz8 jprGWLZzytlBwD1HU5NEXwRBLssVihkr5SubPih9PpNTgmlRSVKcmCGfJ+A3px6IaRajtx3ayot+ CC+CZGa5TVmCdcYco46NiLSjKlJly7ZXilpVTxeO74iUr/WH9eKUOIZfsX+acF4X23cey+ke4hHn og3oYj7BxKhu0/7/1AXHUmiUIvVjVEHDnVNxXtct+UNJM+03PGf6eDwgEF8hFPJedu3S1tdsEnah wWAwMym85jLUbAHXV/Gbh7kxLP6c16q4cXOOv2SCcLSTP1vpp3jRC4Suee02YGzSe3EcQrmOvq8o gXzk7YqaT7jl4UMp4qhUjUGSQlXTwfgO25Dfk4RULYuVqSH1iBjpfg8UAYLs3OO8y772y2PArcRU 5k48UbWk7Qu3rEqDuo4PZv+k3f3OJTZghmL2WkDEzibK/E7lCZfM9NIqtQrdY+vQgc3khw5tUStK 85ma/15q1fiBGcsHxW8aW+xVCVqqsAtgMe93xPqw+p64YH+fI4aqiDscRO3RlkGTH6ND68wPCX7M cSLkwzUFhaY5oG3XTXNSJrBcXRbvYvpHeXYNVm5GR2F1LVYShPJKirVs9eCWW1p3ZXClksOSkQUg VPjbx5zXQK+KFV0qAjHXPWpEhGDIY8xb8DQ93HezV7l3AY8YGiw6C4FJcl85fWrduPxhRuEd5x96 7fPGv3Z+L917WCz0CYS7fDgRrT2mQ69sdfpOiDC3ZwnFu5TPBGkgv1sUOs4ZkVCtD2l2LzhLSZm3 8Nw83Z2UFXoUVnG4ACGGCgPJozeH5J2W+G/YN9IkCKlzxXKhHjFe+V7JG0ldDiBtGQ3xdyWL0bLn xKlylnxncLXgITRR4ECtMjBcBqNTIrkZv5rffJEBklIOPf4mzhQsUnNZE/kPHIIFlaYtOMFo6pD9 IN6eRfoZ3KuuQzIufdiA8V/82Yt14Vg78O+fY8BxObytKM8wt15Aq+zxNbeonnbfwW1O2lZ/7Ik9 h64atc/0wluRcc9qqEqOc0f97M9Ij2A0wpAZkKrMoWcR+yD3RV51CIHkAgpghZVnQ6m3d/OFIBo7 /t2NAw/Mnw6pB+HVxOPQ7aQb3TQxKEIa2HB7GHm9/VS8gNQCDd1rxZWPRN0C2SakMBwRsrNF4PJZ UjmWGi19l4vdOpC2eD7k89i6hl4hcoD1jwl6uh+d1JZV4n3fQVUmpO/c/MD7GQuw9QM4R5aE9IXF /pLJzTz2T2b0Oi1jeo42VBZ/x2Bx3MC1opI5zS+RvmYhtg56pKHhlaab2G5GwCU6oGgkG/LHiJ2j KHjKW7gsQteq0nnWaM2PvG51nq8LRJHIIeaJB1fpux2x5cPfQM9P7Hg3B6VsQkxlzhCiLAP9AgTW 2UcFjUuuPpYLBdKgV7OUjTWdwciFAk5HGdHD0lPgzMiRAaytE/vZTcxz76zqui6o2DuvckHF4Ijw w6P9LdMp5AD2YQhmvBq2NcpGum1iyUpNrjvFNKEHb5rI5L7pnPTuGudmudHm0vHE5rGaVXOY6Y+1 XkUNZEU+VyLCJj4OyicnC4D766LKDPGic+l3WB4jd1IoPfv+sPq2R0/074xupFmJfWNr05OHlofK qmCYNB2uI6J8z9gLuCZrNHI5U7rqCG2zl2I9WFP4YS6Nu+ct/ooGiSxsrWNYJhpB+MNzyzp6tP51 +wAK7tpicHwh8ZujXMIUMiVkCGqTGYK//64tASva8YJGyyk8J5JhzEEJw+jGEWWIM4KnMwoWabfd Om0qdCVv+fIEY+En79exJisEKmYWQ0xCGx9JzotjzDxlsMqCzF/+Q9QMaRTGIBKoq2B0ssy1qrsf ev4NR6VbShLc0m0YI2OKyciM6uS3jskGnw9mgM+Tm18h4QdwLUM924jlacmDkSelMjOcOXbIpZO3 ONb5o3lxCQuQfjd4EvNp0UmlmEa0pfKYELyqeZ9SjP3f26Xc97ktv5+pn6nfM0Tttm1GZcw/zcNn 0F+vKwvHNWTA2Bku9iwBISm7zxqUXHpf1nESpwmLxYO29lHgFpnkBaD6JtszKvi+MoOH/cRSmUFg 3aI/6EwEA4pU3jViWXdTvOlcZ+mJB4TXKh5FC15iN+KxpTmVgL/5njJH84gNL9zGgqJZR3D1yp5y Oldvx3kFY1opbx8N6ItxPzJsjCDYK+4T3to7L9f2lorPZQ9ljNmrKvn8eFHo9FElHofI50jUDoF/ 9UR4eO9pI6lhHmy03T4efoUchdFWZsu4hnb2JT57xyiUAtadd6r6KJpSqQV04XG/5EkxRBHmX9N1 t0+UmjG1EOGtHlqUkpP9Z1NrNoxPsJCxB2q1VfaoRYUhWIS6OGnICHqQZHeGCRim99xTelHO9oiL R2y+EcsrMOYPD5RooyoE6w9jed/9oVIOXXi4dvP4j4iMQtavDJevulC4AMBGKef8qNSk5EOewa8Q Xl9R4gK52SOvSdZ9FXRS7ZX7YDCCpcUdrBW7T2DvnivGCmvSLgJ0kdUT2/3OU8SUcbnc7IM0wvcj eCumxkuXI27PjMwVQr0mZOwf+tarAM6yAFvN2yRVjcFTKIhnLvVFTJFk7yPV2KBVJlv1Ly5CajFB /liSREWkmjTyuRqMJ7d5dp4iqGNB2klHa73MgoHftw4Oo/pHEbcX4u0a6DOECiTTkvqhcEoR0O6S AOxwWwEtGFBIL+lJQ12RYY0EKqMC3XB15NB5WtO9pj5ttjQHevL+Kx/DwNCU6g+CYN0DrhAphJEi 5bFoOQVp8FM2KSRcdjYArmr3xICnnGjs2RylRNzyHKHG+TcQhgzWg0xVeXN42uc6P2VJGM+OrQhs 7DTSwL8gAgPWlGxFD+FQ3rJeTqFQ8bQ3yxNB0fcFJcbRdlKlFu7TLEbygli/4faZC5jRQ10RG9Uf H328dikgbxEiL3tDOaYsdHxmRzdvp/fL24h47klcsIhDJs7GPtluXQ9d74LrDBVOzK4n7rZu6uV8 5ICCWKH4/GUT+PsvaTkWchR/0PideqjHq2Z6KfQte44HghHTevKjo7G4fvZjZxMZpRl7t92pXSmC k6qiYluor7qjWPqdvoKCuCdcGxMsh6oX7W8HW+hVeE1F4PM4EEl9OPuTtJOI9VfRqhvQBTrIhqPQ eOTTiuU1Rjg6BXK/IPS7kMcQzB203yY273qqe1S3u2inJufMLRZw+9u7I2ejpCTf+XC6wJ/MPRDP VgNqOwWxqv5FD9Dz2Awp8PNvYHWIDoih/AV/1vTlQ/Dna/elKQnmwTYw5SImgc5/Yifxmj0yASud 1OAh1r0vvwfys7IIHtt8cioVz+TL81WAjIFh3FMg7d2fUIhg60jVivaUaGz+/U78q6KCX1V4Gy7N JlatKh76j0qQHFyE1dWYtCs4Aj5HqLBXvsBqziUeEs77eKUNMqwOy96G4fI0MuWLNNf32xPKS6Ty ycW5SECIl4UqEcn16IMVop+cXQ3eTvxMDjzQw6U/nSIxRxpdNyMQBlmiw53khihwPvkbmXGIMJrL 3/l7vcAJ5M2vgUlf4H0IqxJAxN+MZRPs9tpOby9Os3Q0kNCjKWUDelaW2ZK24UIzDB18swjozGXM cI3WsEHjf1MUSngBxi2n+8brE56s1QRjpRbUT1bcNO6J+KY9KZ/H0sJx0yTnomsIbuQNwaixmzh2 5sKAeDGoNymxAudXJCwAzIeONWWhyQ8P7OJcCB0GxRwqLJ9W+c0EIWODFmwZd9frB5tyE8ebcClc xxT1UA1moTLVXKHblV8O1iiVBdimRtBq2M7RljJ0Q2y9LHcLK1X8KxvPoI+OK8NPg7eDaEuL0+4k BzcC6SRnVcO6URGcctXEhku5sSqjZDNzfH4mtQxkInHFulZiq1xhvCbZd9MHCNko3xgF3JBAP7Xd 63FjZa80wIC5syUYhJ62pyNhlPUfWcRogixCopoikabv7qUe4lyRCUgE0ESi5OJozn4i1av9MP8u y3hMtWv43dwZqmf8Pd0VhH2+3nepBrkFvym4/TEq3WtF6KIHKa7oMIz/nG0aToShGoHcFNVInemL 12bf/gH44qcQAlcKDeiZVgw+pIMya8iBr/WB4ZPy3Kq0L+7wL/xYBih7N+rubtWcdj8IZrFe7sBW TPWEn3Veh6dxF7DbB2wGBKNbuzsSWhzai95/8riRbDveuAd8YcPrb8VpaIA65hSd6yOdUs9gT2LI M3CyCZaytlHpkx3UmGoB4vuY+CEVwtk5oFQH4yNdbX42w7GqS6TW4URlLDIxR6na6M+3wrZ2/0qd kZ/wuKzP774VIanfzHImrfH3dAsnPNrdK22BQsb9i/w4orPDo8TwodaU+6H/RhDmlXcgOFTsyAxY 9NIvCGuyzBymbju7nznduUhSkjC+kjOypnuqNm/NwpN0R2Ey1upKkKypyRCC+APedW/jHeDNI/Ug mIKUEupaSxkyV1WYkM4XBJnZ4LVwF/ARlUEn5bbNXQyJ3IVEzzyMwgmtBYPM9X7mpIy0AxsFhLup eVezbF5YOFx4fk2qPtZOA/UE/cJT+6Kayg9Rgp/2JNRqUe3Vz7eQ/VAd+t2Yzz1Oigb/LpexvU/s /cf7guyiZe9TVT3kP1ar6dhiYEF9EfbJFlhoATWafmu0XpsBMPVfF4SJMcX4VjNbgG1RTZvvVmb5 jaYff2T+2lZzOXvKA66R3twLnUAyNO5vVtLC2xPkKX8dF4FLiHkWvsyL6f9TBgXqt7MyHCrM41GA pTDCbYFTNYa7x4ad4KtjUjFVhyx6uskBzfvXQPiLGOu8Zv8/EelG1b6YlmDTR/4ohbaRTmgBMLsa kW3KACJzkcv9kh5QqzDIC9hDFlGR8Maf7mcwFzjbY7FRm0PFYXPXMzaKnQCNRrTKqZPPLfPa3n19 1QA2e36KstPkE3Vqi0o045MihYi7kWkw08er4UyFhMoOQe3NXRHGDW2XfAFRjMo4VIU4TMNVUIry RKqRY97Yk0zvDrfGlazvuSK/yYVw8EbnyZGkZFMwz4dk+U2oLO7StN6IjUPjickP8/DX8qRxQl0g zCpCrrlFjb+20aa+jXnLO+EFN7t1HvV7vYKjL6r9nBoHL0P86oyGQIK0V7N8pkkZaOFoSSHuyrOi O1QtRnmPDPc/3WKx3dfFh2R1jr2XZMEXr1SwVEo9u7DHa4oRvrEiCUcFH3FOthUfeBEPTD+4gAWo /b5onoBJujU8msug2Ntln942ZC2gbleHzQU3RhQpElp01rBozkLYLBlt9ClP3g73blTaLsijAjNc 8gEe/CEgGFE+wPEGf3ctTaZC6KzR3KQGQO0g9SM9ffzgfgCe+alFJaKeDQoVwm1NC8uLMtzgoxNa 5uWq4owUY2KkDNtTZFewF3dJCr4rV6ZSC2nsZQhUJ2NoGaJdoGsTyDimyipmwk6j9EgKZ8/iXqt5 xI8hRuvZQFx6WeG997shAe/a4CT7EWiKl6Fes1vLtsU4cocFsVacxr9nL5zaAvm7x74/WzzzJfty KcIaXFHxNLjfJ/vx8joUpSQBc3uqqzDCdgrvJiRg5mYdo8nji1nWclsGJTXnDHkw/u++tjoUXDFK Xjey2ipkdcxGspIFhbTf21/P32d6WQySDWk1hh3BBhiLD3gcEYKGV6Rf803g3FSm05TXjmKdDfYh umsPgSuRkDPv7MAunxcIqihhFzb6hnrldaIlkYH7btxlthR/WQBC97WAWWJLQi9SwQhC71xVYCZ1 sUeenm9VhVmw8i0uOE3CR3ON5lvdrT1mBSEUI6LN44Noe26xOYOFmOcaOnATXnBeSxMqpNzx1j8y JS7gpslkHnGna2KSTDE/zqXAq+hgmVwWTqp25SOiX1iyyM4JvZZ0VQ65sOyH8oypiZBlkZtc7csm AlxYs17B0dXSM3FO3PhNY4Tdt4CEEqcu174EVWlS+rS9WVHXpJi8OkaaTwZZSB+appX50fX9617w Qf/QrGhO8xoWeTljQkQroqMWqVbBLx0M3Dn9OWc/UXHXHYOhm2BoWgAr7OlpqUJDf6+mSt+cy3cA vieTP1SfjzsTuOgvQkBz80PdvlEU+t3JjzG6ERRyazvitxgAy48ucONg9BrhS5YW2QOjzEXmMfV6 hcrPHsD0ZIZLoPZpwA8AyPgP4E+3w/4H52Oif61jQlTZfQnen85zMj5YQHTvZxq9IaJZ2+M3HJzO vmVa11o74MK5mxpz3aStlnWgAtxWIDwmdf+SNAKU+4/2VJtcVLm+hnR4lnz71YwjCnM7f94gfOBr 7NDAVpBaOFS+JqqspizYA00ralRio/Bfxx9plKW1EX8fFmBTT0XcMED5C2/jkUlE6A4LQN6UPbe6 NjJqJm5H0D9yP2rXP5RhLHUF97Cf/LHjjMDPScN2if6w6CnC1TXemvF68gBUxrT71NhhVlzwXf+Q zSr/9GkBwnjyQk5mw42du35JvjBpk5Fc1Rv2VROkNylTF3XBjI7gT6xqrEUysuHbSfhPhpKkuBYC Yejvjel5g2m/j4wwtS/u5yCW3kpUTTzIfK7tGWPRKUQ+gmr7Tk6dPkhL7xIYvTBR6BF6YZ3x07lF Sh36NQia0K9ZcfJeSFh0B7R+qucvSvDCaENh2WKMqaVVE5CFsr87x/bEqznuiAOqx7gXmvmlHmYS Q82rpPvqh7wPsXoHMOS78rllpsVPSE0G9o7/TPoblfqgkk4GMKBkToJy7/A1k+k3RJ/ujY2CSLm3 AcuFxpZ7SQOLXv52R0c00MwAyrDac1bAbIkRIqCd+GbjA6K435m8ho0F+PkkE5iLHRHhJnAmUrQi KUvBesxWrvrPbFCD/vjp3j1Pe4WfLwun/6YrLmQHHMZMFJBvEEaFGmzgOJsThbGv/8sVi1Pc7L1L gzW4b6CDvStSSRcLav/ZRvcnBj5A9Cfk/JRP8hxU1XfBiklC7qRRbedzYdagYPjdFy5zWHiDBUs0 0/fzdNnnbSoMD83y/TORIoJpy6G5o6n9AoystHtb7+BgVmYd20x82FDYII3qbr7X7iqJP3nVFkA2 X+i4Ivu97GeB+sF7tUEoUIZLrBO/Bm2rcudr+ExQd2hY9HQgIID3m1x5oKQSytE+y8o27DSZkvBn EgGOkbWblWmeXZoBdf070cc1VsHNfCZ2B3S57Ro2NRkjG7/4sz9DbBxqF7GnYh3xQPfRdaZAt2R3 +Ty25AG42K2kvGngIrJU+NsX8qYbKSFX5ZBi98/KhHVSPthL70uv658n6dpe1xg0n+HVPcCydBNs Pc32TZ836dfQ2bCWctUtxo6E5AXJH8keiflgiPjfqdp24gwH2w1S0G69QeX2uKiqvyAR3GgK1/et Fqz41lh7O8DoBS5P6F9NME9nL9Xr0WVCJf4uv58JIAkBfeNihckOdr9/ih+5vZnZtN1NkM4Rw449 EKb6TKmEOyKVeIrF4Cv492QcXydePs2xt6p3lj6Ndi8kE8jWfZCQyRRmiqzgFLQig4OYZ44uOlRS QT5X/KOYa2bTG4ghT4YNL8jT3QWPFIEYherAMdvtHTfLEhOwT+6pyqaknOadq7xvbBNebIkH3yEB R7eKpGg9AfHhDJFEZIQGSdiHa61F44ftofLJL6q2rXyS6xRMlOvgq61Tildw+lC8EbBkLjppuslf 8wlHttrRte0D6ZveuneWQTc6+GDMTSXp+OHSFlW83bOs9XvLWCzIfk14hEDbgDaV2kAz6WGjmidX RXoe6PwFukG2kuYr89JUbpNrlN9wsf2CLNm5CRGZKeAqbFO44MSydItY56jFIDbfq5haC7nkeEsN MeJgQkWw6CDIaU3+qHkmRvBnTpoWhJJkRJVT6kdjLdij8Dzeqm9MSSbbA+8dWmSbWloQ8E658UzN M+ct3rim2h/2GB/JAYmKm31kInOZES3rThxvUQZJ4m7/WkiCjIQxJCqv7msOe3HeDRV2+Qde5nZY F3gvhbg8GiFmzppTkJX0Suy7tmgSEfgklCcqxtsR16K9vk7vzl/QA3K+v9ZJ1zez/rWcR+fwJnUH hX8dsiwgQm6Ez2khjep0TJJdnwwkW0u19JMeuLALhjkF/cdjpeEwerpGyfYHENV6ZDKkC6tCnh2T r1sPWr0G6PPWjcmXPwGsGzxk3zdfLt2UFmsKWRwv68e9B81FPu1DmKj6PbPH7zxQuwYYz0OaZnrI 89ZjX/f/2jqWHr/EIM17lVSGPytMsQwsmfM+bQ1ETxbdA9CgigypmnnYfRoBFZhJrT8UqnAsWbjZ 6nqKlP5zJnK69v41uuZS6VZBcg5iaC+YRobYrpehZ1ltsd+g0JSeUZoyDLX+Lhus2QKnMYCsBUBe 7v/XUysJQsDgSLG9JPV2UWd77wuxVxMxVXDizx5pQ40IPoDGtS/Dx0ZtCyIASwZ+G2KGwDkIkUpf 9Th5pXYLjq2swW6+zpwsA9W6uUiaJUZ8nJ7rI7VpFF+GI2kAn6cJQ8RQV70poMPdjiI5gFheIB10 unPeaTHwl4OMFOKBodgzKRuNRbAq+AuALEMnTZuurp3jtWTED9fpllA1sEVlI447Mi9MgaB/JoXK GwZxpyrM2DgkU2wnJEWCjVlKncn1pMPDPpLke2elh0GJuyHZceL7l/9u/8d3tyR9UC8yR4Jy7p27 8IjCidvyDbu/XVVC1tjWbwOgbD0pz2CVznTDnpjbgH29RjAzXCj+7OZOdsoOX1Da88thEwmkp9ZB fc+66ekYsaa8O8asX1RLIeufKNbC/YCPYQeyo0u35XqxYw2kNLZhfwNkO5EPU82/TJMqi4/hrBl8 6sAMrxFQhyr/SodirDSNQp6aLwv2bb5AmIuN7stSCjJSJtZwSoUyLXGzqPBnTpU/9Dv4fgy+KfZR 1Ymmqx0cf1WGuqtqX7c5ZU/oSJIVUBXybbF1UMwht12DiKv6TuMas50Dhtg5mOzWxOWrSMolcLO1 NH6e/wPV2Dz1mBTQLUrzE/4AeBJnA0lRUmIlaJGfsKnSGWkdDagUsAIdeMDzSgK7UjVoqvIiGGYR KGq1OR0w+eRQJAyAVpj4m9yR7f8av/4vEDTeZTv1bridNb9UXDhBZOSvS3bdwwapgkiHPIpMmryF efiwIwIyNUTsWFMAACfeWbFjavnUHOAhnO1jOKFP4vC/l30c9Uj4kBBhY1I5YiAZPSdJ/U9g4FiB H03bVYzx4yYUtrkAIrPT9QkRQ1Ba4tBQiJCgB/uCLfUJ+bYHfWnVpvp+NSlH4+Nw+wI2fAx9LIwf 5RPyY6nuxTqlSq/XqaCjAVgB68k7wz3LmvLxVq/qhLNrK8XsWpWLxGulKNN3DOqWLjwJAx3h0qOI /Glhj11mXZ6DviJbIWURvNqHG5RPpLUVNrPGoidLJEGdWPJZQ5OYUauiTTWi7pqiAVFd9PJEs4FM VrHxbif1MybQcpx9ql8RENh16xoMU222eL1Az5rbcWbf9CHRCjhLMe8i8aYsLjetHe4UtEFL64l1 00+inHyj7NcEFnZZuhPm6O/7emZJLUCatCdcfk7DcQU2CUatUh1xzmcqiPfULK6JyIQqJoqoxQQb lbTyVdXVxOC7c+XZQjRZ2dpIaNOIQwY3brjWxuAzkJDxKjEx4R4TEy4dHTtOfQd413IRXMCKEI5f C4i4fJ2dbahIPCcORNY4Fix7mfu3IXDXVfVRm52k2ZbEStPpGTmincrWl6p0droRmTPbkM6voMke jygyLxg7PwLj2hNE4nvSGVwZ1iJbLDhxkZ47PMySFEbyj7KBaKMnDvnSiIcLo06dmdOJpmo3SI40 RW046Du2+sIbvk3fQ5UAJieG+YnZXQ6T47nH5qJga8C5UkduitHW31xf21/yV5O0dwL3PNw7vYY2 NXeK1vTGhPOKouQsf6lNJS92XiwZcKoX8EoQ45EqSmQF9uuc8mw68GnlaCNVXi3C/BEdP1I6yQXQ x3QnXik13BKS+lJEgkwLv+ji6x2G3tWYPTCbPNu4qtigzFmubuBl8XQqGPNi94yIGotwPlD1Z//R pLdmGt3cFa9ftxm/UHlHcnW/dwHp7gtJkolZ6D0MtesDqka74J7PeGXMaeDNFAyutjwykVV6Osx1 uuQQDM9TNaQt7aO4IINkkEAej7hK9zoqvxdXUQ4Qc9wzj296BBwor3fb51wjNgLPIG3YrUSd9MSx FOZCu0QVgAPVlyXZjk53hD0U2B9wJxHJqKDlv5fveHdCSXLgP3zAPohOeB5NBNyQjwVp1xAKvKD9 zEoZIyLi6eO5aE/4tJU/BGQr0mQ+Q8OvfOYxdASVaqGM4hRS8VUhFSBfgUDLrVFiN0f4ww5JuIgc oLX3KbpAtyqnjIp0mnkDqMRpsshTRrJcZYwjac/x5m7DO6Ng7hDGiXhOTmhweUOyQab5AusJa0R4 YwXOsEmIgrHXxzrGksK9IRVYYnBQ725T56ynj4li0W7QdN7mAVgPFlYZZOLjOxwuEoAcjH1m+x4X mF7OcWNd2VJMbKqofgIgzPZmmvm/HPfJOFb73LOJoeCri+GvoMg8DbRaBVarC/0n0M0uXdKWcKhL WSiAlSBhSkk+O6/PsKDiLUPgEz+EL9sh9wsUVT8EQAdjM52z6mDIF8NCe1fLjP9JPtG7js94s1Sf 3wzYg4V0dteiixvsqj1giK/qQqH6fGx7pTX6BGK13BLq5FPjCTkJcYPf9aMlzVx3SKhPegvrj9IQ YXNbaUtUs6IbC8BEW04xrdAvtrpsGYlZoaTmbezqlQqBQM027NWq+3SWbXIcQFWAt1Ud+k1hf+md gqnt10F5s7fvm5C6DkpU1BLBy6Va7zvPxosez1bq+q4OIphHWS9uOWdev5Lx9sEM/KfVMUo8S5EM GjmOtApnAMG/bfTDCyB5qXdaum+l7P05ojlPNWwb/SD8C4nOJnfYT0adMxcSkthNQxdwYhSBvxB1 SAz0WvmKAkHz0o2Su4jPMhWGDlsTp0VbjCfsC3MnaJ+OyKwsK5wHeoNSSSEleNJWdALtmSvF5iHb uaZzv0qMuEULiMFr4oRR6NWDG/d4mpf2gzv3ayaSRnUpAuw6mRc/0fqpPmeJcuBS9a8sVOpXJ8uN ECEmvMP+8eSXYCpFWswqqxHj8WAs2lsfASXJpSqpHZNGbSnHqICHC0ogfj/7CI+Db4uUdeBuuMUK VvzrqE5h2pH/vF22ulJZomBLX1PyZTr19aAN48cG3CK9Rdauoz8u4Z8hFOOSVm5NhX2FrV2D5mkO Ejw/iVfjYofMBUt5SzTSYvFygF6m8XF3E5LM5sPupBhnx0evkj70dSzVpi8nfRlHzSw0102jpGIr dF4CKIAuvP2Fh4ekudV/C56keVU3LUmjU7GACZ0YiXBbiIhLqpfXI+bMI8w1r5ALZQ+er0CEgRsU z3sXOXGyOVRJ8AKDCzXtmE/Jq0IMdBFlcZbZwI58ZjxStni21fM5Q5d4rCID/h6derlkuJkYe/pI +B85svrUPyPLYLa9w9JBM1Doc34kUnNf9by0DYjIVTj9boLXWeSvhwTMW389ghOcAdmLaZnsuoC7 laJp+hFGO5MpSuzhaFj6+zTUtStLhZFsxvocgRo0wiaIeGG1sM9Oi2mn/gI5dO2r7zcV2QVBvOV4 BkeUBI8X4mrxwqE5vXxqD4zekhMI5/c37gZHM/DEwK3XovsiOzU3rIQCUyVIp5GOxS4sSLoRdw2F WyN/SxNy0hiv6GPUurDjZ7oWHv6K/r0cwEc8Fs45EKkLcKMj/10l4SXTrLRg2dQamP09kJRY3dJ1 d1WY423fLdtfQDMK2qbRyforhNCr9UqUcfZq46pLRDO2DyWUoE2bLzSTHpWMjglNFFdfkCwIY52H qNCQMmRxIoxHnDD+jzZpO0szqVIVWRlgoqbGQcA8UDw7IzvGF415QxcNVa9a/HUBhIS9vtDaSMWH eKe9Nql1OBuCLqdaNbeD/+L+PAy3RxeIh53PFHJSAA8Qg0jNTmNsDr3tj+uJYX0ZuwXWITHa0P6G XDCE+HUvUaKs6iNpuOqbmFX89WCtjo8dsYXH+SW0uLVxZokyIq8Tdqv4anhCeH49y6bNB6rhx8ku 11mFfYn2Wrqws6gOUbbEcQ/UIbFHwchr9AbJHTaXxPK/z7cRSWjss4QYNHK03MnuYhgh0pk/fokZ 8HPIngpl3+EJiRh8LgmXBsV7lor/KBi0uNhNqfnRW9a4XGFs5SS+KN7fUbmNp+Rz5F2wEzX62vNt AqAoPBHP34msEAurvsUYVLMycYMBqlQHal6zFWp2DlC2ZKylQVZlKfPTiovNeiHpDhvsgipizkP2 Jd/fxJTJf8YKfjRYZA2syDJeC916lvJwVu09q6qYRFWnBN5Qp/539kGrPYAPd9mrUsLoYirPenrz L1RGgl42OmUBTaVR+Iu+KaTilSZ9IfPnnLs24kZ6xc9XgmNsu4tV15hQcT/2auooDaPMrum5R2nz 5216R+/WC3JyR4xlGWTf+lgTPbRpR+UqX+cl7GjzMHHXs7dEpiEF5XbPDC83+3zldKHdFkd5ClQp PLCGQdjejj5E3DFMGFTp+m/rh3PZMOOK0G2Z814Cx6zdTCO3YGTCGZ6CemlOc+E78ZAsxW0p2n2h NNcDVgp0tul59N1scxpgwiCLQVQCOgATOGdMdBGNjDe5T7e3hv5fzu4vUoDYY8rOxICMbqxmC0OC J3Jyq6JZc8nc8Wmh6V8e/yu7Hs6qa7IAk7WavFq/dePfazHMHIXk4BgiLffCb3zQy+DWjpylui59 zE8piIPcsXoPYWmbW65Ixi3YuiZmka81mCbzOHftmHXIjJvBDorK0rkW/PeotOoAH3RuWRlzDW5n TceObJdjvdlgfutuaUxL9lx13/0vmnnWko9zy6ySRuCTvyuZHOjBddt0kRot1+qTAeaM6VaAQUYB l7/A4twoqvfPmHwB9u3QLFrYJ4br48M3VS4jXHK4ziIFcoP4AThcwozlXZv5klba74EQ2NGd1ZUL qC+i1slv+5Q+UrIFNHj3TH6+z/6Xff3kWXC87g4vbif84gclsQ5q5MANN1yOpukzNLhhjwAHh76p v8rtUUFRhLjbRa9eiTFNceoag8v0v3svp4LDVBwblSPVWQg4ADxkcEtZYb5aWPv/NyPpV9ICW50e j/jen4TdR7B9kXFCw8IsPDHDMvB6vASxZ7F161a3kPmHhnXi4tHPMDHGOaR5uyE/BudgYw61MUJG Wlerd1vclr722KVZ5zBmDBac/PBE2k13ZecyzAgox2y2dQt3KgZJ7CgafnE+G0Gyqpeaz3nJ7DAd mo7NY5LlVcbhF4c9elOcKwCpF1qnVahI7thax+51r4YZWGas0oZjhjejd4xlDH4e6Z1B0OZ/gShj pBA9XVZkczPTGIZsLo7gALHLtRp1nqFJw2y38YkS5OXdtc+IMcAxVGFvNHnf98q3viCUlQaawxYx apmXzEr4L+2ezOgSr1WF0OP0WiGJDRvUib2OSxE68u3kvqmA2uO/Yrj8YsHr0fbkUWfpyXTlFcJv YQnYBs6K/kb4iz6IiKOaXL0G1ktWpB9wW9m7fdRjpUHMc9KtfBbSHF/wYUDG9QlECZH4TVFqdTBm oyJPF043GtdjQ3oTmo2fqvty6hKeY17TFbj9GN9J24o1e7Fq82zpxaDal6NKUjqu9F2ILW6blnHY igi91hM9A0RAvc5lBR90SGnUsjad4wHLvkq6G/1G6CJVM89hyPJhP6Wu22WxACuCGgLip870iFIP sFhPd2x1j/AVPtKjN/KnBmHRnVilC4t7zznEoRQ8587mAo//Vjfm01Ni1FrtaOhJkggC36C0ud+l x0jebFQYxyksMBNkCamm2l6mVEUzxf/Xa69U1uBV+7oSV7PMBOgL51Ay4st+lUXbbenKDUmAacNM q++FLFcqSwdHez99dAx9SI8gIkURKKpInH1ZrCB8E9PsnJkNZSGXXOpr64kDnZ+dMN5o+2exoB4M 04e95UpvNQmPctOwrOcTi8Wg3T40Wu7cqInrGI8b8UHhmgvUtxOuJh6VvV3yjWcqOhd/bPuaKseJ IMXePGiJhg5iZbTix/CU6q0YSRXJqG4RwKhGonV34m1PWPjupxnEkBt2fG6WsHPQU/3sC+xP3YvR 9X1eoaCjQC7s6mrAeiRXjO9hXEf6hRT47v5BLD/yIG7x3Jx77KItgeFeIS65mLcJ7rNKEN1AhGno hU2lex2h5mhqi8w0BSQakfuD8zW4qiYPO4c6FQj4PNPFRUtMxjhPRNEbOxJMVPFmn207cO8X8SHr cqXanxqSrCo17YRV8hEC/FdtmXOzeXAQYV7S7JWu0UNaxep2RkZgA3p5N+QKV3w/JjRGfPr5yOJf oJQWgGL1B16P529/+JO24erHwhuE2CE0OGAPwusyCfHxQZdkFsS90iXHF7jf8mueeWIESP5tAgtN eIWx8KWFrXRIzmJhfyz9uVLHUgAGxv1XysI/SZ7h5Xnx766YmxUDIDH7DTbjEc6cmd09I28tJRtw QiCgLUENeg/3rd+X2UqWxoD7dDQVR0UA+RDkpdnVbT8/vp49YHz8UXOOhLWFMH7FNjQDUhkPn5j0 FKSrugvFyzrJ+E5eW9UlDT88BZ8jHF+21eXTqhOBxjRSJvwwB1/i1WylYgkVN1JCMxZc3VO8/Tmc NFs8RSO/p6SNU2Mgg/kqy4xgY2P9FZWM8jVzJMOgUFWSzP9CB4aUyHhRHCe2JrUziIA05T151uRB MImgbyUBMM/cUn3z352mS63yFQYeqmz1fPpfTbZtDh50QdvO27yXerEr8GL8KuMv2fr/9MHbrxWM j5sTnm2MYnVwyj8IOqltXWfaVpjOgNO+QtruZIHJ1wngPq6NnmJ2txU+lj5Gm0PVTwsQQ2mcBCV1 QHwEzVXsHSOCRII+41UX33aorYGcGaLCiMDXhxuAC18G3c4rYfwulHIHZ2YtstjtDWIN3a4A8EqW Cn4hsWQENaQVOjxmCU5DRVY9438PBGONkglGrq8Fqu9f3oTAdok2fbgXBrOOED6mgDxX6zxuEzm7 dYyVF1jQjlbT31Hx7ISyWzPXUKlaNxCFhBgjxF0tqaCfH4oQuS9VZDHUGwqNALKZsKKrI6Zrisvf vISbG224c5yYQgGHrrRCfH9OaOlyXLC90OrjVFNJXeZzF5RV+s3sWEtMBT5vhGmB3Ll9MNYQIL5m mo1Aikq1fh6qnlF4A9zyU2Dz8UInrrDe+5CjNYAXRO8Zj73rai+EHvD85FZPb/IQ5p7yu1sFkn22 fux32fYfwtcy5wFVd9GlLzah/htq093jFYPG3dXNHYy834G0tt++dX6BHulh3kqiXfMZbWZfvYbW kXtZm2063oTOatRNwk14w7kKLrj9eXCAyzxp2BkpcM8CK0r1EKv4+7FTqtusF0/1zoLsQ7qxn387 fRFGaQXpnRbVwRZRP+9I46M35jBXxSSnnlDX6Go+r2/6HKvIjo3TrkVcTvfYyPX33PANX1Fbwb4b 85NDRsvX5uwFdKTmJgJdl37D45BlRKtxK5OlQ8dHt9mnfrIaKgat7j1OVKe1moxT0o3CZyq6EVs9 MDu88ukfZZugYV1FKxCVzebLDSwzZofLVkFIqDwn0ycyVbSdkwmgtPZ9s5aXpiM8X5trX6FYYcn8 jaNDm5g3LXBegh3rNwdwhmijNqM6BvoQTpv6qwISAuObV6JoVVZl7+yC/t4eqRdGfKFKLBlpOxE/ 5X/JnmwPcd5gjheP9HEDumKj18ZOAeamiFU+iaPiwoiI38geMVhR2dZT1pzJC5tjUkScc5lHgoHQ H2K0YIEItbH7yc7Jc/b2FanBJpmFMXdT4VSdGnbDdr0GZHGhKfRuWmnM9dPGy9DuQiUomWFXHcve 9miBawPA4yI9o+mT4dSSLceAoESMQZyOUzT8UgCF3HgIQCQJX+lmkzJLIk8jPhymTd3lOgERrfYL DndirYN6kgJjIy8T3d4wy35drZdXZRB3wsQETZTII9zz0pI+OL30cCDgycuzNpCHhfftg/WTgk2e qdVM5W7fmNWxU/Q9GkZ55QAApkMY0ilJolfKGdQwPoTBA6TxkDkQjR4ieKhokCzsuxjvhOsEU1x5 1MkohhmQEYgvKh2pOjLiP3sw/FhBlIWRMD97Z6uKQt+yOWUAOTVSI9nibZ4VkL41k90Dj3i4lF7D zyTcsAFdOkBZeM9CnQ7xljQmjNzGio39VsNFGYxVYo6DZlFHavwXfCrqnL2mJmmh02p7iIdpflwq DWw7qyhlYaeqxKtg/XhPnppDhgNJNOCnHa+JtqknqNlf1wPj2Rocn43oX9qVGSLnrf341LJgLD92 RKVs3wy6mIXBgb9/1PVpiSrrWB8ZkZxjTtx3SA5NHA5SOZBcNBcs7dG+pGgIydVrl6DfijGz48FD 7+ZTgBpQ3BrMLJxisIZ0ZI2FQT3dRjsVLBEEoYVMHUZ85eAYnxXFVQcYZdOrMITDlLFPKCf0TzHB 3gKCXkqawvaJD1Ep0Eq7Dqwjwf4eLLCX1T0T0lOtkuWHMSKfVpfTGPAnVyPudFEGFQuXgLqjVAw8 BZeW8JI7Ob7/RR5iwozbLSfQTvPvYTxKE9YgefVo5j1GEV7fIqaA24KVpWZzyHue16kDs80jXjeQ dg6j8YX+OEd44n0NZby63GqJDssE0g6iqt1h3ygObRJkr7LVldHtwaIhwUOGq0IRCqI1xUNHyzV1 r+3tKXUpvpsc4ZXJtD3ldNufDomojGGW5OrYpgnkH/EV/Xl0hiD/1kCmMBGQ2sSYQ4uQPDabrOC9 h5G3+qXO5dvfNacaA7fGTyE4Yex7wYRXhNHEVQBTklEUIHIOM5Trv5FMNI0nP06ddU7n6JjoENtA 0qCRxZtrxNF9k6m7Dahp5w4gj3/NYT2s62GxxdClFyW87DWVItuPQSMlienJLZWI8gliDYkZcNUB zd6F2gSGx7OMwwTYs0AYBIfopphwf8MDTEQAxYjlMfNfUsqnQKHspeOECEwmtSSf5gVK6+33+8zS /WkELgeQzACYMxIGOfyCY+YzV8XRO4eMVOaZxQcnC9Y5wvjyZPMntkcU2XCY+5l22ow/DgCqzQSb JgNf5Hi33GoU86lyqqXsjiycCMqyQobMCwrKjb7b1yvb4kJmxuSnrdBNfeJ6tE471OHiyqArzntF ANBscsNPuq1WXbbcZTzFb3R2qethSeJPpQ29MVYY2BG1FipiBqL0/b9CYcYs3WBFic+IH8+mp60K zY7K4AdG7LaajxWO71VnpHnbRPevh0s7YdprANcSl5OmBbatSlASMXZFmXwlkzo1yZcMQGL5sTso tdrXdspfDFwVAxfzIw/hQUGzWpQiIyHDmS6BQWdRAy9YMve0gWHncPYGs5kOirpBa4FvooucRNYy mPe3+UlpEptkXpV3JFTbIjq5Mt26FrzUSYSEcHn/228fe+4gBBsOuQb6g0YCDmWVBgwX9VPNvUlK Cx/1gzKvW+Tq4pPPFQNHtAIdHNNCoUNM/C091RLWIA0hX7FRURYj3ZIffUNBMEXidp7/kh4ZFNRO CEQBKlM86ehdlh78w8E3dte/N3Uf+c1XDlPXaUkdjUgtXJ0/JS9gqv0CSg07OTlvl7nDP39GF1gF 433thIbDFcrO42ISf1+uj9iGIFaKBJKf40tFSB7E7AuPCscw5s1iK3dS1S/CuAwYWhxhXkOVOm7e Tzre99yMceFfasIOhXzS66/TTtcrrUCXJyhcaEh0+aeQ10rmJ9w9bHoS0YPlQpnRkbivkVvxYNA1 4CedZdqehh0JPa7ScBBKFtd8tg4bO1T1oC7mn1m/1JK9ndgprnMmOCFD7w2LYD/HwZUcGJM3r3W3 qE+k36vNlfLLEOoqyfMhhsgINPUhA73XJvpaYgWGju9o1teA/FzSSL9J3doArJ8XX6PsGn1/AHwL 3Y+YnFuP9kkglPt7loC+2XrTzKI8nnay1ltrnJcUIFB3e7Qf71w9IQ0Co7EeEeqa0gQpT0YjGKgp zgiwC55b4Yrmw5dmgidhX1ILTDhf2gja5H722M0B58qOfP04FX09p9htXqlCYHDnbXBCMGeahtEC 6i+U9Oq0fCIz1ykVUvd6GhO86nUbQYwGvrV6RTW7RcTSbyg/mtIwDs+dwaOG4jBtDrMi/fDdY/mL Nuz6smIWKCHvo+EUo508pAF59NeRnUrC3BturbwwmmRHhIFUFtAqnkqkAPjUJR4sh7rG5ktsePSc 0a11MpTf7a8CB+8OMe6m6ko5RMJ9Y80EhpkJ5ko1C2R4v4PTbYbnk62pN1NlIBXFdJP15IhxGJvA KT9YjYxDx83CJeVmWA/doySZ6G21WFM5AVsx6479zKPK+cR8tTAKZPQAKKY7kwFXQt8zbyj3u8X3 k/cvbNHOSO383XvJEduDDSIzG2GPCxbLk6dKE82CRfFzrHcYxR/ekd6kUqXmldwcJoFCzw4RyPvn C2n/f784yn4vc0P2V7lZuLxSuY0gqi6tj1M7Ja7ZaiZjjhXoWtXopvhgUfvgLA4t38jCi9nyKzrE HXJwr+q3sQOHY8s37JZb/luxifzzk9GVtDX2kbcHFw2QGOWX1tNLe8cA5Ge182XRGVDipJb96/Jj omko6iFV0zW6zaQQ38CJQfzSeloIEEvnJXVPsXHge5A3hvGIywGJ/g/buyfYw0MV7t9fCx8mztq4 McSCoV7bPUfL3+TWzBQL/rwzbfYL1w8HAWnRbEhTFHSMTsAvgmxpKqg2ENlq3kOb4LqEpgGmbZSF kSx7zzvtkvkFDLKMQQ/VDUJmaQR33okna2azmSZq0FfbwN1Oa2lJzwJJoL79RMndHDZx08d2Fdye hf8Xl1F2gK5fUjL+mxkhultIqjX6VXOs/qMwvQXBuADNhFDzR1E6PBLX+jgo+kNeAIdnCb4LbvSM Hjc5RwO+R2dF5u2YGXRa9bG5TN/jKVStYqdtaFrV8Qit/zhND8Pc0OD8IPaabdR8vLx82CGpayki kIpf5Cg4ZYjO2JRAghItGFC/qeIEq3H4Z7v3T0yHm26RrxbZlpqWbOFEqBPxTI5zIK/9QpOzjnMM yLvWhc6/GLgsvKVQp8x1ZkupK8ZKnu6APaMlCZACiVH84S6LrhtDrPVtIOkQFlRQG7vzw+87MS/d WZhee8fSrf8LMmpUdyBowA9aH2cSD8HmW1Tk52iJBxFY9mH7rO4Tb19+pzEyFE82P+qIVOVXlcIS zt4oH7Y/O1WZu7JhbBs4SZf0K+gKXfTsLUVM+3jArhsu5fN7qpPXyXcD3PxkMbHnhxU0PN4wu+Pm FrE4c1KtZzivjIOrzURF9lTDPl/Hby6sJ/ng14W0E2/b5kv3H4osv4Y4d9a6fZo1EeFvAXaI5JuW bS0HHWABkHda7RuJsnGUk0Xnw6dqmo8SBNIF6UNf5gFtraxn1oJqYImW8b2JaSVL8B/lTm8rfLtS LIjE0csjMh4Pfg7ntVGCsPvR/CNyxLqCoYF5dWJfUF2t2fu1hDjgOxUEKzKr6ZFhpyesSIgivOEZ jK8EuE7vZwvQ1MQSI4spB+FDmv5ojbpE/Vtzia9hnu8/x3+4xhGp47U733gvO2c4wFyDYD0sojBN u4hpTMUbthI8ooK3YbGM1KptQf22uMCw9+NOsybJjCvP5OY4xJRt3kBk7uHVSJSWQsXZm1EoKus0 wfH10+XAlzCgaXm1kJ3Ztjj5Xdv15DHmYPDt3qCtongnX6Sc+GwP+3WpwpEUvZH0ceS/3/+4pIQZ rbUe9wzK2quP+C/IW91UkY40OZKVo8hwjCosHSi5wA2UMFfz2hrjgNF/K712jMszWGBw+S15khRF tBBHJ2Al3mBJ9QTmwzqaKh0JjTUCV9SqziRzFpJDcHHlyNH+Vu12xr0V9QIlDYVqEbOTGYhPJAN3 ZY94rO43bATYjIuDyw1mXTy2cxso7QhhG4En4btxSp3PeQMTB+3EfbJsCFfigFomWX7UPLG1M6FO ky6cg/VG3WYAGbn+vUJDIEmwkvAMePnejFmRFkJhyhkiYTHJ3R52zP1KZhWZBhqECpx5cEnnZIME lgPI9z0MoSRTBAmlJouAYU+ccOsF1LMtxBvx/ySHwrP4kPp8Tcj/4q3agPQvvl+S8+CtpMfeRktm HuOU+lUIucwbCqdRDdOwTXUvL39eb4sHwX00LGcIPBY+Zqz4JlrP5Im3ElxcIt0xgCnlqxeow6K4 POl/413o4iKm+24O4Y5BaRNpucOG5fs8ZMG6RYV0A6hcBQ3KS8meq/Hara5BIGo6mz14YIWu7xpM 6XVK2hV59JTNOyLzsjfWDnFghZrMK+86QlktNAgfuV6ADvmMy6Et8Su2QAbhVYfklMLBCnhykc3G v0mJUZrOOrNVOKIZJbh+xRHH7H7xwvlhN748kVMNsWeRGTSAMgtkkkh1jOpp3iIn8Uqw6j4wwzcj fxnmv+QbDTXwd0PLaQJ247z0OIWGR9MtIZc7KzHfIy9vSrbXJHp+rpwjVGYW+ePjd2jdFmMqIOZo jmBMjtT9ugwy2gDPxT6EOaOtTpZeUBXWX0xxhGGNoqylXA+7i5Hxce/fRuVmBJBYQi0q4QM4YFXw lVrf2iI/OD2700rOH0uiN1pUMsSX8tHL7PnfIbmq/4JZ9XAEEQbGSveYz4xhpPNnlRutwuV34Ilh 9v8YDVzi1Txs+PzJ3KuPiZAAJAG0raaQSniQGrXlhUIgY7GhSAGWKEpiHxw3FcF96i4I61K/9gbP 6a9XYUrINFwg6MGb7orDwm0X2RGZJkOyXzyqfIN59fCijRHuf/YpBMUI6COLZiPjvVt90lntehK4 fq0+Hzbnx0VDo6rKoSWKgl7OQnnNQ+6yCW0V3CUoC1WhH6AgBvi1gc/xE0z++6kwxVZKXdbv0dY8 tf4D5G9YTxvyG7W9V5LPYpoJTuB1CYigyPlYsJqFlPK8Y6id2g4Il9E5dKmEBvKBM0mrBbb5aL+V UwgseRnHb/gWZv23C4W/VxvH0xPVdPSvwMgddaPpTkHns/RtLUf31iG6LbK8uYVPDoQI3vplexsQ ME4UK5SmOjvrc5jy8+oDpcvT4Vrwh5vcFr0JuZFf5x0MJIXslxGLh4TeJmA6p4nf5TMRwyhWOMqD uJEKjjo+uB6zhRlQIf+8DT5rCN1puGyRaWlJz7thtk87lirkZV317LHZahoq6YqUvVh46PoQSUKJ 3TN0wmDC/ABR98zASVHnuBeYq55/1j4rK7UiqWs5i5sClp1VoyOXWNe08kxGq/fUs5vAkvV5OBST iChvHJNy4VGBU4Z90RyotmXNHG7OFyautbnJAoraKxg39P+shHf9BJ2RjOjm22HPnvYOzrix8xMd r0kwTgnWo0gkZxgSfoHyBx5EHx4rVao/YsBqziLOVNy7+ZXzRjGw6I6++SK7+6hkIea4+0o0LfBM Uw6SdQNYUCU2i/iI+gy071JO/ipojB0wSiDswuFphQYP8F6JBP4MZ7oAO0YtjrXD715XsZ/ZHQ87 ZIOC+oygDCzKselQdv+kKEU5zenKv4+/6PoGa4ct4QWgCg1IjQF/lcbxNYuGB1k+BVrIxNeITYt1 Mf/rwFmCMFXs8QDAZYvZclG4i6SdHpAJkHKB03Z680YhTtk8/4PahdbDtG3BJIWsImTJ1N6iKKa3 ekTTEG3nJNEbhYn8w3DJ9jUkFBnFcO66z3cpo/DC1IXscczH7ILnVopg9TtV7jtfhTlZkcrzW3Uc 5tbA/phX4Y6wNBvdhBKDANabMc0uUjsIexwfy+pXc0qBHVJOk2lf7gFiXETZ/m9v+N7okBdvTu7m ofxmAKATtoBACcMnNo2UJrfz2GBSxpjVqvxRZthe6en6pKWjvQxT0P81/u06BMzf6UNQuYaEwgt6 27pB7hDT0vt6S7DoU4vI8YtQfAkJddmMgad13AW6YjfOLbQL6AmK4cPRPelT1UVQ+ajjafwLCBnR tvmJl0Jl8B3Z6NJ8VMI1Sobie2whPMYvYemen/nDsNVzUZ35/BzTKIpjOHPRLyrOHT9agTdhO7mF LkGYjHlw7395+6hQUlqcnGmdeVASRsmOCoVL3OUAr4cT7COcncC4tfFddc+V9bGpSgZ2qQ98T5FK yFBMEYWPqy4A6OEdQSKoe3NWaq/rQukhVh7EMXxg6V+hYbMA/t+f5roQcNiTw4zoClRPQW8d9xI2 D7+sxelL0ZSQZMiraNYRsA2oJOBKeiwEDiJeVUc+xCZJWTblS8b0qZWkD4sk+iVPaUkLvI8mlQzk QgsaTeeH6MMuW0WI920kz6mKXK/3vEZ917c7Fr+akpxFpcpfZDGoftQtA8bUiSuldtS9biExn61A 7aVqjrMF1vp8G6oHRKfB257HWsLKrjIoXk6viNVgc7GyTrbJuvw3coQXdcUyUWosBKXcZiooiSFO XcUorjzVnsAM3MYXISFeip/1oCg2nJNnyZTMNMEvrbS5x0IAWYQDtVJtT7cyPpjzw7miUBEIbP7b tH9cHmS7IabUtBuA4fskfmtvU5LUzjzylP3Xel5xm7JWb2Kk0rfoYIUzpb/RGX3hLyUxnjRz8QTB 12Dn9kJ5mlXox6RPi0za88WGDYlnllnoWGKWTElLlAijYxG8MxwfvrTqQvfQFPcn/BmlDlmKWD6j W71wtMxKZZzDJXj8JFfxzTQgHTNAt1dyNKJspfApahg71ZZlshTEm0DCI93t7X3hqQXpxciv1B7Z BBiZsLl4ilykx1uwRDc4SGkZTaa2GMOK7pyoFiHd0jGL35zDNF9ayOmduzDKC2VGh2A+hw/difNr UGm9J1Cy8hfRT3eTN1iWbKrr3XDcsNnb2nKunqPXgO9O95D5A9bcLyGPk8Pe9Q2hIaC+/mNyUSVC qGJ7pnnYkAaZYfrCXstO40xHGej5xZckBu3bGHYUMWXuyP+ARH6CojcW4UUJmQuo1NUqTz7e8E4n HK/fz1Es8AILj9+Bm8q1ZlIAy2XJ+1Wv5uD5bQxGjzKekgunaxhMpIzd00xVtUYYnsR4TLxaR+2M m4W0pDtT/++yTPCpDLmiD9jK3tjjy0PaO2KCbsiGkMT2oaOHGOImUodmzI+U6K6hmZpO86AQmmSK F6q1csfCNZ0iLFvIQaSalEVyEilOSAfV6e+c12KyE6jbYDILI785Gfne89WzK9Hun01sK0CZsrOM 8t02/VYol33sUHehtM9s57tuI9mBOy1650i2CsyEnn9CgyZnpGC9RTbznB/+dFzJs9sb6VINwSk8 44tDwb9vB4lPoV6TJ5dkaFNg03nQRrXzh3zNyBZvsOagH+Cd6i+XcJMegDNjGKLg6rYCJoiB7D9W AECCiPd6PAOLlgKr7n9Xz/4dYmcHBUHo5NKl1nRpZtwtnaBoL8Gsnbv68zpIa41X1QXTbSJZpeZs yZJB5zxaKK6WoBYQynpLFrnWxgYW/oTTQyGlBhuerRo9pGIHxZTdKQE1MvR7g0v7KcHQyd2VW/y6 pqlq8YU1gdhgUvJqwaeFT1AUV2BSs7EQvlixswmp00hFf4LK7b1/FrU4JAc26v05G132lZA2PfXD bmzt16jakq2ppBoyFTcB9LKLASipWebLxysTPoF95QtamtCLH8W5vRo/zDAz6XcMhrMx9FhjS2DG hgi6RvMxsZbtSOXGJRgOHC/TRUx6GF10lUmoxUYAsYCkHM2lqWwUz7AAbaML/kKLM1PGov6LuDy+ ZxKw90i9Ws/gjSlZDHnOEt2S6Le7zj+exuA3VRj/2x0MTc5mlpfbttFp2Lv+5WQ9RI750/eLXjC/ HiHX72Y20UpZR7bDk4tgxj3Npnc3tKlAHmHm1qdFAyz4tTEXiqV6uwfX+KJQxiHLGxNIhg7104Pi NEQVfd6wnmr8vGlRI8uaOCuvJphRWPTUaK7AcHfZ6faOK/lXVgyU613ecgIABaguivwzxRsr76gx 1kNzdUJz+5w8OmNpdqDxIS6m2zAlKY6VAu9c/jnous5RE6praoMoYyMXATzD/ifd+ZubDSHsTE0p AKDCWoTQLAfh2ZQuRxByVzfkCwvH284+v+7k186KGwHd7Fsvy8C2d17rccU+4BpzenroftgDO6sl UiAq60tk+rQ/yAVUsJ24U2J/JrVy4xqaDdqbT/KU4s5e5ebU/DPNeY26e0BVxr1vl/QitojD7J5J tOne8PcecKJra8X/1c1/PCjZKvcW8cpVKg/X5d9aA6o8WzF90WjT06ewWVlwkwZymiQmEi8sXeBA q3TJGMdS0w5ExZgjWOHGxEU2F21Gy5WrdXqjIwkCvaIr4xK+iXi9R2rDLyM6+iEArZGZMZnQzyKU RPmQI0FlJuCjjQUdNv3OTTtrPnBltP3bKuuXeyMj4w8kOWZ3zlUatZ+wWTUYiex/FctoqpTv3xjM zgJbIAK4C+bkt80/nf1scZicmatM34JoXhSyYo3cX9ag6zZqRMJ4Zw87jOUEb2ddwstETeHGfL5M lPf6USvrSe51OxYsi2UdOKJqFkVegem8YT4OGDYEgt4RFq0c8amVD2zuotXf8K0jrjX0wOWSYwY+ VeWa000mmxRlUh1nhD2+HtQkz4w8nAGzhR6vURzGchc4ZFadyF3a2IMVWQWBmz7DwYxZOAHIKcGB hGm/11GXJxdB5WmS5XdIlDFXIxcXwGCzYFQ3bHwy2Jsm4yttv7z/GHj+fXpBXYiIxiUMCdJcDeuk EYHLm5fUz4uglp0LcRvo7hbesDZbwOW4YC6TUfaG++w1bcBeNajXFOYtR3bzCRV835SLsQxIuiHA OjrUg+b6Vzs5oJoLnoRyGF862LrAES+v2ndi/eGfODtRk84q6PFiicrjtmJMW5ix7Pyf0eO2mgqk kaYO12/JAUNKoT8mranBpc+jl6j/XS9bOoRudGe+1t1nYpGSh9VWhpMKMXH1cWoGVjhykcyC1tvY WB0SBqh2uB+GtZacoo5vCYRe4/URLsrx8dq0ivj1G+zS8E4Vs78aPIBvjAaLjDkm5GeOzW14AFFq IHX3Lf8gKi+3SqCQ9PAOazKSmF4IEbo6OqcBSX0162NQoT+iT5MwhIEw2M3M5NMV2ggEExvcx/5f TRkYE8o= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block TUg3INHh1m7IhzJTWhl4hakhjur7Vc43ogrhEddqQLKQ5cTmQJLyY/O39MHvxAMR2gKZYkMnwG2l 6cfBg6sy6w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Y/ia27A6wO8jmiJeDD7eisp/o0DINS9aBVInHA54TUKf2WoIq6hh9BVkHnKwsRC6y0ISNQHYfAzE PTfro7nWLiogO1UdUR6Fdg0dugY297GMGNSgJp4hSjDcncspoXCIzLXdW36IFe/bIH2I6rVCdQGq Bfb5Gy8ISAcPnQqsvfA= `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block kz0wef7kcYmKk02nW3FHEht391vWQJY92Du/ZIt2m7OdokLfO6Gv5DKbZwOuyO+yXdcUUdWHG1Hg t7gRWxEAkdlL4/9TviviX6GS9QtH9m8xJMYQY/3evLZuJv2spaJpj9XdTT9hQlWB3KOO/c4zrwkZ 4xmqwjxejGJsb+FM5sQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dkOPiv2V30YAr2yuyXphkE0lv+yYw3tHqA0yhJuJHSglEGmP0QTtSBhDMb+PGYV8NkSI8H4eVQnW syXqKR2vhzWnJ0zRCtYlN/vMwjrZm35SHeCGC3CWsCXPg5fWlXJzxzDU4vP5OD4maGH8Ec1mMktz gRtGcXleZSmjeO8rz4N7Zl+e7irHttUbvM4i2n84/VDlVWomp1+ZWh9VIiNadiVaF4GeyDmNDujq KQ5joBbbe4y2hoQTmu/mtfDUMZGGvUoImw+vazPIlVHH7z5MXdEpWiEKnH14qDniwjKNjq35y1au oZwXSsG5YkjKitE/OpWH3/uszWGUyrd02WCk7g== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block YPeWnh+rwbGP5pImDI2d2//p4f/IpBCUCuKd/hCDk+2PHES852iLZdoJloFPd1161LDanxCbRI/P 1nJbZi1obBy3B4ujpRc/a43DfJ7dxQHZtNjYKs9a//VCBS+23vBkqK8aImNg1Enfw1pvrz0j2FHW 6mOF4jYRiH5WXOIIuBHFpcloerzd0g9AWQUxk/T+WCSCqmYWUEWg517jiOu9LvsqInAOCZ5t0SWx 1A5jeWyL+aVl7ZT62sEEoT6kmD5KQH/kGkUI9nUWAJWa2/k8yR8JxLoz3s/KFTMxpyrcHKw2Mba6 kP0rB/IanjAxmkWkkMe1p+USCoEpuIy40jLfFA== `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2015_12", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block RAvb/4vlo/jbWHAYh5QgcKvlffxbz7XViXDEv3yRgfG8bjy/C4S5r75Las5nWMxVHPrwZeDhcP3y eWa+WDaWFUlrAa+9O9M4rBFwyH6vPJbUtAoKNZ7YapE5ME95Y4BKJQml4a6fc9hGkDkuDTohQL+e h1h5j2N9YkWtTKH92l+ACHoeTq3jJ7tMmqXWKNWTJN+Wsc2eZhJhClQDjPMSNa3YztWgvs7raemX fIP1EibAwQWW24hS/XYADD61gRmAHEtBDkKgnD8twsgno/WaAeXts9/ZgPRMk/yeorVQWfEagZMk 7092cD8GLSfd1pkbwQlcvmGKY4sXaCSxbhTWwA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 126032) `protect data_block 3xwWy/6MRkRZeShS+gzVnyCgu6hmxBCku8OmzHxtEmPfQhdBrJUFZbsyilcsmXF9fxe07WZOuEfd 29eDCusqS6+GDkQa1fObrFpDTlGsg/zuZPKlOxbgj2ogFnMxnIt9mTUOm/CSQZjfBQ7ygkuzC+46 LvVkp2t9HZAvuSAyMZznF4cqG5AAhJvQU1qqZfPY0AR7DCBAQy31VXzjIVWQ26+QZ0TGIhk1ERrN IJFDiUlNRrtxLq9WMMPF9Oy2fUuKxCbahSnogmQZTAWUYCja/VXw0bqyhlD4MXbIHXTM3X+aBCe/ 5QSNpZFK3LtLbQe68WvdwSyURbOkc2SzWP/a6odnSOay98eYKLf7Zq6mEXmWGJTlFH5lDdsIO94e S3v5LZIAnPAG7jPY1Ljr66SrMRz1EPDbrfQAvu6rmkTEAqvreWAFHrJhAshRav7gbDA1MZ981/xB b6kEszqjckJogNAa6Xl5kJurk7cpJABroH2dFytHhVy4ze/+fwesoHFV/Q3HZiAHFKEM9DtpLo2s qTltHTlgUzI6hS09RD6/zKLs6TcGgfgAR71IUe0mpuvr/pF90dBzgyIMr0ujtTjunzOLeOAeChWk RBxBgjAiFk5KsOeGA0jtyegJ5UN0Ol0JUlRmNCzL6kiajDqOsdvqZSN5ni8eUtnUy8W4Afkerlxz CmBiC/d1aBqVPxN5ppWmZEGvQWjujgx6gf1dj13x77Af7FfZOs9WqVRhSJJrWMO4fJQpXbUMoZSz Xq3bD3IlXclwTu4LkrwFD6EKQMdViPgZqIhu3ppfS3yQhn+g1GbhN7Hc9wgNYmm3XDOXH3wzV531 8LoBGd3Msr7am/v9mOeNGt6Xx4z5I7RPDkffQFOGsoprm4vCHMzurHlYzWHPUdDqDvJ7+b54Z5C8 DaxyLh+lLT9+/lDs4h+qH7yfL3eeqZBN2zDjrwjvlsnsIMnyVQgOPFC1dUYwkm88Vah6G7O0NuH0 UYdBDwSqdGyqnS6eHsB4ia+Y23Qd75J5twb9/lQGl0dr8fPaBdpxfZmq9LGRpdrBmvzngwEzR48T MxBVge0eDEnAaFnCNAI3AUE3mm34o9aH7piZNAA9b+JO7SAArLNZ6wgl2717AGAZ3TpU4bfmxVot 7Y6R7h23pTrqVY44GJdgKRBQ6DQ2SPnCwQRi4Q5/XPZDCKkr8gz1aseDxAtFQxdayMOHeQ9kCcp+ gQ2PoxKwDSVg8z3QjRFTvWFlohpIowOw2pZl7wU5RVRSPAXYGKYq+RjvRgrQAvIvxVOBrnHH11TO 826DmNQ3gbHIys2Y2kpesvU1cbackMog70cOelnYP/my6ZtOKRPwCwTeKNAPFmJgLwhWxmhKY8I9 xG/+ztD9I8FHWqozE3cxG1qhNPTT+dZ2x0S9fFA/INZnGGv0j1Em6zp6sKLTQIghWfde1SaTz+qK eOY5fU6Tgm4sIoV4nkz6LLRe8euzFyguFKDmFb2dH5hHk/oqGVB7+76y79TBfSFu00Ck9BPaB3hK oMvRy5+CE0/zGYz39+Z0gbBK5/YVJZ6Yx7BKlG5GKtj9fOjUCbJbPqgFqoAJFsJvBRCTalwWIwxi +47WnMnGA0mvSei/b16TrBI0d4nBMP4ydy5bNkSpUZNhfh17j+2DMhCpnsgvPTLMBM7qwLwbaSF4 Jl5U7eub2uJlvGDWM3j4icIkr2bfcnrhrLxF0sx06oz7jtjIBnME/CQaKU8GshBpQjLypwgIlT3w DWhKSRxRMHqq4Gi9QqXJBBIUWtiq8ziqfRGyHZkTT6c/Vjw4/gTWZ79eYQQ01+DVjp7RzA9KfLBT ld8tHNOQPwd2+gSGnp8zXhb2t4r4M6ujH6IMPIWgcWGmygzc1MhNRH19w7ysRu8rEXVkEhwG8Pb9 W4HICsubX8/PId9YvwsXYImeIW0wdUNSbZTxIIkfK55Go0NYXSrT0ap4CEPWzA7ZdWu8VJshAilj l+xM7KfsSNECpCRrBjG0RQrWsPMbH1B7E7IALtEFRjztXZzL9/7/+e4gGbIz5pfLybmeqqGhKzIR UH6Tlxm/8X77Km0ksofduOB/QyLZ6+FnjIFJ5GdzLairynMIW89p3LJO9YcOCX3Z4QqzOQdCn37L z8w0VxkfKeyKdTvcErn66yYcbV/9MFs/WL95UYAVYQOCw04b5992lULw3F5DVxkd5AwnfY0bE9RQ U1HEAOxgNpz+Qh2ipGvsDyRPyfW91WhnS/DmawyYbuP3FSm4r2knmPbd/U2TPkTlSFnItpl0ltZ9 iFepSSPjiXv2ZO1JWPcBt7LMYq8iR4geb3xR5WbpIAqb+LXu0dhsJP1SxqHSkdKfVAPHCK2nyyWy J8lO0B7zAUNvXnC+OUmGy60Rt0WC/2IaQv3dt/He/lGxndUaiFzFK6M+JAWOJx4GOi96Lf0DpiJY +3NdKiXELwi/GNpIRZilW/pJwmB5SLnksI9Nbb1S0Jem8zKUHRQrCA+qgGfO390iELI+AByRh5HJ vynXaQssjmt6zbe07zyrc8UwLNaud6BojgjT7wKq5oKCHVHfv70W4oqVeXyH1liz7knBRX3iPsFI GXqKwk7D+UYUx9f08715lPWRf9oMZxTsVGgWVdnECG5kPSp0tGZKK2h8PS+hgnCcE4y2aFoyfQlA wb4z1zQf2wKd89dDx0Zqup2btvzgY5U20sn+zzZocHMVYn/b9Mb8HkdiyVC4urvaxksPYhiJB+R4 xtceUdEWH07u2hnhoUJCQ7cBwH05NAQDwELAH37SWosxSTi4X7sJ5/lxIbHvD8Izwv8/iwrYlXPh hGilVMxUOjWQGI5LpjYFzwX/qsSCY3feFwnIVdZN5k8Ip/LwyuvywrI18nmfrqnxBgwczK661RJx sxg541vHBZHlYIkSaBOEte224IOSmurKEhPYSRzWBvD2CELtIKpFVj+okmUYgYH3grOrHdE9NXTV C0Asqzec2j6p44zM7VN+mpxrqapFfw2VQOcqx/qlrZ5nP1HvHi6P7xikvFsPUnnJWqf20F2x/Qul HHM0wNIsvyxcy/+Fi4TBVjpe7mv+LD5ajwNr66IAS6Cb1y3FfB8xoiPqgiTve8r96ZEnZHcE5OOL btzSXsBuwMvsyz5sIXZtLIgLdNcoGBUNEgdknzqM2iyBcGbhD+8sNOign+EpM88CFdZ4Od4xfyhI rSMeX5peXRiTP2myAWbiSFcumyI/9Xw9D+W8iT95MfH0qQbBea2jYuL6gWIEdvu87+vei+gxwtNs /BV4KzRPg884w83QMw1sOyPPavQ08O5DLRm+wxSAvlC9AVAsiuQPF3XjoItZaN19PTN3fuI2z42m sn2V4KKwkpo4N+T6Gz0JCyotjwCYllGeL1u3579HqFs/xdwhrw3zGWNexfs3UIzmqu3rdsKD94Js BBqAnNtycFU0rhAs4bhgr5yzapArb/KokI2YLBDLxVMukaiYQiWR8FinVUolIFSOB3/13c5Oyt62 EqDkjJ+/AYVeM4b2SxnqKXOWBOM3Sz7oG0pB0rxKRRTlq3oKaS0Pt2FucWveQd6Kl3L/bW9usFSs 0t1WDHjSjAYqPYNSyYxdHvtV0wj21ptbS0a3YFjmYv5KWvbbjJjWnTB2CnLduSqc5Ml43juDfeGO E9yz7LANbTiq8TK1hvb86WN0m5c5AOyVSVpJckl3RqlfOq79F6MrgX2MjYPF2avCfiKOyouFPIPQ 286pzSElFbEIjaDFPt0vUOgDoDOTfaKTkKQOc5lBhK/J4YVI7va4aEt2Qp6FzVuqNsYLc/0dwg5q 1T+xVLI6KJkF8mnfSM628qumcgAq7Cb3GpMlDNgGKK5KEPUNX/xhHEtHH/8JuCDc9HydWGPm7/yy TnBuXjZJcUcUKgeqkWNNSWZZqueHFI7/3htGB0IFbWLgZISJWcrSuBG8FdQlberEZ3fxg3kk6Dy9 GqfLp+WQLxvQ7z2uNYJHxpXnQDnhp4gDlkqQxptMIVj4NJHh5tHVzT1HV1frBOa4THdkmHRlvOKl tJaol17QIy9clnyy85gFbrf2ovwlZNuFeQLccfucDRhkfHbQ8mAGjikMtoREXnIbriXNWF13P49M Xl5YLHxuNgUyYbN23dptlDF07Ipwrg2oJUOg8gKRWHBylH1AKO4D7DYsI8JCRKtcXJdtjCja4Lpi Q1AZwWOSXKGpfP9bvazmHyYaNTyQTWdLUBe5heIqbG5f74t/Ctxme1O74ASrXNvo5BOuvOZiNCuU 2/yJgd2Hsnd1RoKCELbuuL0TJiVObnH4X4sZou1IEYx2M5UiFumGN8/bNuvBzUzn0SMn1EHSzIvO 9m1Ecq8fkVERm+7wDR5LuM82ARXVTQBQ1j+jV7FT7Gd2eEggTO7IcRI2exfI/YZMkDp1DBD8MEmS jHJl2xKdHfu6ZRm2GRUWZ9xrLP8HtndghUNSN8PB9EeF9wogCPnvTaZC1kojFxc9+1AIVIxMi+bm g5lbxREUBRqFf6om3hfMx5v+vEC8CX8EqkprmV7cPlmbYEQJJ5AqpA4CVyqtu/dZ6+hzZwhRfN3q p51vibWVlNPPlzAh1DodiDvHIOZ+sT+D0UM3iMSH0wGemyzZ+gSilO5cHf9Zyt9s9L1Th9pemiH9 e4SrxxLpWEpccWE6FnpMv1jQfNj5RakUH+VJNTZ1AftqdaRote1SjQgWW2ioU14l0HTD7bs6E7+y pX0/sgvDO/wYYuBs5SWLyTjaE70w+fATsbbnGW6Ry2FnKOypoGD4jYltyrbUVpLKvUf8GPjowQhW XKO7zsOBpwoLgNTGargsa1SEt1+1rHhLWQ+svstwz+aMBL/FyPh44Myrw4pmavybEZgtykGR4+x0 sNsw6ukm0j1QIk6cIgoAWWjalL/CpEW8P4mLcN3CMSgCEdcAD6ksKqtAQ1riCB0DSpA0nHDXsvua lFOHiM2JZX0alLn/WOXtDrJTiZOGB7aoRKIfdXgvIze6FsRWH/emlAkkuuJAzlxqtVGx9YkXk2Hr rVZLjy1NvN8Eb1ygI9eB0q9/Pf+LXYC6ffv1DkGEu11lk9QXWZUhNsUiMuRX/N2QNXHJfbVeMiLN qbXWmSGK0mtj06uEnVRhTFrb3z90wtVZGU5T1Tt1CssfB4bn4Q8pK8Y1b0+uKW6ixR7UYgJXBKOn femxWVfVyWH5zlE2F9TM42BixUq8i35s2gfRa7bHBmptb9/G1mXMRuEz86z9KvA7GcId7XGcMcr9 KSwAI4LR5eJjZohqutIhqrLZwUZDrwT2IV2v4CArFPHXGK3Q/b5IfAgrIxGl/FWsvNyfByAks/3R w9WxRmB+EAQsRlcS2aJaGpfCOJ94uJmP89Gl6Pxcz5JChLmV12pae7njNEsNAQ2kwe0TKoYc/YQf HeoucMNNCBWG2l3XChqO3rgL6/LLPoFBivdyPOmkVSfnIQ+j9rf7NU3nizRfjxb92lyve8tvhgdu 6DdOw9XTAF2YoCDZnLHr3jJCrkz+I0LBzh4vBrQZl/9AOs2B+EpBkRJ6gBgz0S/AMnU1V+1EzzQB CcMtXbwRozrrCSP0h6beeRjYS7Ab91gfOKbL/HiTNEhjUUh1TYm9pvFC6Yx2dxZ9s5ebCXwI3q5u qGPP8AYEk4T5IWvtfdnI2t1QcdU6nnReSaMKM5KNKVtUC83W/J6toqpRzlX8t3DQLyYBZrMf/WfI ssQwn2DIukW9eMQvof57Y5ID+2wP4OjyQ66+wBqIZlM+gT+TOALtc5xD8DsawZ9R+b7pCDmGGNr0 FjKYEUlf+KF6oTkDuA9dWND0csYZqJqmCc72qnu9/CutT/YiaVwgskGLRYBekX7XSQF0sbeWZeJj TKxkIibqhl9V/m51cTFfG7QU1Mnz6YIlWyvTGb90pa0nYoVXqjw+Ck2HX/00JkEY14oAlPYnTanL /cZAAALOtGr0uWvZ8iUuR7jk8C0X9lhS1X4J2VGhsZmxBtuBT84qi4QqsYEn86shGm69FVA229i8 ig0xIFGfi41lyboMA8gRAqwMdVyw1K/YefEGD9NaOrOSikh1G7IOCFg3XT3K2Pd37SNqaepITOP9 DDo1TNm+Rx/xSf4R9BDbw8pt9PcHagA7t0wBngMz0LymtzBqSS7l8AwzzaGYg0cGM5rjax/6luoS B2rAN3Z29Rg4bOW1fiMU3g6StWZrDlJMqtlsQAUSNT5SOyMZe7h42ipxTsadkKnKySxopg/tjQ6o 0ONLzeqlLjpyrndMbLdrePKYPQiuDqYj8ZimBQoRMMqevTSS3gbRx4pFHlV7E4GCQYY2KD0xh4w8 CiVOOm+67YGiZJNDVL6QzXOGYqTyBxR+E0J06IWQH3q7q8p/aUvtMLjTm4rFM+di5VUjycM6T2A6 W1rk/4cf2BGUhWm/n40gWJxbEdrxzBGevbLL0GLLq+W9NcZ9Bon1QEMOxxVtTFwjGv8rIKNzQs2V XaF/9iVrwEB3v5Eflhn/poejVSa3nRA3AklIVRGlOIjqYmQbIBXAB0NahTEXPmuLY0j1ubkcrnAG 3H9+NcfRC6mFXdhKVZCwnZBrQ2yekeTO7CdUWhjgz0t5mHA4SWhwdHe0YEBs2ixVikLqixuoYK3E BrE/z/B7uT7NbxHB3hKxq1E1jPc3z6uYqpys1jRGZCMqTgajZX/4D1CWww7CNf3mzQ7yc8hNw2Uz BL33FTZ3CO9pqzgMMp/LkhkzysXlNjrlqZHk4DRmH08hi5i1J19Fh1SkuwdyN9SP+AWI8ye4JORy 9RQUwe+9TXQ4GU6EED/t1mNjgy7LUA91qEh2+PkPuPAn/uY+QfuUnmeJBa+LiuEZ3EkLpba172wP h4ZqXMdW/ALm2wwbuqqYgH4mbFsp5SijaogjbDhXoGeVJ1t00wkgHzzC8R2CKQjzsQuRbW4ko4BY bz4bcLgo/Ve1ltxqamyZsfgwlFlkOacrBDvOvQDNdlIT8ysggAEKXMSeqbeSkqY9URS5PH0pilvV dgsA2n/wTGjgbbw+rTTnkDaMkIfLqEU0QeZxwMbp8ZwKqpw8Rx2m0Rkio70bilLoCZy9c/YJ7jPu UWYKBF497VJarIoYS7tykqiPdrHCWk9EqV111rgY+J8VnEieUzo5N8Cco1NbdtENdvesvRobfmKg bOXmj3JWXGBUdmatT9zC6uJbeLMIf9cVCEOIN+4sNW0DTiSaX5WwiSujlvJBIV3fMdWVj9lb+d7s NRpBSm+Py1FGG987smEwBlzIbdBQKPslVs/Dge2YJYwJrKLJbIudUfQvY7uMfeep5AvxSyDellIM RrvO+etyQ2ikJGg5uRfc83cAgALSLAWqe6lQrhZ6vQNoeWKYkaJdI8RR5hAH+qW5nYb8u9e1qz4w DXvZBi0GT7ZyWDzW7hV29hwSG5nyLg8KoWxgDcdl4qhtRnEaC0HFgiW9hW/K4G08umB0b9sinLcI +NNz+0/ixnkHUf4GJHDB4JtjFldCfHiJJs4l5sIcxZTYRsIAq7q/sFi/FzIxXTUxZ1hULazBidXp ZvKDOR06k694BjMcJR7k1hcUNN9rYUp3M3/XuukAn+Y2iNRnDMnXH8WcTPRIhdvRay6/tr1E2vub uOPC0UGKRfO5929SY+nVx/Z2WQ0pFKuHkDCdQO+a2WLpz30VBVj4h94h3yXTaZmQsZBcPdzqvDbD 4tFUYMcOFT+xf0WRvXtWEgu/B7VKzNZZWaRfNTbAd3wRpseOZBh3lJzHLTE0B5V8lnzt3r0K/fHj xY4of3tmp9Gvd2/SdubaVTQpb8PkjGJrRavSLGArMWnJ2gujtR3YglCKo/5gjA/1RTljiHXk4NyJ Syd8NhsEE8Aamz0FuY9GXhoX93ULxwHe9mFYxy7nycaWU+jxlNeKXfqOSFL8xUw9a7ZgQNMzr+2Y +llPAEqvYqYG6MZ3Q4eZIxcK1VWHl9/79QQXh3x1m4bMLikvG7eOcs520RCXC2sHM9OSSGT3rDTj 9XTBNVDjGG/KYFSOoPp7r7ruLopYSbL8iQXYO+bjN1K5VSDKtkgfPDEJp4/UFoMGEUelJOFGo//G n3S/RadW/yI4LY8KfJcDlYf4RBTcAsIddTihhAA5Ga+wQYGXGWt+jSoBgHAZJG6fSmcQy2ViaP/r fY9Zeev6fRhLiTFxqtNxnLCbIs1LIHQaErMi7OCQrCMrJktak5P/TKF/Kah/YtyRwnFBsqcECaPB 698sXJJQlKPsG4rLQgh+3Xa9Q38jmkky0HSrAQi1SAWSM0XlmiaKwfo0r769Vq8Ev7E8KrzWOmwV EzhE9YjIOQAlNZ90FEslBDpJVH6Ffw6hprKdsG3nhNbeBp6chOiTo48uFe9f6sGd+EWocCLOP4TJ bYOE9eu6nnzWCfp7aKj4bH+IFae5yh5pnTnkPsghJ2LI2RsBc8rJgbBXA184UnWQ18hBlV0hk564 pWm/HJV+l2IoHtRZYn/21IGnWpVYNeHhOnbIgVhklS2Hs1xEKGX9uzy3EjdT30f+Au8P9ryCtJzP RVSg942p0WpaXSr7RIdboq/7IHV0Dq4vwpkOJ40XKohjTQQZEYNjkdBJK93+nW8+GJNjVjQlvF72 JjVN/FID6lmMKK2Xmq+2tbiUfu1xunE/IvAJK8FxMAthe3iPYKlXDTiyrdw0opq56XzE5kQutBAD ibBl6mGvnLi0ruje5IMR0FOHEIlTd/Y9HRObc9vRItJgJdlbhwSh4kiFmMceCpijyCUyEIivuxoR FcIOafA39dxyzG8UVk7j1wMnY0umdiAWwMwrW5aE0dOm/WO6O9oyXGzPNGYxazZOwdYtY+hZH4hJ SBocgfbp5FYrCXD11UXbZJfiWZ4ejnCS03azhlGAz6rF2Wj1EKbjZcUB4SEXG7pCercuGdFM8LZC cFlD0BZvX3DAJOsnBkWQhCuRUOtYr6M2XXdqk1+3oFaBoUm+T8akn8MijLMMCQ1yMoFkGR2F8Oqn xnNbtd4xW4Qu81weM0H2YthCsyt1opEDMCudXaLk1PzFZhmtIZTTUXtpEB+CbE+5poyhieQ2cGdx K5Fm57FGYY000rqjcYoK0ZZVuIbNM4/j4Zx7eNYFCw4AjF6EHxpgds1sdxSy0N9EXro9CMAlb9yx zVAl+onZXbthmG4py43Si7wGtm4otXyhN57dFaDJNOY2Pr1Y4eKmNaimXOZgYEVybAanLO6vwUaw Tu1C0ayfLxVDb3MLfiZPzktjViIofAYsEIiKYyuER/dQjQJ/5kRFpYC/YKM5QzGeOs+xPrSmX+21 4wIkxcfVpyI7WJDA+OzJRtggCilRTGGPUYox2xKWl7TKqwK/6N5FT158B5fxtS4Thw4Alk72fs5R PoeFcz+TqJDhBJ78EnrTE2fbPuor56rVT80g8uA3XtEELaASzL0vVf75/k4re68wXCiFXfBPY6PA BQtNlt1WAOPo9A8Nm6gz3AE203VcQb348gx8WxM3kS+44c/EMyMspXPLViMpP41AFRB68CuZjSxP 8ma84BLUOyoScNB9P1cyEudSZSmC7hTTkC8Zat+oooNbQdC3tuwQHt0DL8yYs+eJ8pXgFpCgu0K7 DJzJDpl1+uSBe/+ww+R57IVHm1S/bSVN2EPlGomDWKCr1otXqd8yVmjwfmlDQKBJmgHtQnz5Gfxb FTLLCXizDVw+ZbO0/KDm2pdPpjN6nvhr3KPPHqpnruTuM0YPNV7fHk0c+xiDVEjhPsKvLttkHhjG 0sSMX1kh9Y1h+pLbzglqS2O0vBlbSOLgyxwSACTKmf5ngjIEXlAUfGrSmxD8cQPhmvfXpKgenD3J uCU8wtJ8CdMYxtnqGJlchWzSoh440JB4SMakAE28q3FhAZMiCpprJDL9U04tDYvhnuhEcLEWe0vS vdvguE/bXIRGv46MDTiF1MajhknTvCj1bKv8Kz0nOMtMX0PWdUUpQCvmnj0fZTR+w9NkFYsNE02y CqdpM1sR4klWDwTY4pTe9ls63swgFwTGKnGnwyy8gAsUq2aCfxrj/pDK6dQ4S+b+qnq/eeE7Jg/n 4FD6IZzHaIZchYllzT4Qm77BeMib8Z16RlNZkPf9izHvSPq4Vi/PtgHgF6VKA0P8sofGdWxq0onr jXdHRdSWDrTcEykL+lQ2t3E6lC2YC7CjpbkFgSeHBya1pgrekuKYgk9hihB1ErawXkT8VdT5OEIu vI+6N9sW+H4kkBhWsT77AgJfEz2iilvNGg3xcj/JuHEPxsAJLYeWM+5hnU4q5JyeMJteQBO9qfAk P0Li+WdLfbSILYAIcAGFamBF61geMLW95d19y0GWNoERPOPNW95f5hpM76n/VNIyB4dc0WycwzwE nCIQmmtXByB7YXi/3qQNvB6lofBkDTrm60DaqTDVP8upe7npvEbkFS0OGHh23GQJl/Mn+qnAJqnJ sjHKLuIAEi2q9dBjn+0LE/8uYWLjXJ5lAta5jY+Wxv/8BSFsNwh8yu8IhzOBkxPe+HzT8aw6FSxW qFIh7enw6Ft8I+ZX0zfbGa2RRkqC8niRKn4gYcmtALowkAjTxQz0Wk/4Zk1hC5xbkUKrzDZrz9eC QoBt3Vc47O0iubera6mLTBHtNGxOn9dLnePidqRYYYJnonHt7HHKgiBu7fC914w28mBUxh0DTyT4 xACjE2qmN5UDwNOZEIn9g/hKznh7Vqr4si5wP9TZsqQ4lNt89EkUURfQugiJH5vrw0dUltkxtHKe F+CQIXB8D081/7EcaroNXIUXaFYOepJD+cKWWF0R7CRVcSkFG+U7WFEvTkQ1XZ1yC5jbSfdv7Gbi XigYVe5lGMkDMdxIqE9oFyR2H8LkeJBKTnvdTLjABmLENNKU2ASzDvF10xGNzmoDbr4Ez6kpW8rn KC+5Yaza6aunDGsgY6G4l7+qiztHx2wAA5l5PiuyaJB3yCumZit76/rNDwtv9mdYDB6FFtI0DxnM ZNo8jCY6mYEWAhxWZI47JdLaLoJZ15qZSpGpSSs5KyOpV9EeqDyNitIomzlKesQTWMT1M1NE+P91 oruQ8MamqZuMeryCYNaWR13HkgF+V9hBu1srgW6o3Q+RBmjGy7Ju8ncxcuslOc3odvcA/sfINbxg VAeMXcJuYdN/nX12cpM9XxENoHp14p3VOQNdQeCArR0y1dWce8UgUkJ7/b2fUjE3yGTaJHR6WC6N TRLv0fCcOF1Ss8hYngJStTtvbFo2S7Yq+XpvYF4Qx3cnzSRwFHcMkftJOO6O/jSzxgsoJZmnLSvY 7ZNwfCaI+2OVUQWC2rMqbSX8o1XOmnCgdu5EZe8xrVm+GxkZrqZAKJiuukqi1/IoUUQjthUH3b52 39eB1gkjIoaUhay3an8vzUmMTTxSjumIV0Byj14DUNPxSYkxJ5v4nRg7JwJN86ek9oNKA03I3ziw aW76Wbu3XrudvHYSZS1t8uzFXj7t+EcS6PvLH63QMarrIbkc3aUhMvB/4wyoA7YLeXlEKzHPnxY7 5QQdS8TZh0Z4+nh440FklHNQPoxN+LCDtUrY3NsQTE529kiSkpuc+j5hNuXyaiHjX160HYZGrTMX FF2Sv4Cme08eBk3hjU0kUwKNOVMaZrpOGE8tVF1OOo0jdPDz/oznG/yslRUBETptOCII+uGKbbCn qFnEW+18XBEXdUo2+H2+HyUpO2JQEJfKnUIdIQ/+ffV45IWWqB+OuRER6Ntne7hlee30tTbdcdkF FJusTfikVlhQOljBotwGjMeBdbLDjGK+xXCZTIEm/ICYXz4vrH5b8M5nys0TOzowF5RSTgffaXEH i/F0u5UTVSKIIV9DTcfZ3gMPCuqvYuvteUNc9HXqtK9La37X/4Mg53xrinXfYqSgLHzno1H4zt0U NvSLuTRr67JBMCkesrBgjS5VFDdQtJY1KQq0ZwB6qIG6i0NXB1OJhGbqJ0xwr+KlQ/yY8q+TkY4O vMKVI9dnMME8rpbtrDGB6/X2peKRpPUoVqp7f6G/qqVO94+fWZ781n5YFKlm+DQ6Ok8kwbW9qpu5 mk7mSJ8UDisr19f7jm+dQBWJM1ktfyYhHCuPjYUJ9LYLReOgsQfNUsfZd9dlT6s0uU8Ip1zxHvZc Wks8d3m+iaZuYUKc3INcRV3E0dxksPhut8U9h2CuxX1yN9XjhrVw0iUq95V+WlJWx9hFwV/mOzj/ ZpZW8eSzD8j+GVWxNKqrDeC3soHMZ5WfeEvMNJHavOcAntdGdzqtqnfyKqp9G2N3ASGuXRAvW+rK T9p0c0zQmvz1SeCx+rlPhIWNTgW7qksRbvrJYy+k6vgaIS74r/MbMfehwYWnKYKGtn+wtlxrWrMk wy84m1WVydhJytYsjnIlf4IHqwsGVDH749FRI4SjGb+u1X/MCgGL5Ee2ufdxOEgE/aSvSiw+4zXT 01hULsrDp96wQ/QNdSFHBjN1fygRoTn2MRmSEQEtCu0b0mio+GRHWy/sPYRsRU782VyFYoyJjzXF k23yyM8G7ew/T5kbYr555PGbb6lYus2vlkyvINp/FYQJD7cnt1NBgUXGhiGZUnvVbiwJFB1/v79G GjHvcYql9kQPSj73c7aJQ47yReAr8qBY2Pzl+8u+88gKV0s2B7v5kG8+IvqqSMY3opBq9wEAU+EI a2gQ5Bkb/tr7kd9lpf16GKS2GC8m3Z5LpvJ2WXVHrJ23oMyBJDTkaVw9r0VQ+Y8zaWgwEe8PqOzJ WqnGaiRxABH1kALS+KsC2ahpK6DLFP6w2xJFDrdcEhy4ASA8FR1jqX9XWT7xKMUTyEzWxJ0Ip1Mr +sZoXutBIt75YcfsZKgntal5yRivEaXIex9AUOl4vRyhf5EqD89UBLiBHcDRagkcbx9ZblxJNYNy UtWtbts36uYiP8YLynt2BDCr6ycvFnfiv1d9aaOb9+ifYX29nKQW1D6SfYPAU1i9/tBlOH9u8eek aMUkndyHOKHaYtjgOHvo1v4SDCtEZcKSYmo21D/w8UKzk3zsxoIsAPzM9f7/0ojxgKQpkb3bHubK 3BwGadgqQRxvU3DxxaUtqg4YCl1bafgfPGGl1v76qPNowZ4qaaH0vHOI/O270tjoT7uQCiMNYL3V DTnDcSjcakVT7o7hO1W1TuRS4L5sE045MbgD3ziVtL0RtwFKr+d7dv0ZWkTwcMibnvJjPxYOVJx9 8Lk3NF4jKs3Ld2GGUoIjEGKPgfY0N2jxvOhmdZPRREBSttOCaUnc5bTWg661UwViFzYpPrUlJ2m1 1QSq/jt7jYW7g2vsUwgGaeioxHJQ10wP1Ry63Hm6L8GAD0Q7PyvgibvdzCls62V0UIFNWD/pEsNS Apop3LqeZAsCmD9zcu0qfpJjsfSolQIC/Hl5kHFOD3r/I5sPI0CZEncVoC3tR83Zz3Ty+Ml4nfCj 2HqEHj3jXPu/Fo5UFYwE1fAH/5y/pJI9pgGKXRtXXJXprULwxLsQW4q+f3sStvbGzendeTs01Ibl RxuE0evGPuIMwuNzzN1lsMPCW2al0763fKC95o3daRWQEo3ggXENWFywaGsLE1734y3Y+xL3d6uq VI4zHPpeJTUj8zrr3PtjGSl261O4+8gf9vswMb2XZxEcQLZrkFg2hs3Vh7dH6+b/8MiM3o4DKhxR Rs/CAXKRJOLv45HM9H/PTRQlvfe76UNpHmyq2+Lx99tyUMfaSdXUgTpc5Sfwcosu5coYHpHIK8Sy 45rBapBTITQvY23G48ni+WvzVbY7DdRCKVh7O7p4eu+VBZ+MTn/a454m1j/vhHmqnIUq5j1WodR3 VlUvpCLg/doV1eBMqwUSP+GoAqxd/JbrQ3B3jux40vjIntz7aV4mbEgnCmFg8Z1zXoD1W1LuTYBl /wUBlMf3/z0xBxmX26sY6hkMrzjATpRXGFk8Z4torCpYYWNPpz87bpbag1WWculwxPRODrG6uP6x 3Z+N5yGY9V0HjEYm7cjgF7Rhc22n0AqKbrkKyMZpmhzuSztgXwPhlDh2jWnKWYLX38uYuPRS8p2/ Kp802+MGiNOUhGKiBOyAEL2BQC9q0hvh5KmII9qR09vh/GkQiO9jVOvtf6TCQ6Jgt1Kyc4VGmm/w RS0JE30kd79DeRPgHrIFD2gdre56GhIltC+P/ZNrRrYh8Jd8u/I2jxA5Ej8dUQpnEdy6qSlHubCM ofJYMnjayHfjo67vzfs61/A5owPeGe+AhPgF7ss9107XjYragIveySsTRYgID+Il2sm23PJVsNeR fKJcUoGnPw+3Ny2o/CYXskKikv1UzNKDKiD8hffvgvCk2ugWagrmYe9V47FfvLnq7uACHs5+sCFF 9Z8gDL1LJj/Y2mFWvAhQQFZfjlh+YJlxY5HdqVUId7UG6zgB7DSVOFwpQ9KRGFdC9ua6/C9ewTxY 1TsvstA58Xbkgh0BGbmue2XhIm2Ck19WWDU3pgXRYcDg9dRiuDVA0LEzkfwxG0fx/qrqCiu13qPm 0s+qBHbfhd/ckXIpDkJ+8hfOwV4utyyla/Qobpq/6qjKdAvLE+FKGn/yoLgL3jVVnnpcpADc7Fn/ Y8IQh5ZeZwlPbB43ECVk0VR/JQ8ctXumIl+3PAt+u00tHXw77ziMPS7+Lo844co0fyjlzczjqJe0 JFb1IYt/+3mcK9oUj2daQE7lWBHekGYoA2wEGwHvm+9rfAfDUcnT/vFybg6qraHTngRfQo2xvIrM SxOi4EfrkRr4eXEfmXLXF83fm2iNATBgakntzDEpt7j9do6O57o5TqwAH9+TCnvv77TyFp+IRTLL QqKJvffUcUw7H/DhauPe5P6xXTJGADLKd4XyXrT4EGkG9cJe645nUIVRuwWgfGi0FaPfZV/G4hlS sbMVFsJwfrSHp95Wdqtxf/YRxlExhQ/XpFhT1aqZwWb3sC+kKRIfeNjoht0N2angZyhnI5/vSR5C HPtqxBtdzFXIoBVLWdvTDnzK2zWqKuX1iyua0VTWDYLy5lSqX1pAdP08oKu8KI91xBDr/HlbBk3U Z/Bdizf9ex7bzF+QZcWydvva9et6fvVBVwfqQzRNCCRloNpyaT/eEKHa7vjmLXa6bQBX2/B5Ql0R Jb64rRFxUU3pBgvQhJYCSK2+JUnppn4ec9IGwzf7CLvRTjXUyGhKDxda4Jt136WVhgwgr85xWUdK wYAhVLftJyfKoy5fVzZ7HXNdGfzMJsL2P9rQ7tP4WUc6/dKh9m7viWawGmriX0o/LZDm9uXvglv4 50kJCJ/nw94XyTaCkw0FyC74V96csFCV/1fpIHkUAIWUXBtwzkgVtNGiDSWAyF/WpzjtGkGt8Rd6 cQ9ngA6ashJIRaXI8aEkeA3AbhOAIxEFZoxdHy+yFuLNbE4piLVYI8axqQ34H4Jz8ALOo8jIJVPY ACw+nY+EJxx9ae/W18PZVCz4i8oLaYN4o3hzRVEJgCg1ecR/NZq6ToSFCVJSYpXmDMn+yG2+BKO3 L6uigdKKx/kLlqHKxHYfPaIoGTWY5AMn7oXaEsZwgC5y7DMyh9mZ0/W0QHHPtR6KMaZUMv9IoJ/s A0yYxD7objATYg16phqXG13Jpo/A4r/YvErCsKSKfKyW0W1mHnpV2SEADrZX7JD766X2jC7CKGhA Zw3O9oYG9/lbGdaI8FUDPZTisdZ1mPfei+34Te1R6bicbF0xCaOGwLQcMqeyjdS92JUT/9mKgeQt Y4ooora9KskKmKK6+OhIMrZ4hqm4juz5dEp7vf71p44OkAVvEcO0giOPLIJ3T47zpk+lZ+b5XiWZ KyNaS4sTG9dJlJSbtiEThVDeBtMEWPJvFMGtVPrYC2+X6SRsf1k54xilnJKqc0MSdmCQnK2Zu8Ek RtBx93h7N32f7pZoktB0oCxZHIT2HBYfOkvzK58mwOPDXKSXNAUy7a0awqM5e2H2bbVGWto+ji7l ncHXjQnJ1mUMcKNDMchMdK+4MABbDuLHtDi0wwIr9g8AU5bbt87RSUi8jMYUOOcbo8XtpbgY3cNp 3JooAeAIggUB26LkQA3ngk3h5DQuc/MDlSUONO6+PQp5zKo+xxByChHqb9R+RaqqIWPeArga2uH+ iHgYrEYePemcxDewJ6prlA+6sfKos+MI3zMW0GkLlPwxV7ELA+aySb4dgYLZQJY5lyHyrwjNNpGE SVGWAcQTmiSsCq1RWlw1bhl4Os+UMbzCZAiZq0gyP24Mqs6DojfyI4Qfhr7OhT0Azd3eWPXfMY8+ 0mHY3yxr8Uh77Wj+UYOz0Cs93+x16I8eMp3jnbU78dFF/b1g67N+FOwZD+7LJqTsVp+1LS/RRKeP jqbD5DJhM1wNQO40cluV6eOgsHiIATUdW0wHKIvzjmOY84WIEN7UdZbxbkOSCnWdN8Gzq2ZGD2ZB XTCb24SSG4ziSd+QQc/FNYejWEicVjwnfS4jonsD6N2r8imiUliysGauVR6vNRgfZiywIMNhL6WE c2ZgM2iWr2leosk6PCLtfXVxbt8/zaC+RNKt0iUvJimWyzGf7MFSOboyHepBdPzFnqlRwvI66KZv lKBmutiw+3YYKnNxTiVHi+WAFV9u80c9dc73DVsvd/OufwffyVK6slw6Lb+Zs5+gABAs2apRbEiJ UY7ZTdSqBrjc0jRjTMhJDfmJDTjNaaLhFIwKiFFy8k2NF/stU0O1aT9WIx8ZGqjhbZV4Lia14ju0 ZWP3rEhdIfj9SNlcaE0pw5kj5c+WvrThEAUZBaHDvNuJruuX4uCedksz5Og09XN71wTLn+OtpE3k k7mfunJ+Ar8FvEEZVSHBQUQ+m5JwH7BIDs39Hri9fHIeW4aMW6dWsU4KC4dOs/n/LnMaKtvNDzaV 58wOzz7b3XzKk9x+30dXcRp95dJyvzu2tH7LejvBhqouwZVzWzgwazP1svtVhJObO5Ff8uJdJW+N v08X9/ZvHCvJP79zPfN3HuuFEBuq3d6hHP3WxmTjRH3tUJ1wUIQUMaD2UcaWMn/Gnu58GDaTkQUT Vprb5P0fIn7zkqtNNoB7vO59XIe1t5Lp36VavtlrSC6JrRoUQzY1rLmGbk5EJJsk9Bat3gzNuloW zdM9jDK/6MYl6vdJv7fkTAdszGfTI0XZ5XtH7vGbxAPtEIr7OrIgMEX1HJJqdPa39EcJDHIRtvGs B+dJKmcsw149dVD04qTvGPrQ9y2utA9S0Hib6rYmiW127tMW/cVdzzS9DC3PLf6hL5DUp7HTwmSa DPQAxm6iKYlxrGH2K6FC1MEWqqJfCi259K4jq01SAbOlIPB8ecz9DAKwO5xgr+3fgMeO7XgaRMAc ilPrBgDoifYA1ztxIZaaSprdcXuxmsZ06F7oJ+ciGJgauSj2l7I6SAYH6UIsK2xSTVQu0J0cXBff oag6mbZSIbLbFfDCMP2/ViwWq69LYseOnqUta5PlqlTpv9Y+lZlz+vFZcYnesxUy24vcM8xxhMhE H6n5wE2UbOf51MKNNRgXqaNL3InahDGiVJcRn9jyuq5HW0KnGoRl2uBu4Uh1wJ8OabV3C158MZoG /aMlCoj847N4ot2hX9sHlaCHAyBugxGfJbj9DDDhWeaJ1yxnwcH2C8PmJsmH0Gzk2jlFkNwU0nmP /IzXP64q3/Ee+bkJ7wlRhzP37vZpOa4O9CN6CNCkiqP99auo5ljGFAULX/t6lGi7aBg+Zi6f2il5 iWckstU6YEL88oOB01iZDwkVjvUhCel8e/8NO7jjiIdtDslB+bwNW3SNGwQ8eJ80SbLM6oQeCsjv cZ+8mVfF67EGYjEnBDo3MlEh06Hce6MM22wq3A85cu75dsjzjLZnGfsc3xv6K/dyncmui4XXlPpH YPQtgklLpegiPbQYge7ZwGUV6hnSkQR1jgdPGZVT2v2vDh76ZNt7DrLtsDbDXKFRSm8c/VgHjJrA ld3ZVO31ttp1LrZgMIww/13GiNrX4CYZrVC0EhX6qX3EiIqP7H0GV47vR4LEAbjBrTiVm4vCyKji jePHR4fYS9g6GBfgVyQrADnVMawYGKbCOdjnR350c3Fu0GcQd4/47FGFWk1hcDVXYpyPwt4m47Fu uG+qlzE4Ol33tYdfM7fRc1PlTgaYIT3sO5AdNc2VcVqeaSY+qzf1J+vS6giWOKfEkhWJp5SZehVG fjk/iV2Xsdc0owL7oBR0c+JY3tPvFakT8//nEkoAnD7L7rClEYc2vLJgT0cFj4kp8cPcg/FdNzP3 fncSDkfWmHzKu7/zvJSqgtztaSo+oh55tYU+0lbQgmFktPxRgZur0KMDbRYOL0RF0G7kw+qypYzM pNWg893hiQxhENkf1jZWDoxRmdGxPqTgnZiz8wNtCP/IK9D/et8LwOKJcF2ZC+KXjcx9dd2Ye9r9 QGE972jVrlhzQGlr21uINt1cI5gpHy0QKKFZrPm192jFHoS1tdVLphOo8ouNx62bVvnQHpGr76LR rfMDlVQvQqO/Ehhhvqzc+sP9NJDrMIE3yUwGXHDhSbnCWzi9PglM/B3VSdjPRBU8SbYYBy7rBdOn ZRyDjfrLq4A2c0oS4pNgZzm6UYQqEXx40RBvZ7aaFG9h1UTKK9mOLmJI8kLP4V35FmfAVv7i2tz3 T2wVmvhk9q0hzc0eAGl25+osZAhyg/Hpyv2yrL4eN7Izhl5JxtF1mk+GEHbx0MCWyH7iufydDPgw YSxyJzchIPA43CaKWvoOFt9JY69azpnNuI88hIeBWBLyzLvHlWlRdstLRRw5gRGfGsD5yvfV6GvP gFqOpMJDznfXXuTsuyoZH+8mXlnhXdm75r1tmP31f4L4Hz6Nxx90DyG106tFhxrn+M378kCpTuDj rXD5ebsJKzxe1F8zIhbNZ1DX49rs2StpviPWzeddb2DpFuL0OJnRdmO+uYYeUBPGXsAXYUhN+O+0 BQjegZwrL4dmuqFL0lLduDwvR/2UEJVREPYAzoCFmPutqpgnORGNBJBlag2wivYLZfMbKol4ehU3 3WwMw2n05hALYWm94ZEB4i1rZ38doRAtsfedhCS1F5u/L2cGu5Va/6GGsxo1/OBXYNB9F1UZVf5q GtLqg7IWJqq022yM6uESuhaRlHtEHt0abOpV0cOHR+kQUenEwMewN3SABa46XbtRZHDxZyFR9idd z+6ii+QQ+68wDY6JF21peUvktcuv3GhLqz9+SIEvKS+HfAKlPsysYAtNfgEV636NheWnSYa3JHiV fLkouAC48OI0jrqgI3CWtzfK9IHOqiBHPE4ytQ+7qKwJ0w7oW6vM5jTsB9BbzEf7OtsZmGVzoy83 rth8prCeGxxRuNvYGehik+rlJ9QMjhYtOyPqSZhjyPt4HWflr5Lbt61JBbR2XeuDjhjP59cxgHEl GAWnOiC216g54xXEe3k42Z1eFevuLdm6pRK5kCpkQ/UnYdS37Dt/24oGYQ9eXpWCnXZVMGjdfTIu F/zQNBinsK0go56ptqAqLL7ieKA+7MLyCVlj+0g1UIR9vQuJOVtbYnWEfiI5NVGvUIMyTKFVHPrV wyGFt4bmI01Cpr5CX5Y3ObiAR2U1BAbmrLhRVdobJXUOpz0JmXd/k7elsJl8c9xr4qDJV9CtPuy1 YS9A6d3GD4N6hr2ID5kKr0tYh2TpqDTExRdRywd9dD99iPCXsEcz3OC6TV/Ea2AfLb8B45yJTSje K2cY5RgFZIf9J6ltaERex0hJ+pBfqR28u1+oIP9s+khPpNaratuIl6BqdPce9qAY49fkseOhiSCP gnj4f5qw0pyn3ti5AnXukR6fzXfk1MgzZIBEOayoW0qF2LnENGrvWjiuFchx78xa6WwUUymjx0Kb RoHX0X70xd8i0br/aVBS8z4gtzdBmZyO7I2p5lKMrLJq3P7OQDUPg4CdvAylmg5qYXxXdJ+64etR U//mDwbhsfv+Ycc7id+USGwl22YvYEd0LwrgJ0bTFx2giZ39swTP2MmJJTsG3cpG9FF5mVUJAnqX 1EbjmLcIGywF7rVDp9RP24nawtACpqn7Bn6nh2pzZ9bcFWj4+qJUYxGJWLX5zKL4/4t+LQ8eYxBd A2yoAYb/MkN7afFYCPe1sFH3ypreOxbTYVoYrmLNkK/izw9KtaOr+PD/k4boxovTsSF7vP4q3KEn ERsqQTspm+LgyrzSzRik2KTBfBJEkHXWNWpvCJqCg2J06IRufbBo6vNGbi84VaMu1NCXPf7k87QN NwZfrDc9gbbgvisvQYeOS55aoRc1XF5U5VP1hD/+oXxZKg47xNBqaKSMmsmeS4FJfj+uTff65Fym NpEkd081lU3UsG43oZfbywG/cqis1j0LJuZ2X9p4OeANwGCdcmBIbyGpKOCTxsqk8+/qdVa2hmla J9lvDdNISZ+xYxp1rqZSreHStfKPR3+pYtuE55Wkqg1xAlR0aVzFvTVYqnxvf7j2bDPrC6zd8Qp5 FXftmK261KJmsTMkPMsuR0tH1ikHzjfzghu/XiPEwRx0W170E4BD5+8U5xujbP6reCMQCSOjUyQj GXiGnff11h/jyb0BlZpN6L3aP8qWCdxus0HtihFYSsft9Jk0lmvQ8o1OuqKRiF5E99m69q+CqlPE KAv3yECpb4fYhXjdfKPQ1D1a9+ZVW6bJdKTtadzSWQKbGBqhz8c0hJM7CYGs/YZAsd/diummXKYJ XnOSoLJTApKcN/pZ1Xr0xUzAJ5yjdW9xy+QFWa9AbaBhNRqTQ7CYrTe1z5LF2Xfqwoow6+cPu+FY 5fuqdmd0y10fX8Y2CMegdQoxNVtvPE6SYaiGmFjHuLB4AulXsudvpjsLpXWEDLfwiSUqveD88hC/ gw9F8TacMw4/ZFltVBFCdXuctNkaOlW7RKsh3Ob9woNhTk1IQlGAV3z2N7JuVcRnQ932eM+O8Ynf tkvtN8bVE2xG+tbSaIncjYrxMLGYZELVXqUareEnQk/mTDr6LQzE0xziGse9u3NBWd1GLy/Ck+AI sNjp6QFeEcIX/ixej88isb/u2p/4p9vDMfKyCSUgU8S+hnmYNdber28C0anph0wi0gFECy9OGCjl aM7oixjVlGnk1jnHym0cG6lAuuSo8Qqx8oyWPzZuFzsN2fwIZ4UU/ZWS4QDLYjYUGO+s1EbLJmyw i8KY7WXPPGlfciujbNU1u2AWPlmRFyN7jLVxzTM+YRMavtNEbdajCu26iUFmYvTTuSWfnTc/9OZ8 OHqU/b6C9RdeRG2cZffRLZo4FfbFIMeK6dAKZZ8GybupbIfso6HtHoPvRVFPlNyQSUhNRVhhajwK TMk+UBaODMUgbsliyiriIAoXK9UinQ48d/iitO9BhfcJOJ59lfm+2pT/vIXFBT1lqa+dxPsnUi63 rFZ2GkSWfCvBgJrXZl+KguYl3VRyTcong2xXQF3skwDV9PZVp8ZoAlWIx99NnU4xX5pSVoJM9LDH yJAAHrL97UI0FkKXbmhn1qmzmL7k/1Er/r3q+22iX82gD2WaK00FrTzRT6nwbEx7kRlBpb3AIGKE 6pvAR9FaNgpsAHWcxY1Asc3084Q6BHbwMoizP73lBj1zWutdAF7ycRfgsZPcHNDnYXN42XGN8klP 3BzWbcxa4Kv3cSwRiMtVFu38tFQYCVPpsEwEwypyk/YkyQvqmJHHoz0KNQIKD+j3vUQQ6kEn0spA JPKpdx4vB6mCcTrrB3MMZ9LGayIMRfZc7h7boZ5xqhamwb5ScMo6KlsvxP+UPaFyyyTvK+MK1Cmt gyurwgJ6IS/iNUAmkeen8Hgg9UaJmAlqxOSO6jvKVW4PPnT1SUwqg5Ce9gvAHRUKYCwvEAcA7m7S qm+1etCo1ngkPJdsX6OGwnZsi1l6DyRAz1v+U6qk+IbRgbM8IfpoUhNMZDcnio1Pgu7FIidnXoF7 tJ8gwkM62ij+8WStb+4L9lH8gZa27xGc1NpAKT8o2bK1S4kXPwtMe9oRAfgA1x/imbQYEEAIW9Bs ghurvr071NUKuUuehrYN+n4oE+iRTTyF1WbpMCnY64XdHnUO5ZNCPzBhkO4hwt74OV+syHVBwS6n w8zwikBqOtSR/uZ9qlmnF2glr60BBGLjlwDCuhvcajSrPuGlJqPryzN7YHcTIHKwV9rRyk7uZF+e 63kQ37znph3lHznl8cbVMR65HiOIVLpRbtI82OfWWALqEAJ0BlmEwInnP3wgyhTjs3bq9xY1MuTT DS9jP+j41UsSzOZxO0BzZAp12tcd6T2MY2Sl+RP0rJEC+cfS+T92aUqKBDv8G2t19jKSLjQdTa6o FxHfxo4gc7Kmla1KQJNlwChzHHNQ+DUFAeioMKqZTmuCA0Dlfb0BS3oWvX5jQb7gBR5SIFN9E89e xkoNc01U1EyECTGzHZbpk+TwC68ZRu2+aTBdgpz5G6uyOICf6xa6+XAde6QsIcohM9bZPmd9bA6X U7JVnMc+J/Sa3/hIX/6UXnAn3qftD6a4720weyIAncBit8Lmc4n9zg3LPrGFIQGPqKkfpRGu7Sww NpE1PJtfdiXuflYxcL4pwXvtJJ/zluY0u4WgCEVyKuki11gBZjRKW6DlmhHUQ5oK6dnQDvMBTZzK Zkwe7tnxFrSZtCc6iM97v1WG8mmNHYacXcii0V03tyOINdiujDiLPza10IkcD6Web9ZYVDR4f7n2 v8yscM8nNsAbKx7tm0IdLzhzt1SC8KhDAdZ/3CWZ0zIxBFwhsW42XRKlKJCmKHU++jJxFY/yOjVU xfxl50uwY4Lu2mnJsW7qgUxp7iTa4p3Fo3BnYXckGCa1lgja0jGmYTyesFyJnFcY+Quzz92S2ZtZ nIcTb33mUpXbowf9d/c/KGcrIv2i3hYhlF/ImRzEeD8svy/UxKsMI52PkTKnv/ot0FBy3bg66+o7 D4icPcZY5ktBzMRk15v86U4ZYGriWHGwfw1LNjW41n4zb9kgw83yY/4xiNBGwK6XvW3ixm9kOEN0 7moIsavyq9gOVQjjXTPPzXDdXgOZe8Gbb/0sOXwZV+paSogxw3kKejMgS5SjK0SMJDUV/ySxFg4e 4PeJ1FLeuzbGp5tVNQ91nnZENjkdTldBvGiBAgss8fTPV58yakr5XLwV9uMUrinEMwJHL1D8yuPP +ktM/EduxlrEbbHqw1gverlRVLMVSpxrUtKu7/HU0KzQ7GiKIpbN1LVjSMloWHWREVd95GOXyUtJ Ux6RaLPGcaqnDjYgsaODOkjAZLPUcINxMKMfYSXip2WdPUgyOKolAEFn6A20qnSg6QyFGSc6Cvjf ZkShPBThUMehk1bIYTuTRuuz+pc4VXwHXbthdfGvN6XoINZh0RXHaF1eYH9csJwvX1zXg2vj3i8l bdV9aG0lZIYvMGZttpU+m9ejs6kpz6QxjWeQXoqmafY5nl242zEGWgyqa87cS7uIDTkdqJ70ycD/ WYURYPsGobbwSYoJALXibFzKnjDFOu4wy+3+1k5NVhRJd6zUbeB8M+pt9Uj3d9+KhcJKv6YzT00i UCIMaIUT6iYtN9OVpJlyC3IeZuh/PsdFE1YQsM0tJfpcQiLFvjHsZmu93o1kngZDGlNkm8ygbXRl unK7/vrPqotglrwEeFmAOc7+H6j7AC/4qQ2zx1eLHvLByQ25QEKnCjy5JRkq1u2cdTPRf2LlXkQU mbcu7wX0vuXdqTJ0+z13e8L2vpIIjB2UbfkHYCgf//iT/S0nWrQq7edupS8gSITX3U3SItPdlJ2/ pOjBpPGhngsVxZRg8QPqtUo3QjggNTGnXxDMuYIDsF7Uw4MMpoyYKStXBnO5pxOz5jyOJJxXre90 bWf7OLKxuw0wKlKp+L+bP9eDzVQII0/J3rOn2zubzUv8HGpnflHMZZfT6k9hwXoECWT4AMupREwa xdhuf6QGh1M+8CLzZoPr19eO+No8bKRNtpS5BW1FuU99WP9CEY9HEY6a07xCurDBqCZUJf9eluTG 9RHxewQMSVAQp8RSdBpJ+3qPTvYAkn/980hcmBV+Dv25zIvNKdpnaz9ndNyAg47U3oDNmiXhDrxz zYM4gsHL5SoOiwe6/QLmw4hLMeJj09VJFzOtqzdkecE7OuFLF8DQovxeZX4d3+kpICat2mAb3/tb uxOUqsfIlSUaWN/BGgL1f6oO0acnwVtnUr9OromWDhLmZlxs+fA/Ocp8P+MGsdcdzJ9lnKRY1eSb GAlYmzGouuIdBs3odvZH6No1rr9R9vZ8WuXGCzNGEeMaD0KUPwLnv7PZwpNeUrKCDhO0C72PVk+W lXulsuzUEKxIq0UYrjytX4yEKvYGRzXvsceVTBxc2cb5Tn2+fNSfXqwEI+jB6YSkh0SHfNYvgrU7 F5JaGiUwm4RKaYfYH8f45f5BH24PvodhUAqNyX75VJqBmP5Z+ER+zL/LR5d5LWC773wCiYfNZIi8 Ub0i4Wmu3RlvPNYyaqeCgc3AThO5NHtxmjXw+P5ljuFGr7SkfxVzCY5yB0jJZpkJNDfc0eQCX6SP disCRnM1K+GkG1n0rQkctVfzF+tu8/uIhw7I352FfTJlWwXFFv2CIvCJani6axKtOXdpPImJ1N/6 r3jdf3vNj7DSqiC9x4SDW9D6YUvHmbptwE+q10e13tFDRo4125n9sYYTfIoZKvnBgTJ9IMCLKc8a EQ91gbgEHVbxFGWL7Kx5YOiLEILteBy3hA0vVekoZHoL23JC03Gk/j48+AidDBH6tBHCAwG+bBOT N7ueH0l9bhu/+ANYC9q7Lw9aaMEDMGhhDdWItIaSLVetXhYrsTaYfQTsnWUisUopV3jk5cWhiQwa OPQVuaD35/fon1EWwHgnvHgQbVooaspHv7PObh87NVTxW2Xgg3tyrUEDlNP3vWK12QOC0ipGOcEo MqAcR9wXkCfdT17wMmqpnmFt0/RHgfqW513RTnCcZMQp0Fd3bz9fPGt1e6lG/lOSE2nptH+JTCSg gUyEzjrTo8xibmqqyc7vs5DHCNeCJ6RisT6SU+mmDAf1pA4nCPz8qpD50bgKxy4q4hBHgKNxNfd0 2i1J1wGCKj5/2+jVlWnt28PW7B1LoDa+KRycmhzvLVrBqX3/xOiBF6Mwd15fyoqLEPEFExtnmTAb fma85LiqEOC8KocuPTysxYuTYuFomDTqXDei/kkx3hlLqId/v3v0GktGti/1zEdrlvSMpRbeGnHS t6yRh90GePwxcxPZTlbMs/aWR/3hPIoq346wf7uByh2FttoWPN8ajVI+i+vLrcIU7xiJb1BJPfyl 7sNVmCXRuYjgp82uwL6qjbtuyTMNy3gP+gDbMgQ932iSHmHT8X8oNlANWCzbsQghf7RbuAxtdSI5 tCzHntl8GZ5B1Zmzfom/9N2odK5TUK6X3e3mwLsG5aayIb0aQRH8daUygNRC3Slx/isv45gdzphE Dij3tJbp9KLn/XYg5Vn+Y3iP/aNP+VozG2rBF8qDXVRamoJtm1DiZhsL/fWFEWAQQrl7Zd2RNyN8 Ps86yJSJPh+EifhEHwQidd/VEQ/lR/zRoFTtoQF7261RAhKkPytNJneqgS9HxBVPHNYjMGseJYi4 SCDPoRMWHHHqIdowfx42B6cWHPBqqRZUQmJwJStg+tic1VoYZjMlL281nBMvXjBArL8eHWQYygpj b1kBPK0/pNNNqGKrc3OJJNWnhgCAW9D1i2Bxq3In2szE3ZJ8Uu4ijzab64PwSgzYisWsdZuaLVIW ceEYgZ3z93aUqfY0J0e/xWa8GoRJ58YMuXCqq4EjMdiNtTXucMV4dInmyvCVsEZY303+9JmuNrbs /hfls9ByYk729k3cHHNgrMiMg1y9q+FlTXdLHKMosv0wrN/ptLhm+YLYQPNJvme6N8NM67n02i53 NU7MuokpfTxWiGcLzTZAsY9Rs/bU7um2MRMDL8fs5pUM35qOlUljDPUQnNo3v/+RE7uWLRJpu/cC zsMtwmvzxM01rbtHWOoCvoh0mn+tDFB7/Pbq8coHn9pNFPOTV/gMyGL4+OY5SxD0y/6Golafn+Yf 2yr1D4UUNm2w1gJYLqZveVIef0iDOlh2yZz0a6SPiK53QYsElZcQ54nxIDwOkuQTsEBIkZhKZn0V IfxUykKOY6KSzJiB5H+U8flmTAZR/TjmzKx10h15f0JevxrUoiqHnIDqiVgo4gye6FGbq8nmXaKN FgrYik5713R6bw5srihaSFByC1MF/YNMsTUmdsoiXJ4qaQKsaN5/q265FfEUgOHHg0nNhDwWKfrP epAv3/6MuVNyusK7f5+XHAfnDJpBqwfmaV8dY35nzL6fyxGONTfpfuAyLHbQH6ayIgdYLTqC22rs yYEQEH83IorfXaYiYjn5B+bUcp38e1GZFe6FgGWHh1W1ouP86P/BqYy54cBZBSe1MwmpFy/lQ04C KatVDXu9u4Por8J6VvPiFZbYXeJKEKrr/RhbE1N5Q9PvhnxYmYblfqcA9DRZk8+LFdNkUR+4PQfm 2UrmplVnzlN/YJnPpswJnfC6pQpkBWvSFBxCPgxC6YKezwXzF6cViQ85Ck6wTzNGuRwZJpa2zhB5 kEY2P2U1grAzxlRYxqI17yWcQzwNcLthxjc8xn+YU217UbbPkQRFmhOFxhhE03TEcFeMfZ91yNKg Kpria/nL3bKoPf9eBXBtZQI4mkuH3Z6Wzsr+YDLtTdlHzhvA6OR151KJ9XSHpbv5OWphBx7V0aJ/ WqmQ9cpJQct2L5ZvkIvJoaxzoKCH9cUSiPZmU70T5Bu3Kuu3xCxgEjaiYjIB39vx63UzDxe6gYuz z4mO8D2alPpjhsOAsmFxH4LKHYpQp1sLwsxs9WMaC2khbbiIWZRTOQ1Pu4fzBlsVsG3zXhohlWMc K05dd6vF1fplXGD1qgGOv9gA1znZYUtiDmr54dKVMr29BUYTu9EqVJhOBujaR/LZNyVVlDFP71rf eTkTuU2uozTWyQBRdrOPdT5S29HkUObWJ6d6VO3+sI4lNOR1qUmtwz0JK0rVf0uTaTteMSmVADKI INl7FlPDF+7JqfLIiDGaBj5H7LXTTvPxICLZUOjqC1jmeaTvUaK6QpD0BUj0zXQFLCSVr+dBd6jj rbS9zIzl7UovHd7SvAxIme4ADOFL+7Azq0jacAcE3Hs00r/E+BqsubgFLd3hzxQe5GCsHjKKWVJ1 VvxK4ext9SIoDXgsgy3FgVMzbCPvd1aCC9H/2DpTjmzimVChzTHtvyZ1CEqj/KgP3tgXGZXhwFVr rA4WS0xqr/hZuh7xKPiK2lFYw28GlmbmOd+9WvZnT5N7CiG2STOMdDTxCZOV4s7pcVF/XfeU196s 8zyJ7DtNxLuqhhpbCYEwUkQfyfBjTpHNripY0I9zNlUTXCXdJtvpeQ8jnnpoSD6Jl4voC0ysxqik Otyj3nbxQSBcFvKH9ATu0n9DCqx/zGzgoOTsyXOjchwIbLeFJlafh+FoeqtJP5xqV4rWSJ7V9Ma4 2v0PCqYeLiKXX1pkWNVue6MRjMDolQmM0Q/Ac9kGilDnAIYNfYNKWRrMGHjIc5/UC+W7v1/Vg3Pw jbDdh9cvDoAx/C3RzfBsdam0q7UiKi+hs0Jz+NpNe1t86iReeMdOLYhobiwwAiyD8PoHdN67d1dL XG+HFxZxSC2uAd39RHoCuSN3CtlnOze2SmuSmajB1BZ8lbyt1p5gKDcghSMCTdCvArUVsvUQJCxX 5fk9DKvH5YbARxOoRZ6w/Xu39tuUlCrxNz2n/kijiBZDU512eyQeOnFAfBTuNraqYbUWw+HkqdYS w7BaY/Ns1/nrBubYSFZ7+sMlbn4UHuu4wYs70QJiCPKVMRkjS57YlT1CPp4jS3jcWqptBtxGBZEA Pa7h56xVHh4mapCTVnB/KtanbN1QjQz9YgP0T2sXLJhMeV+Pdgg21sEew6Q+KOs3f+KhILiZQLQN prcThPitU0aSuI/NU9vogkDwUy6MxvlHOg6zG093FfDrSdcxqfgurT4XooYtYVfKrfia8ATvDQ0z 24fkqLmnlkRRLI99YEqyzI42iwnlRGf35lCdPCoR49LO35KzqUCgVm+kc2nV6Ji/GxbYTSTnXe26 eHnjPZ+dmU9B3VZWA6FbDoy2fAZ26BrOdKOmXgcChE50LauoCiLFMZqw6LqmJ8jMtjV4xvWEgozW 0nIBT0ZDnI7meUtAic5SC3fZg/jG+LeogOVV8SiP39aIDIurRzIKRzNXOoBRvounclXpJHYPONKt 62JmPbycPcvFzZ7jZxXWF9DghWrkuINRgVUcCbPgXo5v0hWXSg1et9unXvKqoVoVCxKgDtljWugM GZMj2gGEZaEbSYp+WB8teHxVN5kTO3uG2VUApNB6WOoOKwSoMzAUKq7ctB7laptMi7hvoaHd1m2T Bkpx6L6fiUwSlwALSoRQq9XR8QynPIysLVxUvZJ+Xme+/m8l4BI50szVIamv7dZJpef1JUfRRGgl vjtr8DkFB86D34+87q6SY2HE8HIHD7+vu4JsZIqiJvHZLyCWtYQRksrsIJ7xBBrvLd8UVHp1wZlp gdIRUL5sybeGyI3q9DpkyvBDo0ha6NV9GUhy8T/v1MgTaP04koWZ295rvKepF5poSesxkGoubz2m zyyxz02ciTZ4X+aSQqk8pTVZwWyC7D5bFrmK7lgktvjxy255Ua8SsZ1ihIUXQjnQhql8KH8f04i7 NeezV135/m4m67AhvErI7/K3099u3Wz/s6qdffJ2oV+2TSFAfh4/1iQaJqxeZWtT8lJF1gDMGCeJ ire6iu3tYkEG3CRxieMddkMpSSHLMLRWYr6wCJTlATuBaa5Y7gGkZejPJ0jER3bsK9U6C/ROIqhI didS5+qif1iNWh2hD91H09mzGTboPTXBlajss6hq9lUaUBZaw/I4icHsec/KDQYWsdokiPb3SvKH QTQ88v6Xcb0eUbpN2TOJMCL+cWFtJDbaoCoMpG+NLKsFaWxnrcpqv1Ecm2nS5kb5fnUdPszC8Po3 J3yO98fnm4j1sPQHPfEycptqS6LYD4Vk4a1cGlAx4FxSogdcMBUf6cF0L2CXyRLz/bvUatTg/pPw ctoMfKSz+bxYEHpgKxV63/U3WU9ozrZjT4b6bajJ6ru6wZuWGOj4vjEGxmp52yxHNbqFlVecJSvJ nXhYjYr4h6S667fJqzAS4k2pxwOp14OH/ySr5XuxRly9zt21Szi9eD4eWWSigac6O2yV+VvYVkx+ KIOORQK7iiPsPwNOaIgxw0ykvaXNMJmFVm7cCPZ9t5N0P7MTj+euo9h/Slpvk3oh4dlWp3b4oaCn vWqYq79eUCxTGfcj0Yc6YL1eqPvYF5BD8nAdHvUzpAZUXhNSPY3S4RBjjyq8+yBiCE1FS1gx3FPA 1xjE1/T+fgCFkly0MwDZeYL40Hq9bASWTEGSaPQ1JSv0ektd1bfMus2E5nl0FG84B/oGfOZ+4+r5 QjjkIB18rNazcsNyR7cYDNwDNivZgjDF/tZkNFjHywm3ndOfxSSoNyJjeNIxULpjXJPzVzQuXrWe gQmfEpn+lYp68AVT+04jTVZRkdD8Y+dXNjKpQ3KPRapvS9lAtdQDFbVO9B6vPBoEadZSO7srgnD7 on/fRQgb6UWic/bx3wwxsCXCssxZuX5Wb+pvcRfc4sL2CkvRiZyS54qyn2oGFTPKplxY3J8LQB4y dXDjjwXiAVuIc/MMzdYkCpdloc+6BbWSrSdDQgq2TfA3W8ZpTMFiJTaMswvLpxPROgDoDLGVeFeD ykLdPZnPEwy1R0qhQnFcbMsLXSoJK6C0LNzKe73G7rDcQzkrosx85bg9nwwijQ/pYFYn6fYUrvsX 7E4eTn2UtZTeKaGXcaIQAXHQHiY/eC3pfKibphzrYE3rv5z7czUEIuZdHFLcvTlLRpl7X6VXC3Fi DBSAZkjJb08WRAVhzYtrqf/3XR3ESJteWMg++wG+WCxQzxcneSIdsIPxlmOuzd291w6rhZKXhvOA hipXBeopj5i+ybSwLkd4dF/c0itBPjmhSC0yxsHvmycsokc3eFfGyT8w2w08aqlf/hh42q8UZpEq J6j7Lh4dtniNSQ4UanbrEEnw9+ZmbJlE9iI8pdbUhYpyltjDKZjuIbNk3nAvscc79zvWbzXFx2js YVMCGRl5KrlHtEaJ7Fg96h71Z4M835dbUqoZv2bA6gD38wyd6xbLdFL951CrksN3nDmCVUDY6oAv p1BfbPl3BJwfcdUQuZAIlj1jAEgaU1RdweMJRQpZT+uLjcPqWr3/IrBE4AMk0ND4O8FmqwuPecSP anCobQw6oxP4oQQ5t4UY5o/LPe8qHqn77oSezaINy5nBFh/R4caZv6KT/9TR0+uErw/ku/GOd8wV ttveHC/rHu2Z2WYgIR42239w2h6lULhsWO3L3SkSb9mJ75B08UWtWTBuFDTjSjBXECCBm4BZHCMN Lp3ms3O5or+UpPbeCMIa66ypGqHKIIV92r3ReZXhxdYCZGVK1Ykzz7l736RUo5yF4ywe7k6rWwTO 7pxsE13QQZSNXjIe5OTvm7K0MjzSbJ7QhfiFb+9r3ysb4NbIxeU8N6bo7CQHZMGcOzJy2ubr5mbM 1yFCEJMgfxR3256MIAzzj3MjXs4w3M9Omg+PkaL56IlrpgX0XyXKRQMvR56hq85Bb/soMhTvluUb fxDTdYCjx56p3AbfLp5XJumFGXQywWnubls5GXxSBZbLzmFlvpN7O3C/I+dTw0QiQC99UQEV+VXW Dy8MTEe2crcG1DkUHOhilMD5Cs73H/2lslyIDr8E9PExo7qKahEVhNSzNp87Si3MalqmmEfYCsfV lm4tT9IDggxoUo1sUXhI5ozNe7bpHtkmGU8bO4ccaaAi12IYEGNhOhe/1cphdd+dx14DMwPVjGcu 44iTvyw1/J5gnSAPBop+LJJi/RRn1CfPYae5n6w7LobGHHrqgxQK17bV2VdeKMmyIzy7jMQG9ZUe RN3+y40n7z7bUvvKiEDgJRgliO+mWYbMSXt1APaSXSkdN6/0xgp96mH3J0Tz+a3qHaG5ZmThI6j2 QRiy5DW0ziaQk9h6E/43oURlS3ieduG/oeYDHZr4DTX2fM4TjrbWWwneDNSOuR7PBQxHCAyaCxTq KTqFv3+AsEU9fYeT/CpkzdaU5oZpXdelDzbZM/t6pWwgURyFsTI9cqE06wuwAYBzq1Rr68gJGTX/ eDHN4G4m8ZIfygkF0ui3TJ2NmKQcil6OS4FGLv0jJZgiUE68kA5+1ZJPJBQWRINNd8i+AG4sWGPi fsMtJascZa32urwMofNzY6P5UEKMWPFppm17w7C+zW1iqZTi1bYWOuDsXvBlpuWYAYEa3Z9qfpaV MfIBfnxNJgmV3IlOEsEXg23LD40odCYQRCLPmbA2UWialIIQ/ybA/B7ZBjmsl91O0X4FG3jGVJJp u6KOlSGTYItR8Q0pY4n6V2y715DUdf2iEk1lHgu7ShroJeN8MZlXPkI12qHfdIz22salceYl/qYQ 2nbz8uJCUWViwsMY3h/VjN+HhYSkuuAPzaPTUMLoBMqqqgvdinkeH25XyrJAj4cKFfCIKexktrsL mM9f+RqRKE34CdNhznBMOfcNFfIpTSCAoklHOB5XP5SvR+4y/thiCsmkopKGiiSEo9xD6gvSYHZZ uPDBPV+JdQIQt+QX8nYXLE1G1ELyVInSXfLrg67fS1kzUxP8WAop6KGK2JNwnacPZEQN7hNvs489 UZTWuKKnJLr90K8HbMyiRcAWIq0GekqgFE+QXEiIfq+afzR7le1Cr6DsXVKgo1GIJgNEp4xeUGlS b6XV+UMJA1/014PJCGoRnmoPqpUiR+bIaFvjqQW4AKOHHqsYif32K2iMuD3w4f3NfQ2BLqNquRJi xzNakPTeydbP+TqRu28++bUdOLnaabQjMUXRP/aQ6lDP5y0fdfB/7pSdpSXxT14t+Q4GdHQymL8l Bg8F5TMYikJfVBAypnezAEFLNC3y/DU8tvx6kZse/Bcwtm+G/RoqDdLxGfpd2TvuwHb1vJkblsAx sGeEr7JthSBXuyoPk5MkaIh5h8yljb/Y6ptuTE1IP2wq40UZpk2Gy9wn5UqcMDVcW9SiE2UDs4ye 4VWE90i4JlKCB+yJibF898NAYH6DHV5idDBr7unFoRHyGcy/Fmed/U7MqBTZGanKgzqbsdA+KwSw PIEhhDmzusSU+iiiyM8GrRXAFW7n7I3o7p/QUG+tuCP2SRwH2MtGPe7asRbdyICOdx7IDSCJHGv+ TCPEt+Yy4vkeMs6I2kZ+8gzap9lI7rjkK3UZ67alTwNMu6KA8bq6L17TpCHzQw5i8/n9NZGvIcpp JTH0fBfCiCPjDvt54JCQhu9wkjFTmcSM9c8ysjJWCjmgbvJ8jh1A0ri8SRj+7l4BQSjn2Vlu1lPO axnRHyaFDrFFNvQximdzd+J796xHEZndw/lSc918Ov8niXuaKBaa+lAnT/n0IgDUauVOjfBVUDYt gjDbLAFdYggXqnOENfFQShG7TDRn/Po4PkMYZR41FqIHsQoGr0VbCy5rIqODAjfdrBQeeCLJOMcC DbRqRwyvBM5eOBmdZSH/i9V6De7qV3QORWSADDOQj9grfv7wXCgL4H8++f/0ZFY07nt8PPkKam57 E6Vaqcq76YLLSZqxkXjVDKs2CdlZPQ/D5oyZF6J29V9antgFlcoEbaZXJqXDGnf7J62UWjyJMFTh VzBCW7KNt0Pih70swOSPziTDr3SuQlgJ7OUtkJdUkMHnUDox/Rw6v6IzXfGAaq4EqLXBA8DYJYcz L6w6CHzlKtui69wplravj/m3YctkmgXTZ1pDRsFrHMfOa3QJyuPDIEs5Rl7KHTcw48jo54x4ZaaP 1T5K0+T4tPrVnOdOEhZhc8qLDwX2Q45aj5ULazuPEtbj/2naKEBcpnz/EDaXt+5hbYHdfnGPZPXC o29CrZVGDcv1Km9lFE1qpccFsyQmwUSkHAjgdQeazQH25hxqQjOoftGPTvsKQgxUjN3DM1JNdZeq rK0uuannizjGSZD2EEZ7nHVHbGMVR1390nm9A7LY8NNKef5n/KsjBF9bgwRe9zuHWrh7uQLTu1XA KMl8q3h2ECuE3LCyXhz9zkfwb8357ayUNNxDl86fZJrXFvowm9o6oqqkV2rzI/UwG4SW6UIBL/Kq nXk1VjN9Ffn1qJCJZhv2cOwo5m9VXzE23gKpB6ofP7VXMoDAqJj1Q9eey/ydtak656WcCN7WIlJ+ Qf4LdbgijdTNg/9stG4qy3kNkgsbWqPaDhzFFeD9aKsEhSLDkmeGMc6GtT604xfFV020P9GJ/nrV /Ryph13KF8TTzlOny8OMNVr4nP21AxQh0wBgp3uPUxjN5cKjIcGJ78IrDk1E+A/VbGwwEcCVZ1bh tS7DVk9NZ9HfoZhwRNVWOyDXtgO3GjOw4YpwOWCvk7iahJ/1gDnbUnKerHTGFxF9TmXqYaLD/lIQ y1sYDOHHLk9LYOu8jgEbyU+2zhKm+Lf3RvC2kgwyJPbCnQ4cy83mzpHaSuUjEvNCMRz/FH72sHdQ ivQLw2HwcUUPnqO+mf0nBDupXnKiRxqTonl3g7zJlqzjnnXAQ4mfl56jxOvBMkXVaUNccaB2MH/x lLrlrCzyyZHrhZJ9Sn4QoM249Xzz+lZISIpxCiQ4BEpiDPEf+aafuOLSqhgTZch9jaXStV1EiWPr qS4Aw1PuxysvPVBxO8rq559bBtb+2oY0J7qxaw+LgLK4FhdEJZZKMWYqLQwcx02bk2QMEyImTjaA NO2uHuqNdZ2p2nSTC0N02ytUnPEK9b+9iZCQ+Zy2eyTvE4YJCEXzVqx2Z3XZX7153HF7W31nKumd NeHSw+Gjk4hgiINxKnZ02bx7YLBhNF9TVluyv4plq1+yE0O15wulQnEQmOin1BC5j5+wKE3UeEwF e8wMx9n4iboRNzOqaL/bqQyoq5gqlt2U8v/KBvOMQ0Vsuu7mmctMvbXghlyk6LQ6hfGnhsFnWYn1 vIbaRXpeGddst4n/gCrs+O21eII/LKrFvnVSyZ4xPOTBahLtHo8E1cwuTrJMI8leNjD6mT2BHrLB E1zQCLSijeka2z99jKeTIyl69E1T/b6TfeH7ow0K/IMvyaejevcN5sa/CK0dXtduLGAHQb+aWtFi uI71J73rKN/jOHFTYwVgy738ifzbHWdoimzkRYVwnxG4DcuV1EO6jcmviisGuU3mHSShvh6Jq5bb HBJakA/Re3qlnLnnVlRRY2/i7zG7tXO0PJJP/7MHTNsxmTvvS0YUPXfP1wzsRvGflskvTCgKEFSa kxjFGCFzpTuMpyOXiv3oh3/ZDGqoS2W+du0rYtBeooNYk7eAzlluXaZiqZV5Mgks3jfUXxnYWKiK 2klYWGJ8NAlXmygmOEh3lDHMyUcRBpQRR5+lyroI95VHocMAozXfTYn0Ef1ZHrvKlob7YlF5kvIi opC6dMQ2swIbH2GNYeikY92v0fcre1UG5QQDIg5le8h3GSm9Ujy3NtyQnmoWcAuTScNi3dgNKA+l Bq3tPOF4uZKwr3xQvNS3+IDaHCG41zna6Es9Q1jma23eTqRBEK61ZHXKQZ8++Fm1neIXdHN0gvln Yv5cvPPPS95blYkMuD8JjhPZi9YQ+/1Mm+2o1UJPVuqhD0Owx/jW2MZTafodDYA9mdrkElSQyrMQ Kr/KS6SAPTcrYZBL8cK+r0ZuTpxt33jaeQvqfNASpE4zmdAR+PJ69p6MvAUCCr5V1bLRZhjWAQ14 JPcJw//PzmxOLF8cYgYw8pIa0yM2OY+DM3LVkvhN5FW7E9QqJVqFvRWgIYSjxfhVxWwWK4xAmQVJ 803IuX8zVrudwQuhjapyeGiCqzXiWbPyaSVd54xWm+vtSLn11MW8Doo5fokKFLuiRT9JyP/8OEbK uyTYjCKxWWwok/8lRm2DDywoQ215P1aqZD3aSYTzIvXj+5Os1vSjbxI0dOQw+QHfpnXfhkQnc1jE k1WZsgSeZpqiQZCITzuQ42JKEhhUgKYd5kc2kaKCJIN2Nt+b4mQ8ToJLfZkSjbVRtc1S18BvWP8S U7E10ulmd0ixN0rLhIv7UHC65VWIOKvpLXs+mUYTiMSnV+6IUNr2fKC0uKXPtyfISup/ohI7TpU1 qa7kMynCmFgJNTFYxDvffIYzuy2wEt0cv23tFCoMCGDFzNsptkS+ImbE6B0DYmA0y314a470YJQJ OcvBl2tMK+Yubrc53FZs7ls2jamk3GOWQVCE2ZyOb/UGGe03W3eGxCoSlqmAlPNscBkGGaiAITOg 4i/nmZ1jHiKb82xmtBmSh4hw4cZet/uqI8zeoCm306/4P2eHd7o6EP3JUgH21SwuOPhvmY7tUl5d DMv1zAInydrZfhVNg9CZ0SNW19oYZN/T8Z0HKuPPmJevXExckVLwCb+H5XBgzTlq7QS1Q4qZ2p7K tQYJf9NLyu9VIBt4oAqgpBvOq3WSqztT9zNqFhrUSztz7jSYVoMSNTwxLXtmWTuTXaQMYR5zmNd3 Crca46fbbqL5TjbQY7IdmE9m/A4yNRzsgJn/9wx/WcCIZL8qsVmVZMhyeuaK9OBwk4v1cTNHPAIm uSHSD2pz6izxqHWrRchdsUis7cjE1ybSLOYuduHCdLgleri7Vy9DZg/K2vpZJnKaKg0PpqeC2YO/ PTDxcUBPNAuIsDCQN7T/bO29Np64a1sbWatbnR5pn698tPylT0FvR1DOEMHdAqArBQkeZ4Lox7nf qLMokmaNa3qPDKTHTQDBpJUQsWnwXGZnmILrF4enLN1ioFuozdN39a307/qKI5gFSCvwP9FB2L1b awL1Soa2wNQ7wY6dOTZvXAStfa2nmMtMi4N3/W8nymh4xreZEAmh9Nh9gSy3jlWd3PAVTGqpN8Sw ZexE7vBdutcpslwoYVVc7Cd5YbH0d815s+Q87zvO1UDLZPLpXEUo6F3MaQNsHIO1xcv0esSU6RX3 LFlef/B9ccgLMEUpjC5SkpeERrOLNJ13c5bJ5zS0UY3mL33TSyKQlqPSmfZ8iQ77rih8GKKWyd5K atsaIURXlOFq72jRTCyQBe/Yx6KJJ2p2onxHSmZtapNculWeU657hdOGCu4P/4f4mv4pyOaUSQys E1riqziI+u31CrniMw5dSmOj3cZ+dZGanG5KPqxzoi+wCz1iNepOPMOfd8R19C2whQi9h0SAmZFX NBf1ciwJeeZWEBxsK8AV+1dJzcEjBbXOmIShbBC4BU1JYmHuFPT91AxUlZG0XeDqacl/lIA4rO4d lyXGVRR5dzKQy4SfsGivT34hjyEwyA+Qj8WFiTtVn9RejGnHCbHPzAaC1vehQ804fVHFBy0bRea/ mWuoONtEUsfVVXf+sDplGacB26qrAqmHCFsO4XCD5Vfskl1IqkvpenHzTiEnTKWhlx78AyBlw8LT hLLeJVJ9r4Qj2XGdJ4PZ+s10uKI1BNPT0ZLRiceg+grHeWzSKdVZBgD+S9ZE6nYSri7g/abUIgKd eW4uAAQRkzUJs8OJ8WQDk6mS+zZgKDFk8TH1Em21dXTHn3v84Rqhrm3KI88orceyRAXGTEhkVfki a7kfH3gLws7kYR+lFVdtQ30O11Zh7VVpTdcOe7C5Dx5bcC9TDKmH8isNWi9nOdD1vEmp2LEiwQhb Fhf+8aFAEx3n6+ioRKle7wm3S2i4N9qBoTMxvDNu+ncPhq/9LiXYBqYvM70Gsq5Gp1GEQpRajfxi 31LBZJAiYspQrWK3CLqgxKVLJKZ2G6cbrDTEsJL2mAlSX6gaLd4HVToQPATtI3han20Iwii31/J3 8oGCUwwrBsTvMYlpl/xKe8JG9Pucr4OvDKxHXnrOPun6wLNpO0PIIbd/6+RpfiH50717lj4rtRiE 3Hfu4fASpeExIGWyhBWkQMBlkiwokVeFXbVMwIMkMfpDfFp2gkTF6rXvD1/rKfiuJo8yaOJOBm/6 II8fuP7Qh+yY/FssHqaCt0kszGfYUNxPTDnJgPrFItK+Lp118qM6WZQRWuMbIX0pNhTE75p4d8a9 6be0c9/Bjxhu7ppL+TmzFZFiOv6wHVeJYpHaSpiGigElwvtb2cUR59enyOTqtpqXzDKOotBID73f gDM8G1JjRPLRaZynZLnae6yxTl74UW4o4iaTQBB7c7CWjjAFSt2pF15Ertg5YMzPe+MdePPbuiYg mtMKcljjEerPCEhQemwU/M33jL3SR4wg4rNbPbSBi8EhvK3mVR0GZfZDOZVgM+ich2t3mQEJqu3X eb3hieIbxEsCBUy0ir5u3i9EpKMZroW+V4yS5i76bhd2uGuhPJnGskAANDNwvPIBfZ+NOLDfvqVQ cZn7tH3bz54hNnLXC9q8Dh5jK7Utdu+jtNg268+gRmcG9JV/OZbVIfO6oR+T6AfJl+3/dVc2iCV5 d34y8Qm8+jpKoErr2CGESHPpp30op/URrLCHSVhK8J317Yec2ruumml/RuytCAxvCnPYpKO2pvDx v/v+mnwawtSt4ckXFOCulYHkEQ0UNAGG9jEhYoUAjKmFThp6A6zHNhsqzn0eISIyWAXObfk3s0Z8 Pt+ata6HMKqDZ4vfmGe1m0d6YTcBQv31oyEZjaaPitAB/yIOC/4Ptzm7315vyW0Ls8lR0ohvnmEb Nil2aeuAxdaDK952WQtFGYlMv3drLtzeGIOX5P9FSC17o1qz59VchRj054QE49WRATYss9nA0dpm kJfUK1PurHw4z0rHp+5doj5iSTTv2aGCmhid7nd+raVFoK96KGtwUDgHfIx6OWLGYn0iO7Pdi4Ve 5aLoImbUvy8r6JDr18BH3HsriiL+AnkatV8je2rBXbh9GiPIGfrVvz5qWhvo2RgGukZLiQJabdji L4Entz2j+QhDPWe4QyhmC8Ci8nxZhSIUWMbOhpWXwIdySjwsv5xiKP6X6VP+OMj9O6IQnjztWATJ PJofkvo1IwFTLuy9OVTKAxRPrkdWzLac0VlQEn5MVimzSQAtNsTu8Sl5l6jnCESfuQ4gzCqygwT3 a8g7lh/TreVOoK9R3WRD+1UCYODT0naf5/07PRmNd24ZKbdJmX39YuLF/Dna4NhBcq5woECJFi9/ igmyxR0o2fl0oI0P5dJTtuOtbtcfqFG5gwkl9d31m7gyODNymjLmg7sCBA9ECCcgBAVyJ6x7D7XV 7e/Ov9I4OYs4zD5L7t8pDSS6Zt14pJqsjJDdznE8Ek15/HTwSdvGkxeZkZnD/ikgtlH51gnPWwhg PuNIvqvNX9wcbGHxQCqLaUubfkkuJ8pRsxB6hsDH+lJgM3ouHStHlmh1w619yAyGyZJelUymQmrR 7E5qpV0b9cC3ozZTO4NlUJBuiXi9Lqd4CPYDoL5wqQ5VeWAs6CoCUmyLrgAGZBaYLzLXZCF4DRUi HypdiqeIj/YxyATEltkk0KLAFVqM3G1KGCST5t74rfMoFKzkaUpcpvJhHmRwXtiDNCFOsfaYG2au /RVrIOl6Fd+M30anUwBV4XBDFkwpYSFFv4ZKKq2Kdg6bOus4EVN8dEKC5W9bokmceWBok8hf+mel H6lsTmNwVp411YH7A8uS0TnbQiKlaHRxOlLYjHao7cUjcNGjeIl/3WxFBXaZREo95xdQlnv2GIZj TashDW6bwbsFwUi2yGcEK90q3uecoOTH7G60Olr+i+AySaf2X5243+HHd2P93h15e+ZeAn7dSOhO rr31QKGd145qU2uug/PWpUVzz0Fa5CCWMPJNNoQKNXbnw5xbZmlBAjOwexNnoKzO1Sg3d/PKFID+ aprAoY/QFR2cWHKA8u+VjC29ZJfZCuQZrVBHBjedAoJgW0XEKEa97MJpDxXw+SyksHUuwAsU1FY5 yTHEmW1WxCn/tqU0IQcKuBnmD4sFsJpsP6LRjSc2buyJKK7gNgKRH8em+t6zB03szNeRhH0r4P2l c28lwFrkWKZR54OWCl+nPjR5bZRS42YhcZrImAXrDcCg47BSj2uMQPvr+X95yla7EdhCplZJrdAp +BguWnYzyfv5WQmHoxp8G8XdwP9GZXPQjuhxTd2DrHIDmfVm+pStGa7sv3JGqhCVJkOJocpEO3B4 6TuZUbQ0h5sIyZdMdusXfPsiCa57bqqEqYG3E4N86WYB6anIHZyIQV/R14+gQx7XTY2O+z7exJq4 nX9t/6y5/VomxHsSYdl9y3fQ8LvT19UmjiF9LMPlY6csYmfByNilEgV/dr7CEukpMYdgXcu/53Z3 0a0ahfXlW0MTiFCWfLag5ATzFCZNVlHeFSSBt22GgGkizvYXD8KGYYkSKV1NuDkxtAnv90sZIcxH OtpUqiWeRCz42ugDjSQbgS2/egC6BLFx+/XUIAKeNP02aIPGX8qVcoBS6zE3iCHApnyE2p337f08 xP1hkBMz0dohW54Kr3HO+FOioz9lgX24XmySmpXc37P+HbnZs0NE7uCEexU3oShXyE/nLMWb3aw+ z4vC5BIL4b2XVJLv5/TaqdHbH0/d9SbSlLr8s3P58Q5Ra3R/KLrNaujDYZ0gv4+1WC840vZiZzjd 2NEI65lHyXEuS+ZmLXCYwwIciGZIyssZIVim/CVXU2jmmH/QDOQ8UpwWoNgGbLsWMl2NnoOCjWn7 cf+adLJozIkl9q7PyecuVWlZUX46dLbDjarDLbEbEUCMObL1oOkX5HWopxC7xtJE0S0767EBj5Jq 4zljT2fZlPJkRZVgfX0lAHN62fH0RSi2E5RrkXiz7YjUrZ/FZXjXi2I899h+tY1jIvd4wheNB3uP UwCj9h7enBa+2Rgv17XxgEb5a1YYz6d+9UyN72c/5Y0Nv0FyqYr2US2o91aakwisTBCTIjqcaEr0 sX71HiwF6y+As3ANgKvQZp2uTbbiZl9Rm8NOpb39gzhiZjNGM3GGsG2H330td/uX0wxSJOznr2uo jFhXw4RhXDP5xzmpZkB551z7IDeItjq9VYEoNYPyzTF77iWxMFO5QMSC2gxZe7gmGwATfbR/VnHz /Sn90tkRxlyk9h8H0EO7bLZ6CcIMFxlY+1QiWNDKQgzDpd+d/e3C09J64PZgqqvHk48QUOYjmK8E Rkw6WiET7JKLWx3x9VNlG8d/cnz8nfo0BSj/OsBzeDK2WhdVZf3ZNd/3yCnuLPADosrP6tAIOp3P 2fz48NAkuXFdKkuQOHQ5fM8PI5+PWC576wuUB8qjBvbr0SJkiajq7C7c4C3Zu1FQz507jmyNC6QC XSyPJs8tvqwO+rmGQv/RSBgqmyRGh5qFJPQnl9g5CDRATrQsznUhjSl8+Sy4c4frk8lvAe+rSOed DrJJSNaYa3UwTnwJg8RdLgH/waLrnZeBgZKeguPUPVqNEE8PD87Istxo6rHFp/0yZfucGHKTakNR Jb0TmLBq7zedRZmoWRxXlL6/OoJdfozgnM3nbfujmXrZ9N2vi6ppvLCht6KZeRKvKo+v6P6OcQ9c 1s1HYYPwOTqhNHjA68voFdD4CJKHBYax6mbVSlFpvmC6jIvjMxSAI4g6pqzePvks3syOVxxlZsqU uxQ+BGj9ZutePvI41lxVjSw62tO7R+JqliuAkfhIwYBVUfJZGpqTxwAr3y2kR5IFKbF24VDTBh7h S0uQw4QEWN8n/QBy5P458IHssZxkzM1dC600PyGHsi5sYc74KyIO3vdgh8zKNT2uXmEVkiDpNJv5 Udc8PZCbRoPALiHbByr9MokjR2a+h5RvzT8+Tu5esxPf6Mfowz56ZZ8nRvJUkhOG2+ze069Jgh3u khoJa7Lz05Fo561ywnUSRaTVNivYYtn5FyL2yO+8NSxMRHoEGK5cyafUXkLpHTcUfD++hG//dnB9 cdSTqK4QxPtBD0JwCGC6v/azughS4mgfXNQ6XJhLkGUDklcCqwXTtv2GnzHHXfCZ3PMuRhp5oVLu aAC87e2da3wVhpw0tRHacmrGmyWdJC4lSoeq4BwlvIyQyTbOVOt7zP5XMspFb6awSii2BR39Wwr+ V/l1sv/JVGxiBD2YzdsxUqVxwqX7HEor0KgllRHbab+MB4SFZ1coeXG10kmUv8ALHmyfFiHO/BOn 02XGbNAeL97RSM+IS/7toOc02z3i0KM69dDcfEaW3yE3Qj1wBZZfizYhNckDdYglbDCwUOV1Ll8a 3useperasxf1cwMrQ2fDoJeyMvh7XVqGGEbRZVueiG1GWydwzBQW4+fVaR4W7DPxb3HWkQfB+tkk aj6M5qYQ6+JuCjJC6wIke3XYmJeAo8g2/ewRZuNYAvShZ8NSVdSsVtN3FkLdsQNAkhtUpidg/8hM 0D1em8b0ozvOwCqhcDv4xqsooj50HL2BD234MADrr5Yw3MbTlFBjV7DfIVbFhvziQzUVqkDRvJS3 x4vuuuKj2J12JHapbDHTxfBJe8T08nTHZD5MYZKF9NxF8/BV0gq7pSbD7cb6nezzKPO+gTlGQ/Uc DliVagSfH979RW0ZzJ+BCG6Kwg6usSno67E/fn5JNnUrinwzRCS0GjckdzFONPu7TvWxmXWC4rXn 5f/6RXb6Q4vJMGnRWm2wBWkxdE/cKTVIGs6FRENkkRQ7WJ19mOfD3OB4KKS+Ny54Oyp4y3x+nU09 Sfuz4pfOsz7NlfbkhZnjERNyenEit1U0KsN2UVmcUy4V3dGQwQ/fM177q9/6s469/rBT2EcdYoFU K2DQEtspQJOq/Rkx/TkyqU7p2rgWuWljpgDB7kykaB3FsEr3O/gFAk6yLGOTBeCsdSi9Bkq6Lv9I Dd5CF4Vr5att7WqjHnamkTX36aHy6GMU6XWd8JEyv0iz80WbUU3r5h4iFOS1wUJONjWm+cKMv7RF rmciTOrIEACiT/90tcP2H6kkAP6Dj+t4+l9XgQscgS60fPyrtyYiMlig4BIHwhDHM+hRP8kOcrNr 5qcojephtdTlUDNgdpNzIAeezNsQGQ7H/jCcVJ8Ha6bGKe6MAmAPE5+L3V10YnsmBMNAzL3UfJm3 O+QYtfbQ7wUKAPkC6nwIElzHl6NUDqEOAYYsq5bWqnXsLnHRGQxFD+OiYoknQEgB2YlR60aQadUn 1LBX2bsGJLT0k5BaQ1tzp2Qost7jtlmtXAma4i30SM1mLwEp25OneNdbW9Kar50xEfvGhmuvzCk8 LsW7tZEFB3P3dJp4ghAOg4MELHVhyaaGur5/CPfF4dSbruThRksme8qcjfl3C7IC5q1j1tPL0ieR d9HId39UbW9X6W6fUokfmiaFVK6UYAjG/kWvSx29dO7StnFWyhRopqar6zr0hHJpi/U/597neKsM jXKvVCzzHRi6wPV128QGAJBvbt51ndTLuLXfHZJ80ErVkfMA0oRQDphAt741hw94lLWq6F2OIvet FgTdbWIgEzDGQffIs/AxUiJUuJTyVl7qgHzgMA1JqL0utaJT2HCGILDKCglWMvEW72wuS1/iHm0q Lo3z1LCFYdJ3RFJP6yq6dOvBh+vzhRUfNLxxYOWEDlZozNbNPHPFcpYiGBY41jQHxg3gI4HDZUVc 7dslCy9kIFngdLRQYriJ6JFgmEM9VbQnipPKN2QZMKJ6UrlC6UpRGrq0G4mXPpN8Hayx6a8GdPjK 4tn8XAPBv2bBqmWSKlNh2ZxaEJQ7B8geUgzrUoFYu1L0yODAhZ5xCflEE2Nfae8+2Qtm79oLGSmm anThzo2wvyP1p/t3VtmI8mh0ZzFz04fy9yIUV39gdFeNcPBOHkxSUjk1R/vee8d1ZyuQZ6mMZuSa 2bOHnuWySnrXryquSw1TBdD7WihD8aoSyLbRAKtWJXk+od+Ia8WCcDMStCJinASM9kVkbUrb9Yba iARrPPX34ei2b4E0eOLk7i+4wuA2W9xxfTFmJdaoAM9ChNvMFnnOtk/WoRWgMw6MReBsXujO/4fb YjGfMCaFgt1EagtA9r4iZXswZGBFZTukH9CXUTzF4BeqSIDslJdovoqry328jpAevsuMJ2EWgI9M T/4WbNR6/b9ind7BSUrHMPlIITQnSirNLtr4cm11r8yrbTgWM4Vz1i5D2FmuXGTVxhl+U0RKX85v vv1iDIXTXLy0X5tJauEAytVvuQjkx9HmMAhdmHiIiQ3xh8glsdtQQ7IC8zgsUINLfWoc7ndxO01c Zi3Vi4ylQEoW4NuSkNvTPZianXt+1sL+ntXxBE4KtfXvnd8hYbRgAAOwW0z1FBq9UrdgnIWACkBs 2bQOd8IwihZ4w+Lw1wgQwYQbt4qRE4TlKf+a/gmVYzgWG08UMXDjTyIM7qMVN4EEKmNdIYYjM+4N vz7f1Gb8GK1MI+nNurH522TqmdWD4aTzpiQGmM8u6JMDxtOzaPtbwGv/ZlnVQMoS5Uc02KuA1s9+ iwCs/95GGOwEn1FZ6eghFrKq2s2LGmTjBhX2WwkNEFBqqml415F/L5HrnEZxuxNrNyDczeAheB0r 4Y+EG1TMvor8n+QSJWQP/d/q5fF6oj9hdccElVbDAxYDQUUHPjnvyP+AH5wYUASbCpPERWti78dD 01902aAcfZafItHHTh3CmuK2GSVG+epMLOVsRxAER6PxmEGJPYXlZHNIus/EKSG1x4BUqfVp1EsF lgtxBqKezEaD52UtUCWV8FvVEGNCkI245d1NkJZzcf0R1jNkdny7IEmHAU3IDuHFVvqF1YRXFwQ0 Jyua9HM8lFf73EQJJoXmiYY6jqDvK+rKf/qQBMYlaNETpEnEyDFmbz0qK7O0BIyDZbQ7CAXeqpXp mFqCU1cAYZ/egw/2ewfLnIBsa+UenO1CbSEAKth7UITRpip9xBuXwtgazP88NXBX68RbMsUQXI0u bRAQKF1hTyOd2tVg8MlLlZ72qjYQ54GBtdMgb3FZzorq6Ubh437/IlBZx3LsYs85Hi/KSslGbktp 6rGDn1qWp6W/65DoAolSnzvYz1nMA3LJn/LbaQBLPZsNRYS48Kp4w8zJvv5sbYvr0pVZldqDGBeL 21BLrlunZ+jGos24WsU+3pAxR4XnMEveRXZO1i3Y7O97kj/VhP2BmqeXqw4XO2892Yrh5Q8h2eFn KH5gepruIbsfaMxX5WQsD/B93SkIEK+5HBRFfpyU3GoVKDt6xlcQ5fcBheGDS2+SDhvnAvi9SvNY F+jfvrX3xX3P5XkJopIkmW9GJ0TrP7C5Io3jzBwSTxztm/FEALB4EzQcC/pUcaB6//+jw37Sw1yq dUrfzk9MILgChgZ7jro/1GYNHpnKkaIWcmOgHERjuBrHrKBCJnJc05VerPCepSLjHOXHltAwpv49 LOoYkICYEb/XHvlWAS1Rj+TiH9kozXzB6ApayLY+nhpzN8Rh85C6uuEq+x/UQhZPg/VfsMF2DNwN QB1AswefQ5s8qY8yUiV1nHmFEp3zbeL8QP4LcKa6A87Eus9q3DDMZd8dWlW3MPX/T4BvW+l1U/XJ NLQR/3arHdueK1/hpc6xSO/NOmMuRzkL80hYsqjiukmW2SidCUiBuaZ0LAkOVgfCNw0/YV+ecMCj 9imKu1kOqfgWwV8VvcIU2HMm9szqVgS/A17gpcd5pQdRZI+Ljd+4IuHmze2oO4VFq9+qzASS85hb koTtMZ+Uyua0QoSR8leVnCOWBLTLH01HZhFqaNERJOBPhUS+A3PCWhMCGdrTJbgSbzOMapZVal0N j5JrWKftXzRFHI5dLk+HYOrjHKHxHtJ67nUhyZokdLl+yb79T7muPgVXh9u6U/OaXys0YPY6nQq4 pb59LzHmN/mxqDMLNL1m+cEHXUGGFStUdukJmVDTN5c/OfdE7zM+2kJyQ8+SwFRCFCv6wmejsqoU H9jbpS9m72vXzJkmG4mHH0KfoOf7K+S3Unre/sODhhMAU1vpnUu+2XrVDweKgB+key/9oVGs0PKY 7tkZlQiumnT+IA0FmwgG1r3GLwVDWNDEQCjZvLPNH34cLTMisqQJXkKL45x+/bKjvtsFqSoeRXtA csRV5P75T1fA/Zp4XNamjaZgCNCIePG9Tlrdz8ClmUXru+GSqCr+6ngUL0RwUiT86y/dakewp8Bm gpdEsHhsn4JpL7b+OryUUle07yn50uLqzYjjKekUiMy444eYWTO/U8COX3eomt19wAKFqjC5Q+tp M1fG3rEcLkhp9Ww9HrFYre1ECfZ/DGzIrHykwLGilsR+uZdgFZk2wxscUNSDyl2RzZrTLpaqwRJj OXt4wPps2lLwk/635AfQZuM3DC/iMNpBpO7Jk2PwwA2jtzxJ8HG+CD5MmzXJKwNMmYJPqxV6dRKS 6VMgFxrO22o0cOwkBoggvXOs+RkOp9BuG9L53qrOMo6bK1xezaDr87NwKwVoOtNfrNyyRcfJcNpD jPxDUdu+NDRiiYAqSiw25Lg5k2vNMF4RiSdO6kE3OJEdGMdDl2fHlZB8zardysINJlP9D4qllK0O bFy9F3T/Vg8BifzNLP+ok6HSbCjBqJXLbcz5s/24+8UNQQ/N9u/Dg/6YBVuBFpN093DwgkXTPYdJ wQSszTABwRiObqvsrF5VXDlffBv5v192KDteNxIbStd2W8XO7uJjyHi7WQURTX4NV/1wKbJasnCi RHmhPVQuOuPDoZRMEHq9wWIqUh6iIimwt+hpnYhDKaPBQdtYxEGEuzni7mNtLBXJLVu90P8/v8tn AZbySS2y9or4uRc6DdzCcGw+IBk1nfM3DNRWvXM2EphenKTqhai9RfKN8qwFvT3TdH/Fqw8kYjlA DO4joHDQD1QD8pY0s2k1Z8LnD9AVKNjBfGlHF4Tbbu0P/HzoYn8B+zlope4heRMIjp3/0zUZTrDA gogwTyGNFpA3fwbDk5ql0J/5ejEJdtxGZ/08uQGzOZn4cIczu8kLhYEJCzFAn/6FQCfDl4K5JIVN suaoNbQqaLmcxwzv9hPqlI3zFT/21X6HwUA1ZUwso7wxHGEPgpIgb3fzYJ1Uve0Q8Xl/H/xMuAJV izmeXbTrkTqu87SsDwwzm+NGBktNEMIv/fRykq9LU9UAEwQQPV89sa5EyleucPM4iEOFe63IrQ/M pjnpF0N3Y/LaX6lc/CHiQFA+fnQmfyNX7S7U4TzqaIPKw+DSn/vwll9UEIXF5NjBFjDxVRIQZqNd To1+uukxCtXDqmQbXZDsYNwrnJtRMij6NOv09sHpecPV/eXZGTQ9AMMnvx8EMz8slkVFznBDBGcc qZ4HuDkstWE68yvO7to02LW6VVkA0V1TuxnNrNzu/FXNUknIM0yezYBvpO6O5WM5nua3kz5tMkB3 qClpbhfIHyG923nK3VG1MSCoDjmDyKIR/DBclSh6qlaADerQKoUZyjtR8ZRk/1Wvq0rKvq3NpjZc YFhl0lIayZ6DQVTCA0q+FP5LeFJhvRewwLLllTCE1HwHXRJE+D823GZTrWWwmNoC5ulpHehu5D2Z xEhrMfQT9nxzvt2zFsxkCINUKq1b1VB6cDdIEiCTRUO504SLBp3BA6L1NwA3NpAwS6fKA6ub0LVv sb62+o6V0vc8+/XxDvjRXYmoVsr39P2Ra6g5GnGZp4LwpkRfXr/YqEVRCdYJjmPPEtrD0+fxtZG/ IzhPHnPLIH+E0ANw0bncD5a4Tt4B99lOerVMG8xVcww8w+SsLPIa3mDMHZrBFAks5SZfw9aOdw/e V6EwL8uI0jhdbs6mCSnYyiI5vBCtzIleP/iag2yllU7O/1iDfS3fyJO0ANqEEzcmYog2tlXgiRXT 5+bfdW/6B2ukSaYjp2Lt4IGjAs+akO9EVYjKY6m03Avss6l3wIG+EuoYFclPGpJuEV7jzQ5l+ZYf Rjv01shXJMPpINe8mEoRXEjxAQyjBt3QVGdFAuilfeun9PasM3+T+lzB+PxiQRoySsLXsJDY5LCC JPoLvWVyLjbHjgAFdwAd+Lq+QKI6bfkKkILbbEYPcinGq54swl0UGmTI7QlcVEjf+JcgIKRJomQi kFV+EL2CAHi3ahAh2QHIR6xDIcd4rz78MihSt87kM1ZjUUd2z0IbqOo9HMgpK1EImr/K/Yu524wW bBlhrVtgIqk4SCLVfcJsjotHmP+/H+MvBHv0Zq32agTRtA3oR0GKJfdwipbC+l9cYIwetqS7ws9L +n/cNmCQ6EsfrKcfQqylItlk8JAamkMOxqI+KSblAfIynetZZz8DSGYd0fQPLd/cjXikkaa8fa/N cc5CkWkntda3dUdujbKhZmyTPTddaI87cdxHbatagtcPz6CFGMXcB455+a7xYk5+MS+3LZx52vwq GEC9zqc5GemwH+qmCIQNNJLcauZFrOI+dIyeBYpQMHKJb5klKm0C0itBAsee+L9C9PoRYMT/Z5wc VLM0eoC67vNmbQ7OwZVuPufdBhvDGcG//8Cy1usek1wBgrir9pmearfui/xZbReEq6ZI89zM044x yeWZCKLUxanTP97QdDPqJy16SV7MFvlX7avT8QHffxxkdQtXJreU8H26VZPugMvrZzozqmxp1+cL Wcmuk6b6qUD9107EfyjwxCWX/6VKKUmtxRw8c1bsRKhiK4l0S++2VD4biIpOVsq/OWMMF3GXOyEd H/sMCzFDVROYc0FVRTUn83uhgB9yvskYf272mDcDnCOW9ubSFdU3ilqqSWokNK6LnvS2C5I6QByH QN/JqkXvWwkUGHmBQoqoiBk9ciHcDrlrfaYos/Hs777HsM0BUIDJdpt8cfrdUAwpBdZKa1Myb7iR 5b0glGME7cHY9UXKR6S1PuU9IhgijI7xPTyUp8u4WF1zZgGYI/ZIxZ2rQimWMFMj2ZLf2PfzL3JM sOx8HE6rfPQk8UaO7Q66iCGsVUXQk08KtVmkCIfN356fPi+qd3r3rRa3eZus71zJb9glr+BTo261 +3aECDpma1wbINEbJ3UmJ1pV5r5SPUnAL83cVlw3ZV84y2TTzZ0gD3U41gDryJikRObhsFuTidMx 7MUiYw1a8fyzFKByBFOXzbhBPjrKElh46hZTmPAMGGmMy970PY2eKF9zm3u3PLkZZTqIsrPwsQ5t xGxMgRt/0cCW0txK15b6BjBHaSielft32vC0KeEI9EmXqWWDt3ljvVJNudmV/xkwBmFMePYUCNiA hqPjnlMWX5UFSFTgJesdTuqgJysOvtHGWxtizc7du1EUYXGXL0+SgI/nbo7AGD1FSG3GHAK9KmHv ZHpw6LDiFquZ41gMqHuequxNcdERqRN2YQiiq9uQtnLB0CFYbdkd71KepaoO9RlUpGh3nPj9ZsFF 4aMKhzPvHpmqyzhLcGqYkO7w00EbKoNlXB2DgrAyXrO9utvOPmWoTy0+H1rLat65CHwE9Ain6wzF r33tR4ijneWmcjuduy2bAfvjQqYpaazWB2rKyvu208agCXq/btHDsmppSiTRG7iqFYyrNQUa+xMH f5nzgdoUJn0LXFHs7GVYIkInkRvYthDeAKeKpu99w2Sgro5i3WFFZ1ZU/VFFGa1Cfso00mnx7n3n tW8MiKmynP27Nl9pJZOR5d+4C76UqEweBgQ1D+pH5dW+NzOrXXn2WirgJ4BYD6WR5rBD0Tcw4772 WJWnO2FVnF/MwT29tJlGIpzWUmczk8JsRXVT2zsrHVdV3Fr7jSZowxZfvVpkoC7J01AVeWoXm6O7 3EiPdBbaambB1AOipcrCDgohRgBuEr93vy+EuAzS+SWxWFGULOGL1szFDvNYp79vQjiMJex6hAQx 3dKDrADPkBTSbUg+FrQ4SnQD5IJL9ZJ6d3fG4MRHCz70YqwEmoPWTbKBG9iv+mGpA9QciAACYDQg WYlMCq5soy0Om/8rz0JGu4+Gx74lKpnOIDLZkdvCCkTbGdWHi+0SeWniKoTUp5wLd0DYlF+Z6cNm lznSgXj+K0Zg7b6nSIo77cq6HZv8EKyQV0zLeWFC9Wh/HR7mCXnyRl/oi6ArakHXVzdzMqSkkEpN LtAM/4vrjqWNbLFG96ZYTrm0FAIlpikyRXQ1GYxKB9dZwAPd/ytvFaeuN0DLVTSK5FidlpdKaEw7 1Ozjcy1boeYkD8lAeN9oqkkBvMrY1PoYeHBF/eCE3e/lSt4ZkNYc6wfWnJkqwmxV/Ww2Odo4IOFg vwdxap0Dd7dUDA120L3t2fPhZ9KPn8NrtCmxfKrn4ypnlNz3NZAlbv5NPqMJPOvNE3eongjJSCPA zR+sbjrO1QQQNBDlKBtsSY/iXo+id8bhpn2xSEF29cJ+wo2iVrDsSkv+U9TqC7qpkuLCNcA2UHaZ bIjezZOSRI13L2KwCmaSiQTTI9kKNC2ZHHT1vxj+UB22d6/xJP0LamvntcsLxAcx9ZjMw2y4+Td7 2M2G/bjURNC6hmkMNh1seCXmj0iHyinuUptXtS36BYWbDy+ZmSOfivmTzr3rv0Hhg3AAT5LWY5Qf EKEmViQpjhYWsI+s3ymgzdY0OZvqqvpI+BNbcRC9b3r50M4zgSv1i91DkL/afmlw70XGlpgYkJoG oWBymPAfiVsVy4gm4xJ0z6juYc6Vyn4sBHHbGWHegZPzQRXmd+xMaYKuyzyD46n1DjaidKQW+SuF 97QVWB+5dV6OVk9ZVNqNoPKu+9fz3NQOP8i2FhRa93Fq5RTQ6+UVxeuxyjUQ84s/irtX2SelqvpL dyABpsk0KJttz0NuN/y5TSZiwYSOhNWjOhCbCZHZKh9kD8bXqW9I6cqXGsSdUoIkISfnQezLz6yn /EbIhW84DTWsJO/gmR2e8uSmU78MMhU8Ttau7T8ry5EQiyNw6nfLiCFBlXbvJUMVRZnN+A9ucrqt /ueIbi1RG8wFYlg5e+TU6VLs8TJMPdSpL67pJYVAjV0FUrgu8a8OXtfh+QDXcB0oiwED9VYNVY0z 53oRTJB5VyOUTP92gY85VCq2q8tDsadjtfiNK4KhUeDekqe7YQshYu7lIaz4Jrd49atJN9KBslDg 4apdwLdsSPsDrSYSYyIVdJICzIUeRwptvcyjL+tDzb/zLu+oeUB5iaCIEGFuoTgaowibE/MlHm6I 0JZQbIeZYnLQoJJKaDZUAozZ3eWZHqjCJU+GR2gh1fYOuFmX/v/Ha0zjxutQD5iSrT5mMoEKnWet LP4C334NcY1EFilpQlQ5AMV0HL0CDvN7Zld/fbRmbo2bzqHuJeimHjFpuzOhlnb65MgUanmgYOs3 QECPPXa36OtregkKOARWOo1FDbRlzqhXfnZI0ElGhhSn7viwtxS0Kbzs6MwrA10K5DH0smE2uKCx JzkUPMGGn1fP/bRfR4myIpKtoQ/n4o/Xlrp/ZIRGVvk49TudAPvnrEakPM9gjP0zT+2k78ifCWJb RN/0a2fA2YJxGyCgvCla2pEb+6RXB/dy2rp9oeMp43OLBgHtziDv4tkDVkFeTkxBeeqH3/+y17hn 2Uz5DUY9O+ib/0+/7T856XVmc+Vpex4GoqsiQd2IQsSqaLfs/hxipgjRyMnjclCkHP8kbVgksI/j S0n7bexRowEG2DnZOvCRfDKaWV0FPVwMx17HJmU/SWOu52y+JxKss8vs3DRBGxHBjCJCtQIuPfjW GcZUhgUtfeE/eKKV4bvoD385Su8+AuW1DsWvmnvtbKFruoJhqf1bZgpg6Rx0B/qJq/Tdq9+Lnmac 0BxXog03EfWi4qZOTGokZZFRagfH1zWRn6J9nzDZGjlPiqEFexPxDlp16r09Gq/KeSXvIqtLrG8c YPlgeXq3UU/qz0zDlybvW1ORD4t2vyos1m5q09tmRQxZX5HdcEQn1aLAmVpjZOqYra0thVbqGTz6 q72f/Q9DbNZB0uLniSXNlzdevSBbSQCwfHfYFs6ULRdW+vzsDZAX5klrD+cUA8sfRyCspeQs4Vwl TqXieH0Xb7OkgWc823qOkjbdpVOxjYXo7u7QepDaqincd3pqoAi1+90ysw3wbKAGlAK69MTPxaWe LYLKKEu3/T8dQrDAaUrkylYUYHf73wvxOo7VAf1oSNqjEKdaNoZUg+vPR+kcODeqD0QhOERnXB3L 2mPFi13G53A5kLUz0kHTA+FyyRkiZT8uROjbrjQaeEu9cZVKWb6oSMxIBjXjShxKnaZExjv8NY4L wki0qvBTipnVJvQfqZeeR+9M4WS+9DFyvtqXdnWl45p1srZrUic5GqxvJQYKL6amM4BBA4U8178c OT5Qj7xerS+uMMz2SHZcK4ZyWcI+b+SLLe/6+dWnaB6vzmBhJ5PeU50VbDIHOjZ5oBa4U0XPyovp +h3tVtxQoIwSIGlaTzRBjeDXpTB0SHqyZVBGjyHO92tluOFk0kc9C9hWlgagK6PK4qMlrnkAnoeE iCIfYEwF7gLuhErKCikMfmyxbjlwxFNXfnS/lZsrr5WWMqLcXFOtlSFJUDC5dWE5N8yuLUu5ZWLr oaXTRoabpphZQi5oxSXjz45L5anrAxwrhrVf0jOOC9GLHfhfF20a+WMvt6UWnS5KvwLFCGZZ/Vnf kz/BTYb+pTN6PvLD2V6sAEd0kOHXeQkSDgDEHPhrdf5OQYJCHl4WJEHeDT1HotHj6TQqIJv16LLE Gklw/q5oK4dQvx8Yj3OeAQD0wEb/5HekkPtFk2aq6DY4tprKnp0lK5/++prWVoyaxA0a3yf9u12/ kjeIBdoteG2qTeO6iSTOoMApwpTVoe9A07K5QbYL/RbT6TNeGGQucOl7CNYULp7or8TiuVScIMaW 7JKOAz2fd+CQogYWbPa0H46fLGNwiPPFd5jodPhJuMqEPuuxd4weNJUw95pqEq+pOiniljPulkQy BBQHcwYvKdS/Bz7ml4U5Ohv8//KWw7uQA1/HY2kW3lMBbDWBNvkliAllBQal/YwZ2N9Iry9q+s6A W3vbL1YRhob0F7ICZPpuJ/uM79qgWQAwq7lUzr6Q/7zg4q0mtFZqzhrVGaBsQzBosCMIaeSbBZsa rfJXPPnLFJm9jPdvl0qkKq+oda9NzUGE3Qbaheu2KU9qfyugZQOpcm032mkTPgxvxRDrAI10n/yL mWd/B1zuLe2MhXT/ja1CUnWNo0f6KBThFAxnOKtTSfJzjfzBci1+Bcj3P+VMT8bSp4r+ArwRhmsu Yuh2ve4gVggQQyX1QkJIADEQQcvhrLqBU5qow2M5+YFZzLfymBINBPKf8JqDbXBJbwUzXmcDTBuI GxESqSXyj2BGAcdtjfa5ZMhTsf2Ap5SBbGO+h9X/ZCv6u6s0oUdWfp4K37S6kDAbWlSL+wIY0Olv dqohufIpMquq69ONpFWPzD92M2x+n+Rlj3S05Gce2P239495/cpQl/hspCxwr3SrLdNhLI2U3xqg 7NtJhybu5DZTOkRUzyZJYYT+Tq4idJKqGT82cczl/B2asnc3XGN67Tjsw5HwKDscONwB8cQxsI0T 4VgcvfGq1G7v1LFaklqkaQY597NqbTsVOnnApktyCiZbJV7Uy8f1dN0mBOyrwev4YsStgb1+KuRE zjh8EUNxZEw6oP8MMfzJ9V/J+wvPxcg8VsSFcm5UOWZcArs60hfFoDbkSczmi6iZq4clnwmWkHXM KLMa6y8mpF/O4/CD4XgS6GI2OJmOuHat7HEjZRcLtILgDYX0RMKgbh+GogkZ5TGxIWJI3vrPkI9Z 8ZUkllW8ERohs2aI4e1bgBzGT7fbTOTJITNZoAbwmi2kfoztbtBjZvWi4OFlTHdJ+jsqJIe9c2SX EQMFCCx0CB+FCrnKp8x1/cwj9elsEpq+YhCTdFiUcSOfJ73Akd2QXJeQdgCHIagw0bPThP1TYn3b dZP18hYr1BffnCweJeFdNLhuC3lpd1NayDoEcTCtupTU70IqVcje1NhIWgvnXljjTSiawy0Ni5OA 27z0gLaC27bBHkwI2soXWUd/CbHUV/LjKCTVlGr7cY3vJ9quQbteHZ6iPCpJJkLmMRMivsivE8zr JrqnNI3BGaIwJ+Qr6KRaC7DT5jDSnKHAljjqu6zZTz2gJiDZK2Skl4qkwDPJzCB99BIXYfthFW8w jTL0L1lwnPpIkLyhWTwwYWcZJTMnqszoKSJ7FylMmw0bKDhlvqE1Uk9Ft2i3spBv87Sl0MiU36X0 ONqRFtqdI57S0fk1CzTtfg8u52lyNKVMeMRZ7tDZtgBwnO1rHaK6Gn/0I9nMVEfoIhq4T1ikOUNe Oq0l49xCbAKC0kpn+QtEiWmAAAVQ3BbTvqE1J6i5xbQqkh3omU5A8kXoSNwIqRDzHN07PBZRdrjR PghQAZTVycJ7I6jy3lTxnoK4p6TrqojjSPeb2MQvF8R/NWR0GD1jx720/o2jB5LiC9nR2gcCOLiR 4tzur94yrqqihBSkEod1RIk0Ps/a4mR50NgBbGa1CHA826i50JYev7O5J6ZUFHq2AueoVg4hIAWK //Oy8b3/veBaihFw1hvykO4AOi1jWgJ/5x6cgIwRNHsnNJkXBv2iy8VETS0kfyDRyTpQMA4ciIII 1DhofRwXMjAgBtJMCMJRYasTbpnvqZpkhSKSfbeSeRXVxMCIdwQMRelaVyoAITyhigA6Uo7AEgv/ yJIoRojaDj0s6wZV3rqQGRaQElROsG8cANa/8JNOpyvttH1F0FzlRbDPyM06XWAckJDCi5dxHkfw QdckGOneIbZajTOnXCLD9ltS+1RX3Z7pATorElVqAnWz2smHMBSHapC72/927gGIYkE+LijmIcdn ea5jT905SNFg+b3UeKbv3FWwDh8tKbtbK0cGn2wsQNN6tsh4sGPkjzTfknUIrS513Ica2lGbDlZ3 zmOdN3WT3+Rdvhj9Nq96VsbkPM3JhcHO14FoZ6YkbPIJ95lJhHTEIOSpsrEjK20YmpG7gbC6c6C4 SwuxXPVBPboyo6A3CRUtzyeRxLpwhmkBa8BkRRAYGCfu7vVVXttuOz5uUf+87JILrsMqMjUGRU3N QlhfgI7zGF6cQs9uG6vGGD5U6LHZfG04JHcSY3iFXD+uZVVUeOrjq/meIwg7+HrjmtV0yvMdzBd3 +RK16Cqr71nNeKvEdAhVtqopn0KGTkFiWsk4PdhJORrj0Cfmq97yPTmN4wVehZb3PnFPCa+WMZcB GB/hRgzDy4S+6aEHBTYxRUqPxnvothC9emo0nCxZqFBptz8uVJV9COFZhC8c6TlFE7DXLabpMPwY t32DJAJnLknj/1xKPSyz+m+pGUNe8JOi01vE4y80GHlAXGf9j8cK7maNgwUC13KlZtYATrfrjv5t AP+5XHkz1QEm+OBqI4ji4MQ0FdRXu7waoetZ+zW0l7by0i4Gf3u6wWlce1VjtAbR6gnXQ6zsaCG/ qLgeiPCkif4Y9N6z7HrT887LvrIszt9n2YtZV0DicOukWU8fgzPSaXSrhtnt+lwfKJx7tWzaldpl 0Z351Pu/rq56nmkND9qAkAPf0YdT3dT7cYEAAUkMHuK/pO5hOki2/+hZKG0pLoghyD/JlX5nwKaK Z69algRHI4UfOyJB4IFyiDnuQaDtljws9PQ3VAMjFc1CGj8aRTV6P7YAkQlQ3pOi50R5WsHGOdsm r0tDMtPY5T/Q6XlAdfK+dmyj0TCZS6hEx51g11vfOktOWQBEdfrjYmZgG0MYfFIYYkxbzCiJHVKs JroKuxu+iSg/bKGub08UKmeJjGIN5iFb4+tuICLkxojCUEVVbXCWsds2jnfOCOyv00LKOXTRZuTS nTaZFWDcPFkjvmB8jEnlw9YWBRQUdG8nQ2btlNpqfkDqRXNuruuZUx4k12CasE4cp27CcAR2b0/N jF6KicLH2yTq2PTLameLoPZvwq45Pbpnh52ICWEotAjOf/mNY92CHEUYPt1qCXCkRTprVSV7Hz9J 72aZHDQ1KrpGLrme70dYqzm84EUDw37xyZb8v9mX75d1PP1z5tEU6AECOIjAILzoBsS8ymT+tH88 CDvVdOfmOM8WQtJmFGZ8cyK3G42UyhqiiS3YQzRbh0F+PAe3mfcNTmPInz6ZquVZMRAIHLl5pOoz tfESw955ZZ7+8704A++plBPnOSfvY2om/JT+rPz3dPlgRlltfAuIfXbm+zHAyWFjoETU3RZ3fOpA z49Lx4kamI6ocqKeTEu6ZF7R20zC2jGws/4MJi2dAt8SjhzwofHfjlNosWA1+i5yHc+U07NGiSBo jXW0K+cP1McR0JeXcIHHCxxkYK+h+yMRWjml6FXcnazx6W2Kqs2Z+2K7Dae6ePU2sZ0JaoZplDGI T5RTDW+59UvxL/0BfTIsHbrNmdgtPqmwxE4nkwTd1vM1EDOCxQz8Z3NVSDJc3FRlgxqjXxclLubH OgJh71P3CUqhqdnPRk86GJ5dVNDiJ3Nxy9eSaLjuhXHAWrc98ASGzkQaYu+Vi6dUwnBLiXUF0tkl NIn6icWSoy3VTVX/G4u9qQuMSGoBGI+zf31siJHuMG0BKlVgqGUiOJ3cqsk2/9o94ItqFmjw/zfk VxrrVBa3Tc/RF0UBp2ldxdKnn5ELdgLPDR3s7JLwiZCyBfIyT4X9eKCEmaSJyAhOga40k2HUngN8 Y0azXmwemciubKtJX0WJZ8Id9tBZFHSn8go4byI0YQXkRsP0ARkW0npP5PlVEKyHaaFldAu2g5i0 8otsI1+PKTXtiCm8mEa5o8cLZUYAdjnSXVgtCS33azqmdS88Entii+0SdbCPZiX81PIXw/XcTxNA g+GTvIH8/xX5YuW4oYyHey2aZFc7A1WqdE4UECB0cAZqHvwpEvdJbT1avDfA1n95+PNe9mz32QkF Qrs4yh0eV27PzMOPwkQ4AuDMAywk1R9XPNQaCUju7o2iwAtPIsmaIo88T1DQKWya8+rCVXtHKwZb TmXEMKCoOCd63xOWbiWpDvn5c5kVOFHvfB+Lt7d/ZHrE16zJ55nUmIIIhtYTEmxm6c5+BiDXIyMo 9Vg0vWEsVKr+7kX0SexQTLV5h7qmjZS4O9hf/UvoYRFmGaUBJ7K1MaLRI0UhCTLfZEbTKQuF/+Fd gfFwQPfRdkBcibl4/wyzvIMejG4ET2Ph9IATBJZrmv1E7U/3SVmgUwrfCwHsxuw+S2Tq0GoD7Xn8 zyAz+eO31UaFDB4d1os5JvjCyO5ZnFSnw+Sj4ZsoxBr6EOaDb6QwGYkarNKBcr+MEHNdsVUmRF9h zCs4qno/aeUKOJKvU/TcM4tTnqqVafv0uq9RJKd6ZIDdo+BEi6SJmDMHekMfzAyk/nQ0Y8Ng+qkA LBO00UixCn96p7zsYgonaw4OSg6CqIV6x2kvkA6K/5f8HK1kZrLwJQd162hc5gYZhRX57cfBT/Gq ohg4ioJiqNrzIY7XeLoncVLLXjqUrVoPTGEoL8j1irTKc/flAeBQCgxm0Hokq4aHNA0cexLqsYDT uCP1720d4l01gjiab9l48YCD9PIIfeWJ9GebtXRktG2KoCpltdKtzGdTBA9xwXArEv2/0P024eyl H54B79RZ9JcPX0cT9cHqB0GQ8FZ61TVGyYt8nHDsMMHXjMDiCbQUptxBvoa9Nj1mC4JF5HqVMX7/ 2215/zEXJv2KtWmpqY4bdxXWZmSW+h3HMrmYmmXwB/yVPACk4hkZQZCIHhevn13eipm4/XiESyQt qrTAEs59K7LjNN2qKYXxHRziyT4A9Oj9S3cF3PQOUFODIgbNcsuYi7lpMSjGrv1Z/irroKYYp3Ch efVTNL36SE13mqRZD8kiksqpWgMNVQoXSRRQo/rR8PR2U8nbmpiJ1A9ovG7tCdWh2F3sTqZIciEN B3Ge17MbAxfZYYOg+XZr0MPFGM6J3/vWiygkqHy1HGHeCbqeOn+9noAl5ePldEjj150kMiu1xB2s Qd4MZClBQ7s8vPgoamc/jem5DPHI7KGIJ/VslCfXGm6mSzOMnaGfBJKNvempVGYMnrmIyNPVpJl8 rvP+Iq1KYfabGHK5rT7OzdpZDQ6Ta+k/o3hSfpZuKVWvqYSn4EsEwLMhiqbauuBnxUiWa/pswkjY WHoU9hcDZAHfwd9lNvUBi0hsDOxVVghL6oXvcmC50T0p8RadcdkrFNy0M1vIladPWM5t3SpxLOr+ NFYrSkdh94anO9907cieCTvE5Y3QDSArOEK2nDuWuat8t5I5tdYQT5P78xya1drqY5nNlIa3qMt8 Y/FMmSRu7Excthqk0DBMkHGWPvcVEqiDoPjL50DemKHMnHiX07OWl1oI0aEYKTnaNHxdHJMyt7NJ PrVckqMd3yUkI5/OiC7MTCah6FaX9FOq/J47x9YfmdjqarKT7xA4yJzwZ4CoQpJQ362huuLwlYPm QQEKRfKU6NoxiBVMypVuyQo+c1QPVvdU5uPErOfJOiIzLLgnj8ggHAoPxO0XNYf/vxWlINKMqTwA oVQVlx62GvoaYjAm8D+hUov7aAAh7KwrEmrdmaJrGzvVzo4bqHsy+KYB0040E8wS82nt5WrpMv4e LuRVy7J3MULNc83HU8XCZBVicf2dmJUGhhFaP3wMZGjv+vBnNGtCt3aLgJGLv+UldZRVC2dibcRU PA7z8gg8f5ax9DX4GomKohzsC3DkHumEiR4FJFv5arFUbE5BBvib2ug5odJ1BJrJPjI84P0mPku3 3dAeqsWfDH4BKCuwurXx/jtDR6vOpv3emtZRJUnAguYXNlvHdr+xDXxXK2Wa8oxKLT/mRMzSaPvf FAAH96PFskQTh4sPLiOHFeGLZ0CYDz6AyD4AeQqdwMYvTL8fX+4+8TWfQ0Tk3KzF7+GLYbjrr7ZU RCFVZSVAMvqrN+GiNk/19zz+sK3ECVKa/AVWjavDBVfCRHsENyEOqOKYQdmWvrvTPeUskJ/VZXiQ J/9oo1IBwCOzU/Taz6n2gMxBo/YfGAfHKIsRia3Fd4LDvMk8TSdwA7crWMuW+ICWqmCh3lRTy7ys Qi+qwGxKaFzF8Tu8j+gJ1e5XioYMJBVyP0xFLZjYrtSyZj2o8WFU1FgULhwjvRUJqsTKBBIX2FCX mi8rHqHJqX1zMz5VbUStQLgMpNnqcjmcG03twfETuJ4xcQ+2xfZlKRtx6L9OS1x9R6KQsf7c0P6o HfTZHAFfsvghfO66wQKsRHcOPrHpm5D2z3H+icN6zWqvOHDyKPD74UtqSao/jIw+xiRJFWS28hTH 3f6Zf9LxuUbehC46E1xKK8aOxj6lglNi+s1AOn6h5/Nsz8dZ2483Lz+qLP12DbX9t/jhpBEaLzHo GX8WxYlF2WVsJgmjnLMe9RvCO0uu8dmWcYFyku6AB69Bn39Fve/SoydB9gJyQ0GLA6g+bINoqbo1 M3wmGF0V3c1WJdYcx1CpRuIJ0hEMWkeVtmysohOBynjck1u/4vca3CXOhRK3klqYvLpNCpLA2goi tUrJ2X82GjsRgJEgRbOgzlCQedXhkDpBlSz1KgYpqlLWc0zuYyrTvEXO3k1fHs67a7GVTr+yARr2 2I886PCA9SK5E1Fb9lrtN2EpymQ8hi79sKY/OjVzrpjt2Yk9c6a6RD+y2ZynujK2IDjU0aLO5pUc AstqTTL9DLWh2bQHwQL+YC48N2XXJYv5j6fIleV0miAPevHP01iu/L/OcKYgJEXesD6CPcxLXb+p +O3T+wE2Uek8++L6IQoLEkB4YYxyYG+OWDOmrqZMXE/1xDs/7WeeStf5NdxPBH5zW2iuQBPhbU+s NEIXD+UEg9IBKIQyS+9O3eRKeDHGaCGmT2SMCzj+k2oxJ7/0BjAb1jBa9hkzJzqZ5ygEi+CVY8E2 7QsWXrYP07Ens8PHr218vQU/XoYRXY2I+RQ86/WjyBLl4Wdk/yYq0PGbKXkY1FvmduIj3vX3JrQu l2sfEqPAX2TyC9zgwsiIJSvfeA0KZw00TyG1TdG9jpPcWsXiJmF5cx+7OpyG0rwO/+FSxEtPkVTu ffziO52cVQg+Xmh6Hq/sfk8YhC+ZriINVx06TceNsLiLYHUE5bx4Lg0C5nNkNio03q9vQSqkUkg8 R+ZbU5Es9fM7aHI4sTIQ3DuFawSo+KNsFjqw+LjKsad5BRN3Fkr4MG8gm/aPj4Cced/nMLZbfW83 foz96dlGsARgvsPMbrL/a04aC+p5zcXbklx0iKviyZ59xCTRsuFFr27pLEPXFuTKwgE0LNsFTTKw pVLYmGDymWxvb35OL2AYA16KUMvVWFbKsjfCsBLQ8izZrurB2cM3CPwe1/ItQsIzm4UwBoTCseXz 0mZI2bws0cgJuV+Ng+Oxu69oTgqptbsA+a4d3XEn2+f5re+qZPkc3JFVg9XAWi4qiPm2bhkizmt5 B2KrDTAKV3JL4u5lgWf1FXb3J1XzJAZY7OQxIeWEx1A5TAf/J7JnLzYURGkb5GtQML8r5sPZPE2M POSzmgaH8HgLFuqskhf6IcGPabnS8bdwpI/47oUaoo7gCkX0tQ59AxEO6r0HtV5T9oDjUaawmKkz DANMWYPE6xtQwKvMbHmb60G9gJA+NTFwFORxX6n6BdANAqbbwEf96KORO6s8SbBAqSoRk5f3hiD7 rEd01c8PF0h/lcES8hdd3C3r0W91bLeaeON54Wl0OGlYCaPEYY8OSTJJmgOIJhMtkswI3u0rc+Bc D5O8ZtJYuPIljtSEhGEEeLdp+qOIKzOUhwU0zsbFFpdFuatkLrINa+prlXc65gV4MUA3b0rLuhGx Nwj7We9dJqIxZYskXTtPbs8i+13xzYSDBkeRrnXk7tL177suL/ZKUXooEICRD1NDt6S1p7i4r104 0xW/J1zmHoCtDcyINwsQRSRreYGWJQhcFKwDvZIFaIJ7ObQGor54pLcaMtMSlyut3lAWLU1qdyBT iOMggPTabopRDHY4AYCMgslSNlNULj55cIa2LGxWq7glLFbT2uLaeqVKCwjbauw8rGCN3ouBi2kP pxp2Jy6VCxKRwYvF/4vdmdjUM9wq6o03UavdwclH8qY1TAmGre1MJw8Jfl2gHaxHSCJ/smaOpAnt /7M6RIcDa4iBlAPB8CEAwJIQGul1HJoPab3R/X+WPLs36Oy5n8g+/Lw7W4v5fdouQlg31yBFPcqF 07UN4lFEHBmD67zwPp2C7WUbXoKhJBcTct5yZLpniRxsLHXPLps4ZfdVKfcqv5a7Jh5+ME69iTjA ElYlVgw/XUzPO69eHZwv4+zlXLA8dk44HfyrzxzS+tF91GfNXUjNtkUeyEtSYEKU+DQiBQTJ8Hkq J5//4/PsuVR2/5oGNRiTyA1V8+DrccFdzFPsPfp0iGo6r4iwdE8nh+jW3IXnGbp6CziFfLO58GTJ AjG+9HNVni+ZLPTcgRU2DyKMNPZ+4yeG8bG4WTld/lB7mm6S3vKvVWX+mChZNi2pBK1Y/95/yi+r GZh76GN74hZyDairL0NtVDMnnu1s5D4g5nP8ijyOrfFKuOYERSZkcziyr+dgxQ0m/JtGysH++uX3 ivL8co+2Dgu3pjm23mO9L0BBBskRzuItOcEQEBPjFWL91Tdq/DTZ4qDVpb/GV+5Kn02GzF2q5ylP O3f27RSoVu8NVsF7KO4TOO1odOvAEAKG2VHRPYfueseoa511064mH1smqh1mo85JGqfaWqIacqHl HMDWTR0MEIzgYQgEFcZ1UEChIHWpMrLmJCG/5UgIEMmtjDa03yyY0fvLqCwqFxbp14s3YoWnRFV6 pDGhidjVvKpReVRLE+oGrOdI4PjX5aefgr1o/kbj7uXKC91rtIx5zyy8fKEyRQFBI6dGoYzko3pP Eq1RT+epUE+tYBX7fDoqvqfJ7T53Z+kWwOdOSj4D3WoKEn34nd9WA3DRKTuRMaNiHSFvI6o7fe7D FITPhawA1ZJ+SuAhdiPFaDMVoIRRSK9zQAx607vt3wzY6fmC6WvLnBeVcKZcTfSuJix19zgvGwfp nyisXlGGbknX7Q478NlZHQBqcLVG+ukS1EFbJGK3xHFjQkouTFmhoDX5vWVdhUlYAKIOmWFARdBG /s7pdd0B2RY5N3CEI9CbdCfUzPg46TACu17qqZMVYQ5IBQjBt4l9o6RVzH0d0rq5shq2GewwmXFY d/Tv/aZ11srUJRmMBP6MKyLkPOj0yyo4zoykEQYj5lQ/Wsfnsc7BDZ1NSPONnmgV/ZpfiGhNZe4D t28SPAYfr1q5d1/wyvEfnZNvXhlHCUCgdy+XiCg4xKUKxifuMYzE+tmgRBweIhUclN3DRfQydwtd 1ZjKXM/ojAggLbSgYW+IdR/s4PU8ZK0Ct91NYq2vwrT5GE/Qehvg/kpCuPVBwKfcTwi1Ro9tlmLA AUbB+uLF7tx6Mx1IyyakyryzvDD9FZJUI6p8+Ezs2eLhysmvsTPh9OQ0NYvborkGzjYerloVgcgX HLJMhDtiCkdiMH5wVrpJfhRNIqLRIFX5DVoeA8aKiejCvQO18J/EoJQwDX5kndHs/0WYgUt4FP8F xlE3SWcgdYAEKtQ4uVaPlel2xCZErO4q8N3dt4d27SPUktOZpfyut3Vp0I8gdUkMiP/i4sGcbV37 PH5XshgTNQsASXYKO2sGEEnDOWAbAXOhjbtGfTZlirV5CW71eJzHz6K0Sx8ZQLzAgEGgPi/0pwLf hcEQ1G/UHYaHQ58e+nZaFRlqLJswRqGyugdQnRC8TePEgScbOBYieBgc/43c89gRsSyEoKXI/3u5 zYn8vc3YQ7k2wEvhSBD4mkiQxjnxvwFHyQfpd4bQ/wcrE4gnN340iZHmgrTgXgCTZBkQTTWZ8KNK 0mrHDEka4XsG91rzFPMqGz4Vkmv0zApG3cNRsswJLM9P56E4uiCW9/N0F4sIZ2tm+avJACLtMOMc iRyCb8HODvChWOO8qoRBAAo+xwp3M6k5p3y56oIGWhkJGgmYYVZ0b53KChUGiThKoeCUdtv7DjIi h2BtDgtEUgPlFvuOrmGuKUPFXG2oDRHsv5Gv5ZGi+SQUQtmwghkqdyWc4MfgRI8EF9NJrxxmW6UP +TIDLBufujSKmMwuMB3eo1ISkw0mocvl+lC7hltFkd1sJB75n2yo7DUhqXlLBXB40z2OPKRi7dyh 7eB1raoYDpUucBmWld3Wz/J6b3DO0iUZ+UPc8wzydzXY2ei2xQMyTUDaRPQdZ+w40dBrFSRmrmfO hFmoLs3HZwowrW2z1hJiVkCgSd4w4TuZs095FBfvu1Mm/gdayydWXqOehynDFlWW3M0tSBalCWH9 +iZPAS96XndIRl7/7SXMYYG7hdhB44VAI9QRVYxFciZTkZ1IwR/+9D6eM8BiVgHKN0dKDGsivVBQ K4PYy0DIXRSLHy6q+Vs/2QqsShpxadnlkxiq/6yKI/mUhRj5WQOubXdWGMWlst1oZiwX2yyC0yJf TKcUzjxvURxQ9PFbr4Gu/VkqrgqRYamGNNBIjJPL0wOYWe/GOyqLxOu44XqnJh79KIxvP485uXTe Hq+D8M0IeIwOBgXUieDAosHdWnZNioMANkROSbzqxL1kuh3+GzdG/DtS5loIS05lc8lho+ni33Po 8/SlF1E+Ao+GqA7t4fOk7dqdJQInJf9sQzFHM1yObn24dVRu3z8Lm0m05rsuhljo1wJCOP+yuRlE y7oaYuS4eam8m6pFQKrhwKCHU9YlM1nkScFCkCBb1LA2UTuEeIzu4i4ltlX/gT9Y4iZZNj5HCCFR 02wiU2GpkMqFGfdqRDVnibOfKK8vMCkWHzry6zoGQoU1anjdk9arS4T+r5j8Z/HN2Kxa8fIziCIX 14RTakkRIRE+oQLb1NjurORn2RACszaiK17IXa3KR+oDJZRRg1rNLpn92hhcFbUep86ZYWZBHwNq Q57BfIJskCnaD4qRi733dgUjHqvBO9lEgkoHF+sRXap0hy0x3BlXP6eLCZ7+ZX4B2QsDX7iXCxtr VzultQLNTSvo7lvfwoF0lM5IhOKYUvKbIHt4m4XRTGAoAy72VfZ5qprU/iZB4wbfDn2W7C1mqvcN 2W5u+omiv59K3FaxJ3uKKTw1E+YZp270i92vRoi15JmNoxq+sBuO3Rb4/fBUlY58VVoR+fgMDe/A X1JXt2334uYbDXGeDjs5XW1iTq1Ca4l2KG9UAxTuwyFObFRCU0Fxwtg5XV1PwgtdjeY8tEkMtowB 3gQwIrIDrwkfA7yj+asogkL3+x8/VQOLxrQO+9LAC34JOYLG13VG9n9oNf4G/27mC1ykUXaizW4q aauL2tSuiGXIZfXQpfuEYvZZPJfhNasFxAYDGg5ReffKXrQxr6GciKeeui1MsocKVv+kq9wH3/Pt F31DZUp5XVyUpQpby8IJdsM/JSl5dX2JpFC6Wk/WUgnaSM0tvA2OiEz124gFVF4deWxlNH1lRzhn kmebvlI6InPcWy1tKeR2OjsglelSSqwvIKF0Opd1hwTJ4soIou5LpJApN8uLnTfzPhVD9LkQEK+L S7Nvi24uDr7dHbt0tInqpx6A10tOoZdQ3qk82dfPtjd2+d+iaKIb/e/2YqgOENlmSvsVOniJiZTy 2x9kvcSq2VXMAHv9NrIyuu6wVsw9YqDtJzmU1jw55/g6nE7ll/dIbKHvAw7xgdl9LDj06sxYZvCD G/lEfvq7aJP8WPb+jwBNfrzrDuR0/ljcxba2GrbqRz9kVxGWuRA2q+snVwKnkroazupZtsASmI9r pUwC9JtAMO2NSe6wLtNpEwPTb6OPO2jQK58QlBhMHSgYhLRNrua8fAsv2zISEkLreIT5OystOlNT RFbclRKZjEJ3qMqNSqXXHrQ4chOyPYmoTsZSmMvNEYSQtz1zip4MFsFDUwybf9s0DrL8J/OCVbkF wBlOgDjJuidDt24f7U3sxwusjytOWG9GccPJp35zIqXpcsGTASwgQD19zVxA7i2VjPusZUZnCrTx f+kXWH9I8Oo63tbk2yFxcnhoiRdJQroU8qDDUDzMHg/zFXlzL+b0P0wDL7JBD8hbjU5kGc8hyqON UKpSpV9zn2S3X9xpwAr1jZX3GWhGQgpz61A9KsjTI14q5aDa3MjJxczPY6kPa0eb5znLS44pOS05 BqNe7MFsgD17JBBO9l4ZWrA69itBdIwYpddpxdHQ3pMjuoOH18NaWghFGZymHL0GhjnnXv72qcQm IcmgzxWNF1r9JMrGy7YqkvnDjFiWcG40WCqon6Fk1Ope9p5hi58nfiBy1b8MgUdGrgmbtjyZOXCP zugqYdDSyJwJahQ6i6F4cJioDRKUsnrIXOltXzcr6lEmmCveKC+lrNxIiDvCIrtaBsfnvzR45nSx N+SH0EpPOrRr2Dxmiwun9eY1Goc7TA780Dj++02AlHlIst7GHU5CUWkSXKB7tqOS4StlVdIpayjg xKtfblg0DojIwi3OxesSEhewQgOSruxH9H/aMp4CUVlTnFHv19/LYLXBNpzV6Dqq0XmKzUekmPsA siovYzZGQX1xQJ7ifr7cTQjeURr4m4+Vj7XH6G/SkTNEOwBVFit6Dx7kjVbey+3TsPc+t+cyEsQX 0yRGD7NIzRheeShw5cFtd+etuWIxc1QhBgUkQ/POMWa8C9qRjUjMrLX8Yw7ghcO/y8XHGQWFXp0L mBsBHn9GiPkuZY91nDd3tb9tQiyyMO+VLhv2pJ/3pZhME8EASHUkQi1O6CenfAO3tkb8F4sYlyA4 sdB+f2z49AQb4M+1aEPtwsTx+HPJb693gKXbaAShvJK8lh/kKbieo7ViRl7Gt9WCqfYUd3HSptdy S04CC7s4Pvw3cThQz71UbS1csHQcK5fgUVo30Bshm88+v7X5y5DeUIYYA/rE2HOs7xKP+NvmBPFK rET9ffDon2zPM15mSIi85Jia9YOyq8zxPtM3Bp+k1blbYkEKxJwjgNL/wmjMlnTxyAdZNohPeBZY WEA7dne8EDZKrJFtmnisU0UhAAj7vD4yzAW3IEi7Kyt7wO6m7lx1wQypub1uA8iqwJQDpt2pstWH rsprtqyERlxr1vB90d+61R3o6V9Xa7dbGLAoWT5QgUWjnEr6kbJlOUh7H+7wyBoRRgAcIdkU8cI2 8mKF0xpUc09hg2dnoBAbvSqK8mXca3Rg80+C2GpN3Ukg9h5iz79wN+wQRfNdmx4RZ7VMlcMbNRPB qahZLbNMEVNp1rnI0rp0zzJ89wdz3t0Bu0YnPQO7niIyuuRc+l5zqDip84xgV1TRQxOU7EHq9sfZ fuDKSMz+mZpYZmRrW2EJqAOy+vs19Ngf+oTyIUF5LSHp5L1xSURjp1iYHVgIgFXjjk/xSg9HLxku mF5CDlrBJc22xFVpJxwoMjLKHUOks0ZblGB9lp9FAhXGbjRGaiHpmEB+7TC0TjweJTE00xJXDihW mapFCqZ0xm4UAb6VPO2uBMKujM6f3npVpRAqVoN4JaC+o+m0wIvwXTZIoNbPVkyHEPEKwvuAGSm7 ren4basxcWmI0G9UscJs80VwlFTEvDay6SX1xSM9oUAzEx/iFWjIKbmDWiDW2RTjXI2kC8SmJZQt uZ99QEULTaqTy3nzcc4LKoNmg7YRBwm84hlINWaw351UsjMzvfaRmhmpW23TEPx3e7LiI9hSHKO5 l7EB3HHlEeTH7D9TOvt+RXpMw68jfzneecPbgw2cmElVqTc/OwDOaOMY/3cstdwtezfhwmI0ryyc FzUb4eYXt4wFEKzvB2lA/W+FPbi0cW4WxrJsFaoWRBux71lje+Qvc22o3pWfBdlaKIBUMejT11wo 9pM5f0EvibjEmhwVJp50HgNbXNXyN+zzzgyJmkeAUX9rl0snGY9tdXAsue/uBj9xTZRkkZ4Lbk7d JNJlUANpaatVenSV4zzXWFmgzTYKPKYV/9F4fFbuQuExFlnfSCXi3Wacp3lhPxpeAAAoKth2jFhu rmqXPYojXpYHx9KtjwSK/VzZFUl1w3PfffdZnWQ9vr3JCvmeNMk3IlFE1h2QbuF+OQLCuCtohVXw 1Pd18bEpihth3bwWFxKXmQQzXMQ3+sKzPzpM6O51o1hooEuQpKhyNdRv8FPOAeLmikzNvEIrvpWH 255CEigTuPo+CDxeOPfdg0kWetdRv3BdcCX1AzuDzHDP3H0SKw33I7GlLmeOsZK7iL9Xl9U664le 2bSsrUC9ynj6tOSmo61NLek+ZffKFO3Grd1edGpvm6bBkwnDNcivDU6iCupObRwvMVZ7naBBfgD6 pGAcIfIBOcmKYqHV/OCfGEvU5C+lJ7A0ElIzEIsBXqdvpiauZm2hb+XczoU/zP1DGjccIRRc+DcP NFbQvuhe0thKPeZIo3SBIqje8Kd6v3DDpIp5JW3YUe6oW6s/oKCdB/kMXQnvU+fJFW9Su/RmhMOe GDcu4NdnEXyAfLDIPWp54lXxWAxbE2TYg7dG6lbilGTiJFYcVgUHBEFqKHohHVzT5gOIr7yE1cmv uEWSohmD8kz4/iOROmE1qNU3jHqJOaEcHfJxaOK2OG+Du8yxhhwv4i8heYxhULFrnsrPTuhDRWCx gnSgAXTMrjSAwie3UAm6le5q1vi/+DcomdqQmwaiKAfs6afy8Qosp1Iov1vuTr4j28wHDe18GB69 pz6kCuMkaYpDG7GBTTg23szXOOIkMZVgT4FLu9Fuh2sx08ncW+xTaCZJivr4yHp/ZegxVMWpWOWZ MB38Wtcrhe3hr6dRIQuPUZcaYx4fQ+cmKdH1id9GhQykUmzlXOqgbdT+sOGdQJyUu7xrLYuM0eRt gnVpFUNEY3V0vyJirCdeZQcEvkafsIgI+sZtxgF1GViRJfWu/yNMg+3fRVxADGCXDhP+ylGKjkkf veP8Mciv6PKb1fKq3UO3ylFDvk84HuvZvUB53kKlDOX7XQn+M8QOlhAoM3jUB5Q7sdeTGKXdC3+4 yoZ0UfdoBKg6Rmiy3fP7KoEfYJ4wgsP8JmsrT/D6Tdwid3sveks15oXEWHmB/HRzEboi0klG1tdK 8+zdp69Z1DMt0va8eH3BOG6BzGL/2TCTmM+xIg6xSU8FjGfK+hOCcwfk4Sb4orEKr/63Ka/WvdPB FlntpQs24tWC8mcYBXdpx/0vDINOHItUd0FxeQ4i9H4mvrki8l1tRz8Drx5ymu7RbLNAoYPQvyje dWimKhIXAtEh2BrJKAYnH49I6rDxbrYcXQ0GgOk1Lt1A1923Zdx1q9iDeU3IRM8s8eCH+Ai3JVXW diCPrsKKFDcpEU1D2E2MVuEVBssRon4yuK1oIhK2oxD9V0nyddIVyaVWEyx9lyTvR2FFDPBc6dC2 0Alqhx5zBl7hOLpmFnyF9fGksJj1rJyabkdV1Gz3XKtVgnik4QQT9XAXZCJ3ULUv38krUABgLf+u mGnzgNnSlzP27K/Nqxu/P0Zt7AzIvcxcOhuZc6FFABhE56bqVEJaEgZsdnCGej5z7DJ/qp1xAAVm X8OrJybFaFcHATw/Pzo9sPqZsNJdgN8lhhtG4bHTQ6UCnBQ2K4GFKWZQ35wrH6i5LGapfRRe/E2I 5quf4lv3zVS8GTfm97nmrFtk+ZveiSoRPeqXSluYrweOLXG7j/wNsIipSYKPq0DalMR0PLIxY1hQ F3O6PMU1yl1ul1zZSgqxZolFBy1xT6eLIIjJ2OVunhMdIJLYB/mg8wHVoBHFa+PrSPi0YA/pUR4+ pqyGLCYi06V5qvlgKfMW5q2YkzD5H6dYDdMQzaLbNNjyUI2ttYz+/rsx4VJr0Z84gPuygQWBHY/u HVr97LFA43cI+EOGvuKZXfi/xTlWgSVIrNE1psOr22nhhhK7vD/cdVc+PlmuXb3J3nPz575BstHo BJyDCf2EfQL+prxmiqZ5hqw4fOG1uPsEWtKMVKFDsghL7Fu2EC2kvaWWcFFOCcz0cfPKpuGPCUQf /JO/q0U2mJmADeF1tIE+fd1KNtl6uk8MjP4qR11ysxkwnhdVgV3neoOPPGiu1P1EfNfHGoIANd+j nqQwKSvko5TMKwIQfJeO2nigOAv5Dc7/TfRkM7TcQ73pnH1aJn1hf4xiHT4kXroW1OH979KytMzF OgIdpstERqYrwGF+By+yx2K2nij9+D0pP7G0xFnUeI3jjhKBi8/fNrWmrYzxkWhuAbxKI0gYzPLw VacTjFTO+Y7cLf6zXWx5bBQ0UqE3IUZcUIWbd5gvE8Qx8EtF4bG80K8aZ2SonFCW0JT10wPTmazQ xGY7HbBC7lS9vH0MdokfgyPb0RJxlUX+2yuALfDbYXgsMzSE35i0xAEUvhS6TJRRgnTP7FYIHNby oGsZaGfqNCOuUo2VUD3Aw8N6BaRk8vucGsV/51EikMHll2IbW6CO3XMQoirYJahtCKdbhcZeLSbj 63ERSku/n9Gxm2/67kvf10DWIyvU9hOELqYhWaaecZhaaQOVEpmFqLLrVK7zujtCEKu+O0vsFAxt ONpmS2iMDylKgCeSjG9l4Qa7EYjaTe8srLfwN56IJxJ17Wc7JY4peSsD9Hm9FWatd23hx1i8Piig ld+O5ENHeA7jJ62mPXK7AqPzJtCKaTirNl4OZoPxyR+DvvBAPnq6H1xMMI9Ojl8kqGwUvJX6ow1G Q7/QTeWXllGVztKoJ+3Uj2qm2PP5cWG8MA3amJ6ZCOTeks/MHHjjDNjLB8a1TssrUk8C7aGDlArq wLe+Mz8WmnqfvIITIzjwNw8pZxaEi8p79sKNgiQkmMtfNAdopGZ8FkJxfMZC5X18zKSgl/wAJ6OI h9ltEGlMQU7ltlQoyHR3bGGXFhPSbBN9kdfmmxCJoYv1eHEk34CNxYhye0lIKYIPx35pkJPMM0p6 etA5SMoogAG2bDsgUBlan0clk00diTT/Qio3Ya1o95ZJ9CiyGHTbK8KtD988lJ59e1XkU87hQrqf 7zYuIEr1fwUrobPzByQEYdyoAOxjcVhTsD69qz762XLxYxyUOfJqsEZtCYiuc/k0O4iGiXNT6oRm eCgLD2K8Gvk5wQCETOeelErsnYzvrlNOcXV2GBXYkreIxQmURo0IOdQ0gZ5TmiI06b04ybNog9x4 3oQX0Y1Y7ivEYq0kRY2YQ0dBAThjHAEWuwdp/Ql7jXD58xow2V9maTb0rTnDR0wF9XcO26TxJlvH wvopcQvVSj+mOI4b+Coe46Pu9vCTM5i6f3PSRXNeg1DFg2fPf11sBW2sk/giPuOZ3tzm+uiW+RJl VkRGCXjqBL/6t/nLOkvFBS1W/uc9D00NCOsVlLJ5rot531+Ir2WgYna3D3o2r2Zbazt2XsCg+PoI 2CAW/vbVr3IaQhIwx8n5nSzPLJl0IRWD1OkLzAoowivCmYsioJ0hOWYgqf6jpoPQyuePeT0gaUrD YnjXGnV8e96sNEzoN9mjcsXjEPi/KyzV6tTeb0ZyB2D5dk/h5cjV8zzlonGrRdE0zw/RL78OCrQR r0fZ7w3dANzAHYAEBvrW8FY+z9r/lH6AiZX/tKjYW2EeQ8mUJrRBOEaWhyOOqTgHyTJqxhrG/IDm VNcOUWCTlNJ0tKkblLNmSpHlaClW+C3UQOF8QsTibdiN/Dev0n+nnyMBAC77rqG3X8TFHpZ38k9d Bmd/IK6EqxeLvs5S7QB7X0Nws0MKeHJbMdN4XuiJWEGWw2xWqjrm+GZlCFvys1vBWqYLJZk/Qb0J 15+MJsB4Zh+oOMY4SqbOo/dKc3yyDq+tWCysRcyZclQTgirScegV8eQUb7DPVEMzU+vjHmXqXy43 WkIz4WbIlZ8icICTqOwj5zyv0Badn5LKEeA5rfouWELsvtC1hHMLpPskfDTEzv40+s+FBV9klQE+ OOLmRfQdVRIy3OKiJTCz0+S6mQCoulsf5UYThGNqm++Wat14e7CnsnDuPvnGaM0J/x5EtCvXHIu6 HnF/wD188l8/r6unGkXlOp1MzcqioCuePBCnpArdw7KqM3349BYFs/K0wWulMAorC2yapvWSLhxc ohggSeOKQrhvGrV+nt/4bJS20xx2djH4H1+JvXzLr14AIGoXbRR/9KG3XZCBVXauMh6EwZISt3nv ozEm1W1on2VgxQ12RlHVIawjwxbjqu4bphfgP/fkQtqeFQaClJZwNIuWZksSsPD7wHH23OI8bFXF NVOApR/y7vxnynoE0l6D9mLpljnJuqG+Z/G7Je0SQ7ua/oLgS3x90wJlllFIsqW+O9LOZb+MMPE/ yStM+v6lbVJjEK0E5Krvj/6tZrrJ1z+5LRJ/RpfO2u4+/J4w0lphMrJWQpBeiMwVY24lSmJsf4ab XWyVHsSpyDrOPz8pq7LBB6lDENDcGWBgnX6SsEcsFKfYkMITL2bC7r+xJI4n89NMXInK3PFN0PmB iLgR/JfzjLEGcElsneo14XiTUk+30al8DusGw431KBlZ14fVtOBofeUl7wok0rmnTF0Jwj1GZgTS SUCxvGJNdjMfsqOpnoV13OySIFdq3L/Q+HWIU3CAknxQWHIYckuFCZ8AZlj+Owaiu3RWR2LXZi8C iog3+AG5nHurEYVjqvlvcAcWB259TJDfqZxFTho8wCQ+Xi7FNVozDYj8e/l1whFw9utVa4YxRbsZ dPpkaoEEwH1yWB0XZFucLZDGYS24vtKpp3dBuYKDGmQ3nHSgYLzGLovWhnvaRB/ZjdtWi2VzoDmE iKerw4nPYz8SVTOs4GCOhQEOYiRAwdtmN5rMEA9nsa9RXC5kkZsHXCI5By5wivrqxdPUgiT0mi/k yIuoHpfBKjPGk0WRTOXwHv/nefv1UyNDaj2SskTLCT9OZq3ydxAKAi99v93eHuuM21z+sZfopaFj WFBzmY9/BoPVt76/gA/L2uzBSyalVvoAkJhp982mFiOnnnN8l2IUoK4HZLNPjEfrQ4X5Uc5C3eEQ tKemhJ1nNGuVdISzyPDTlV6CyQnCpQmrXX98ALyBElLP2h0xSmem3IvpBXwh3Ng6POCTjm+P3s3r 4kjDeiuCOZmPT9CqIoepjsYUSlBRWrBhmaupvQ05qptxj02YnspZ+gG3vYfgc3ZaivJxJKyOhmEs rsnfJTtRwT8F4jpTKqeCtYuJCXacY/S97cOIgTn1oUZ4cuc3o1tLvdP60d2IJcwWhNQab9SqRTJ+ AvSOWIDmJLESeiDZzLlM7PJIi5xlN1wwknx38B72LgndG48BKtn96H5B/ylk3e/DE2DV8pRMH5oH jbn42nShFqM4Ueen/+xdH88BC6CaBKVZJ4WKzrYjYVfDNV6odnQqdcar4ftEdZDpRMe6O1JXWFGd +EUf+GVbXYQ5hVQOOs4c5ONe7Si8ULs8R4j2LEBZaFTjYWldHqqAPMmptWzkhAlcSLmsn0ve6y8f sBR8h2Rs0bP49i9SyWOgAaru7+A90uFUg3SfmY182BW8Y3hWIZsgdJnNBaBv65Uynett6k9ZIJ78 jl3mfnREy2ZIh4X2/+TXwPCFmnyB7iV2ba8YnDdnuf7FlEn69C1lNohsMzIMCs1J6M6w9iUp83u2 jfRLkYSP9vzK3GXRZ5/9H+SzkcQKdbIZcBSw4IBulxz0r/htvXdweqW14jr51Vy/OGUYMb8yXsJ+ glXLMEOabl8rzKm9ww9o9ElrD7BkXxkEHLCnFaRaPcPqSPhvWyqGbavv+pvKXvHZwPMKsdcYoNR4 BYdS/tr/79UVApJpSKx2thvMJIfq8tbxl5vZq4eCGHQw/gXZV1PClbbKsIycUhD4cAYN9c7vyBji uN307YSpkYtQtFzx/lluYtzYwkgfJfyCzBKkxukQdCb3xHNA4DFl6QJjQUw7DXfc73Pt8Ij7uWW6 smt//OS+2hpZGQwNCpl0HU3jW+/0K9EqPTozn/kOX6smly8vtmTHay8zLq8CLqQtac3ipGjUk9H/ vfvL1ldqIFG5GpJ2zqxxRpvKzX45M6w+Us/w5UAg/CMW9DAZ6GxoxXcFKTqsRH85QogDbdTXhffa U09UAdJupYPqH/vKXkOead1BWSiak1P2wUu4u+Nhj1D1CXmZLWdcw9veN+pJx2IoNCYCQ5+Pxi5Y jC9eIJG97ZNBtqkQNHVHUG43Sdq9L+if8nAnacDEcIi2JgnKub7swRq/dddkXVup6tuWjzlY7zkw 3uA6T8Q4kbXBI/ED+yW1HsionXwOO/7Yw/U3t6SSu0ty6wg7zpg3WaYhUfwhrM4PKMcnW1Bydb3j muSliDUjpqr2TLfZbI6Ax9KjynYjJpUnwGDo9yK41YKonUngGXqUoufQJyRchsOqZAUBbRec+bbc OzcD/94krGS5aSW7j0zavbbCbrGqp9gpi39/dJLqwv7mAGql9OoRB+uAU0TNUb5Hxmpqj4tIkr5Y yxLbB7ybwXxNCYS5m6HVsoNm5KZHTORHCzjH89UKb2ozPYl1t+QhOVUDhDm7BoD+6CUxldSn+Lab 1RZ2M0oORAZbeYWZt250aAss6nvIzmEl24WQ4Je0NQI25rkvIBR+EMYCQkIHVVByPF30dLfiUjgx o8WwzOtG7EjDpMDwi0lU+/9WkSP1PyUytv6Fx0IxnS3DKSEL19cNx0Nk2if4ZPQQaDU08vFsMkor U+4cNFDZggLgacHc+90YCRRAYueW5nHEyqiwgvVS5SrHeMaZju4W7ZTVQ3yi2IMVaCy/9b8w9r33 Ce3a5Ip0BjQMkJebuQ7hZJCEPNUgF/Ei2C8Csm5PAOJrWQPJS/YIxuiN3HODx7DjGLu2IWGGUxeT 6iOiPsmb26UX+LaaF1+DIoHslFH/ECLNZVuVvfa+zbbiUS4tCepJUxgidW+8AUXq+u9eg7H5zJN0 XovSLuwjMTet8o5vvlZ9RUD6LDmbB/6AATgUBDH5gI1avNBXgKdVTs+UV+VcZcR6VZS5Zar4Ggqb MpVjNzO+zCMh4UPIntVjJTl8Gm1cohRfgEH3Xo+rPaAkZ23voiKtp26b4qJDaEJ2W36KD3j0/nQG 4GgQqmdXcdz4Sx1Pi78K8VymeNHZprGn/KiRRW7IYYF4NA19g9I+k+zHr1OUpOToQpdRKTApP5xz L63Q4UzyKG6avy0x2WnwdzcuHWTrdN7rhUm5gw4h6vMuuwOY4w0sXD5uvm2RU7VlaAR/4yF/g8Yv YCT6QFW7kdAsd8skRVghSNjorna33e1ZTMsZV2G3qqYupgj1GQ+HjaqY1UZd8++ZhWK8Hx1wk4Zi wkf4VmwnhNm/dDOAEI4SqfdlvONllVbbx37/d2hfCQLF792ghjgcRkcSyk8FMFM0523NqkwySYtz OL118GJb9N4by5xch3Gy9UNcg8mXni03m5rEkSU7DkOsogQgAiHJiah3FgrHSZUOn5212ag891mV zTrVhZgvKYGVdXrAi7+DB9NzJ5ii6HmT48yQgk9fLnZddI2NZH/XwxY4AJySZK/nRGlkC57gmuNo JZ9gN7qH6O/SqG/lllNPVWJphbu1tzMQGz8e/AH5nvGE6z1AUbVyDj2PSZk+jItTv/BIEaIlGAFs 5rgcvCAVyruA5xpCZ7Qf5Lj1pZcYR6yh1Yxtgt0Zum1DBD1UTVLMsUYkDoWn19D8EkZYHrELWCPL tcjs5ux/Vhj8ix5dqcc3WZVpjFzi2OMrMoAFV7b6lXanR9jq/+WRzH3RL/yN/jjeMHv55MqFcY9E czEwgRJo00Te/prEvEuDsw9NsBQMp7J6c5SD7B1Ios/2081CiWJCiDdDC2rGaWP83WCiy//zzG8j Tec1N/oNtA+XoSSyKeCK/HmxhIdmTLir88+P6t7s73guFG7BSxhlTLuBdbKwmxSVAd0rbOzXMQ1J SZ1J8HYqHjc1wG96V2RfY+fD2ytgMul1tNX9VT2o4/MWixoij/e2QaCSnykpHInTqIsJFEx7Pk5X 0N+tjlTukAhGWXqnOu1R9qBxuDFDM1jRyxH8Mkh7EYNC5srnlySmu2AXdkAUeC3Hwr4lITF2r30f l3lSKSAYeYrPYLtII9WGGQvTNvXvfhy3zMnVIrHLSZl7Y7xtDenffrXn48AdjsBMAacU2hFNJ+Gu Pe2ihn5RSw71TRKngfqGjYnE/6sUd0X1GqX0/wkSiwuhtrrz5fr2ENhPoPzoYp/08FwScAXT2VG7 3tMkA/4c/HvhZazpy5Em2axUP2n0t1kStTMiMz5wCQf87/9B1YELdhuNH15IR23+S7rFinQgmGGE BLDSAX8Wqm1gWZkzYMJMD25Ou46FmbmYdkLIG4DwvR8QWHebCGkowOcTBl0BG7IMaPXcuJhK1/nB wNnvKoV04XorY49kzIdgTQcKHzkP0uE1vbHigdyab5rf01DrecVoFfDZ8N4aNwRJervj1gw36yKu 9VioIpGda/Ir4aTkp0Zs6nv5gp9OwSGfMxHZnBqgc7xFyR2ngkyz2OW6Ra+dkxXEqy9uELIQN2Yn HxfwJJuWyqhPApetK9XV/SnVInp9i2EmjOGmNxOxoKeGcgbwCndmQ4EJuSYnHxFfsFYfCPs5aYjy jyT05bXEIAyz0dzjJpNtq+cptPmuQwKvznuMuAJ7F5U9tRWiPODr1PYia3jNdQFphCGXqhcpSp6g oSgNyjPMTBAGAFOxq+JZbii5jvMa3CwKF+8VNEIsT30XKCOWSmC5Rtv00UJQFTDdd1qwt80NhLge lMImzKm5hcqfXyhZn4PvWtJtTZA7lJWr+nT1KunfDbzJJag8fqsmozIJSPgNskstyCar0+Jk3+LA vVyZh9+Ba/aKwakzRv1/t7d60azIBhp/4mGb8wy/Wsmnet94d4RRW7/CljhklaoesV704AIfHnhS I4xAh/yipxFV6d7q+9lgL96AVBCecNoHC3/yqwGgiWFXpZmiBiJ/k2+TTmWssQ8NzjDG+gFkfFnc l489UHCzoTDEfDOq9/u/lavxSmq5Ln97RElDwZ8ISA+131AdjhleiSIbnzElPa8s9xfXna8lvywl b8zMkCBmjWlDT8dVcRL7JPTBkXtUSPGyZ55uwzAknaRVAbtLIkhoGuMwwiXFs3DykEYhe3J0SrXH FeY4kVwE7xY8cgitR9rGlofnRLV4/cfGf6jF5N9WKw+pvnEiZ5aTDXkvpi6lIe/Wyv5kB2riTeOi Ac/3zeAB2P7enxMKY4l2SNCftw/4k7zUFD4m+bpxfryUCW7a9VaF+1xf0QzExnPh8bgdHyn3h0Il Ds5G3wXjBYMsEu6JUuE/0d05P9jmC26agPor6l/pVCgBUcpiQHm8Z/xE+5eZx2uUYiuHlNW5kag1 fWfmauZO72yI17iy45BdR++gfgl8IrG9B3OTilSSrrL0ccAVocX06uhSQ0Uf89NN9asdiR9SzFW/ E+ImPPuI7uqvXECCsPte2x4tfP1RARW6lHX1Lv9hmOJ2BlcCK+976UKa469LoFo4go6LYQ7/0eAY 3wdsXeWKjbKTG5E8dwZ/r5xMUFdSG9ECGvxNsxjvJ4HxlN5WDou8l7y4SFKtpnUVcPMtgTZcA0gL CfVyqNPUn4pOnOAS0HVMTAp5vc4aCF78wE1bYTuL7CbHQe5sIbj6TMOBv5EbQ0hrFhXnw1xdMZ6Y PxDhRLO86rDmZmMIqfeIHUyWtylijxSkv5qkkT2hrGeAD/7H2CEz6fKTv41YyKAfemXhwXLkRy9c DYm00QW3EXV+0C29BOkjtAk/lkVsYwPyKx6bnb2kbX39G3lo2kvO/h4XK2br2qSmU34i+sfmiX+y gHFhI53ek2grO3e15yzosNL0wDUDbkgQevlN4wDbEWIJyUGZb7Glzh+Hus6neXzTexOQo5xZiSLk 0bljVmdC4q6yyo63lpHRDaXkEct1SUy7tRToHYsr0lA29tcAOxDCtN4SgH4eSrjB4u6E0kYKT3id tWqQitthhGRi9JWublWT8+GiNRpSzXNmPyD1qp+b70Wx4wzPUowB23zm5McenQBXBfzV0qnjjrrh XoV15bFSIfMTx3rdeWHlCRXzPo9V9Beo5Oy3Iu2mFfCO5Z2VXr4ZKhwR9MHUghF1DObFUt3sC4BW 1a2YacGKjhbFx5CiQOqVMy2EAoS61gFlIj0qoQm4/Z4S7TmMhYi5Mff2GgjcInyGn/GE7e+tvqff 2/hxzxHR1akH37j7tD6W8r70VSCukwQU+WeCGz0ctY7WRxRupmN1C8aapddTJXokuy2h76qyEy0+ PoHiaSJ0+aFRpLM1rqcJlaxWfWh/DjOJpd9kP1qn5DhUXm+QOGOD+My9APHeX7jG0lfYk7Rp2KHr z0ULblSe8ZP5g8awXUUEBth7t6x1sqQA4UVjwgX0mPbkjIZ5vqq89EEtbkf6I1odmRZP9tS8ePaV 9JJo8H4skLt19bKl9w5b989t0FtXqteLsOFmUHOUhsxywwoBr1GB+lr86oZjBIGtwzk8k8H+bfTU IbyRvg1aNw0tdkXsEw3nOdODgRLWfRqSJLo9qTeenRAFCG9tC4RnuZyUuNOdmYxYIJ7eVuZyryoy eKgk9dDs7flK616GTYmF4bdshnyjQGkR100DpFJtG4ixHyoA8mDtQ9fdsQShw64gwrxaonl6Bn0i nI6CcrVVwgtfXpM4KbyFmrqMfWj780zjqW2/pSCAMs/A8BhlKN6arfEiGtwWmc/ZJzWKa7iOoJqg 6lK4ZmNJCkGL/kJGMWTgpWCqEMTvoh6SsUe7wufK+MDjPSl0rftG6RacogFWFmJbif4K1YWyJazB WjfpJMdUifDV0E1OuHA1jgOGOxy8HOFaZrrgZuJuGwHKPeG4vtH2OQQLw+aIQsq7OLxDvCpDzGEi ljFD/N4/JRhw0p2pCy2nJJKv4D417QL6Iin2cnHb8FfxDZKr9BtQW9xez+Z1y7Dmvltw/HQnCNnc 12Gc0koy2JwH770lOPuOR0Q4INJIBqO7Y1tSxhqFEsamXEjWjOXaCGLlBVTQ3eBrlRKtycYes3Nk ey1eDY2Wk82G5qS496+nurt9t3zwAk3opvLel0J72jglqZetCWZRMXKDhfgH93ayt5CtNzyhCJLd ASpMFIxCt+GL/7fXu6O4grfss4q69PrC6GuNq5Y+WlsVVQz3VYFgaiLbpGtOoX1t7qMor03JQ37N H0Uh3tz4pKf6rsR7kusUvynn0nuJY+P/SxnXUQ3pLga8qQYJlJr8PAalEUdYHjidyu1zzEVAopBC qp+hQzYKZ8YPsRcJYBp4xNZVlND9SBgzRGewqpcACPeqKXg9PUQohXjt7C5FzQBIqcLu9B/mzX1M k2lWqnMvZ5sJiGrfozi1HaCLnbZPk4l5TbhBQ3jFTjRe9s7KwbuuW7T28O9+3ab+RGHsQg/umLqy VyWWjmfCxMmQgi3n+/+kmyrAI/CB2i/p+WrsrJi7rx/ELHGbdUN9gwKwHu9RcRrtZzE5eGToFgky 0SMdIjbAGSHyb8XKBznLEOfVae5A2m7PgmLKkU68EqfeH4hfehnDea56XuYbRvbpWTY6WukGojgT R9M9EEko0HYuHXqwFfdT9aNTkM0YGDCBZtnC/nJVhacVbJpxzEgt0HX9IDAHvs0nulYsVQe3xjYd FkzXukOybAKHKuZ2AHvrQ0zqcqWgG0IVis97Ml3y2makpaN3zdoMTXTIBDOWvoHK24KDZfq4iCb1 HnH8oj/L1zNArfNHBlfu6YrUdythq0dFhq1dcSoZMSxAAWgRZ3vAUe38H2yNrPNPXEiu/9AtjwJt JBivFz+DkTZLzyfIJfjFo/s+69v80KHnSYkQwjOeRquQG4ubYTnsxup3aUX0Pu+clyz0JCmsprdj JpcixPWfcjXYNMLnZ6aY4EuXYuX3e9B7fI47PxqTuKfqtouLUHaaO7t6ggT1eHJ2e9CpTyFvKdTX w1o9kisIv6obOR6kBXk2a1vPiVgKHHvLQhmsttc1HxV9dAQdfm4CJRt7EBMiXDnw1QAcnj/H/wz8 7Jm0xGofu2SoXjmNbqtcE8nX8aYRXSgJ0eJ0hA7Ed0n3fRPR5/RYtAhVIpsHNMh4qEwZlQ3zWLGE vVphkK2+8QG8iKkYMd1BhfRrAR0ZCpcmxHc1IiUMV1Luqwx81d9Tt+yx5T2pi+I+iQTknkA2x2q2 am5/kCYBp6ECy5MpjWTT+1twFWATai72OU3awQTogdXg3BBGtMrbYI5tGkfxKE2kjV8u1FnSWgCf F+Sad6PK7YXEN0hlVswOgmVjOB8lQfkEg6gagFxE3zIJbQjI6iDodEE4rzRg42z840P6A6AR3ZHy gTxU+nr8L8z6cURemPWeNHE4wqpIMYactojrFtETOkPkIXrsqt6lAS0QMYM4gB9m2wGvHvh7dUmn Mv2KnpxUekK+jANHXb5aA+jZqzrnCngXgXB9E1bzjQwbt/7y+0vOc2x7Qqp6FCYHmq3Ved+LMmvX WIaaTtVGLE/PzsSRdZN1bNm6d4/8pzYpKBzR27hATDvn/y61wLEH08OTRQStXSS6huwhYAN6HUtI StmVcHczGUfNddDF0IkVf170UJ9zrHSGxWypOZu+2aoHRtfB/XcFLXeTzSwA9Hh5mYhSYMqardDG UaGkUk08+dgq92x5iLJhFMpdAKwVzHJCAWLjeAH9H2rleGWHhRPkAjGsjzbYFPdWQLSK4TuBzIIp MpnvOIe+KLX4n9IOjIBGqeoCXVAthbjPEfNJ+evHH2PemWSaf0LuNjUWl6s3uxNWaN8iTj6SRwi/ bwrBuotXYdaV/w/bRzxfgapEq/MXjOM2kUcwU8Ajd2gm2EcvkS2G0Z0PktHoi0/fTURwFXQvuit3 ftByWqdiaTiphmoe228C3UhjCp0KUtZsj00PurPrR6CnA2hk8BxLIcB4BHYZM0sepPn5jpq72kzC ka5K4I4T29E7MOd85N+QFuN/gqUbNDJwk8ftrbxaP5mU61uNbz7aAdilpUafwF3dyLGuyKupHZTS 71Q9a2sviFsI7UgMQEyre0YYulAjLbuCn7h1l/XGXQIXnfksYL3elg9gPTNiWhfG5rZU5bPZUkG/ M7jtm9WJEwABLPut9OBKIqncBtSDqrxYizkkbHQd5a9vNg4nXRPsRNlTqj1oL2LiKEKIDpzlGjPa 4wdV+0a3CuLamkQ/FDfdfbreVhx6stYRcLhLI/JD4VD5SFbhwVZVGHrWgaxZUgYLfjABY1KHMoaH VrJnjGoBaydkgHYz9FxShYjRhOnY55oFXYWfVKU7aMgRS+E9KPIfD96oMxEwKx6pEnsC2IwT2Kfz v/+wMT5cgSEY/oDxQZaLRihNcJ6pRR+BZor4vUkKdqyV++napfQ70cHM+aHkNQFjRcQkkNSp12ki FEq9K6308MP4pkgqiO2qR6+/121DiChCP38BygMUqwILFeqTYcd3Ji/9T1pzQwAUbarCzjAhl1AF CPs/eUvktePWdSi8YYSU5RCaG7PdiU0o/5bI1BPC58zGRVs8unX9WKygPhEIfjuF/RGZY28J9Iiu MVFAY0kEh1gw88gMdU3kvCBZyOoKG02ShXv/FXHAv9H1EFbSx+cISHvoqxuAbxMezv7mpp22l5SR bEmUNdY/MY3S6o8PjDEWm7JJqe8hWF9QkS5kOQ12pMgXFfaJLqiVGiNld/Z8+r24OXh8uBBdcPx+ Xmvdf88ud9R8zbc/osCdXSCX4b7bh4FTL80I1HlfjDNV2DmQ/wxtF+/aVhYkgmq2QuUMzmEKlwn+ r+dCVcXOC6Q1A7Ia77sQibDXwFt74VQOD2O8G9wVQczoBnn925h9oBUZaKyed0QZ0FiWBHMjcljH l4afN3NSeFnNeBUytSTGeOVXsoHLSGP79/8EXxl0EmgYsbQQKf38mapg+XT1niAfKWAUzPXRNqt+ VZNuy21l8vlKCHEEJ7WUj95XbSKxwg9j3NxunUnBYZX+Zpk8Xb2XcWOlDJd6BfcEkIxrmspi860D E3cR2m+TNwt2Sq3OPjLAct4wntk705gmX3gPjm4HFQJsA9KpcViiI+9RZeUewuNRnl+FPPeOZVf5 GgUIYTGw7ZHWdeITZOTMwmSeCNqi6xaozhqpNGmxB8+QLt2kCE/W4yofacRs7ukcjypEYmnW99fp 1j0pxrmgXQaSFkIx4v+4loS+znI+6iPru546kK3us8oB9qdwx9Ifl1qAF1DUZzB3J0hOmaxgLFGJ PgAZ01i34nGRmNK1IJnAwzRqUBpBqwnceUGAMyWLkRj6qDY5niKhZobLz5fQvBwRUN//Xb2vsJ21 ev7s1X+AM0lv7BWhKmrkMeY4hJQIjviiNE/GJWx3baMEKQ4bBwmSDPRyOyATmSyV6Pkxx/YNjckh 1h0TnKptK8hmnpGwQZvxYH3sSsb4xrGX7zYmQu9o9sDI6EAM0NrO0n3007Wl0mhlerCStoOFKauM H+NEN0Lk5sWGgHIGM/FYY2aZHk1wOVHECd/uGIqAgIUF1gFwld8nTBcWOvmljJ183YjHxqLJST3b LgQZ9B3zlmkrUd0Xy/VKo2KNcpjqT96HNQQqV7jYxM3ARnbgnLwc84uM+WoeZ68wl0rNhKgkagZR da4vtCFjoj/3PBLSVnN60MHvH6gJReMI5PrWZR55z1DI2zy2lYEXX6CHsWBmjiwt4W+qzsxiEBCs dVlC42kSCWAfGvz26qOwsPiDc0CuulpYqKNK8LvxFq0ATD2fVHwL7RH2hwZsaZV5hPIAupCa4xXO VfoZ4KC07DMZnrijGuwdFixRxBCt7eVhjMovDCsjANVPT3VkiX4bnkchnnMWosIjDQt53TvWOMF3 /cN5xBPw9bb57AwGK3a47psWD+XtA76U7z+Bjv4PV8ldVDqro4VgMWVcLx7ZG+A8XB4/3Q2kY5sc RItmYjZJGrMEMsSVz2dN74doYVDVDkcDROn4wl1s6UWAr2PLC6M7QdFjxF52KsufYquSnfW4dJxo yMLztGuDzgylYxIbQZ3wUdIJykVlmkbjsh4GjFWQRbAgL/qP45fxVsi8KG0fF4DC1AP9qGlBOKec GOgB4NV5pRzRgqjy9ivoAmyP0i6zSFrFHrkFdGEh3XYkL0sojCyuQ5Gqgv7oBEX3L7wVl8IUMoEG cHxGSFg3P260yKAH8DM+Z0lUvP9E765f2LFogcgMQseEQ5OAVR4xzDoS/pc7I08/AvESdBlqTMWE vAbIhsJl8Qhl9OHzKVG+cSQl8iCbstadNhYULdul/KCmEjIFekJp/djxSUKJ6vCRURAlun51tQDj mU1DrfVJ05ue2V7XrB//pWL/FBD2aqlHk0fExFitIg1GjwqwW8QotBBXiainJQOsVbrVQ2C9qVBa 5tDxCdRTlQ1jZ7Xnoriz0sy9Qo3szUknTCCxEU8cIl5LJrwbhI3vPaxIBI//geJ7PtsCNceaJ4eb dIpboh7jY24rHKqNS4mWlAAziAS20kZ2YM6wd1qrkGIul4tm23GjYGu8Zv1h1bW1gSaR3tZmC226 rK7XPP31+ToOoOa9QeRixiXZqUba5NvCql9gVCmcvukyXCrgkG5fmYGbMLaT/WuUjduPWJg2wIa+ gvRZWaRZxicz//MtSUJQMJCe7CzSM+ibR8IPjyhRlnMU9DJ9M8UDILkcMETgEpCf5q4zFeU4CKfT NwnN1hygglPZAyPFl4NwAHuY+Rh2+DDhz0gQVrQ4dyviJxp3TquDOKo6fXqtkZpgrRAc5gH4KNg+ dfPNIPTcKfoKNeWdLse9FF0/9uhG1rEceaoM6rxPkZl99x9jSZLYaZGKv7EkeHJ9B4y3uDgmVFMd sLKkfcWul0BFv3V93EpKuwvG+nJmk+rvZ/0+0FFpf6i/Kb5gSBxGomHwNRn5rNDx37co5FrgWz7Y 1ns1LNx2IBbBaItjXXYdgkW0/ZvcjheRaHZ4gdfTYUu5cHrKtwD3c4JA93alWla2CbcOCKXG11XW 7QIZwMZKty4lomLoONENF2YwBcBd6imGLYmcVmC+R5rGdoY8eMRsE92hiBHdfLXoRhkduPC6lYVo 91dEReH8IXGoVptmSBJuUUZ72pybMRztdogOKj6vmomHqS7GEFFHLOHhz3KgNi7GXPge13tWC8uS iw5WXNW8ED1YB70GGrF917oXcwtIglqMY+Dk/wPcz/Z/xN0FE9iOfQWOKQzaADNY38Tu89wvYpYv VfidPLQ4TnAF1/+dGwHG2Txm/2/0yxjdhkOIk/stSTzmIAMHmmTo3dHIO9UnYBg3oQczrGT2Lojt ttSZyDE44VbH+zM5+zW9BuNkrd7awqIrFRXQ7xu+wZ9gef1hBlxQSTumAqQ65pL35IbpoNlgvgK/ MVNJ2ITp3Y7KhIUOVtplP6/Tla7ZcDnR0r0y6doLfhc+cQEOBumNskrj8k2etw/uIC3fVi6d/6dO aOwx+xOmml6zsZtgxkJfARuplePWmdyCbosKFcELjA41NoVaC8X/4WqIVprWIGn7nwlXxygjb5yf qtWBu5w4ydDxfdMOftrqlftbT56EGvqfxgBheJZMIwwXY3wh6XCD3e3sM08xS/T4l2omqOGP0vel IqzSkbmzdaTKLyJa1mDN70S9K1ry7acHXbGnJyfOpuHutIm3efFHb6dkGsgTJIosJi26dEphlkIs QsjfpgtxMUouIQ7IIbB38qhYx4Rnac3J3O2sMdlEqFAZE80KsdvmCZdNY0zS0Bp5bNtroV/GO6Ja Kn6KpxTXatnU9hn8v9Pb6xO37vUp6d4hu86snY8IQSp1E3R8nokmuZJPk1QBC6Ggd+KChUz3rr8l ydYo1J5xF7I89lpq9ZDrt+k+NPrmOkw+aI0QueM0jUcTAkgjKTo2Th36E3ipisZxfKz4IJlB5J9f QR70WuMhlt24z3FJp1sIwr66gtZCw+HOy2BtOuhPoHtNHVxBtv+VGT944HN9mchdbLtdgezxF/XR 5R96exN2224LB9fZtMgXFIUQuxBrApZxSY5auCGyfQ35GY7kQhiRget6Pt+gl/fm4MsZ4T9U8BJd Ykgg6zvkc4p9OS+SLLlak9sj84nzDuMi2fiZNhnYUM+xQLf401gMIN2kXpdRlwb2B8Saalf4Tmdb Q89AWPxxInPirOd78eRC1qSk8rxmWRUD55Ba3cVjjoIqWPrdmUwc9ocG2s2EL8XF5IWs8oD+Z/WY m7yq4eLh1Myovm2ZJ1L3ZsH28aGvOMumNOXCrirXF+cF2CUI3rNL5Ox5shTisQUteOW1Xo2OB2NA ZYyLjBkRBd7SclRQkCelvqSRNo+hfT874cQ0YTsXIn7DaKaEeGTSYoqEBml9bJ3IIpOF0QdnBXmt ifH91h5p78Sw4JHHIG0WNq2/oz1COMsMclkb6JQmESGfG8eOX6T/CAJWIwMUoRbxmQHOTIa5Lrxs FwoA+lKkuPr1ga9lMKTvJ0vVxiuwH9xCO7/SD1/tSlcPOuQAsbbP/qiG+thGkSGwIc2QxsSNrIi7 ObFBITtcnz7d4ctlMR9HiON96uerstMlOckLt8AyLrfLMfEjtDGDuqB2xTbvE7mcGfTo0ZJL4iKR 1MrnBAb9upioBrmsjTfmKmTkfYszqINzrvUzAgEnJNsY9R4eEg3HM3zManU1NEb8m+fgBbsn04Hv cANQAK7+HlvBJ+KkqX79UJHkvAcioRiMhZ1JukCyCsLRjKU7uMdivwY5j9HITi3DKQ4zbpLclMmB DXNZM6tw4yYL6yTR8wgP0DFMmLDrlKr05+9HaAkv/i5a/GzDrVmH9a9RXn6njGS8lRP0e0eBh9hb 0w+TIRZm/igLOGbDWjK9HQbEsRSVcup2KM7g39M60P7qeaI0aOfy5yIyOOar7mUjeESAF2glqQri E9uNCWBmW0zTMHhZFmN5tpB83RWbYkyWmBjHcHGhzFe4Sw4WEZLxWeEEdENUy6AFsXyiH6ws7jKP v8APZ1DynEgccbUS8z2E55yW3hqYmlJhbK5gmUARseVjETVw2Nt0K3QjkTHyaq1axfSRq6xbmcI9 FkBESPpTads//8CXTfrp7fGYuCgfPAF6jWt277gfYuVPUUPsCa3ZGpGVp+NRhxrB0O1mHtTsJRmQ w/08l6irIm7qin2fINTvCivj7e4yYKR5YkJi7zcYCfif5A5EGnEvY1Qm5Mq1k3cJp6vsz9g4klBB vQTI/agTMFfCHwiFQHMxYjjvKh+HA4pw2ALMDXbUxWamQCYpDkP5PhNo2PMhthcZAZTSGT5BoAI4 YKIpBxdn0bH32zuimkbG7OdH9DQzGWW9u3If3MLqBIGYt4gXQlwlKsPTCOdfs25MUDDV00y1ccjr MAirjlLjpuHRGWb1JblbF4hG+/KNrLEJX3EbhAXDikDQ/kMXV0FzryURYe5tn3qrVBkUcTtmtQq5 ACCvApafM+eKuK/Wroexjv7/oBfaYXM9RXHWjvFdci+iZw3RAF6SviP2ABSsCyb3PlJ6CJJ1AurF NEsAKFvpvN641mdd1XBWuF71Qfhr9IQ7CvDmIBQ/4FAB/kwTZ5HI7FRGpI87b4xVK/th2GYj9w7Z j0NopijIJ6hvyFdkPdD3EY78jj8y8X4EOEan2f2CriCs+5mxhQ1jwy/Ijs7MKHf1qC56y/7WB73b qpLCrNiG11NZZa0FKzxrc3VSYUey8Pvb5ddtgcFHIqrYkOnvKSqumMDQMHbEdyitg51qUnhg3huU zeBjFQxD0KXawp07TGvbg1kg89CTJ8/rGYTzdQN4Bjwug3YlJYYXfCrdaVo5f67KurCkIDYEtHiS 2GsSbOkyUQDncBc/3138wDT4xWhLfQsW+QnzOuZBGOU4N0M/MrbJfRTUP0j4Lz1VnGv0qgQ5zzNY /9JHzpOekmxiLEUn2m+4nDxN+4H7EjwDocZFvMG+D/1FZcjk3kDXCmrqpWvNmB/BN31uExmbZHuT fZJSi/1xNA6d0Hh1gh4DRXCtdaS2WPMRyUJi5z0vT+mu4HvJ9Eok5lTO1+kEoA3fcdXp/TQ7QxX6 zZOdtd5wdQQeuHAauzlo23bGXk3MrwYR8FhNIWXqDcr2rk/Avx6r6Aqd4HBf6qjrmv6o4V9Yz0S+ 2FKxsMHpou5yvDJB9B3hLKTtl9HByAPpGKgnIpPYVg+xQx8e4+26rwVd7pcykIXofYhANIwNFrgB Y0BucPFTJbt8NEyF7SIqeTBjvf9GGr/you2bChOXF1HE9eao8NMWcM8Sy/zPUMAIWoy4NseaDO2z 9sZualnI5aq15qPTX1n59tfXjZrtCedZNrJD7vz8DC/oeIaQwUFDYTvrpEYKMNwEv3ggnbT5nLXb Do5YvInOXFbbB2YOGycnDCRRHX/VV0Rk3+sw2CbbOEUPutzeuwV3ciSMj4M0isdmih9WlTW4QgXQ OjpJa2AlK2A4FmwpNNOD0iB+Gk3al3Yki1eHf6bQfIB/TQ5u+31tp2MulCFYY4fTz+c8vfbLcsdH y3MtsnA/WnNf2Ip8Oj0kQGX7aDR6/EpqANLUiarmIRcwWnVOf1LQuje3wpVwa9ezz4OXiHujb0U8 5oEXumq8oXRGyWyZHB4vk+qB/9zboRIwsFBM04S1MnS2k+L+lb6VL10GtrT9L6EdGHVdx9DKkzU6 +4Wc1xjHwajHiqfxSw3Qn0apCP1/iEwe+YJ6XSSZYc50ajKYuYpAR7UvVV8QAnvJ9KlqPfydy5uM jckC/7jIAfL4kcU5OdZa2tGJuTFvyRv3nWNB0pgA/lhj95OCsEt4esI2+n5aT11n8yrlhdcgLDk4 Z8649Xpz0k3nl+mcsqqWsvpigBLi9hMCyfegSGFkwUKV5gt1UBAzy/WSubohAzFhnQP1UzX+71GP 5t2Q065tvB4R6RxsPRQTqs69qcR6jqDrBPqAVVxMpI6OPlMvNSeF8BltQsf9M1o899r8Ib8PT0RY dXlUb5tqwBf4esdxv+wNY41gGx+VfmMV9Efh1SWjLYwiiqB16tEEjwJdixIR5UPebTgac3pkzv4O AjSZux8yD0LdJ8TagGsjS5mu0OK2L4p2pD1d4y0B0bReB5v109YPxitvjh8bGl3mCmCTh201hfzv 0HL3ql/TrVmT//UPFu6I7jBm9uX6el6mKHtszETJCKeiNp4TVZNrYGsEnzuBJE7q0XTNlHnWnjko kAMmdnTseR9HMZndtxJWaN4bbCSbJALzvXT6lX0COcKhVV/YR+AIp9tmRjemvlJUTydpdqf3p4AN CjgHLm0GJdDSbkSToHVGqHlD06i2iYaHD2Lput+zt/ukS6UAF2pqRdLjs0qByNEHOc6HtDT6Dw2C mrUbAlePoByfn/bj9zy3dtkiR0TnwI21AtAj7nIRAcZAheJBxz5YTuaKavQjNJN9/Lu4sw9oxKOV BLkDbuVXFHdzQMMx5zqbgqqiRu+b50uQQukrVGjauiCNKWTQ450y/2BNI0XMqAHdXk+QvU9Hl+qD Bd8n687yU28bfA6lQs2V5Q24y7ibKsKX+epWXg56Za2jiIaPVS3aMDtMXmyhkfh2Ua/w0xwS/wsS unVi80iSANICmNXDESn7slUyJ2jp374wXEeivR5+FkkwOkbxZ7iiCshwq90yPgpF6UmpBLRvuTwY q9z6gfckporKjNLRsiBVczBBcQJV6gZpD1fDuDtzW7+0zK0/em+r+/gGhhCH7BoiiKg+BIogU9NP z+sE3uCdcmHmjXqfjIOooh6XzQbzjTAxiRekC+O5Bg8uOrz6DNctj9PrRVipEWWYkJ/3PRvGMMlx plreKU5STsSj98j9fUPeYws4taGayM6awNWOZl8En/T3M26QnM1dXbxVdRh3FwpYP3kHAZqPGOHz lDBR0tn8dvqqesNkCgjEuiTQo8RB5FTzft8b3tZAMNSJT5CNsg2wGWp+9+DwrGqqVkZ6VHbdpDKc gon4UiWHZ43giKcyxjhtRD9cw/8iRMSiJgOZuPy9oQ+keKhgGsaacJFOowP6kgd8OTYPPPkNyOpM fEXj9UbzJ8o/06IEdD8DyalaL+zE10SRoPOBvyl5KwTOzuJwB4dxAWattreRCzAY6cuM5r4U0Oxg XJI8OxwzbfPkr8bM9F5/abU7OKdBnkopttw2RjfbfC2i9lCrtpXKweZ3AhI2SbtMxtC/Z6Rx2Rbv NSNOdqvc9zCNHYc1FrhLXyyzM4j4Nkj0f9Hl5jk9TKSGYHxQTOaifcP+xy6tO8JWFRfjWpXpDvhO ICfN3IUPzZ9jTxir+Gy7T/UTMErG6KBnFlh5JpR84vd3nnXiR2zB5Gf69/HQPOHT4E/FBSidCJnW CxifZEUXWBJlAX3T3mflDr8/QWfKFvf0ks3ivbopO21oGMyc3miAq8t7oLfBBbpMIcfdqtchZPHk 2caqvE7qSu7prF+RGV89r9OXTem1quOR+ASM78z5OLeCYMTFAR0KdWbs5LDDQhmOxOj5blmlARMl R5Y8BgU4PcxFYWgCRdCPA8AOGv9KaAY0EJBWzBiFctMfqGbeHznDuO+T+tYi//Tcw2npLf1k34zu nbW8woZVQuyVYkh9WuAM2mrOQvWiL0aKe6ntCwUJXbMWofE/FrvKRPUUm9Fuv5EezY7rmfL7UsZX lensW1n3vFsUrHMYybIzIUqXhSUUw/5LpDdKJlo3zDJjGUdOzu9svUNH0aL0ly3Db4PvxElQhzcy kh+Lp59fJp00lWgvu7AGjniTkxQwNMi1uydDllcTOsCB+rUGPyYmzxSpRz9uq43P7tSf4yxXAySC yGwVK4urgUJ5/e6rE4F6euGU35ULnrITgjqDfnVRr7L+Kd8ZiTwg4j/SAKt64i7NUOsgQdSP8eM4 ZPEtqWOmanv/RGFRxlVmCH8HbBoW2blCgf+Evwe3VcoGSohITLZ6LzBL5iN8HX3ra0+mpE0CVzN9 lbmEBNUVxvK5HFq3BRKHjRoNimoezb2Q/iS4ERB2ooLUP2C9nhF4Xp84bTPXMctyI9qoIB8n/vMA L3uPG1JKZzeTZzKPb5F5fi9pG8/3yAXxd2ATkb9YLP7DrnNcHxwxAEJlRFBI9pD/twUQpgV/APDs VVKcuIq54QG+BlP9EcU8i2DuCFFbe6sAluiRUAjrbRAGNehQvlZNjp15KhWSSqLnDKcyYV4qhksa 1ySuXcnUnDduhsKYCp2KaQJ+uLpLPlm1hF58KbXPrwS8gBO6imTcqXBCw3TLfjcYw91VZThBQBA/ B8G0KZw/OB2PU7h5VrCMaNuUSC6fiF1dGoHOpNwKkiPZNXKFIPtiLqoW+DBu9gjSvD7biZUwoHp/ LwKdiyxL+d91n1oMrqOQwMWkkRe//bdQRKuL3Ks30u+rtnXWX2+1bH1De+EYtlITa5YvluT9AJfj KZAHL3yR0krZf3kejcnPR6SOB9HmIhcBnX3GjYlsyVaGRFBS7cIxHOIb80kXSF4m3QfmFS16ZLlI gXV9BgsJfMPTRGC4/YsUTg97uzo98ioSJS/l5kySbLuduTdK7hHwA/JP4ltA0U8qtOAtgKEL2m6b wmgW+tIwWyLcDTS4TJ/DGXWfHfEUKCbORAGpDaQFlWAOI4vy+4Ai5kMjKBtjun2RkY5dHZC09u/X Nq1AWFmEjgj1S4Kml5si8ROOmYBvup0fcE1lxBUmLSNmWSCuCI6Gvfc5dTYJ8OLNF74oSYAJzKov 5nCE7+yhb/hr3c7+xvPjWLTjKkMcPITvgOBCqhTyDrEkZScy4j8Dkz46m5DQQ0lbQ0N6A8rjmOOI 0Mku+s4fYliD0OQ3L0nrdrseic1+wtTUOJzhAZuPS+v1sLZY0au62zhmBRjuAnqLko3hsp52oFPD EEEafk5LIpGrAu76qK99RJ8nS3ShIvNCj0cP8F/dFUvq4tHDjNdFDVHW+sy/wZqw0n3e/Wnvl8tw f3WPWgc2QtEjbvDIKvOC34olkGS2wNVmJhbqdNzsp/An3wYNYZbEKIMLxl55qhRqXzXsDAT3IxnT VSs3Dp18Ii+QshUwBZB1qGZx7Y0epCjZEQxH3XWrol9gLvIy8knvKFDHrO4haXqqJMxKjjEgOnMI f+A1hRJdlvV+q7ogUJnfQeYPXXn0Kx/aQUjXEAfHozzqoYB+9ib8NfM2Rh+wLIoNKKJb65U1iMM9 /VnodDvrnPRZTfgVlDIz/s7k1xJGePDt1ngaMsJPh6anHiaBA0QxWNQSLTYFK3IkTajxBS9c7kxb pC2zCCOqEJ1d8bVthfQJ43gNLdHKDQBwZrhEV0g/BAgGva8Le6rsmYgdXMmY2cV/ELDd/Z9agr6j gbH4R5LYLFSIQIjV0ipGv/FGksidLpYR+tXKK+9s5FFOmhF17XsR/UFkz7e/oM+7exUHLkON4Yk2 a3/uzduQtuBJGZZt4wG3awW1xKUST5XoHJozIetq44lrgw5B+iv2k6Cenhhqtn2nNX4DOsEfiRXl hMpUBBbJZr8WifuCdKM7v4LARRdWRqYYrKANwb2j5OtNuDcUeRCqA0uqSTdCcVd2Z4TN3KhizDDm vD0XbLnH/gnSBYNjQPEeJx79JgBTWlR7JumKjiyr83DtTW53gQsfEnPNmiBU+IVQhCIvTFSipbgW D5XsSrGrJAoO6/vnxQG8lLDkBWbmZdlOTboSxg++TXrsVkb4jzRDKRXH7R5at2nnGchSxKAiUCpO e2PopvwrRY4mirpIeqULOrKC3JEIHzXZDQebbynOCOrrCoYO69skl7ys3aU46mAyTChLHsaGL7JP V7sL5cBWMy9WVgXYn4oXDMMlLQpv1Dmxan4DAYlrLSFuDR2bSiG1lvemywpT0R4huOGr2WoLYjxQ pfwgkHyKiyRPWEnPCIFyZA8wWAY53W8FaYbu0U0FMd8SMSIvwNcGp8MP6NCLnlhL4qvyHe46F6Vt mCml8xNSIERJVWk5j4eGAAnKDGm4O/PReVyPNfpg2aPbqtvN5tv4xQ4kzZLi0n2Eu8Xwwnxos2/d 5/Q9q2ZWll+QLfvljUa6xpOpEujrugAMFMlWkEiWzQhGkB+BK70Y2ZS+QGZGiRNyVidKyTYxSVW8 ncAT2No1qXtqQusmp9GnX1E6TC5w6cGZBlAn7ir+9DwHq4Fwnp9ptS1rL1pC43VHZWH4jtzjuQ+2 f2xGWjkm9IvSN2N1NmQ8mNnfxxoAMWZ2TLsWGhP9XoGrCgmfYeoLjbrsGE7J5aUQQXtZ8fUQSd6H XU//DgtNTvQMPglkl1STGsYbNBvnvTNXa1vcGhy1d52Aw+7Toh/rCeaTVFLfzPqgHvi3EFOYKNZN 7WiXo34cGOqTTkaImXKFIl6JdVD/Sj52LhBjb3SJFtNPw1mrDgkykqZNpQzIizfvQ49pcG3F21dE QZAJ7ObsfwcD1DBRVXZi5HHcmaQB3nRAllrrLT4pbl+NRZVuVobEkzmJ3xqMFyUJGyLTdYrdk5pb USf80GZ2lde40+Yq3p396Dww08fYhU519RqilZLGmpMXCvG0pT4nsCuTQQm54E0ju/fDj80mjT3z nyu/lm54uAITRsZEsQ1mmJhBcT0V/wSEAoqPKAVtroZl8+GWf+jlJwrW01b+2/Q/iSMiDRX3dVaU zTwlpHCMRhMFLHQWSOfIP8CKWRPuJ3qs0vZmgtTHrNhN7BcTMRxoBTrSO3+Zf7+/YgTdaF9MfSY3 dslCg0YW5Hatkqv4Og6nvuNpA7c9wz4eLKE2OT7ecAmG8aOFXLBvtMspVRRCbmX9eau0Ip5RjpIF 7SrU3fONJk0ZTO8F7YopXmjiy6GxMkyaSbia+gGbhoFYNu6exCKE9Eoz5ZdNAD6MDNZ4tdbOjzVC Wq4tCRTsGOKR/GvRFxHgiGEliZlqmucfIdSRyYdij/QdHvDXcbphdCqatHAMtEKwT1NvYhfCRLcN MLZHhlfDOMm+BwivMelCG1uN9XdNpAzsDzH8uHwxqhkuoR8vqklTZEXxi+y2NF/I+bIbv7LXr+u7 V4BDsUW5/5qYupCXlG+Xq3rc1XI7GekRmqm+Un/MRzG2Lu9Bte77050uP0zfAMq25QsjeDEkKMm0 EPm+EAEEhyptNRcRXfUIlTgKLFUmm1wY/cZ+5+/3OFqAAWu4C74dKq80D3i39ctQBe85dHebmUTQ 8WU48TXNblG7hwlTv1zxHffBHVGZbOJhMM1WeeQpviDNW3Lg2gXsjdD4A0Q25Yu/1wTMjtX2aegC CLIeqJQF6kDI5iRLDGf+VGf25s4K9r4GWMN71CvVTbHWFS4CZDu/IIVaDZfRrz5Xh4BHpOnAvLoN aY4OdH7RUidd/GNW3cBWrRVDgo6eGd8sngQDkkpv3sx0jOjvv9oi6+luLU2HzSuxqS9uNrxGfSkR Qwea1BIVwLk/XsDDpCrsoyOhm5LYiWZZV/5Sa+BZkvA1MbsmDgacNc3ajIWKEMshN0ecE3O4V6Ei +lsDNE/A848GXt0Wkz3k7zNOhWrBBts3Po0smTexpDTQIfqPPhjcjeUBlkgA0/9+wIPlC99USMpi NuY2YNQpvkQqLwXKu3uFOjqtZS8w2HjJGcw5+iKpNBE+RYvxYk7s/vFExzRHIW3N85n3uoR3xrkj gzQpaCbajIbiFhR4IW7F8ry21kjBHR8QqH8w5xCNMCSe0bZjQhcjzT2LEgSVdCZDme1FLIv6Lkn6 jlWqJ/b/mhLMg28I4KAzvFDRPAHfRBmJ65sZS8ByGbiRaCjuTA1VeTGnlKLrWnxP/y6iTscZFA0v 9sB8IED5KN12qoZHWy27syEX9fTpCmc5T1SYvO1aQLDVzgCNqJVLWN3pwfI9NWRNgavrIO6Nko1o /m4gm/JDudEpT6A8+qCAja7vUaB3ne3krBLx/PMUjLvLfLCfMEMFlDtcVbEv5WaY9AH+kcsVhjSb YMarD6eISHtwUSJDRYcmeYBHk5wWojZ8yZDx0RqO+6p0uIZKkWdgfAhKd/nF1MFmy53H+63vIj/h OnL7dBC1tZGfiNfIF704+KBvYrqzlty4luJ8ZB47E2I6Fe/6FFT0wGMMQqQgW4wLeRvFkeS32cBs ZNhdwhpEHmF3ff/aqNC9H3vxTWP9GPCV3xSOjPVQg1lhIpsG3as4afk2KA+xq/p18WkR+LOmfuuX 91x8wicioBBJQdMOnPp8YL5ri2sRVR7aiTTUcLGcecxqRYZCgvEM6u8iDQjliCez/sTTjnOZmSKd b70IVILz87sTAtWCtn92hYrR3KZGLBjg+ziYuysT5DOz9Cq2YawQCQ0OuVh5xG+hfmc6K921dZkW am312ijG2o/U+9Mxnh0EOrVrNCvAtf3rLCyupV6Nmf8GtaQ0BDuLXqflYoltXmocY/UJ9KmIbJEu I2vcbpuUv+PiFtDLox4VuSE+0USkH/qV6nLh6gw7uIUsdOgNxsUNXqwWVO9OSOB7CzSODFL6M0R2 RhQc2Btb98DoMxm858/soSZQMn82hdejT5PtGfekFcVyDPqVy5gTxCtoJEaSYdbAZsRGsuuQK+XM 11HrBNGOEHlD0bCwCCIKXeo/2v6Psz+Lupf2aj5J3wOCL0mc/2PGbnplwwT5GsMDDfhjNZTgsmdy 5AuDC8P++Es+iF6WdIsKQY3MJw+da4y+ShZ4sfrROwgwZimBRzfJ0edqCqWu5TUfrHKVwOoT9EU+ wWJemafG8ZEoXobbOiWeJ5AP5u5tgPza5osAX3Ub3BVRaBBpQ0aJ3dDpOu/yTPK7wd16e/xQRIQ5 CvUS7AZ/GL0n7jhZRqutIAsAaNCHJbmqwH5laPbUM9+7hT/cSwDFEtm71qxOJh+TydurpyTUcwwZ PijfKk99qmdkn2r9vZA7lS6FPDXRT4HmwtiHZnx4YRA4ntFs/qwDzctl6vBGMRe2GwzUNClpNBvn WHHX0bkJE5CRT3aTYoueEumltVOdY8G0ph0y1ApQ3ZlrfEGmSVrL7ccj++a6/+sya+lYLgV0Nkho YUEbk8nhtUbhBW2muvPgjP5sfnzn9FukVcDwsXpWHYWaep3Kxey4kvsM8+IxHbOkwRdZmLIGRYpC zq2Gp5xqxcxKo42Em1MxDxeb02Fv8588oOz8TuOjej2JnKhKaIAqD42DswylfGFMs7kEdmkftyRg y9TSslouvSSHNX1XL9aRqEu81XeWZNgxpqwJKP5+FrlAbsn193BhZLPygFCG/vW7XvZ35syunwW7 qBnj48ZsaFNDAbuS3g5xrHUU6qqR1Tj9pvY6EIvl35UwsEUjJBTAqpQCaYkYkgmrlhrPhC8h5024 MqYM6T3yE+hpwDYZXr7r3pNpKONo+vn2oo8LlgKu9sltUpe99tat/4PP8ywxHh04baIZ8LHC4u5D g0yETowr0t8sQdNsYbTCOt5f0LmSGsjef0GkcNvIvTNneEB3teWZHjRzqu+l+WxuDnt97MHAqLey 8QdnSUZKjTMqsH1NiGAKaUhCH/wynhlvnY/CobbdFI/z5GMxAmx4ZkBRTOFULRkajChuI3WxNf/0 UFd8lhwGvDVMrmGJwbQ7dXNULp4xmHzaLrC78M7FWmBai2wl5Pe7eSSrRtEm7zHRUTvYG3O3M6iE +lT6Bu7ziBk3Cqb7rN+jNR14FWOenj/94iOUEDWUlmVNDa6vta4Y5kM7ycGFKDx/K6IKaPv7pPTJ V8jGUXfCMtF+yGY6NKlJ21h2Xd2HGLXHVai9kQZQtrS2v6jVSbX8j0EsazAg2d3W89OSBIYp/Spb OHLiXXXrIgPB8MkSRfvbXF6OBJO5C1eQtgahioYdUl0uop3yAqcEqcZ51U6F0K38WvOSpbDKu+MZ C40TYfxlGj4+acf4eOMlmgnpl+mbGYfuA/1/K136AVJzpRjQ5zA5HKRjLUniPg2uMSY5gYasOX2D k6vE6C9lexRINLlFsOf4Ukgy3u2114/btB1rjKOEQdX/KzrTAGLu4fDx6i0wiUqBaVmmaRygWRPZ 3+2exSqXt4DkEjzDoZnzuXo5IqZOmHlxiCLE72JaPxZ8RWg0zUGKlXXrM2aBA+290QfXQGhiwgaQ YkRywsEUFqcPWdR6tRAuXcJx81zxMhaNaaJAay3z/PprJNmOzjVAHB3ChV0InglV0b/INjISkZJD 4i8+fCz9CMm4oxdzeAMWG33/rqSTG9nRDWsaxBSvGLRjJ6rdWNLVKCQ5+F2A7T/kETqCTtahjmfE kmlHn/RfphhY9GimfsMNOUX1bBh4pcKa3SUZ4RL7r9IMc5eAs01FfYOSwuzRMpsCX/QG2kLhhluN okCByyh70LITqPuAJnOhEkMTWGogZhTanb7EjiqKe8KeyCygeZOOt+4vaiA6vSbLhhNciuZLcMjW HMRQVmrl/XPqpTB6OD+0DjmPq6GV4Ie3SAi6t8jClOweKTDHYBNbr/uAuHCU+G6/F+k2RutxkggS LbRGre0rEzuaB9M/i/wSIGw44VQZtsjYHy111VpsvhZJ3+pcM0utfIgS2A0kY4YsVbInTSNV8Y7R YRdLffEOfss42MiXyw9TuvI8u2BmSK7cdvXGl/nWBv33zU80VlYigGWQpTv0e5yHqEZxQ9acf0x/ 1dCQ6hDF06dYY53oBqEkmu3Z/4oUjUomrKGKT7f3V+3iY9ZNQE9HSblhDrJgBVVN+EO6rRvn4Ep7 pf3mVyd5y4XrCs4/19QpFdiCL5ayMQOLHCpXzxvppdcJJt/H0aMO2AdBxGxTQiNrmJvdZsDNbjBH sTNBQ6MUKjkv9GUwhb7QwNUYTh6TKnn/japj9qCW5R+OpYhgLubd5908gTOEqyvYLuA4uIxd0X1B Uo2ayqwCFcMubytqUzxJWGN/Lj3G40HDiSa0mdgljhfkBViaHXlN9kc79D2SxBarAsclFHqh1tA1 wUMFc2bfVkMaPKBruQv0L6ExZv/dwuCjhcExoDVBV0Rpm0j81tFp9WY33orn4if2C7Q4xNAxa7WH P7toDRLh+Ah1UeoVvId5B77yvjzUs8z4KnaEDXwmBVtaUMA7CumM9hdRMCzjhJLjZg6zrhhYdL9k V2TM4tZjZyV0mf5x5bX/DEAo0GdgN8WBA6aH6JrR+CLBRXXMQhljOZOzegsJY6uoaC7wHM3QMf2S AabfPmDOhgvqYAhJgu2eEcgvv8VXi0O4nyHPS3sICtyDEuSVPfqqa+VVx0+cSKDUuhxOXuk7/iAm Y9+i/tY0JO3mEjojzyWufa5xRwawUf6jRdNx8im6HVXOVZdRnPQueMwSij55pyKx/A73NuMEWckX 84LwXtS6BR/vFDye+nnU32E/8SxyTsLjbzfRTk/QGUNUqTlZQ0bZwQP9Po0SnKtkdDps9KSo12lS adLvKVcI6S5BmVb/PrH3X7C1+d720XSCbH4HUhPE0xn2ILN8hurzcX86nlx7234ACiarq9nesu2z DLr5svMQftMctBRgws/0Nb2oL0YeI947Z3btBeJ5RUyGdNiwfkuTzEPybky/2GlO6g9byimi0DhQ kqXRHSTWTkn0A1+KOt+jr6gKs9gwT1fCdgxS5Yo+vFuYEonzv+1E9LLtNHJWX3ucP6GsCVsGZrm2 ciJPk9NI9SvE1v9w4tik4iityWnvspyRxNvFWlqjnK+hi3t5oJJ6Nmrk9n5D40SyQBdmlv1HcpBT DjihxaWCNdLyrjpsAZ2y/Jx9E5il0/2cPa2B/UljjfrZwpJly8U+AOC+8+DH39aCN+g3FiuYg8LI TY/TnNki25O2k8ZNJ7dFoxsspHH10ugdusc6Bnyrjxuy39sStcfHFerlq1TxTF9ejQHkdRwcTYiW JBxws45iNhc79I9ABAA7TgBNK38m8B/AuXhebJbpB0t191B/hGDn6PUzx8dVOUJByjvYqrhEpCbN +kfqBz73eTeOa3r8DxCHkDIz3xuFQNt4TDgrsk4fr709MEnWukbSlirkFK0bed7Ie21P3msIuIJp LtP71rJch+9W2t5ryZDu+ujGGVsU8fh+aWmEwWbkrNW3/oVQXjF0D7cQ/tlon6wbijDizTS3DJVH BW+BKW7meGN7t1dpLVGeK+3/dQboTyG8/rOLzxUCDIWG5+G+9AUBSfkU8g4K9ymZ3Z8bloJus7CA XfPeOyg8jjUCce/aSiSVORRI6sPJi0Rcm2FgGCnij1od8MqTSyYgfqMeeHxvhsIXA+8RKJP5KJ/E DQDMDyGHvtDIxJabGQG2rfnEOWr9rUMVjFRi4KngUrSFkCx8laexw4QnyKcmNqd1RQABNcEHf/J3 jwQ+NlsIqhOMYzu3JD/doD/7+qBOjKdiYp2RU4gnJ8szSuRda3fohAJilLJ9koyzrKWqrfDUt9KF sy/FsiK07O03/wYEcx59+kgB4wAPHJpx8U6FtbB7X8wVc1SKaUGU4ZJC8mMj691wLKraLi+WDjUU M8uKISrACV0R/caWvJPBlegTS759Pt2n4XIKF+XA5SFFBPRgG9LmyQf2UPRxVfRW0fUE0iKJDXvp GcPQbfehCzzIXgF5EtQ6sPlU+/cDDBqYg9hsN5cRRVTuxQAX4nLz+uiDiEvAXzBvOM/H98++1zSl RHBEg0tAny9BBV3I7dx/LMjer16o8ckptk+kbpmb5+IkVZdf1dOZ6SpJ9nmNFbObzfny3Akw0XyZ vvu0CFaNxhgqCFzIyM8WnLt/RXhN1em27pqw4I8a9tpqxoPy/deiAWg9/c3sMaM3NRj3ZL861nL+ fhwKzEMGEBLLX+oGFAxb5XkxwyfP7T5pxUrQWwp72BV54zJihzoU1jb7uzbVKgsc07jcccq362sy 7c+4XWM+H4SFlzeNL3x5/wJwGQRUyJ56Rrs/IAqLPeLgusCCp0gUqL1X/NmhUSIEHBYTjhRIOBfx HAfdQbgRPDh55g08qZqMyrHuCtenoW+zNGSHW58XGJjFDz7o+bAYTNwFWlG/hUwB5GYLgKNakBU8 qdDo+uQok5s8L83djKFLNvjE9tEMEUbBxvUJkO/xm4nt4jFautjqpsRVRmdQ1kubwi0V473mQ8pT ViMr8pKRwcDomByPntww4OjqD4cY91CYwlpDdFMMlnhGRJnHc+6Gju5N49B1fKtB9BoFS66zfzq/ iHI0jL6+nNKywIEbDdn9Kr4gY1dHqPLJ9c3mllNOHg/zoPpV3Vb0A6xAacEb8IA9JeZYNBPSjl8b xp2+CnQn4B2Qt6XIzEzhBcu9GfvGFkjBXHheFePNwAh56v9GMZOT2rFxyJSW3epQhVxg2p3kp33A JBMjL3UQcTD8mj88MOXSiQ3nI+wwZRC98Tgwng5EN9oSpspABQZ7Hww9J4qXrrZLl05uNI9iVUrl mHC2KuCUBC7zZE5EYq4z+CdQBURBh4GPpunRnG7YD9+PQ1+2jNhUcGWxqMajzTBLxPB4LA1Pnj3Z MuDauTT5yOmGOCpdQJZjCsloCEydLr0sOvEtVVYOSRwXuqaH3sHyDoe+HkLtvsWVV5Lbh/g3HLBw FwQ1MiovLT8mFg0ZNjMvTNWxPpSLd/UdhF4GnDOAJzqt2/PUSmBuZkpexWCnVttvhkx6Nqiz741e C2oZGuELyxIoWIesZ9zXi1PQn3ZgsFsBR8azxKTufY3UsVRF8T9E1Vq1k+FysWWXSu4kqMZvlqNN XsKbMjesSHh4HuA0sAurxNjZnBer02+9bE60J75W5g+QSKogYqn0fP9TU/eggi0phtH/E80tpsTD +n+xKJOh/J3wQNJQ7UOQ/CGI0hR1HiiCDYMrbpQ8yJlmsvsen3nsDrPzD4p9wgOapT+rc4aEp+X3 e1yBHM3HzyhRE8ceBsn9zylTTnD+XYm0vlyn27T2FzCOuL6Zo+GmZs0PngOZR+9rjWkShzgEY2Uz J+X807HYjUa77JhL2HkRygjdLXRC7dtP9nW+3wKYZPFo5LTwcMW1w8oCNDL26m8zwnZdCqVI/mg1 OIwS3nOWLGG/H34zBoZQtveHwGTQGdGhe4zlf32avZwq6htWKFn6cZffsjPKb7ro+a37/vKZpwhs Ufqazb8LCmM+8cbwtlaJ5fxImK7ziJQedzPyHdaVCZ4c3KkN3QV9wX03NbyIY/GQzoHNL766lw3q bxz12oYsdeVnUg3NRrw9P0ta+OlYez4U9WxSjILNNAptp9QTq7mhGSFsBrh/NVJMd/a5h2I47jx+ nQ5c/koXcm/wZD+zgReJU1GkSQnrssGNwUtrnn3ggKcZTDCu1BzM+tXzi2//rsI1tWyDH/03pyBw f4M20GWx4UjkVneRGw3WF8WvHSsKU/+B03SwZQbVTA15eVRFfUkHMQArC9dBaFSwxHWgZmMzHz3c TrXbNcKRSGKgp2Go669CHh11HGw62k2k9iNV3ZzR95IPppKZ+hs0kb2RJGxSioAA5sraWpHc1KNJ rmJCkPReBWuQjr8VEC79xbrO93/bEkqOzIVlAnKUZqTbWRjqZFJFOiwF/6EfIT6TSqWVQfFkVmIb x5v4zbC3OJ58y2GQZx/oZzBB3GnG+zKCxsGk0DvyTAv6YW+wWuOscI/g7K7gq2UO3sUe0gqGDmBD uuWC9Wuv9dntd44AU0zRj6H7knVsfdw7kxOr9taT3pKBeS/6zrrT2PqnRN5YPj1zNEpEatvuPXhJ oiulrKJhD58RDKAkMP/kRt7s6cGscUJV3O5BusThzsp2iipA7wXONAovR/vYggFGy4DE49817RBt 6OXeCDTT0ZRhECQftxQhRfFf5TDw4eVor3XpVIetI2jXeA0Tf5Vfswp4ZxWVmL6Mx0nNWOL9ua9K 85uH4gLEgKyHJbD53OXhSTQ18qGX4HVpuM1opb1Dtal9pZBY94w9NFB+MrtK3GFXKw8UQX0Hl4Ul EKAXA2LhzlNHP9p+0GHeTPs9TkejkjMuygXKFAomMkgH1dtmj0cdb+YMqNUsoNcoWv2+nGwRwbux IhTqYeGpk/N2M1twvI581PTWWp+ZYoG4YUieJju9X4xMxgPHRCajcjTDB8AuiaTJFWc1WmObbX0k 0hvJHFn5ddbBGDEgiQccM5vFfw0a9sh5v3rZmdwsVrLOvnXy6woBPwD+HPxKmF2z+wlW2wsjteca mGuWnX57CpWmfj6ohaQLW08L/PCOFGmtOeajWr9iH3SywhwDBTCADoyTbVLLqEoBcw1DVR0la8+4 oYytU1metMlUVl2r9AfDuahHqcscKMeYcT2l8d/bAWTxPsbo9xORP+NlhXtnaGXwodeWTwBl2BKS G/kukMh/GVm/Di3v/OJStp6puCH2aAXhUlPt4uPpjdtc5EWcrzLQaguTdRHCZm1v9y1HdUtPZyax 1gJoj2eO6ufZgFRGcckFOag2TEQBTfAVChjk0S8M1LEDdrp56FQkz8hQSwXpJKxjkhCAKRoQPGI9 r5PfsbqmZoiQutD6fcvoZVK8lfB+7CzdC8l8D4c5h44dwKQIEPgnLEux8w7m4zJSdi4NUGLHQDKw g5T8eyEl1ku7P2A8Ngdz08cpCMPv2pNIOrj4xiylHMdZ944gubRkQCvrxsLOJmurKUPBkWovaglr crO9a43Lnfj+vEYdnDCw5aAXtj1Qs7h2tidSZJGsyVv1K1+TOYGSYUCBupsxVmkWBCamrth5Hq6D IviPHjM8Zq1z3Vurdugo1k3ysVX8tid2Nmmzs8uPlRGALJQXi3DnjGridT6drnHHmnzBQZN78NzX oPNVRJw8R6qlgJkl/s5+CMI7N7pELjIWrj1OsdoDjpi37EqTAEngj/mbbw6pJ2TANFfd7JF75tm4 nnchBW14/+wC1pVGKZierVbuSU+6PmMdkp2hl5DTw285JX2zCm/nSXItiqDqBlaD4/ujKBQ5gBD4 WGH73WoTKYWl/LMOe8Z405A0EtqZfq2hE40SCjzx9xgO7+JO84GLx9BEhOtFoiubHG23zDX9LFl2 I2n4BesFToq6xjF6OSwHv2/nxRS4OAW23coSnY/OrGQOltQMAaUUYPKWo93HzLnNkiUzisfoFGoX ACrNFTAKGTqd+1TIWjfw39PeXHXV21G1gJdkejkR/uwy4ZzCXmrEobhcKYmC5Vnr5LyID3xIAMAo XBBOWD4rK34POMLQ+HHuRWZEmkS1pgOf97e07fGwAyy13xLRU62gbST7yu+N0Ya5O86pOoP4mqnF UkOY9pjYQtaNH2Dlb8AMkO5s19PYN0D7PijPCG/SUgGJs3baPgW5kXXdfaIgX1AtvkYOErVXi0ZF Sg+IQuo4Up1Eq9GRNPriZjIsfjPc2NX9ksV1sgzfHKVF7gSVB5ZjYZwBWv6fYpOiiOEBANKvVtYC OziKvXlSG9/TnzIbkr6+2xU+jUlLhpNgVyuxhZrTsRM5ZrXQUpBdfPQ6Latz0h6UQHH9tDNpAbtv i7yAFIozKTgwcjzZqfqICX/Lopj1cw97LpsLkpEI2wJfvn9l3YBLb9tD6fQsv9463A/tU7R1x+Kg efYLGdAGgi/3CH8qJ0tP6+vjgXFAsCSa7hm5aOo2XvmSuKeI369ad1xFQa1aO20VNC+YuepiU40T LE6Fgr4vdqohRUVVtdfy/mzxYOvvRkqjf0FjoU5UhPeuE4PYSCmdQP3Kb2Jq81PYIMSOxIZ44tM4 FWk+CJnpalhe9AsQOXVkZxeybVu27dKuLnNJq1U8MCD2uN8NvZROf1CwDrutZnQO8qgiiIzlaQNv 4ARNVB/1l1WWG2dbzbpvq7K7S+GnyZi4o/ftQstw0MS6SpF/M0caeLL64wpNUCOJSTstlnaa5hEC FyKDGGsDBBWm2J8yE9QlWU6DB9G/M0nIsWj2hXonL2d87WcI7Z/ibOefmfKV5aYQiw1g95yX97Bk Qm+x/V7n9kq9ykMqmNHFBv1z6tuaBrCJdMcru44XbZkYYmtKMzWG7fYrmKl0dOCUeN1p9GyAf5NL kma31+a42wZ3s6jSJi3rfzo9/f+dPqMSsQJQ0wwf0PyJh0rBP6t9AC1P8xHlFJ9rajQJf04Esr+Q fCmBSJmRVuusdU9yT0a/Nc/chcMnCiF4Ia4wRTIIadqNF0rQGibdc4Kgx8woclr4FbLnrYL2p67k I74No5lZEPIxbIYFNsfN6CU3c3I8a5eCoeDHdfaOsfFFujMiG9ygDINlWFWV1+sEyQ7t1ACIoCgd l0XWICgoHVGtKDXNNQgurV2dWB4b1XRDuXdliCACnz6jRBlGOm96fQ9VN1Zw032zMozP1ol5yLgH SiNODqqAOnTcANsZtZ8/XJM+6f/0XvYA1iy0I5G2KxBci6UDz3KJ9OQ6KVg6xnau0dzYXdxpa7uo ABSiXyP4qW7Zfz4YWpQUehAP3wvVaTgo8e5gE0AIZ/cE1BnCk9+1Yqh0L5cnQ2dsJVDsC01Rlx+8 KCVZ8YVxmk2W9AQTnZZjEMFgPFengmIwVa6rnOViLQenqEznAnAZSuNd13OslDtw+66ZItSHPzmk 9vdXQKy1lhdHZloJkkTslC7I79P2sQi2eKN5L3UOhqYkf7dYzQtoRy8oa+FB6bR30qwyXcTDfjNC axHQJeYA9YkEOdvOF21b757cUugd3Mgc11o5ICteBN6+cpvEFSvMCuK6wBTLmc5/aDB+bi/IUWg8 d9U2ROcJpqjN1bmiEgmFHshntMo8H5IgUEO+tPAtIpDLRGUmPbGUqdQyAie7qleoThn70/OHPGZA BYbUu/0qpJoKLanPlpDL1n4/OcF7ZyIyGIHAtmsg2t+Ohu8r/vYJdUcAvDgiOLGKEql4LEjQylZB 5kAPC4uvAxwB4oCYuLIB7kmJuithEhYOXbGeRABEcjlRMKJzdpau1I6EpoENYtXTg3ghUQHbJ4Qx d5bs4cN6bXWDpuGZcBYh0H/hJo02g954a6TcTTlGjY7RS4UbIIFkrtEyQOddIl2ClZHrnIfpbGhj kTvN6PUUwFSBmVUvrW5vcW1ETMW5P4tb0R27UJ2aQgqnOWtzMpW5E6JTPnedcwtUo8FdcAz2Jp8U W2jifhCsifrgQBB3tpLDADYxSYVAuLHntFThPKiVP50fG5yWsGFkrbdwkSoPcF5v8098psN5o7ab bptqBCnLt3TKdHCGwPKGCraUpVtHjiIl75PhCoqDvlh2b3qJJ3Xn+KJFqJQhHf4w4R6qG6TfL7gA BIwZwMcW87jGkvbvWj8jG6Dulo5ZsBB6w7bLJXB8/+dpPqTIPGPBZ01hl4iyE8pSK4MUcUr0pQkx q9F7hM+c8K6ltHebNDhHnqvUwynACbOxjsMm4CT+IG9s7WQRO2R7rv607IRqqXHI/Xaw8RSOduMO wF/gvJG0ANXFR1CAVP0gB529x6RSIJe9GEkCd/yPCGSH+DaWbkNjGB9dwNayYKC7rid/6G9ahh+e BB52idjFM1GmA41/qlVb7w7l92ZZ5X8n4owHfnUIcD9UOrUN7p5EFj+nPXWVz84dVis+ngV05zgz Nii6eagwUSmbBP432bFI8cRJO91IJdt09k0AEYRTxnSKv4rxR6ug0lDpdpJ9UsegppcU4NsPlpWR w2LBomt/NdXGnPFg4tHNhORqQAt6UF5OxR1zboSxkTm6ognNjqiAxNpCXS408FsmQZghrE92BARw Z3t+mLiR/epcDbaGQ6p9eybO1O2UsHC36dBN0h2DsAlN9vmlrk6XQobHFI9EYJn7HPji5CiF/7Nt FCd6O+UpYVwiFFbH/icOOPrALKxFhkq5OHcHXm3bNJlRjDfafSvY7tCuAu8wV5N7k0MN2zN0AkAj GgW1KJ9YrrKkRUpTlxFOfX3xM1j+3lPxkwW1llycvshxlWCpyEejlkDy06dpYPl5phmZ4Z2Rwdxh rGRwZUOh457S6FBdEJmYhUo+ooBYntY+IEGMVUZZrS4M0TqtDOISCKqSnSLOa5nIrdNjy4B6cxPv G/5ZmZhWDI8JhdEo3BouEMV9i8ODcrq4SPUeAkikC1cbL7xmgqLBBzNxrWQIcRPK4b9vRW4yShHT +x4u1X8Xg5zuBGTwzVJ95BZcV+guUeAhleasHreQCAuR2Etqpo16vZRHpRZF5b6cd5N3HHuGv/ZL NvejKM4a6oUq+amJbMEEAmWtMSJVESKfbsUeMIzViJf8/VYZ3VF0ZfYTB9es8r7X5arDtO8ywmkZ CjVy09rOcR5QsQl/W3Dp29nidfE9ZF6SORUIJIRBuQTGBe6miNPu4ZtZhTeaKbQUtx/gkmHS/VTU gavYScEJQ+XE7NC/1y9rKX2kXZdbO/s8Uoj/HQ5v66KZG+gOib2vRbEdf61oAHBIYeix802wrffP SPKHwD1QC6Ori/8whvA+xMmuc/KoUh+0s+InqCwNdUDGVmGFw1KacohKbiivai4SdZ0v7rCp0rtJ ribanztMHRDx2BN6BRR5n26xU3blhTN0NV/tnzB25DQ9LhVTCXeiwuoZASPjf7SY+NSnMQoJiyuu NlOkUBUTxH9YGe5NdibwTRqg2oW9nMer+1O+MJSeyt20n2djc0PTNRY019tR/nRyPjjV+fmWQIV/ V3jKOJ1kyovGheND5ovTr1FYHDzcyBQAn9I42ZvhivsW+CEdLPMAZYE/I1bXlL9PqMQhcYkuThN/ nPF4G+fngxTW9MLQJeSAZ3KF89ge2xwlLKWso5EYVTjaroFaMwrwguHoeS1d/K0Yeb2m3Q0eJNCq nlsjNktr3cIqhhGEXSPAGJaxizh+deR0Ye/qD1Ai7ybnCiDLUx0sXnpeNdq5gvkt+lONJSXe9t1D Zn+ECSTagpMqHz3zGzoOCpUvlp7ovYiiwF0My4Ygrm1+mrLlgjbOQYa76RIZ+Y4omLaRd0T1tm79 kpQWMYYJ3Lh3IK0esgm3C4KB4LGagzVKPJg83BR++1zhcLaxhvZY4jZnDrdvGlGCwKFNy6ZnryV2 ih/+9vwIYJFLzoSeJOOetH6Wh+IqQraoOqOV3furQG8ohkBzUU9ozavw2FyjCRgZ6RTvOh69OpU0 3X+6Fu7spB4v4bIo/0oY1vjfJ8XejkpydyoDj1m3uTzo87q5XHt/Nqp48rQG1Xy1fas54TVckdC7 AEEPeGTSrHsjkzZ0/E7p3DjV/O4AbOX2TbkMBE+7chjda6nz026eTW6TfR1Oktdo/v91kcX4+/65 76AJk/53hPibOMtPpn4YSyDctgg/0Tc7EdK9fUglocggkyHXz+k9xk2OT8qHYs9OcZ/vyWzqt4GN sZXmse10KlwdQZPvT9hudOLrets1oj+Fryesz8jg4b+8wXBCimvDg11IpFhxsJ3x0tPTrR7BwQSf W+QBKp4pokPv8guHWSgnxgZ19Qx0m6LUPa8jhhPsoKHpW3gF2WgNYeXW0jy06ejCCaAUkSFc/fD4 6FB1dP3F5JXqr1CCfz+CctP0Yjeesb1N/hkfLRyIpdM/yaKJ4AcA1kiuCUzOHlEp7T/UOPE7ZxTb ZZhOvIRL+70zkTKE1fYAZ+mXw8T4mKVpurKpTkegyeAFldtiRGsIK+AwfBZOn9VKy+dLlcDRZh5F vrfgLhTcR/hNakZdSfti3jZf7AnHcOqOAxd1SrUHpfe2JP7Gd8v8OYKM5bcWTOFKrrn5BJzQIKZp zwKKMunB5Xp2j1MXGitnNNbjHVrxos3oYNmfr/APmwNCn2q1EHM/Hj3JU9b40gJQu0yu3cb6cBNO CEq/uKKo6Mmjo6MPjKqDwdYljVZIkZfq+AC2GoI9Iau6Ow9PLxyP50Jrlxt6I8VUFWu018tj7yWZ 0ramVskBy5RONEj7Ut8ZxUHDdthyV153zgBzQGiziBmc/flzE7YSnFqiBmLo/mYalyEpAmeMp30i k0viAV9HoROjVnbJNHNWXFxe2LrrLvMTjDkkJtWBfgCPEYeubdtCc/hiwEwo2aMGdHbomyjke1h+ 8Ee4QiJVxtHPg9DrlIqKcxOco0l9xegPTpTeIHD+KLv90Pv9oSRMQkqshWxrg89+zOpIuu5pzmNJ EsahyelsA6smtCzugplqea7qcTKQEclbrLKakvsZIoK9vi9jMdQhoH3TcsPrOb8J4beLkoSbgP5y 9RdjA7uBa6+SQqzJ2ox7RN6xc2IEojZSC3nQV8LDpDVxywOy8tXaRGIiCHoBedgVLubiga39YUCQ NT0q0R3H6+vKA1m3H+s5V5NmMzpxa9Fb50ZRq+i97II3r3lppki6ioAfugvM3ZtxOnbzIduTurkU UQ0Vl0ue3gpkoODGSATHchHwNfi5+Re4X6FiqeE4HEDh3D/N4VwQs+6G4YrL/7x+HtIiOkEjcLcI kGYcLI/VCF9dnhVMXuQloRvqomwZZ4TZHP96QMzrM7tV1/q/2xxYthFmGvn0dIQV8tULrqvl61Pm RmlIzXD0hGCf8xuq8tMOadENgLrcPPVHUcMs5qAQlMdthJMxThts5/IcGVPFSmb6ldqKyd8FoyAm /30sgJYyhNyVb8JS6/U9IxSKvQbNm2eRuHcnqCggzWNj05gz1KRpg/Q9YIT1p3HYpK8rju2ik21x pgOVDkmHtdV7BkaM47hqilYnTHRuUeou++LfZoZIO7fcUyzMU2F6NzUb3aFu2tFaYWrrAv2s+kSr BxlQPzQ8Pd8vRGwGXrXSelcgx+68y9fPycUunwhvCSHhnULHruO5OxKuBHkzgZWDVTHKOCCR6woI HXCQYcky3sEH2vQKY3ueqYwOaeLCt44a6beMN+2AD2TDLBQD3ktDDt1InHZsU7HXjkFCTintNBWV Nm7Y+xGakZDC8ags5BWhaOQM6kwgrfFtACN+8bBPPmnbU0oFyCyH2cGGaWq1C2LlEOK4uVGQjqRN Apu/Czk59XOmxpuSxqi6eAkpu7OjMYlSgM0EBu9PAFfejen3WZOXrvqPC8uUNdDlbYGS2NQ+yfeT FUA/SwL8EmOeFt43ylQc+auvS8pIhiEvXP2p9rWA9piwe25mmooA94CLugwyjKNLfmQvUeDsFfTO NC5KZQoH7H3dUDMwYBjYAn/qogFWe4IqUApUXe+9xWUlvtLsdJfnD3v1DKTjY4iyFRu/wE0aieSW dC1mOrKx7cvBnXt+cw2sIIJ/2O864Ghnu3tQDAIeMlD1gymIGTdJtvgxGPGPklGmU3micU+jXPDh w2iCMYpR+e/IQzJsGiQHrDslk7K0MZlwRJz6CCq/Hld3Ja3d8UxTMQvz073a66JhhkM48GTPzXOL IZ/7onoGujchWnKZ8BbXqA/a5EipcwIU5J1rAhEncTAPKWuzAIh+79F1eZI0gY9WniHaSy1cWkBh 7/jCpfjgYOlu+ZyyfjKGRxph79LsQuQ9y8NfJK54mMQwBolpZDbfVJA8F9NJXGmExbazdXojvrkh imx0IkOF1zVwj0p6wm3D0aw0qIJmhiyaJbpHluu+SwnUcbPHyNhhD+uE/uPtgndppS1B/pZUMrBC gHQrFB/yM2WgyRPUL1YmHxAJghUFc01pvtsMxAkCa6/uwAEQzN3/dKQmVB7k1Bkq3BwB0cInYgCJ qMeGnHd5lGyK/d2I9vZwW45yl7Jb9cKiIqNc2RAU1as5J3PgSE0T9K81GvJ0TLR5WwFFifAMcNcL 9xlGpNazxJZ6TagGzkE0nCJFBPBBIeA/i45ef/6CgtujkiI4jgLzoidbFdB4yn8ckMtmQqwAAeNK /dCPVnAAacJ3SmIQGmhDl1vW055mJoJnbir6UFCyAdxIAyDvqysgkI/rqOFiqWPlwfsBc60kDxSl R7R7IBLrHHRGeZc98mYFsWU1cbJngiSGjqP8Y+Zjkn2OCwVRc8jgycaHPZPf+Azd615ray8tQ9rT hnvSi8r1E+9mFZzens63Wn3cjTYj3wRaZGxHOFh33eMiuL5nH93jF1hZLsEFDWFJUOtJNW4PkhlT iIQTjEtfUbmgmLj8NUbaoN2+EAO2hURo2FIDcOpH3eLP0QDi7Af/SA9Fh3bULJcgQ4RB9nqIMyIc BMldfOL1wHSAJRZc3tRAraBK22TE0v4i0nx72fgMlYXUJhKg7I+xdUVAdcB25AMvYzgidhQM46Ap 6qMgOkOkJ5Hoyb2m668+FMCnEyiJMu5TznP4apOGQu+k0skjt5pdi94NxYhKEKL6aOsVotL9WIwU 2vfDFeK9b+tIx/mLCMwfU3cF/Z6wh8LopS416N8BAzZzDFMvoSoxezMDQaGzWgsdjr1UEX63cEiV sP7KyBGljATW09d7cnYXt1ei7v+oSBMks4MWbuX29jrFkrxj0i8HReum3WDLoxhbrFtt5gp1uvB+ QrQyyUjI6BjJPvHRm7Ezb/mwz1dvRtMOOVLp6GX9HQNMG5TSQVpZ05o41/sPu2KhRLGaOAJ2RR8r Xr/TQqviCSWp86w0n+6XlBq8vFfG/eymHymRUz9xvPQugfVq48vCd7+135rFDOfBTW0krjD+WeYd Hu0BaYXREHE9lKa4juPnxWh56qexNrELjtT2ncUHzqBc3DkAkh3z1Ng2OjACMMnLIRkPSfG293Ax RXmelf51Wz8tmZFc9iR6+w5yX0eNcCt4Zi7YWEW/zRg4HK5NB7KJPYT6PEg5R0a+5F4/DePHcdAk Vik+bEssdbX2rY/ycAwfk3x07ppptAtC/H7VQD2dqhQm6yvKhQhVSWWU2uI+ZVpxnxp+UQkK5PNS 1wv6H7tYSE66Z7nSODQ01AUkkkXJmJx6PPgeWDwgAqtZOrwcjx1g43dWBjUR37OPFuX56slXrtLu TnkKVtiKb6Kzz8kEgruVHvvk5E3wlyz7IguNZhyWiLd9PWzFhmY7zGOjtGWH/9u1YytyrJgu8MwO bzJPQzvKYtVCiVZX6WVD5NCgPsjD2bCGpmN1MLoBrhSBt6w/x6ASZUXZGPzUePmDBk8F9cC+1zAz mgKOWDirc+OK1xJYhdiUbcDaq3ArrijWf0qTxBJTGi/nFCsqj9JFdMwZNZn/Iv3BsZmUddSmGgR3 1v162Vbenhp2M9bt2WuhFGRZCYCRbCdC51vnRtIWWLy9HGEBHQKdPOfs0fyAnPNt2sGj6dyfg67o 4ZxKw9iflbw6yi0ALWuFm5KftYCgtCuU29tKEkTwJ+lsjyOwZ+tq8JvYwOXMs2g527/30vFuxwT2 k1irOMOv0b2IEAQo6JJ3hBcOOTQbjQfHUHZEWdTgPTFHzqbZDO/bIKSeV6QypDakgA7MZMMeLiNj HlZQJtGODZGlPj+5j8GpElhtWH5djHvR8Bez5PyoHG0Y0B3qdWCgGHf2GWNwG6AVTjnOpl/oydyi o0pidqlqRaViswN1UsUvKj7O3s0uHJipF6DYeX4Exp6cvz4DtZ9A6MSXPvhFckmAGscGs0y/W/Xj 9+J7wg5Q0enEbef6pju06pxWdngk8o3BN0A/A/6lD2bXFQF5q8HaJ1bEthpm3w2spaJQUpLgFrmP qw9y/f8YXlqoKKHXajTiU3pOFC3WAjST0TmO+jBcef3s0tFypwsztnYeOyxOrX6/mk3acYLB1kNA 3C7vY31HLTO6O2yI2/FFcKsG8qByqGplic3cnEz+D6OXlC74uK7olUHt/G3StYHioPRrs3ipQ6aG 05siLkZZO2bX0ZB+eXNTXYzip8iO3sUzFMHX6m6tmLQKx1EVoyXledlce7Wm3lxZ2guixXlpTHwK uPKr4Gf2cjdsgG6PLzwC+WVI9PzZe5gTi7Y2XXnOXdjlPTODVQRV5nZ0LtZbLyipPDSQ+YQjtdf+ t8QTHz6Qb2hYFaHF8/Wk5U8qYxO9AY1wojop5R3UVlsZv/0z6qcPaCzr585CdEJxPTfEVc/uA6hG VDJVIpjLP/8y0/Jgn7k8CNmr9VfSIEzqfi6xtv/xbSo4P35MXXQ+OuKNyfLel3HvVqk2BBIStQzq CYTKFyNp+oNTwxT6/rv8+zOagXtMfVyCahP53aH4vRM47xFV3AptZzY5jTT+xkJOXh5ibaGHFHeo ykbABXuff962Q+6rtDT8WPy+JWtDLRMSZbZblr3/vEvTNHiYrSgeDM3PCCM4ldFCtpIhvxowWvJW lG5fmQOWPENsffvyHMHfkf1IsP1tdh6tQ6zGMz/8uIvcM8j3lYhjSJJg/jWw0GZ4Tf3wCzYOiWxk iCxsHbkuE87aeecwMMMpDFZVcrrC3Sdt4URI5VRLwb8zs539CXpUYhI+A78iZpAO7W6/TV06lHuh IjuDMlF1kyE5o/6pK15sf8cqTL9KjtFaAXWpgdWrRZnoPkWc5Fnaf5210L2f0PJtujRZu+TYFAEg V3e3xeO7LE4zNPMPEfcSBfr/fO4aqDMASxaDWC4L8/aYjfyO5neTxJk2rQuSw5ne+RLczDcfcJbv JkID/uSapNlIBhhFof96YdXzN3ehpVZBMQXVr+y1yR/n3YvUpzeebO/ga3/4326dfhWSlXqQJ0D1 xeBUBoRkP8qrWtoN4i3X+4fp1NkNJBJcmtf1lPwOQsSTKL6pNVaAIBzxq1lkP9E6uyxt9alj3hgQ Y4zaULxVSRHHlwutgnE7BqS6EPHG3gHsulbk9QCBTiO7u/E7uBgRpke7C1uYkBS/VZPXGbvY0v7b EcouWD5gUTFrNYm3OnIa7N2Wkp9sW4MPXBYintsyUvMh3OH/fAEaKMaKKrKw1F88PV0ouoVfC17+ nGkMjMOsvUr/O20MFd/mWQEpYWhvCUvJEmq44kkpCV6HiBmaIHlCb3oeEJO+zjnjG52UhoMB8Dzd ynVF7Qsoj+ZOxYktJBpwxIavmcckUqO38vQLXR5lDKWa2KCkfb0grifjDOZ2HjVAx9hgfXhaMjJ3 X4gLWz9wSOkEOwDi3hy038Soc3hoAyoJcuBv+1U8r9vkknRebvuaymZUQ35zdL5aCCoz3m4Y/Rdo +0aoTbG+ItofW0cexnfh1POgv/L3/PJhZOUbAot5WrUbedU0SI7o9jmVEKNvX95uW+6f9U5Bnv4N UzUCNGV+mqQrZA/fgcYkHzgsHdqUbuU5YqvO4lN3D1R32Jf1dm5r3J9W0NFFU/kEZH6RNnrQGi6c iPfK8ocBWya3NU7hI264nZrfMdR4en/cHxeRLs8YmdTOBElQAwOqA78UkzgxgjLYZ23MHgFatX8Z VtHOWCsZ8q0Icb7ZExSWW8H27TolneI46fYFK7P/GBjsH7QMVLRQnrqx9sSPbDjWdJrFr7gz0Feq AZQ0aydGyq/f1m5wPvBsKffjIDVtVKpMltaoJp4J8Qx/opNOJG+xYInzpRWtmZSimPyZzwOFig7v HOQ1sW4FNM/kiiXmk+Z/1QbUC13uVhCf2xLhUX1fdZQ9H6aJGiTd3lo0WU7OXiquMUmuCcmw7Xur BXm4TCTUfqxMu8JUAMrCMxZXJyvgcnHorQwLxH+na7vMRKKbj/RtFb71Yh2VJ70Kl/Bdj3FwO1tF f36wwdqNlnOPk2h7LV1gChE07741RhW8n/jlN+F8sY3z318p84Nswpb5pOpVGRkwVE3tUVEL5MT8 V0gUC10g6uWlhHkBezl+zJ8z9Wk9dLCv5t7TzPcswvUtZoGzOSdjOS4LNxJdFHs9iWstDGW1mKyq eh/cV3NNOf3lglHZtR0EUDaias8vkgz2GyXWDAk4u2sgnKNPanhTQKPEw0Po5XYsr4CEGcymQDC3 q+TM9Jw9YPIUFrgIkJfShEPZapgtYWP7fngl2xK8IdC/footTR2qaZvhIQtquhJbpzWpwnyvrYtz QOgQWpaFMfbN/w3JrR7oLBv5vTi2B3pv0i4FK1zP/5hnWX0ODq8+4wE36EUfQwSI3mLW+uN+Tao0 52R81lzHqdNHlUws3VPse2Ggvk1qgq++jw5vOFBNCQfQK0Tswye8SKZ3WmG70itAdCkqHx08ReEJ 4kiQOHhEEil5p/+U5rAGMIms2zEN+lCGH4m8ATyWc704XvVEdLhmcWXbOa1KRHh7uzbqIsUFKhux QunbTKZOLABmQ8sBH4vOjiEEZF+OIFDtS+sVi3bgOZOLK5cbpIHb1BpNjY2d6CNuK78qkleJxR6C UlSQPL+OpKIW7rmULJmcH8KQaU+uR8+Ie1NvkiHKj4cR7skHhTc+nhNEYI1cS4c+o20PPyyWDTVT hlRR5+0O9pTaIGUWqk7PUWcIKmtPMdnG4LaiUPNpb7QUPf6cqgMR5SOSSpa5Tmx/mc7JoLPtcDGF /OBGo8hjiUtH7QhL6QHkH4q/DwrsWTGrtnE8jGpDNXe3r3SjlxFDfry6JNdadVDtNkgz4SWeGFuk MUWPOZX/nf1gJJBYpT72f1sZ+9v/loQpTJ+7/5UNnqZLCfoBc6coyzXr2xKUz05IiMcEJLlZPSm1 X6T3MMKBPyw1gJ3JSkkm9dqTFgr0p6xSD8NN6TaLzIzk961M4iEZkOvu8BQkqfm4loTSFpoZGW8y 2LoEn1SuecjahEYgEleNr2KiJoyLYKWPkqo6aCxhAEWMkluOW0oz0oDprnBGTk/7PHOB/C18KVsG aJ1FxQqbgVUmBmQ5L6hiIjii87026QnLWErHuTPi+5by7zw69qo/LmTkdEmTI8dj6v9fVMfDSnzh UYrNLVgGMI9QBIYHeEca9rrsqMseg7JbiWiuaK2cV7f3rl/rCBDPHBG2HeuSEU5hHem6B0MEOu2y OIQ3LS1Tx4i4usoPUs52m6kYTW9d2Jud6rfNMNha6JLs71DaBTa3rkMqh8NhpkLQZCFv3YzgotsQ aruz8wJoz/xcjpNZHFXzmhuB8IUqV/970/ivOJjwJecjwoAuAle6hvpijaLopJu3GhF3HSOcp+mB 9LeIijmrDNoMOeMb0jTRI5IzJ1fSL9t3kQPrrmDpFBlv73JrXYansMMRPNp+HSqyYtfc9XTxoU33 C038HwY+V8TXTTuSqATrf7QS9b3Af++zkxn78RJKBFQUm5QmZFyockd2ZlxdyyS1lGhNGaihicHu BCLmVk1cv/OdoBqG4iAaRFq1KdVBmc7S0+mUKIgzjg2xvCIns23kbAhl8sRL9IYYMNmgRxeNMmem PtqyYOoc9hCQesfxUXGr4tfUVA6eIUyiXylUFpr9N9htdWGuyH/CMqm1QghU4c4S0PDxOOzRcFJl cl8kDl47BHlf3mcE988BtDcgTvdyiq4hkLlvA7VtSEtY8R2zCzQKbJT/CarINXvc/ZZIIjdoj3wD A5q9xflSD5NFRGtFInxMsV72zJFflR7PWysQBnEvE3ng54/D+akAK+6KT4gIDYm54qVO0HA0wLgb 69UZsNmzO2Qh5KaVkUZhc9fz0c2rOhv5QVONwX9lMplmUcfzB1Oyy8XWJyszFkdDATvw4tWHah8h /LBpZ3E8FJvqCUpz6iIgyDElmguRBMIj5Z4oDo/IMB/19GEQLAPh40dkwEx5iCtstn5OkH4yPqft 2OUZZwn7/PY+cLlhN3aP1RTqgp8M8m30DwT2eM6ap+B/1w51t155VhwgBIVhdf9bER4TIldy5yu4 pRZwwmGJfLgZe92FwubO0KLJcF7mwRL5fwrDYs6AtmFspbPPaYVsxjpsNy7nPfxzjb/HltNmbNro fNmUNCV6vaM4075+0mL756qheLvzDyopQKvGj4r4DtLqruwdnPO/B2OSnRHP0R01dWTTtosp7bR7 xdvCAce89AHBJ4nzO7HWllLeUVjS9PgDGJB6POCQRbqYnTQCzAvwZNoYpvakgY7kjbJEVU9RZf/J jzRqRB6PM+PJ9jhxjUL+YWdwoG+CnbLbDOmwQPl2/9kXwAvtOGgIJnfQxwRYfutTZW8YPfCem4cm tseOR/XrNZj9wMdMMx9qEpJkwRhYojnNVh4BvDAl+/HZtgxAtvffowSZklhASKh/xynQfuM55kjY xJyRuj8bm2ZcduUy2ntxhPirX1FmdX3GnTqzIyM+wf0399NxIfn0D4F8vKPHdjIckATqJeziRmas X/MwMCBVYO+G2m5qRmFLPBFPaCDhjUWvYwT/qExYhAuKtjTDhAH7O0JWS7ZdUm14LA8jki1PC+vl j7XYgS9t/B2VUi3iIew1wneR5TlfstAE52Fycgw+8nt59Z4ehG7aJmto1yqSP1D+KFBbFcTw3IgM ucChZtkg6uzLiRZlBZD2MBaPEhPuMHQABE2YmiRnUgdG06MmQNTZ7eCNo1bykyMIMyLD2CVFU1y7 wmz8idvpJoKYMLGpqfXBa+Gf72xOq1T1O90xZil3gepPzCed5JcqOrUd92KAYE1Nr1Yn1DfGkSY1 +CrgEl+NxhgOmIzzko0LHP27yGOoDSIQTn6OvAYKcxZHrdwAFO/DNDBTAg3stoNjoBsTi+YZ//hU RYBq/qnTbQfl7o5wrV4x3LrQm24qH10Cr1Qs7vObLXU24tMwMBlk2As0z69Heph66PEhZZK/Fyrh mpQTvVzWBgtBgbTU9AyckggQRfSsLS4KvbAzTLfZmzA9cx23lDKd9TKDhkpc3kKOIXUJ0OzeA1u3 XtLCQpk9RLKrnaEzofOWCS4OCel5KRPSltRRouTXcx7ob3fLb+tVv182ODUygyGm+dCitpkIoA5+ UBmcsUxvkR4iDAAwkXVodlHyPGLUDHTqBOO09W1OCSSQTg7REJzAbX6igOuA3GA8HsV253D4YyIb LSBiXsfSdbKReUy0Rd/vk8zjhNmVOBabq/ZdeFFKFMZhzt+4EVL1rHLIbcFfdyLIPVWBsiRgVC2q 18U88UMAglO4G+ha+i39pehLHdgTxZeefDjRkMK3A/jBJxW8tlQRxaVWpMpnOiwezuevRc4lr0PG etHi0Ird6h80UzGJ+qXPeZtVg/je/z220JgqU/W6+RauzD679TnBoS/t3qsbKcOvdMlHTzyPV+20 OQRkrw1LPE9FRor6iobH6W5ugIDSHHpfIThPMDAjASALs6A3eWrey6uEXDwgfTOKLQp2E1ltPM9e tV3hishotUKQuRYTedSF84d+Hxv7Yw1gYT3xQgaF01OvNydb366liG2JOiv8KqyclAkp/ybXjEtv hfpGlKTr+GTtuVz4QhcjlhDFAv3M/O6QwKa8EPDYsa5tAVE1Cjytz1sTw70QcX0cR1MfFQxbyeaQ HGS8pR9sQVpPsERanBOqQDSFAg0MzbosYmhnsU9Hc+haKG8q5gXtoGKbI7pZhB6yQfVRANB/0eOC 7FOkIb2k3b+tQm5JoSRaIRvFYhLIPbFnAbdRIMW7Angjlay9gU72ervcXDhWi7iyInLNFSnUGJbU JSuw7dxivLJzw1YdjARyqhLNdJcZXr1ARBtxa5tw3pob6kFsDU5Yd5TVC5ZNnXuwQ3egf0uQJ9wU 90dSH/rhj5xFHgbHtBdTWKzDrE1ZpjPQ1JHsT3fvHJkzGiBkLtXmMU41YN2jHEwG/nIQ0gXHhdbw 8/d6SE1CNGCwG9yBHqgVt6TM9IZsL9bOAhQCwlmwSZdM/w9PFI17sE6zCAcLWP+9EWYmnHv24tm5 FJHOYO0HLvnJw3eSLvmiK9d/YM17lEKsktXca4L97sRu7eojV0955SWC9Mxmu+slAjmdNB5NJgh7 BaZ1rlZfgZGS+wAfv3I731bjfMBgBEhjazELCQI3OE010OeYD4M7MjUqSXdJ5ptgiIOAfW6ZAaQE vMPr+PsHbX35TwFEgOo/nRSA1ReVos4rED1eysqhfxlY9MA278IHWyDSYIGu9QedrgHXBW2EnvGp ze/tCVw/usJG4S/Zn3Z+Qs0JBp2B0qwNE+9eyucaDaLN/+DYilQMOysM93338UMcUrOnIxT/6upE 2q6maiqmE+4IvuntK4WhRB3X49F09Ja4TOPORXv53AZHw8cxdWqBUHAWhpw2SeM1UsgPmB7+HRm3 tXnAwkFAwoAFVoo2h+2XqtBqAZdLku6MNhoec9CDsCh9+IoW5cWTy73RJRUNhqIZoy8fFvwSvpmE D+KR4YbF1RDHWEUDvH0HyL065w790XgmAPzlC6RI+IP0PJQ/LGZd//qLSvc5zdyHyYxosoKlixug 4iSWPutjmAXYX330T5Va8g/4dYAPswAo1SRsnFampLuU4zp7kHzZHlg8+NWp2qXHA2fCJ0srStZE QylrWaT5w42wuVt+jrG+LZroGEADT2jMc23tbWnXeYbXM+icG6o9HpMlp/flxNPV0Igl+Hh33nLT nrI+Fg1jW1jfq1M2biH91Qpon7+eVaTY3yny3HYAYS9sJmSf9XjM+QDi+4ZQkcN7E+tQH35U0QA/ vWx2YwbBHB1+NkiFV5FYMnIyTVnQKjWAK/1SP3XMqOZ43fHyLBMVVxshvSmmqiahgP9cWKyA6Qa1 oUF3AG/8Cp/cuauaiagwrq4tSvwZA6hLW9dgCJ8c1sW6jIEjE84HIAnkJsF0b7PDeY9XObm3/nRY sOpbQNwjWLzd5gC/H6Ji+zCrA/JFkNBM82U1+3B936GGwiFT7DFdGoNZ0+lfP3a7WMpC2sxl1fLG d0tbAs2zpMXSkD1hbp0RwLMCz7yudjDlzK23xlsGAPB8EMcNW7LbPGJnx922nmTPoE1gjTBEKfVZ HsOzhu1z+CcFHIl7Byc2WG5EW6QrvJeA0ZndpMfKGw4jozOneuZEE4STnyhxedy1ZMl+Jdwu2Kg8 nndHrmfYDGDyg1weyfCH3W8C5PHVfWOVNcCDt7eMoCDGJURZt/IFyQN2ZXBTCnsXxB6NKpERNxkJ U7U/8rphODZrFN8l+wYzzn5vV+OAh2ATHkXdbZdEhKddXK/6VbASM+vVgofLkWIMy4obvWDmBjLY DsJiRi0TxXKql/WHFIS9375wief09tU37Beb4cSndZ6TnF81eVyZAayaQtgNwuhRbiKaMQUEl1ZV JSY5tU5TP00+Dcsf01MKTPcYskY0Jsd4WKk63fv6ECERpaxBVadQAAV8OjQYwfhpq3K5lB4JT24n gyMASQcWqQ0a+yEUZTD+Lgan51P7v/zRXHDTkDI8+6/Gm29Y8dQaNkDZfzVlFNidwNwHGoRFRhSe 5YQUX4pZbjtsxCykMm6mqUwBOxE1yknXYu2GNdcHNA3oa8kIl+C3dE4aa2AfsmbtRG1JsCgOAt1f UA1y78wf2lOwppwcMosyzpDYOYK2a+hJk3oT7sSKFHEv354TNWK2wGsSwPpCoHrjfjsRyGtJTWYe ahI7iEd08Kf9VhLjzpun0+rOyr8CUYFI4bvQFO1544Rdd1xALaNU8RCAzTjZXrS31c7QOWT/HoK7 7OhZU/IgTLy/km6NBh+CITuDfrCXQPSET7pfy0Vjx3Zippxuq0ohiEqbB9Z6gecdhd+Hyke11w/r 3iHVzQBiRrsKEExhGJbHjqlImwTfqxSeFlPmIcfEYvCD3rC7Uzs1m1g4s1+6fXQCdiKjv6iWyHh3 4DH9vZzwXhPH6IPz8bqvM1yzbHLpCW1tSULK28778l28d/TATLe3Hth+crD9Kqx95zFiVByaMclT f8Z8VpQd6Po8jyx/Wy64Z0MTRa5lHdIwT+TYGXtozoyZEioH20yFjzh8FmPh6OtlZ4CbZSWpkHsv aWqN1oZw4PlapEmtpsM2fzKgJZJ1cjajw0wOvL5U4rDPtU+jM2wLYCSXvXqsNtrU1tx7+CJMvayY xzDlwBhDmhkDJa3YygyLr/vEpeA0dz02vulbdhr06T33O20cOja9vyyO5+vAfoCGdT4Xkj56Rfzq cebtJJs+vhKxdBI3A8K38lgBJjPaB+EKFtikn+Fpz3D3MyxpfZQpEtbOsNjCeDbm9MjmNwAo8BIJ Upv7qe33GNgVP9FhBYrKnnVPR6tu5sFCBFjUzttaZh9D7YUUUZiZI7OPBczDHRfs1BnegxGv7ZSF VUdOKzQeNM4zn1j/Q9P9jVyWBmbJMcOoEzMluF1oypQQ03Eh3Gz88PYh20HFkTzUF7/XNpMayKr2 onurVTIT6PRCvASfNx2k6EmcD7iItnUX2E2SSAM9wsDLkaqBw6VLw525btmRG/MkzEBLO7Bibp98 aWCVv21V+tLColN7jVeAfxNs11FJUolw9F8iUkdBTlCCpaMvsYMDL+fmeQeu1c+EMzptF0yT8+Pt 2gkNxeA6W7CuswLzvkDuIv8w1kBwbRE0Wzu/NqlR88oVwswvAY5xi82pNyKEr0T9V0WlJtayyIyu gSGccZ8Euwr6B9o9Qyf7DH+yiB0/qwg++ALGUaBfIZpbtT4liK5HB67KIV/KOjl1CAb+pat472dP 8OvJ9Dz8QfE8UTktW+SkJSlEuj+U+TVN8Wey6wN2k8nrBVyYPQH7q5B+J2O5HAy9mYAcckOfAP/k 34Ue3+83OTKAQJobpbcFld7cctyi7dJxUpSSfPRzw3D0taj9p9EmPwBuUq4DWtL2UyvpG3rEU9c6 h6uMzIqlkM4gJPE9dDFML3IOdtq97hbiUFmqgvl4zlcR8Q5yC9u/pLVeZLbMfxy5X6UUWg2bOw5B X/WE/5dtgyTsOBdkvBY/HMI3hGuUo/gxwm8Cbjg7NgZ6JKgA9HFxc1fe1peaMezP3E7/f0ainhpP GtaaXUruJWKBsU085ApDwAirf3oGBk3dlMkR6tQNo2wn7wpP0XF5CsdIRVzrUp/DCo+id1r5MPqs y6ERK0RfR9hGpJcEoan4CE6Rs8YtJMGHsOZmFuIynx2kP7cqb46HR/4e8QRqNCEft1WSA3FsNfDm 48LG9xlBbMCk+4VQfwai6pSmiD5nhhmUedEGFVvAWNUAIUk/kGdQt6p1jlOWSP7QchQuXtJ3BFJG iAP6+EfA5d4X4U638MaVZkieSVXPD6xDHOFsugW/QF1cuWB7isiDkZ6t7EmSie0YRHyo2BG50zCk FsVsDkDYuIRvCnXwUAny8mEQ3TYrIlBOvuux/xJmWUQZw53hQ8tqFVCVI2IxfqCzE+OoHXZTkT8a 2WTgDH93fX1NaiMKDv4OiFwZx9HyU7xxuFSnOTEH0WJsrNbH+9+oDzZ6dmBAw5xanx17dQBvjLrz qhlBicDjEKHBNQtD5Yk6BMpPFG3Mkwxo/SaoED02SaMyPyQsqFcY5x8SAG6lDhJfJygOSW/ba03f Y+BrPI5kBFLIe+q4h6TenzD/sq/0YqzQC015EsFB/AgPOeDqC5e3fgdUax2nlIxxOCf3pMPEB+Qb AI8IcExFY2JwkJU2DP7MYJjKiR5kFKULMmz57KucEs0dQ+rF0rx4tI+QN3tO3Fq4x5b3vXWwtYMv dz50GHM/zG0PG8w8VbzUW/Xl3WCECJweESovKcg+o3gBbdNGXqsFhLLdL6MgJ43qKZgJTlgUIhad 44u/JzE5549T78V/2aTd5tp7etKEV81N3uL+NmJV8N22nrFdMw/cNOtbqp40Oiz7dfuRYpjUmgSW 9SbkIr8+2b/WqcPtSgK6Sso/CCT687c7rq+Um676eAvsR8Ykfzkab74lAhl9md7JuqOfdzx95bIh 18nFZ32koZTprW7kmqchxMG2GrgU4DBnNKnTgucbiaSw8tq29APF4Ys9IiTQ8OFom2r8mgNr/uz4 SuRHH9SQGnKKfg3Ojjpm2m3HcnTvB/JY4pjyoHREsL5EUw5dlhOff1lXmazJ1OwDUZu1nlV7R4jJ 523OVQ3qZcxulNosEavCRrUoze9R/1JDN0NvO/O8tjGvcQHpTL9ggBKtRVGZmd3JcdOLSIsg1YrK O/aMC5dZdhCPqf909KQfeM8Z6/BySQOvWskPZ3Py1UkYd7M0tvzoWIdZeqehzcSbgCP80sTnbwTg As2sERpb1ODbrqLeTdK56yKdtnxkbOAiNgUzFZH75mcL1A1MJUaGffDg/PMv08c7fiwtcX8Mjov3 /nw6wv2sU3vyycnWL8R/vgXbBPGVkxqBWPPLKlzZyQHmdKbhRCyX+CE3uafBOJq1x3O5eM6OZRB2 ZLDUXApn4qOqZj3m/uvQQm7FfSWkoYeauUKtoQXM40xwgLlhyOc2+fsQqCUeQ7vRtL6O//8vcpFA a2DHZnQQCSy8hmx1RHKgGXcIzrf1gq3cdMb9uGorPba8MA6snIomwe2M+HAGCLaX+F+fxc6oHMOe NfeMuQZW5CQQeWpTNyQD80ktcE8ygNA7tibtW42WiFIGSQSPnlCmpDoIQZ/ro5QkVdqwG33Pv95g 78SoM1ueJs8Tw9/Dz0YuMuV+nhDPQdejl/NPkDcp3GyO8gJNYNYmDVWbVJ2OzA0R32yDsL0NNjG5 Blw2MU8Dt2acxg/OmyVjAhs6W0pwhElZ01F50TQNACLhZ/8aLajRm10TgI1qM7xJN2AEMOHXM2cW 53EN2UbcCRhPK+bYAC24782XWCrC6Tr0d+Q6G9bemxzd5ln0Uh8TTOMgk7d2xM6pQ3rAfG1JKziX l9N3EwHvMYADRGEbX0oRd51ZKD19Xl0ueoiKCVGoU6DhfRwROO4qzPSd8cYmfQSOy7PpdT6PUVRU yeMTdAfGUiymhPxGMQ8QN2Lkk/6p9xBI6EPEFYx9l8rWZUAqYw4thZ2IqhK7d03pSd3kEEZdIEQv jp2lFaYFOMMi9PI+VvC4/EUOe9Pa+JiBARRVI7Ut/zqFDWcuzdNQvuttahz6xmt9AFVQy/tQodJ0 lzQkVOaBliQrrtWjB59oBD+agvVjBFUEJo3YiUkiPsrajkot6UDjinyqVDyl8e+IaXy1LlcliTPt ZivPySvoa40/oqr1cGPItTbSRMUnkt1rO8Mr8FbR+KqQNUKJWb3x6A7MWeiEpsjkCFX10XOmFsP2 1zYV8MmIxAKeMLxeHDZY6tEOVJusFI35jMomfuqUhXXuho1fBQ8Ju3rGb0Gup7sW6JBp9UEPoW2f PqD4pJ5HG4wdkJuLy1LbB6j1QJTyj9K/OWNZeF3kxTlg3gnFvV1At8s6RJlN5y5GXjLyebhrHSkT llCaXjkdHJLN6x6WKtsEARtDNcsRzzsRjFVITXY3BqkubcawmIq1UgVqcnrvt7K6xJWJuuq7cZmj Hd7fDZ/UAkb7YeaCVj4QZo8REngIdq9aIltN7mxs4Rnek0gMApQZMm5ZX7xao/03DOx49jDOW9zx ydOZw4KMG1r8qA4dBlw0MLugYOY90wevTLHQPjXX0DPKFcePa85m4ZmwSb4uSKnHCE1i+GX5GfQp n2LeJ9IWYiEQ0D59ruHAYFoERKiKHkGxQEEvt5QikIXrSHUqTPjTLBFQoS7mqklHiOLMX66zInAQ eKjU7LeuB6OTmv2t2IMLGRqB0plngWIY9UuEL+QMItHQMh5JcU6+jrnE7DZkm49IftEhGtNb0fi/ uo+Gsp7oNal1Evhjbq8phNzg8w1Kwt0diKXGGoAI3NDD0z5ZGMz/d99f8woynEReGk7eh/bsWt0T zxLC7qzsTKnConMeXTwJrnfsgTXDbMK+cUSvFAYmRgcJm9OasuCk1Sw32yskTJJe5pUW+lY0GgJL tU0AKWkYog5aJhDUY3nSVGphHZUA2mMCDWJGOMAo+nwWL84Xumhuxv/1V2wP6BgfHBVRqJFso4iY qB+NC+2rdqOhznGK96ma6VfB2XdqjJO1p5UYJBQ7srXZT7YBBiNRMQ4QwDI+Qnc+n0cs1OWfnUyQ uUCsZL2qtwkDvjAlhZUfGCClchkrgKV2QzRQu9coDs896mbiq3HLqhCJ6i5RV59KIMXXfBBl8MJI EbFzfJNpyOjivnj0ucCDyHXtRyDV3I9FbrZJY6JgIqAYbx8MzBbrRs/TMuteHV/ompGBIdUxL7u/ CLcsWM9E7BVpGa+tQHFyt7zsx0Tlmm5k9AKkjz7P9wjDKV9blUXglZK/6jLQOcoI6mzcso4mDqmJ aT3tGD7Mz6OxiFFhOjV4owL3/o9biYHHLqAk3ysXny9YMWunp88lFGYJ3Xwo80tA6878/qyMCIL/ /R67cR8CD6UFO6g6Cj26vAmbh1er3nowU+I5F1KmEcD9S9DmLMXEok9g9sCYf2A/xwtZ3LbcS/BP i70DDJmWvTjh0w97K9JvNgw68302rVDN/Flv6oJrT7iQFMmJHyHwLJ2BkOagdsmggbR0yBRodwMm kRaOy1p/H1SVIzyO6oBSJKbByx2avLycId0SEfEAtP+6zS9Kdv0gGTkO1It+xDUgh22AWeV4I0Au HUffzaPOyVxZpbAKIv6ww6Gma4S12a2Jda+vpvoFvN5H/pWF70eB9vIAOz0aDD79RGQJDcYp+tYH m0tbyjm+pmD9dLJCT0KvuczxQ5UZQqVhj9Gi583f+gMoIFgLESKuJABUrAzaAziJG49HXSSOAHYH TjhYNmEAagrTZBgp7QTSl2Tzt8yySOz8u8OkE22psAltTmx6L5/wgVDUoyHzcdCGyQ75mdK0xnBy XQWR+ZximTaF9tOLEMvAiwX75unxY8FVEE88cpJI0C69SaAe5j5NqPOvc9MlU7Z8F7HulpUfdMiT M0tVl8G76Y5u/JB4X1+6WnOjzMArPIALGgYjhyZFzPPvEj7V7CrbY2WWz9JuJFNMUGd8w8n7Fr96 dQEvf9GwXcTSPEuZlHe4r0+uKlb5PFXg84nXVlwx43ojsnnNmtggs3YCqHZcjoyxBGZ06ni6UmZg KrB8f2IXo+p6myfMgtUo2NjSel5Gekh8C+bDvA2M3XIwHEoeEuy2E6ZBl2motUUUjoHtmahLKmcf cGan2g/sL5PaexGmtXx/X/bLpIPnRAKk3YwXDutBU8mP4ux7QT1M0jFK+lacdZ+LRUVrysc8dEap rexqQb11ivqC1Q7OJI70FeFs7yG00hrWNgmJ0sIQOlx/9fNPcnFvZvHGp17vYkqVsQe38fOapkOl yKwraE4oFqQn39HnyPQe/+rQCmBGF6Jf7EmW2ImBOtp5RmvZ0GS5pxLnH+tnu1MuDEvpkZkFUtq2 j/hzYaEVj3J88o3ggWHv+RYvsyyBYWAiuC902lLih75YXEvN3PUtcf9IMWAXKD/X87M++ZqFMVLU C+kBjvqSFBymwcA+8z2LBqkNBj1ZK1tGSuWVKEvNwbLo58ytK2ZFPWjbw5xjVOBx3t0X+cp+BUdt iqY3Cx3cP+U83CTl3dHYlD0qyasiCXaydjJKCMll2C7MABQ9AnC9ag+PZlVscPyWaVLYzYej1hqn dX85ws3IE1ac2tdCltvaglUNxMAmliZ1LaRWzbmbul5cMAs+2/b3RLkLb6eA+FtfMEd3Kn7h4aMD 7spjhHjI6hsY2QFAysXq8R53htlkf9UtaNO1qlZelz7usoB6JQNpcpZH2qUeRt1prvLHMqrpYDlZ DZTyLcvRbUjXGjBQ3GnkaPladsHfnUW+ArNIKxVkgx8OXa2KvEHuBczDCHsum8rIZ6q7P3vwPUpx SpqDglsrIk4FunsujGG0DMZ0IrjEmFc2LYUV5gce7okQ0Pg+JIpezhimYImABeSt74l+MFiE+o1t uH7sht/CizO7cb5NLFTiTr5rjwidVnJQ/kiMYuED+XTLgyA7Ngn4+2aNiYsze+SlrRsVoMDMn+Fn 6wCE60Rk3vzM7Xv2rmRYl7x2bE0b87OfCDExqiedLp3G6mPTxQEeK30i7eaOxPAz9j/XQV8Xfvtf imB3GRecn4zjaWZ+KcMInOl5+toKIuEL7c3JQskw02jKRzDx/GXGuvSs/fneDLLQDOGFZTJ4N4qD RFH1idKpQiw2NWRCdsaVu6xLVdLtAmO4PVJGA7ZaPnudsah5gTqYoJMVQ64k6/wPmoKqb6PocyfZ x8F8s1AEf6fmPSq0jWNlmninlVJ4WhOdokvrJS3GMeIHcKgs5fHR7B7lykbq1wS/zp23v4J7IEWo 63rXHJuGyi8BzKuNoSS5hEwvWU4nlCfEQCx4BOEhbU8Fe3E7EttrUeWxwNB4Lbk2QUp1f3cNstzM zey3pEe+kOYk5YCOVQTS0dCp6C68u8SwC7XYC9RAmc2XfH1G6dMVLZAfPhTmTOoafrpKpjsH2JFi 3mYeryC/FbtO2co7Jm5ckvLeOjs34n7z7PoyHAfo1Z6Oq5NIOINyK5/cQLkfXRpjBbMeoskswkWv x2eM2NLWR+vdVOEnB+O9K5xNZvei0Iy+M60FW6Qzk/Dk2nho67HKl7mV2r18rvcFE0mNAs1Lw1nj RWI3p2SqWQrYloZ/jctcYjFBbka2VaKgEk70Q2gASKUFus/j4KgbvwL11sgCkAg5kyfIV/2N34GU pNCqyDmfshI5enPgy4VBpS8pvhO81b/PK669aG3z9aFNLaoV7z0aPNsBQ2c0TnACkbXdJ35zycdm 4WKppiAoqo7M3t0lskRdK4paj7YH5/1QSu0wxAYHTIz0fxbh/mx7mNV93DhuFGdE7u9QEvJtBk1E aimiom3DbzAbr+bnOTQH3O7wHwpyE4mKaCt6spABSvA2H1p3YbEprKm9c2J11gVAlS5DyNjwJRkk MENBtg2YaAkVaXdH4fSkS7/tceYbuTlag6aFV68+Vmdm7wSscyEbD84b6qNxuL0o9DJMv/+bADEc 7TKjB9O8o8PzFrNP0kO9pjfNa92bYNDSHZcEh4PaPEwRnCqRW6g4RWHJkts5XjBvsyTXNN1QbFm4 6Cowi2pKC3MpfCGPX0a9QO7Di6QiYFdZy9xLx5AJ8ELG20c/ta/w+OachoIYYf8BU9f4TE2T2PIp VRjMe2fvktXhgcjHhEuNwhQT+vbayA1gR2LqKnHy+yJe5MGCRTOBxkBlkBnacxHVXyUNeiu3ilMs Hm6drmvjPX0apRlBbB3mxmDu/lid8IUMhgMTAIiv84586zNhOHGISQvjhRxVSffrrO54cPflQ5Pu hhSd7uFEF7nQB1tkwT9l2Ygfb1GAcuvehCOutfjiIiDApfDpk9QUzFvmZm9A7GyZ9+xhrG5Fwrlt rkPcsRDyGK5GzhcrhQSC3lVEFZiQ6T7DUVHc7LRelZKaUu19XTK3Ci89XrRJog01CBAVPRr1CfBp rdekHMZzdGYWYrojJMeUk14Xa7+OcTHBy2RB2V8XjC2T/90iMYWlDo41l1x5k2yIxcHZO2mgv4fh 5NY5Vw7i5kkjTLV5cFaThg28imXl4SvMOosQvmjx2kkvrW92eFBXkr6XKm9z+KrXi1w8pTJvudvr uFCe+eIvVctfkiRvH6kshv37tqzH5IXDypVYdE9lLyDctBZhHMPI1GsZP54tqmQJL3Y4V2IkJ0j1 QeyF1d3D3ASIj1fsdhI3YEVPTDCO+UZroqMYATTscan2ny60FOue0vJIg5JmptahaTWe7cpHc6z4 GZqHiySrsUEuRQUTxUtBbugAtJyHhPivu8LuNKqjRYXByAyxDIUZ56Ozr1tWzl6+I/Su5TrpQvkt DKTaOt9Dfo6hPqdkAC4rPPHMpW0miLIIxMHQreSmFqTz7HqzAUD/JZDIYu30cy8OSSIaRPc+UioW REKJfA1/Upg4zTxd2xNT71hpvx3YbIGD9m5pDT66UuqaoTXVvzed3onIf7xX5K7OjagnwOZXVvdA 2KV3bUcFUyRstClFWX7N5aibtQ/fr2I8Sc6p68QdtSK5DUTXtm/KaswHso3GmJOGX9d0EVU3O2Qa 5wsC6EMDTQ81dIc4stwZwVV9sWGwNes45/MAKSzFyDTy03sMMb85VCrBhEol3oy+9ylwLex0nhm9 UnJ2dZwEdW4i19xHJf5uZ2Dy2vxm2unIo0JVz6W4DcajRi9mGTTFNIaG5EepCb6rCgP8cXwqMbJ8 8sDMgjwXm3UY0VDkHs6cNaJmOnsGaSeCdOiwpmT1Ct9i1cIrgALSwG9x6NHBSqDc9NyCTupLKorP jgX1pH6ju7495AgPy7ZEphxYKL9EV1O88JegHpa5J4HNNowypQrFyvLG3lduqsTkE1av/c1fezv8 kux0Oy51gGYysxyPuuppVpwgsfP5NxfgboKmcIlAFVMT62xHmSJRBTVOaqfIEAmtPwxxTzrskY8x zhMnOuHwcSEI5myauJJW5Z1dKgjjYfljccvKg/A2D1E+U7OZSK17CBpJUhaRcMr917ZBC9DeVs4I gOoeZj2uV/KTki0rvajG4g3J8CpLkyuxjiMZqfIaDxuonA/VXFZrxymXFLk0yNn/VDKk3BSNES6v IsryeX27cX6jwLgDadBJeTovXlRAker2zWdvCuj9QlWZi3t0942W3xhysiGpyniRqkiH8OOKkTeI UVVtg5B+XD2mzGe4fDmVtNG54VdWK1Ht2iteqNd/g30/k6JUYFD2ugpRNnrGKJLOJLqn6ug8M6UU pUJw1RzhUFYTKL9or6+Wm2qwOxAKtuMs1XMyqXH1VFrQ+vw7yft+yLuXvk4yycdCBNBmKxjpQEYA 6V8RgKUi57Lvu5MilGEp8bzNw+la0EfLmQtDOF7rp2xp/MIvTT/qxSscP1LgNAQwpopMjpl5xsO2 eQWxHWefNKbifp8hxGKIXi/Ymysfbcygl0UCgD2OEsklhq27Sh3AgIoC9PJz3GdohFveKffvWJBa KtZ/TYv4ffCQgjeMzdHmi9MwHlV5Rz4xC8QPuPnJTTK8gO6jvyQyJ/1urZe2X9Kmht/0DKn/a22W pO8tovnDr47lePZ8kEp9JGI5di2WLyn3WnnvGFH5ujLxN5w/h53slQ5HK1HVqGJyi1iBIaYttfoQ g0k9J+FUU2rIc2GOdedd8QJV8Ifh9QY5ypGVCvL4WM0AgHwPJIQ5KFdrbACDTBx9beP6NQvtz3gG l+vo5ZRe8dGPr1le1R2CHGLkUmH86o1DyfvPq79m6dexZ0iU6N06KG0nvjTxbHqhWgy9qFTRuR7y kcac/G7KqZHGHV12NgWUev2YGgyqYM1j62kWx5ux/oSSNtg9aiNZ9mT3rhDLj8cNFPA3b9Vt3lZF fIAOqqcYJ4wg5HtUEEIgNPcUsuOQMERniFcPZfNKzHNIOUmTU/dWModNYMkbO6MpQ9twLfi0IXUt fU/Mk3r3L1m8EvkDEJNNn8JcSQIju8uFDafWBFFnj7VGZ1EwIa/HWcGF5Ve/iXdTGR8qrz95S5GU 6FupobUY3TQvYsd/csxSeIx4KHnznWeOeZsX2B7qZr/eXHLZwmE9yx/yDreiMRXTdbobBk1/eBzA iwFPpNtSfgM6B5/zYIJ/FtOnWYmh8A3cEsNM/+Y0/NECxNr79Cq81eWgeOLTGE7U0olhXYSSmcG7 R1kC5tcniWZH65c/GyA3NVAU0ZD3MJ7Q4ydZ1kXLeLeTbxxOhKep5UMG1rgfCuL9WfX2OZwoJIox lZGlZS0JFPNPuuSgDwGyGWJeRSEUa6jcV0SUueTQgE9kKzFGB0ZDcMcGgrc3u43Yd9MRGpA6YyXc /Om1USXVymdE543L6QOUeV25ZQlTt4Ym5TJNQqzZ9EsI8UMNGYVfpMtnGrq4DqKuJcIVTZowbQG4 /h1Xm8BT77KyzmigEF3AMZpIqToL8ITXHRuOz9hO/giUqu60Pjsdw9Y7qy80+r6ELmb7RMy4BOJz SyCsYn9xAUKerr33fC2RQaA4nixY+JZlKgZCau8D8G9NIAdC4lxixQnbTHGixVIkhwW0GN5jGq2J g+BhaWY85rUrL9UCP/n5/7y8jnTr9OINvgTFQ3afHyOiWB+VrFRoVSWvwfB70+hz7KxFbXHCNYEN PDMYtC7c862ku6JD6av+6BShHXq2Vh2QohwWmJS5RU9ImvvWDBT+P4qUTNSyIPyIKszC0WBLFkHd inTOzsOxeJyeeby2fdoo2CIluqxx5IsPB81jFqEsxwadbMotZl+9SOdLpxDHVeCvoA9Id0FdR/Gq uYRC7F9qMQIq0okEOO3Od3W9bP1HpHwWV9s+Xeo2G7963SqAePT3Klzfte8JYutcV0PtOxpm2/EP 8Vf9iTiPSlR6OlHqmB+ZqYSwHUKoafa7cPLMm+URC9BamLK1ihg1DVh3Us4wsIoqFK7DKVl0DKA1 I77kkoxWbUtyScP16UmQTwyGjX2o/tsjsx1MOiK1lpSRyOdd2pdcXO5gb0drd5zp8tsoR8YjPYay wqRit7uklYlcqm1cncMZEpwKyYqgXOOh9fZXfsHKHWuMfuhpPzEAOj4lJ0JWtzfvIs8l00DoyTEc Hv6nldru4iQXZY/4Wxtv87PSIreYhZiOjXgjk/RAvSJgS8wsaseqkI/AqdBsy1prPGqjEL9Sq3c7 +YcRlWBstq/FoJaSSyAEagBqE7AUWdniuMKi3I+yy1ugd3n6JZ0v+SasmAbdMM6JlCaoFqpJHx+W 2BBa7f214v221VfT2uyTVDm3P+KUmevvM2D3g/v8B6FcccbeufNDztZ35IhFYnfFYNUH3NRA/m2C QpmYOnfSted1tbXApC4rvxbOYaQgQnxxlKCXzCq597mqkc/UTppjrKf3jG3tHd1QPoyM57JV4i4T iaUEPgid7VTye1f7UkTEDaHocqPY/CA8LTBFzuzThESbbIGUInM10icyizZYfQM1+/gq5XeRwrf0 61MQU4YBOcf5EPBkEnub+atz+DzILLkkhl9liz83UKXmFHG/iN8Bl06cRFQXfi+uHcHcbvxpx1pB IPEfEX1z2i3Hmijisvnf+S1aAhAskw5aRDJ+i6uCRujSY9TOe3+8OebtUlmPS9LOfyJQ9QzoAfam EVsEzDXZc5ONakkw5i3yDZsQAcwGr8ehcKVHFqY/2VWbFpA8YUcHLMFcW1C4VbNn5rRwJUaKoTeP Z6j2HzZ9l1q5PjKU5K3RFWAJuGElrrgnhVlBjrKTCw0p37z2Lx4m23kWzR/oMHZiB7DHcXgaKvY4 z96B1WGD2GxFqluK8WEQGhAri8b5NEbD/y0U0WoA3zL7jVAlxf2HUmmWkrnKR1tDSow+HJhhapjb hkXtSYAZarW/YyfouG8A6je/q46VUarfMavmQhfaCE4BI6YvVAE++7N3+QdtZvv/Bhls5dSmjhZn 33q/CDJl0XL3UQPQ53+QItGO/46Wy4LxqweBueNlqu+mJDZDGEP3JL4EWsw+vyz6JfsSM8+M8gUP z/mhbPooqAGjJlsRFsTDaLz2ITyvnjln4JiSacMvxAn1hDdM0eYQ0XdHqrUafrJXbmDGRbsfmC5x w7CM2Emk5qQLaA5WIwXD1CmAic6Mzw2lkkP9EAIHiRp2SVxkd4dbzKH8SXmoYfOgVsHHgHg8oDf2 e8DXPlYw89Qo7ScGRWD+jUQMxL40V9hRdeGJKQfUwZbCiIiK6hAzMc91Z7chmOy2PBWrALKilfnr rqOtHCJEta6phgVJd1oISZCcFR2ZBCWqUIqG5y2mMCtJKMCopo6qXYZnePsDk1XTx0NXvgTTWwRt cRcG3KIT/4gTwgS0oDq2JQQhOEgyQrOupUAgo3i5m9VlxdOapkUa9abz/rY3jkSTC1ikwSpDsVi6 4cH02VcJNbVGQZYUoDymTGFihGwVSI8hFwI/kMT8muX9mfaktk+gQXYi0LWMkrYGM00bNERpkvZY KTgH9SW/AHkc+EhvqumNI1QoS36pZ5thMU80wUz1FObJqZXhBIk5zen6+Q6yurSosmXnH+j62rps fMbs0T13zpjFGqZf/nObuYDhS2LH3CKnB1ar8INR03G+4pPmOdNKb0hsEIFQjlmLsBHZWloa3v+f EFSV0BA+x5P4hCzyJX6ey4OzqH6kv6s0rxLl0Y5gIKS1O/HGuI4ShbjW41Bcn+Lb8Aytx+rRbt7X Wwke5R6ShjrF1WdEdba4nRBr2AT72plFffo4ZtEP8JNUNuv+ThwyCRA+kVvS5EQHRxBAR0AaPiT2 zhqf6WeuC86F35oijf2xil4CUjc85ixKuaNgwavgwW+5zxV4RkQ2LVyX+LTCpwCW02cHZifITFTg yN6n0Gp6cLEfsb9mQjMyZh91EF7lEHP0xf/pS1FD9e1JADReblg7vp3CI+9f9hrSpBo4VQNPHxYM Vu3upxHS8dSUNfuEKOzB7JiGTcxpmysVa3TSz4Oq7AGceRekGi+41IBu6gTbjsrs0jp2CRJIfotm lqT8BpIOM2sRTVpMWjko8y9f/Qp8dwrXr9Jn16Nz3klyuZJwWQWafupNyWFBT8J+LlnQpYf2HkLI nEq4bH8E6wxdc4e+XMh8TpFRJe4I+/un7DtM8aYGRIxCFOo5kV2cBJdSOQEho8aksPSeCMEYUKo9 1z9L17zAnxftyZYpF6Dp5l33Qai8LNEhJAcQOlDOX/1Xb7+GKJ7Zg5iri9W6P5lyuXudxXRAw/pq G44NXa63HE1t3/XXXhsj9Vc1I2SIM7TwFSItESW1bZ5GkZCuzhnQzOju6WnC2sKWTAFV/JFE5XuT tmekQHLOEwbuJvT/PYjTQ4m/9BRZekfwDMSvibOlcjWwFmBEaYOI1wERp52sSlegIMKx/kwe8v9s CtRGiAgVFmeMwIUkEntABGGy6V7pYjEKFlLtvnS4aLjRo3L6ajFyj59O6VVZK3G+N0H/Wp7YHzAk ZL1EQG2+cpw0RA1y442cmvqtAuL49s23Ko2MO20CCm9ZVX1WJ3VkKwOtqIjrurV+VoPkEreIka/P nD87/41cba0Knld0ss32pM/hHHjs3hMOTsZusbRd/9WQ5lNcxp9ktdyZKJYoIkCYo+lKACDXfoMT lMuuHCNq97OF6lFrrjh5BtEmflL2OKC+pCLwi+UqiO7R9yw44yE8ATs8fpCDL5gSLKXqfN0IhhZB kAKe/KZnrgeA6ddXilgTyWxkiFg0pjlMCPufZH8I2sD22KWmWY8sNnyQFFpwS/I+wbR1BQ1zdsYq Pgg6dZ9FNSQU8uaEKIDk7s+ryLl0LJcst/e+CnETIsrS5JJh9e4Nx701JWOJ9S64wyRsu/H2/pzJ 3IAFJjiIc7qY6WhOlWCGHWAeWWA5sKrYAKPGSjHd22M5baRjD64Ri3vjI4ZsYp2dVcCzpoIvQClL aASjz92CNLvJUEwiwa+8IGwXrmmtyrdO/OX2o4k1lOZULTUL3Khn10MWkC7gg13MCvbMTkOTc9zx rPPLpG8uEx4E2kR5qSaAH0pzaTjRTBJywosdci6FjVaZuLuuydJ3gReh/SI6kw+Uggc490cAbLJ1 mhSWVqJSmu2T+JTIWDtjAinxx83KYKgHmfcCE8N7UitDzNHLgRb3pHcgc413jk/zAhjLBEzpT26V r5qN1DqQHq9uRIpdRK569CtDfXFgfa8ApdPQxSlaXsTBJuGA0JhVN1eOBanl7dWIgJB9CtH9L6NU zwR/L4ZudnSiJImRWFyN57LaGucKaLYiD0Q33weZqrgQJzbZ2+Xpnqp/mi3wfakrKMmglDgZLtRC WR18tLx3mF91bkr/2fX/Mc/eDNsLeFQHMc1atrFVX8IyT0aI4vSd7h6dxd8IcuLavqWrBUeHDMgf +G/bYS+qTKGUR1mEbVebH/Ibu0JlMtJHYMeywkpXrWvHiCeMbbYoOZlC1e+piLBUuBeMvjaBVmyP wQSINzcfhrDLCXRuwjZALoLCSJYrQz80cU/wFx0OSRj30TB2TLjzC1CDn8XgF1Gwbq+NULMVieCS HDPq1FLpGfW0rHElX0z0O5PBhbWabhwYFPgIyWGQvyppq9dagGZjtmcrCYVNTteaXAFdbaOUeckR JnFeqNFczhGWTIlMPtr+jCtJIUloLvCXhvc3G8B/PSNqEQ6PldaJJefwdnljwtBLKefvAFjJUvGM L3okIVrSJhsnll8xWwDtxkSZbKHtqInzUBN1XMjqSSWZNCj3u9WLA2S6ykXDpo2n/1n+R/S3ema7 otQVx6QPWXybIa9zrgHub7kF4ahpZLTnpE3yacVClPpAVG64ArK6kXiOAwH4FgIiZ/cLzWoBlR9S ClVxQA5o0gJzDdUPKoCbFPSHTMQMirbFXA092Lblx1G1Wzt2/kBAaIMIzIMhFFkHgx9/STbTCSev qPdxC8b1dL6jyOGePPwbbmAokeHWE+APrtHZqV415J16365/EcM2lq3gs9TycXCqSa41UXvqlMnw r92ZC/QIiuf8WURnl7uKAIjJ/nRuaVNB7+81uCnRiyOx2pvGeoh44FIrFG9GY+ZAK8xtMhoXa0uG Ix1ovyZwj3S0mqd75KtIBAP34m/DW7q59NihsWjbsEKHZKOSD4OHJXScnQJDZUs9sjNzoDVbUCqT 8AR/n0RhkdCwSrCem2PhzF2KRUYDMK+AXkSKMbwbXrLqjutLz+p3SgSUqSD3+2VNeTsNMGwQ4aQ2 odMw1nVNr54bYhnLccWw66naSKLMBASfYtJdbmwTKHY/rlRonipUwpG1Lr2QYW6la+o6hG+dFLGL OkNW0rB56e+FqoJgNLIHsiIf/FTDkFk48cd0/PJQsEQCHCUt2IB5XeeNUPaSGkbXUwV6iktdHHu7 L/DQ0iO1V1fjLRsI4XZvj06dQ7A6b5ZfvlrBKb9h6Tz7hIFcfMc2Ndx6l0xmxS/keHp2yTnJqPnH g67gXkP/1EGD4ptpA/NUL/gLIlMQhe4GaNTRIRFp6AkGdWJkRV3SHXlIw++4jGC1+iGi3TJgTxLC p2/bsuPBhjAMIE73m7+Jnt/B1m4BsBP7oRhn2sRE4zhXCW3QA7I0NFCbrh340a/SCZZ8raHrXIuj 8DKxi7jPsWJHh0xQ+2tux/kGbKsiAgnuywaugQ4187DJc4U5Tp5GUliGmPuxyOl1Iof0ll/2uE9b Eyik2r6FGXnXvxA1HD7ZGt/JDMh2Nmj1Vi/IxOmfGH3tlC868ZzEaOKVC5sRS4ZAVz8CshuLhHpN fB0P65w3IstVsdHlx9+MKtvuV8Th6cq9cODAyWu1ag6xh3ByxUBPeuq8rKEms2cwikidy1+220dl iHNEdbB9n7ExKiZwvg6ndQPg1PashQeDV4cbuqIiUOTb6UfVffe0+qnVLvRwogG24fciI6Oxs1K9 cz+hiFCxFAt2BpyOavaHvGWrXTwHhLEKuXpCMqLMYyn1noaURcnzvcce4WuNwDebZkpZy1JJxMCO 1+M7p1rdle5bpwN0pkpmvZHqYl403zggnp0m34LPtnllFGnbFMUMngmHj9G1mbnr1NAUhcxR3Bnp a4OJToHKGd/EP/dGh2RJ9uRSUG4oQQehP4HmDm342GZ8vipo7OIyXJgHVJgnvDV720643I3d1IZH +Zd77x4gnF+M9fUHzqF2PQkJ9Uaep133Ie+OvfZlfrZ9iXZZfJFehzss2ApO7T36G8+uHtVuP0cY zEBJ8EIPhC+ytDjnTI0gM2k7prkdXaDjowkJr0/u+RVCWB4SUJ2bd6P2NZcU0dx112pkkSX/wHhm L6wwgAGehiSCB1eUUtiUl25/nwKWccbgEYrsUUtZeVTe5A5C5wSU4Ys990oqktXrxmgrs+kVlhc1 GJ4zIF1wtabCV4BALjhN8OnefsIiN8rLmSsjgT2ff73oXrIRb474S641PtAkBvz4Acd/yt6EbuFh GcNX5r8jv4MQJs/cZ8wBrFuuWxLj1GN/QMQdRQD5rt65tmIuW7OU+eAtmey3DnaIWmRJe+rP9hht WYZJ8iHHMsx4IwPwvgyMGihESiLnVUOmC3fdTCflzco09VnQ+SMUWIuGvVNgOCuVV2jT3cMGg7Er N0Acj4gejD/cdH5itZvEyxDiJNTuzlmMy4PwHj7AAas1GdIjbITJUFzgbKUZ9wgbAsK55LXjn4/x DJoIKd2aWlABvjbz2WbVgomOBBsZgcFiD35aRj/t4jwlqcf7IaGmI6BN0V+DiNGRsgTLGsC9eltB lPoYHQkuvq8MfjvUfIMoaBVtcOLsgVAW631aJrA1nUOMuA9lqVJfk110nw3DDoVa5f1l9SPDv0vn evYRCh9Fi67noS8qaEAg0BaO3Vk9fEXisquk2y0yOjaaTErwsAQ+YR1q79gJ/bI6f4U0c+DVqdjW ER9Xny2YZ4UOYnw7MLmphUJfzkXzf3NTMiGeecISHfYfqWbFkK7TKa2HFSp0Q1HyhgiNbuwn8sGw kcJalkJxwrrqlzDgSC09VVAgGy7ghxD4SJniXpFa37YVZZkN1G9oZdJc3RDwoo3t6707DaWaTZI7 otnrQSeCH0Upq1uaYqMaSppp1nxr+tqY8gkZAAsdgeTB42UOobWHVk/q0i2XlECT38T0Wf+8hoB9 3vvYsvLdBzmMNG7HLiirfXZQtZI8CKoUwM8BXTzQTb9BPacsAmxVNxQJAgbjNx7WKvj1cBZ/i1d2 7+DsOfx4cQrtinMJsx9pmtUMAIrWrfuI4JUf73wOdF7coqBgUMo0UgL+kN+Wp+NW+FEidsEs7Ge8 AVlMPUBZvabo9di6uffh53Fnwjd8OHqWs+4WYz3Js4PXILjN0hxA/idg9PPnxvRF1c73L/3ev0Tr b1IdGnoWqNdQyKZ0k8kQfu/VFaHkKuGfGp/n996t8xxj3sNE+SaVd+9/hfIkDE6n+gz7UrwHSVpP LHqVCSp45UcZvMOUs97y7ZW7svupKHDDOmQWKHn0/0WGpPRSoQr3D5Lrsd9kOHxetDm5JVtN9cQC BLrYgGat8QqILn0DHPSqfDbRO6iDdLqvXwBJjg1t0SG/wX4ErzY2Ru8K+Tj3HQZ8bL4PG5JyaYHL NXgtNlOFO46so0SnHvyBzJGbZYd98Z5QrdwzGSxvlmNVMa/bN3IlKyvzwdN45c7rHGvE5zQa7F4y U/3lmSkUPkN8hCXyzwarFO16Q2puKmr5mOLbUP9Bnpez2nbfkH/utF7kJafPBBXTrb3lOwuTZpWi eu1OM5TVzqD9H+N9cHDoaHD9UODyzpGldYIwlcJE+A993JWZsVYqc0vP6sg8kkqXiIqIYTiY3lNr uwNsEpZAt205Hho+ogSHMGmRpw+IPpbomFJ1rdkBweWUVgbfm8NJcjx2bTOiR4kK0I4hN+7mcz1Y 14hVLSlVzhm5q1iZwvhRvFAGnVh1zq/WBqBaf+bXnbd6f9uprB2o38IOx3KpTtyL9tIF2fvN0MdX wr2un+H+sVOlvoNNItmn+gRov4utpWEjHVSLiQTwgG7z1SprXqnPkRxKAt2Ta68AOLIf7OIXIiSl Ru8LQeEvskIkMAcu7T/+lFejs1dYglZqYDRwO/22b5ea2tMySUnyMO6TuFk/cWd+yBI7Ja6IMssr cMpZMvaGBI186bQmc3PIP5CDKy7wgf5HbhFOfdyJ6fAjCnaCyYAYqw6laBolOFlmagvJX74Lw/qi FEaV8ypHuPfb22Qaagiw9R7Z7sC2g+uYBJY3aVt0yAvizQIV+fYoKUvVQZ4sePtbK+53qKFCB3e1 G7JGdiY0OZZLl60Mo0oMYb92w+JjavoEHRwAWY4/wCktsaj0aVEC7avPG7jsF1FO7lnZB+Oo1U2/ 1Lt4D+TdW2pOO/jyaDgbDP3ijNnLQ0zJPlaNvLXsL/VMIu+Ne/Tw9K9WioxlvjRPR6fMQyny4Sd4 Nq6latOXuW0vIDTuTpQFaibb1D0i3PGG5xg/D3QqvmauB+2VVsrarSKqNlT9TxLr8wMkN6XrJRra cYgb3kWpn8FgoYMhY15EGTZRKCUTEKo1yOe1Q04Hzui3GMoobyi3OHHn7MXXqz/+s3q+yKoI+/0C r7HjSXPu1EHXNJFaKfP8NgQn+ujfDvvoHbPUBnwYwM4JQ2Bfqg6796acTsCwHBKi2OPDN6zNv3Ny 8Hzj/PyyTaAd9zDKuXg7cge0lJn3PCkED1k1PC+s9/fdl9x3U9NaZzS5GjdIAvmhf5zDlgjLvKIB Kx8sSYzhz4AUa+lgfuz9AMeNRcS2J4MbbqAoXS4UNp68w8tpiHwf3iTMtWE3ba+Js5OcKGf9FJYC MFYmlabXb3niiI7cWqOjQP4KNIalnvV+XurluU87LkqSnLjgKcSM3KqEvH/39MGSuCdeUSNqh/fH /Ut2EyuLs+79XuNzp0hYZPpvZFQlpFDwtr0dMM5pHn9L9PTTfeTPaSsJAR5yBwIiEFVrTnTDV8pX +GsovRjcG+tnYhwt07SJmeNwaQfTHnNiFc4rwnxhk8vKnRc8CkNjZMgOtvfBwUv22iAv+dzBYlF4 psi/xiE58mPigV4vgynont6OLfk4zKpRUamMwWWZ2ISnDtnAQSpjiz7ify4mJoqlU4mJ5eVZJcFY kBE/Aa6DVkZ+ngMccgMWrqao70ziSDQ4onvNfj/qt9uO0ge5cx+x2H6Tki/NO5UYoDA+G2OWmrXb 0vEcLFa+yzURca+XMH+45B7av2JadlqBsw1UOvy051tHz6hoCExXcCAIg57frF37kjp118kRGvpg SVH7pqNKJSHuO7pZe9DrC6puoTAGj/Op3iklOtn4n1YC261pcrUPaooYM6xncMnam3BXAmFVLQaX dh2SZ/jb6RL2hVhW5X5oH1KCaILbKFGA8M5y40so8cgZK1RvL9ol4dO0noKh4gjvr/JYGzxayOIb 5mBx64kxokG9NBFcirT3ZRZNyBcQNUv6GgFxqe/yEOouPWjYfP/wdvmBtfkReKShy6CkVG/8o0/u 3lWmnurHV0859hHDllmASqpUyr88oiYaSedz0hOi9qekMmtRBAnSgevjs2NGlqxgwCR3NKiiyoZo tUbuO6E6P8rcU9Fsni5idiD3PlfvZ3c3RBrX9ajcjLCYkUswjxjrgc7KhErCtothCuCywYgA/5xS pn7MQPQSBZNhBHWyxsksq0G84zVdykSIjoRDLnp+pYH5hj/t/pJMPhEMXu/mI+pXRh9OQI2mkD3q QaYpSszh1w68ZmgtrUstgIhDWoDedEJ4aVXKermBZ1UUrSSQiFEyj/OnWyPKu1IfT0LVO0n8zTyI YOz+jH32pVoXrEIwSCsWH5OYtlQEZ75zPHEGfo1wUqcURPEy8xjMqx7gTG6Qic8zXQUcGl5Het0U AeyOxiUqQduBvUSs9p0WkzHXY8mpFteBkg1uD1giLIb6HBW5LnVt4fKccLfdtBqqPUJ0w79rlmIl gG7yRJ74oE9QAyIzdjBl7DTJHRU5GvmFxgasTprSE5vGS+5jJ8jbdw6fBmwdLn8yi0u6KFAY4vPo C1jfDeJHz7MO9kpakDuY52WFLiJWr6RmwEK+cWLclXSQeGs5Xb9gamZzvxfMS5c2+ndz33E8SsCZ 8bhszk2AZjQNErJsYOZIE/bPBKT2zalpsSX9vzqijDURVYO0vI/rN1m/kVp5jXztI3f54D2CikCL KJF32BFYCYfOtLxoN/M2btZ3Zy7QlwO/MfjQQiEg/JedVQdCOxfEncHm0ohuJstL9ueAgFzgLv0R CyNd1sf4K8RvgLtpOIeCZeeeTP3z7cRYLOMKad/pb//sq649UNh6ZdcprSU5BzcosxBqf3EeJQqC A3tweOtSYg6rWKw6crMRN6KOvqQdZNsZQ0BltnnhAp4pP3hp6XH4I5r22GGR3grsONmA+87wDYI7 dUP/5X7xKqsomwJXdh1Ah63sjCWeyYD2WPJmEuNTa/vw3AnHPax2GFsqydTR76YNHVFJrZirk+IC 4WBHZ4m6QV42NpFHtXyajwr+jqnXSwLrwTk2SzFnwcWKNEtgvoEAdjOz+rGkWajrdadicPo8er/7 FLKTzqz3ias5KrYwdDYdHfFPJpt8Ebos+XrJiMRK3xvflR/CKSBlV5kiJAENooOeSFmE6PD95G3/ aXV8VeBY3aYGUy+JfUq+P03gLzbnktSzpHps1Rhs6dcGGlQnoRbJhqMJ220yankQ7x3iJ2Wf1wi6 zDAfZDXSEU4OtefCmxOiOmLGkn5m4r+juKRf3vtJhXnzSBCO96XUPkNMYyeaovTvc9TmFrR5Y0nS 3JCzw44RcE3prp9T5CokAkK9/a0cEfYXFL8sQGYYmROrNxcoA5D3ig5SljsHMkIeTvTNZ78rXzLO 1qT78mKuq9zOZ3yyvItpSKk+NUzr8nsLtzghayJ3OgbZNM2ehpyPT92A3RfMOL4u5R3X2CydAElE 7tGpg3ttHNkQaX67HuY8sSIqqqqABA1iz2xYWlYsnJ/Yv87Qzt2UHHTlWXiGkxJJZhKz9iJDXPEv PjUj9Y/dMc2NqrL9JsDPT6Y2aGzNjlm9FxEzMBpRmIaZNfTHMvcI7VWxp6nroxzEAlmq8EtR4NE8 6rfAbgtB5rlwtVFPPWh+iaO3HtFM4NLYCL2Ge7meBLxXxa+92yr4Oz+sj/GoqxsEP6I0ZjPD9MO/ MjqPBhjwkReg0WKJ6peCZgRa+DsIuTLqo9AnBS+ISXmeJwPyU9hSlM4f2mpuLSqFXOPaGYgIVR7N A59vBUhWbTBfyKAC1pD/ZGa16pwwxw8erN6i3zn0buzgTdvcSLcLjfPBZibSk1/MmkD/maVIy9K7 uJtTe6dKptqcH2GrfxzyQ/gVL+zP6n3lbYu+yuQ5GxpeIuwFNcbObtfGwjXNOxeCTiUrQL3rPTnB uNZPM0DunDvOSzK4vTynzI8Q2c9cEuJxP1h5LAnJf8pjmZf8r0bsM+LGq5rNNnKqQWr1oCsjvF2I mkm5TVxT29ihQGCpHwRNDrzr2cenPyHqJOj7hit3uqUc59J5s4zMkKGHdbf+C+MbQeXqvl0Dc8Ke sWvSFLPR1TL70/fB/Y05YXDJkBxau6Qd2glshFgaJKimHH3JKlWtsH26kth9dkrXk3+nrsWrwp/1 EgQujhquez2ls1wHTAfGyG0FIVfDtp6CeLENzYRVyruJi3roXDe25X3Xw1pHW129ejPxvlgFu4Mq FZ21ONbqJ3xN9nHYyApXPQCM4QtDDUzFQjbz2N6ZrCb6PA7KhPeInZKCVeqayKpNdCwmv0UTG6jt trE3Dy1o7Gs7KsVCCC+0WS6l0gl4Cze/K0JuCtpfwrhUUjdJaA/1m9ML1pf7XCmIpr+OSFCrMqIG LcQkWo5pmOxMbepc7++Oq2p4aTrjxHuZN0iAAs1x0otbCxTkmtP6nRvmLgUhAwmoZXL1hI9/+sK+ rAJ9jnHncImnPYqwvrTOs8P2CP2nd7j0RI3Y2PH1GQZUN6KNKC29O/sZfTNsgB40kVMrnitE2/lm mnkZ2g2ch7jGFmWUQIMtPDSrgqeJNgoPpMWD6Zqe997qxyZOC+gX+Pe+zbv/iUduVpWmP+YkfHCV Hj6XTYBdXpqNH2mjs2SHhhBRgj5PP4XEosELGtZclBE6viHddCwA2DiOiztceRU5jOF2qMspJY7l vHYpZW3AT6QHfh/uHElSoYAsw+q1+2LllqkpRO4a8h1jc/GeiWGNL5pt/2ZI9zwprq9tLIYYElg7 9EwYil8V+OsAMYeTee3TbdM1uihRLZXn/0wNVwIlrB7YKRXzsLk/E0p35PTwVvRzhcdtRGXeZ/IV VEsyKmY+X7credNBr1lw6HG7PHMMCaD5jD5bXdXG0nFHvCfqNYskui0a/JIHEl0oY/vI8huQnEwF wIp7D6xOkAZneRdcHaxXDvPRNZAT+Yapss4MLBOORJUtYp2GCI6PuTW5jwpvHwWHb8btgGxwiwOb I/umF5ixRTHg6sWNnEV5Gwv1Zwhpp3Oae134IQbPXaRhlXjyi+afA6Ab2gcVssIOdGWJkrT3jzaC IXiqrYpgHsj4+Pj+nwJsfyOvQRkTmEh7LzKxRCZyCs7eSvBmpUSsHwQJ3YiNWUb+qk23Y+FHa1Uw RxuzmkSAwVWAm3zJtc1dHbxT3XzXNt5hx0H+byMq+V87Spx4oWekkWnabxh0E3PPnnGvXhEZVMMR bNOKfAYR/glKIBiKe+F6B5vyIX2J8gbs12KFREQ6JlGk4MjAp/gJuFhacWSF2/nwLo9TPy6cFeYc hyzmKnjR/sid56dYPp1Ajd0AwzArxN3fFUfdN11uhaFrrXreZAWJqhFBpMnnlXDFba41XMYdHsk6 V+No+vC1/kuyJZwk2Vbaf0+pBv/RyegmYyZHLyRKKn+rV9NLLw3KZMdvukDYJ2ONpS+gBx5F9xtc o6DqQA8wq00UyGTk84nm7iqgC9vkRGjwvjMIwYeaR/BsqlaKajgDGt2L7GqgIFZxObJPxcqd8kVh KLRl9n3SNagYsvxjgBX7rq7UIw8gDJRRbrK6vEYO4/7lUmNmYoe55tdvDgTDpMslMxMACsueGdN0 GAV+5dbAypoT3JCT9a9vgApHNK84BymlR0GwjKvH4JLaXbnOPxElzFU06bHXmMpCoCMKQeiZAMva V6b/n1f38h4UElj/M1RKSH7U+INqiAgobvEsKNOTrWDFxqkpk/Ov2k8mAh02CPQRuvd4pmazqv5k puYHfc/suaWwNddFKt4Y+FLN1+LzlepH4sMRQn2LeAGOWXnqNZQ2bZ/U4WJMMtZjK4AJqCxdk8b0 4vKq4YfaWawuJLufp9iFBJuCVXgy9dg7MRITvCHIGMOmoBEnwDS1QMluV8R7DuTA6w33kOKmMCZK 8YODjRz2qvcCFmUf00xKqdd/FVY+01DoVIZgEpq/svae11X2GGQXFbOFfghOmZstuwxtUb+G9fUT Hh2h9c7zJcOkZPycToFSVRC/iTAUpy/TBb4fnEPnVi7/N7SO3PbNN2tMKmWoDkaOjNoRxC27vQ5a nQ8wqwbXwYVNjgjs2axiau0sVPxfyRDiMcGS2lIM0rLneX/FrYgvDwvTVq2cs4bFwPh7IQo044jJ UR6apcfhFdFq9mr/y16RBBVJaK9646nKXl6S4+enxPsq2EOCFNlpW/snbLoQhUyhrbDkZ1r7QPdt HxZPPH6v2eWStZi0MFVceicAvYJywUNIh9/myqqVAyLU75GvMZMHZAFduZfiW2L9QYdP6uL+AQ7k 9JvEGf5tPrClTqMbw6hTINdanX1WdHaoudNzcOqZRYHmklrhqgO0XfqVEwg54k9mLPIhH4zwBzWU wcCfIZR7Y55qKGfKgjQTLlLqwoDaH3bLpII4wew7A4YVlhy9S+H8xAIZcSdrMfISttdS0ZQLrGyh OUH7N8MZplOyrBnHSCK4mfQK/bhwKqYSQAtCmP7fRhmmiV6kvx/hjIyhsQ80HpnA7zFZ6ndvawTO ot+WI4MK8n26RAT4khrgV1oEAhNrsXDwf4xqi+kaXgwkTSTJcwgnUcwWLGizXXZ2omeuBFguiR1j M/JnzdmI8LvrNeuJPtNHKXBJEbCHpxwtlGtrkjUuU40MHOdAbYvxYgPECvIOEj2s7FzN5fxbNAAd tz+kkAlqED6l/DM/ZQbuLk/oSx1dqPxr3YKmnRma24z6zsU0EkkD79v8v+pDM5ohqOuojCRJjWMY cddcoJ8PWKeODvO54+qy8nsUzkBPpDsJjCjo3HzcHecMJnb/Xwv2jtkPOEOL7DNVVlHP0rubxp8o Bj3HA2sPLnOIuDlI541nHYVm++iJYBVXaik8pdHEv0McOATy7GhdE2weDlS+oHaBVktdVDc0knsg TShDMRPAzkeeuwJQXGnx+kNlS+SS/QWrPG92Bb8CF7fSODuIwFqtrjWCId8TVXSiK5cv35oScnAl q6oqya4iAbYhf8jGld1pe3Ee6EZHBdmgCPXakAXNDGJyvbOi4qd+scVlocLVhpeJZ57JzEknSF39 XAU/muUEyGDZA3vSBS6rwtm2eLXKI3bjQr4twdo9z4msvObaUso8gvzV319HpLRa6AE2krWAwc/2 bZV8fxv9X8wcxydwc9c8XKnDX/9Wl4zRAFI3SNFwHaw3/6L1di/x2dsefIQbH9rv1fFOkNY+K4LZ qvf8Q0PBo3HaQhhFQ/dBER1TdqvKsET6nEmb78B1yyI+0fmHSv7hixP/bmibHzXDWLqvvKaEkSUf 8sO5k4rx6kUtTOQMv2snBI9avRg1LulXjHqPqCK9G3K65RWrRO4baTzdRBSGJXexQcmenriWsgqs NdG7meCrI2GsZcH0QPOd8UxiXAUGumPZS0/emb1ezaVIQgQ84SJoLkU2FQKsKnzIo2GoK3YQ2lOv /OqFJOfmYKIZIo1X00EJpYfBHkAloQpt8c7GkyMX9J7YqCY3tQAPE6224/VypxglsoYqighh99es ytrRJAnIbc7Yg70efHLu704qRY7X54ULhBuf4U5AlId7FWg2B05SUY9GNNfGyblFicjIw3skXphe 7Sba58XcKxyLLD8GInVo6OgABsQwqLx89HHMD63wwE7LpY7HBXD9PiOf1WpTbjMLoZilqHZ2vY+b ZwSxNIL0RTMLcP9o23fWVwagAgVylaTTMKtcfy6hpExuKGPWcTgIYgUuo8KbXjJl3fJoF5ex/tX+ 5cDsUbmAzgJqYpq6hGsIb5QQdOiysWYfhRdXl/FV0VhHom4/ncgFdORZcs+5ywnxmVOpJwuCo/AS mPEyxGQu5PThVSwIZ0MfAyJiwE733EFegSbblcRMFc0c8RfczV8mzmnt+ML1K+4sJ7EZg3riE32Y 1Lssk43GaT5lJL/ctUK9JD3DZgcTWW9Q60qHgE6IoTcoSHT/W+7usHG6tb+mxwVjGTjc6Ubq6kV9 qrRbtGwgetVw3Kicsfh7kmRPLdbqcuC0zNuv3iazP9A2Fm6IMpr88LsGDclInGOpd3c0LzMVileG wixgoWmTVK7hpywJB/9b4nXBed2FN9l6r4mEfB13e+q+bDMUUxdklvebSIuFC2yG+IGxhcA97YeI ehcrRs7Ji50XI6yhboFr0DLhnixJlyOtCA70W0bCVXnStutL+NVVr/QcfD24k16d2JoaYpf/zg49 HFeSzgdgvnj8VG42adPbRLMuWbKHV7o3btuOFZ3sOigu53f+UtQNjnLSkVOjKjtvelQgu7c8ESWT s6M9hH/olodSmCtsnAGVB7h06ji79ru++3KEqf5TZRavBA6e3bw2NCSa6EmMFa8VdJ1xkmb/bwUV JdJLS1sd5Qjhb553luMhGZVyRx32ONLsFbqnncM3BVT/eAgfhMw319o8SHd3x/ikVCQ49KM4xYA+ cVrYU/9H4HNPmC+I5A3iyYAroiFZ5DJw3nQ1RhZ4iXmJ8M37NKibQ6ATQGx8upze9z6GSkSzDAE6 nayMjBZQr3N9lzbOpAHTUrljS4+cNTBZnvST05dtY9J+KM3DfEPwl7j8B/5kr9lLi5Y333sMVBbm fxygIdcUncnmDrOMkV7D/E7B5pT9PnhkIEQ2JOcC3ibYkecc31KUPlqELN6F6DJkHi0Lomx/7Qrg +khsB9miWfu4V4ZjwJx4JNy+CC474OaRWEFypIpiA0erhtq+pCzOQDqV/Nht3wA0wUuNvLryl1/v /P+WY8bupPdQME7eYlbi6iDOS9z61A5IFOqYMl1REK6AREQbcILilfMJGzVndmBWMWa8zC/MCLQJ OYsRISRFx/1grf2r4He5ISxnMiSlK6iKK0xQ/Qcu31nQjp517dAlfLy+hU3j+kog2FXyGB3YDMjr Q70MS7m9QNOpTZ8SsshMFutUIugUpvSal0hSsuIJC3YPBNKQqE7zkyW/sdgxe5mAmoIgD+MGD88n Dr4TNVvyVoqKELFx6M3RY5u83b9Cs6CwQ1oe7Oss0MMr0zvLZEm0NN02RcSsIERPaL4ZgEa2XF5y fVYd5/v6fhBEm5lZq9tDeo8unumd+2+0+VtWB/iZEAgQb7oZaQDk9QB7zt0SjkbBtd297taDSJBf EFqwd6q3nJyW7R7i51dt2uK0ePntzjC7QamTqeGEPnvoK1Rxt9uQ3Ez+xJ0LsN6qLpEv+K9NTKtc p7n6ZVe4PiknNMkRPQqSFAPZCsTmPsDg8xw3gRDiyLKVQzO/s2GolK8pk2sn/NsDsfczIzGnZvKX 1kVzFtIQP5W7cgInVvJgerYsV/KSIbJxUSzOW/Y+CyNy7hyHUX1SOdqz931Uyl0KveFK8j+guvAx zz6hjiPmt8LkjJRVxep7K4YnvdRqSm6P4I3qKMOC3jiyoU7EypYZ5QVZHFPCddsLsH5NHuxpGH3Y T3Ef2sFEVN1XNI2g8I3ouvEEHxSKD0/7NJwWekZ1hdNQvWXCzrQoQ3PqoNbx1vw16xR4mKQ9pO+I dciV9NUbvkM8ssN8IoBg3F7H8j3nHRetBxVObsSY0TE7DaaTOQMDkzW5sOiK+XYHsW9unZfCaWO8 nTFxe1mqddRrK2C3t3cc1vYxW36Ih6ZDmd2JrQ4tfLaTa2tZ9xx+Pe+O+nsM8L0W7yBEIhC3+D99 kFYgLrBIbYBsYSlvNpvKsN8rtZxBFd0D63ilhAIQgBYf35QfGr9fj47NQawsIAbK6TPaecK2nG0X 2uodcNR8xT8zNGRcIi9IhhgvAIRh5XFYw0PmR/CFRhyQHrUw076MBP74kzVr26j+Pb3MqGgMe8uo RVK3ktDh05TxJSH7Sq67Fx2xyTA20suLjIjeDSlpM4IXoAEha5yq+O+urwtxVBY7r/SlmoC9THZ8 VKnTu98ekORWuU84za9S84p2FgOlJmpoLIHtenqsiVPNyrzJ5aaw2nRzoEn/lhVc363w3D0w/WY/ IQeUDXxQZv6oMudvO5bk9i+yUahXtQfnCHEz52Ir5a6ojWXBlOOW1Nklu8I+T+5U/y6KD4jtaqj+ xFYJPdaZ4OYEjtAvy1Jwdz46sl5XBf64KuJBT5S7WwQO0hcUUrFZNraSkuzpjgiA8aWypJ9qsaRr ztKfaIYfxw1zVC5UHAsBe1+AfOSjSWD5nr7Fun3qFUxCIoqj/6L0nN7Lr0hoMJY+MjAtJBiKYiUA 94u0NYqXduK8h6hu0f9AtQZ7iHd25ekeFy2C7CBOys7U6R5KylinyRf7dLNWhTpZILCbj9jgdVey y3Ye3Z0nrrU8S9VHWqme4HEsjLgbR2nDW1s8rMhHM09sSXchEoVbV2zZXbl5CqdcnUIGTneRiws8 abhygy7l2131nZh4u2lQWHAdTyFVwwJ+IAGdkROF2+tSL3yjNixaqncOU6FUqyoF/s4rsoprKKz8 jprGWLZzytlBwD1HU5NEXwRBLssVihkr5SubPih9PpNTgmlRSVKcmCGfJ+A3px6IaRajtx3ayot+ CC+CZGa5TVmCdcYco46NiLSjKlJly7ZXilpVTxeO74iUr/WH9eKUOIZfsX+acF4X23cey+ke4hHn og3oYj7BxKhu0/7/1AXHUmiUIvVjVEHDnVNxXtct+UNJM+03PGf6eDwgEF8hFPJedu3S1tdsEnah wWAwMym85jLUbAHXV/Gbh7kxLP6c16q4cXOOv2SCcLSTP1vpp3jRC4Suee02YGzSe3EcQrmOvq8o gXzk7YqaT7jl4UMp4qhUjUGSQlXTwfgO25Dfk4RULYuVqSH1iBjpfg8UAYLs3OO8y772y2PArcRU 5k48UbWk7Qu3rEqDuo4PZv+k3f3OJTZghmL2WkDEzibK/E7lCZfM9NIqtQrdY+vQgc3khw5tUStK 85ma/15q1fiBGcsHxW8aW+xVCVqqsAtgMe93xPqw+p64YH+fI4aqiDscRO3RlkGTH6ND68wPCX7M cSLkwzUFhaY5oG3XTXNSJrBcXRbvYvpHeXYNVm5GR2F1LVYShPJKirVs9eCWW1p3ZXClksOSkQUg VPjbx5zXQK+KFV0qAjHXPWpEhGDIY8xb8DQ93HezV7l3AY8YGiw6C4FJcl85fWrduPxhRuEd5x96 7fPGv3Z+L917WCz0CYS7fDgRrT2mQ69sdfpOiDC3ZwnFu5TPBGkgv1sUOs4ZkVCtD2l2LzhLSZm3 8Nw83Z2UFXoUVnG4ACGGCgPJozeH5J2W+G/YN9IkCKlzxXKhHjFe+V7JG0ldDiBtGQ3xdyWL0bLn xKlylnxncLXgITRR4ECtMjBcBqNTIrkZv5rffJEBklIOPf4mzhQsUnNZE/kPHIIFlaYtOMFo6pD9 IN6eRfoZ3KuuQzIufdiA8V/82Yt14Vg78O+fY8BxObytKM8wt15Aq+zxNbeonnbfwW1O2lZ/7Ik9 h64atc/0wluRcc9qqEqOc0f97M9Ij2A0wpAZkKrMoWcR+yD3RV51CIHkAgpghZVnQ6m3d/OFIBo7 /t2NAw/Mnw6pB+HVxOPQ7aQb3TQxKEIa2HB7GHm9/VS8gNQCDd1rxZWPRN0C2SakMBwRsrNF4PJZ UjmWGi19l4vdOpC2eD7k89i6hl4hcoD1jwl6uh+d1JZV4n3fQVUmpO/c/MD7GQuw9QM4R5aE9IXF /pLJzTz2T2b0Oi1jeo42VBZ/x2Bx3MC1opI5zS+RvmYhtg56pKHhlaab2G5GwCU6oGgkG/LHiJ2j KHjKW7gsQteq0nnWaM2PvG51nq8LRJHIIeaJB1fpux2x5cPfQM9P7Hg3B6VsQkxlzhCiLAP9AgTW 2UcFjUuuPpYLBdKgV7OUjTWdwciFAk5HGdHD0lPgzMiRAaytE/vZTcxz76zqui6o2DuvckHF4Ijw w6P9LdMp5AD2YQhmvBq2NcpGum1iyUpNrjvFNKEHb5rI5L7pnPTuGudmudHm0vHE5rGaVXOY6Y+1 XkUNZEU+VyLCJj4OyicnC4D766LKDPGic+l3WB4jd1IoPfv+sPq2R0/074xupFmJfWNr05OHlofK qmCYNB2uI6J8z9gLuCZrNHI5U7rqCG2zl2I9WFP4YS6Nu+ct/ooGiSxsrWNYJhpB+MNzyzp6tP51 +wAK7tpicHwh8ZujXMIUMiVkCGqTGYK//64tASva8YJGyyk8J5JhzEEJw+jGEWWIM4KnMwoWabfd Om0qdCVv+fIEY+En79exJisEKmYWQ0xCGx9JzotjzDxlsMqCzF/+Q9QMaRTGIBKoq2B0ssy1qrsf ev4NR6VbShLc0m0YI2OKyciM6uS3jskGnw9mgM+Tm18h4QdwLUM924jlacmDkSelMjOcOXbIpZO3 ONb5o3lxCQuQfjd4EvNp0UmlmEa0pfKYELyqeZ9SjP3f26Xc97ktv5+pn6nfM0Tttm1GZcw/zcNn 0F+vKwvHNWTA2Bku9iwBISm7zxqUXHpf1nESpwmLxYO29lHgFpnkBaD6JtszKvi+MoOH/cRSmUFg 3aI/6EwEA4pU3jViWXdTvOlcZ+mJB4TXKh5FC15iN+KxpTmVgL/5njJH84gNL9zGgqJZR3D1yp5y Oldvx3kFY1opbx8N6ItxPzJsjCDYK+4T3to7L9f2lorPZQ9ljNmrKvn8eFHo9FElHofI50jUDoF/ 9UR4eO9pI6lhHmy03T4efoUchdFWZsu4hnb2JT57xyiUAtadd6r6KJpSqQV04XG/5EkxRBHmX9N1 t0+UmjG1EOGtHlqUkpP9Z1NrNoxPsJCxB2q1VfaoRYUhWIS6OGnICHqQZHeGCRim99xTelHO9oiL R2y+EcsrMOYPD5RooyoE6w9jed/9oVIOXXi4dvP4j4iMQtavDJevulC4AMBGKef8qNSk5EOewa8Q Xl9R4gK52SOvSdZ9FXRS7ZX7YDCCpcUdrBW7T2DvnivGCmvSLgJ0kdUT2/3OU8SUcbnc7IM0wvcj eCumxkuXI27PjMwVQr0mZOwf+tarAM6yAFvN2yRVjcFTKIhnLvVFTJFk7yPV2KBVJlv1Ly5CajFB /liSREWkmjTyuRqMJ7d5dp4iqGNB2klHa73MgoHftw4Oo/pHEbcX4u0a6DOECiTTkvqhcEoR0O6S AOxwWwEtGFBIL+lJQ12RYY0EKqMC3XB15NB5WtO9pj5ttjQHevL+Kx/DwNCU6g+CYN0DrhAphJEi 5bFoOQVp8FM2KSRcdjYArmr3xICnnGjs2RylRNzyHKHG+TcQhgzWg0xVeXN42uc6P2VJGM+OrQhs 7DTSwL8gAgPWlGxFD+FQ3rJeTqFQ8bQ3yxNB0fcFJcbRdlKlFu7TLEbygli/4faZC5jRQ10RG9Uf H328dikgbxEiL3tDOaYsdHxmRzdvp/fL24h47klcsIhDJs7GPtluXQ9d74LrDBVOzK4n7rZu6uV8 5ICCWKH4/GUT+PsvaTkWchR/0PideqjHq2Z6KfQte44HghHTevKjo7G4fvZjZxMZpRl7t92pXSmC k6qiYluor7qjWPqdvoKCuCdcGxMsh6oX7W8HW+hVeE1F4PM4EEl9OPuTtJOI9VfRqhvQBTrIhqPQ eOTTiuU1Rjg6BXK/IPS7kMcQzB203yY273qqe1S3u2inJufMLRZw+9u7I2ejpCTf+XC6wJ/MPRDP VgNqOwWxqv5FD9Dz2Awp8PNvYHWIDoih/AV/1vTlQ/Dna/elKQnmwTYw5SImgc5/Yifxmj0yASud 1OAh1r0vvwfys7IIHtt8cioVz+TL81WAjIFh3FMg7d2fUIhg60jVivaUaGz+/U78q6KCX1V4Gy7N JlatKh76j0qQHFyE1dWYtCs4Aj5HqLBXvsBqziUeEs77eKUNMqwOy96G4fI0MuWLNNf32xPKS6Ty ycW5SECIl4UqEcn16IMVop+cXQ3eTvxMDjzQw6U/nSIxRxpdNyMQBlmiw53khihwPvkbmXGIMJrL 3/l7vcAJ5M2vgUlf4H0IqxJAxN+MZRPs9tpOby9Os3Q0kNCjKWUDelaW2ZK24UIzDB18swjozGXM cI3WsEHjf1MUSngBxi2n+8brE56s1QRjpRbUT1bcNO6J+KY9KZ/H0sJx0yTnomsIbuQNwaixmzh2 5sKAeDGoNymxAudXJCwAzIeONWWhyQ8P7OJcCB0GxRwqLJ9W+c0EIWODFmwZd9frB5tyE8ebcClc xxT1UA1moTLVXKHblV8O1iiVBdimRtBq2M7RljJ0Q2y9LHcLK1X8KxvPoI+OK8NPg7eDaEuL0+4k BzcC6SRnVcO6URGcctXEhku5sSqjZDNzfH4mtQxkInHFulZiq1xhvCbZd9MHCNko3xgF3JBAP7Xd 63FjZa80wIC5syUYhJ62pyNhlPUfWcRogixCopoikabv7qUe4lyRCUgE0ESi5OJozn4i1av9MP8u y3hMtWv43dwZqmf8Pd0VhH2+3nepBrkFvym4/TEq3WtF6KIHKa7oMIz/nG0aToShGoHcFNVInemL 12bf/gH44qcQAlcKDeiZVgw+pIMya8iBr/WB4ZPy3Kq0L+7wL/xYBih7N+rubtWcdj8IZrFe7sBW TPWEn3Veh6dxF7DbB2wGBKNbuzsSWhzai95/8riRbDveuAd8YcPrb8VpaIA65hSd6yOdUs9gT2LI M3CyCZaytlHpkx3UmGoB4vuY+CEVwtk5oFQH4yNdbX42w7GqS6TW4URlLDIxR6na6M+3wrZ2/0qd kZ/wuKzP774VIanfzHImrfH3dAsnPNrdK22BQsb9i/w4orPDo8TwodaU+6H/RhDmlXcgOFTsyAxY 9NIvCGuyzBymbju7nznduUhSkjC+kjOypnuqNm/NwpN0R2Ey1upKkKypyRCC+APedW/jHeDNI/Ug mIKUEupaSxkyV1WYkM4XBJnZ4LVwF/ARlUEn5bbNXQyJ3IVEzzyMwgmtBYPM9X7mpIy0AxsFhLup eVezbF5YOFx4fk2qPtZOA/UE/cJT+6Kayg9Rgp/2JNRqUe3Vz7eQ/VAd+t2Yzz1Oigb/LpexvU/s /cf7guyiZe9TVT3kP1ar6dhiYEF9EfbJFlhoATWafmu0XpsBMPVfF4SJMcX4VjNbgG1RTZvvVmb5 jaYff2T+2lZzOXvKA66R3twLnUAyNO5vVtLC2xPkKX8dF4FLiHkWvsyL6f9TBgXqt7MyHCrM41GA pTDCbYFTNYa7x4ad4KtjUjFVhyx6uskBzfvXQPiLGOu8Zv8/EelG1b6YlmDTR/4ohbaRTmgBMLsa kW3KACJzkcv9kh5QqzDIC9hDFlGR8Maf7mcwFzjbY7FRm0PFYXPXMzaKnQCNRrTKqZPPLfPa3n19 1QA2e36KstPkE3Vqi0o045MihYi7kWkw08er4UyFhMoOQe3NXRHGDW2XfAFRjMo4VIU4TMNVUIry RKqRY97Yk0zvDrfGlazvuSK/yYVw8EbnyZGkZFMwz4dk+U2oLO7StN6IjUPjickP8/DX8qRxQl0g zCpCrrlFjb+20aa+jXnLO+EFN7t1HvV7vYKjL6r9nBoHL0P86oyGQIK0V7N8pkkZaOFoSSHuyrOi O1QtRnmPDPc/3WKx3dfFh2R1jr2XZMEXr1SwVEo9u7DHa4oRvrEiCUcFH3FOthUfeBEPTD+4gAWo /b5onoBJujU8msug2Ntln942ZC2gbleHzQU3RhQpElp01rBozkLYLBlt9ClP3g73blTaLsijAjNc 8gEe/CEgGFE+wPEGf3ctTaZC6KzR3KQGQO0g9SM9ffzgfgCe+alFJaKeDQoVwm1NC8uLMtzgoxNa 5uWq4owUY2KkDNtTZFewF3dJCr4rV6ZSC2nsZQhUJ2NoGaJdoGsTyDimyipmwk6j9EgKZ8/iXqt5 xI8hRuvZQFx6WeG997shAe/a4CT7EWiKl6Fes1vLtsU4cocFsVacxr9nL5zaAvm7x74/WzzzJfty KcIaXFHxNLjfJ/vx8joUpSQBc3uqqzDCdgrvJiRg5mYdo8nji1nWclsGJTXnDHkw/u++tjoUXDFK Xjey2ipkdcxGspIFhbTf21/P32d6WQySDWk1hh3BBhiLD3gcEYKGV6Rf803g3FSm05TXjmKdDfYh umsPgSuRkDPv7MAunxcIqihhFzb6hnrldaIlkYH7btxlthR/WQBC97WAWWJLQi9SwQhC71xVYCZ1 sUeenm9VhVmw8i0uOE3CR3ON5lvdrT1mBSEUI6LN44Noe26xOYOFmOcaOnATXnBeSxMqpNzx1j8y JS7gpslkHnGna2KSTDE/zqXAq+hgmVwWTqp25SOiX1iyyM4JvZZ0VQ65sOyH8oypiZBlkZtc7csm AlxYs17B0dXSM3FO3PhNY4Tdt4CEEqcu174EVWlS+rS9WVHXpJi8OkaaTwZZSB+appX50fX9617w Qf/QrGhO8xoWeTljQkQroqMWqVbBLx0M3Dn9OWc/UXHXHYOhm2BoWgAr7OlpqUJDf6+mSt+cy3cA vieTP1SfjzsTuOgvQkBz80PdvlEU+t3JjzG6ERRyazvitxgAy48ucONg9BrhS5YW2QOjzEXmMfV6 hcrPHsD0ZIZLoPZpwA8AyPgP4E+3w/4H52Oif61jQlTZfQnen85zMj5YQHTvZxq9IaJZ2+M3HJzO vmVa11o74MK5mxpz3aStlnWgAtxWIDwmdf+SNAKU+4/2VJtcVLm+hnR4lnz71YwjCnM7f94gfOBr 7NDAVpBaOFS+JqqspizYA00ralRio/Bfxx9plKW1EX8fFmBTT0XcMED5C2/jkUlE6A4LQN6UPbe6 NjJqJm5H0D9yP2rXP5RhLHUF97Cf/LHjjMDPScN2if6w6CnC1TXemvF68gBUxrT71NhhVlzwXf+Q zSr/9GkBwnjyQk5mw42du35JvjBpk5Fc1Rv2VROkNylTF3XBjI7gT6xqrEUysuHbSfhPhpKkuBYC Yejvjel5g2m/j4wwtS/u5yCW3kpUTTzIfK7tGWPRKUQ+gmr7Tk6dPkhL7xIYvTBR6BF6YZ3x07lF Sh36NQia0K9ZcfJeSFh0B7R+qucvSvDCaENh2WKMqaVVE5CFsr87x/bEqznuiAOqx7gXmvmlHmYS Q82rpPvqh7wPsXoHMOS78rllpsVPSE0G9o7/TPoblfqgkk4GMKBkToJy7/A1k+k3RJ/ujY2CSLm3 AcuFxpZ7SQOLXv52R0c00MwAyrDac1bAbIkRIqCd+GbjA6K435m8ho0F+PkkE5iLHRHhJnAmUrQi KUvBesxWrvrPbFCD/vjp3j1Pe4WfLwun/6YrLmQHHMZMFJBvEEaFGmzgOJsThbGv/8sVi1Pc7L1L gzW4b6CDvStSSRcLav/ZRvcnBj5A9Cfk/JRP8hxU1XfBiklC7qRRbedzYdagYPjdFy5zWHiDBUs0 0/fzdNnnbSoMD83y/TORIoJpy6G5o6n9AoystHtb7+BgVmYd20x82FDYII3qbr7X7iqJP3nVFkA2 X+i4Ivu97GeB+sF7tUEoUIZLrBO/Bm2rcudr+ExQd2hY9HQgIID3m1x5oKQSytE+y8o27DSZkvBn EgGOkbWblWmeXZoBdf070cc1VsHNfCZ2B3S57Ro2NRkjG7/4sz9DbBxqF7GnYh3xQPfRdaZAt2R3 +Ty25AG42K2kvGngIrJU+NsX8qYbKSFX5ZBi98/KhHVSPthL70uv658n6dpe1xg0n+HVPcCydBNs Pc32TZ836dfQ2bCWctUtxo6E5AXJH8keiflgiPjfqdp24gwH2w1S0G69QeX2uKiqvyAR3GgK1/et Fqz41lh7O8DoBS5P6F9NME9nL9Xr0WVCJf4uv58JIAkBfeNihckOdr9/ih+5vZnZtN1NkM4Rw449 EKb6TKmEOyKVeIrF4Cv492QcXydePs2xt6p3lj6Ndi8kE8jWfZCQyRRmiqzgFLQig4OYZ44uOlRS QT5X/KOYa2bTG4ghT4YNL8jT3QWPFIEYherAMdvtHTfLEhOwT+6pyqaknOadq7xvbBNebIkH3yEB R7eKpGg9AfHhDJFEZIQGSdiHa61F44ftofLJL6q2rXyS6xRMlOvgq61Tildw+lC8EbBkLjppuslf 8wlHttrRte0D6ZveuneWQTc6+GDMTSXp+OHSFlW83bOs9XvLWCzIfk14hEDbgDaV2kAz6WGjmidX RXoe6PwFukG2kuYr89JUbpNrlN9wsf2CLNm5CRGZKeAqbFO44MSydItY56jFIDbfq5haC7nkeEsN MeJgQkWw6CDIaU3+qHkmRvBnTpoWhJJkRJVT6kdjLdij8Dzeqm9MSSbbA+8dWmSbWloQ8E658UzN M+ct3rim2h/2GB/JAYmKm31kInOZES3rThxvUQZJ4m7/WkiCjIQxJCqv7msOe3HeDRV2+Qde5nZY F3gvhbg8GiFmzppTkJX0Suy7tmgSEfgklCcqxtsR16K9vk7vzl/QA3K+v9ZJ1zez/rWcR+fwJnUH hX8dsiwgQm6Ez2khjep0TJJdnwwkW0u19JMeuLALhjkF/cdjpeEwerpGyfYHENV6ZDKkC6tCnh2T r1sPWr0G6PPWjcmXPwGsGzxk3zdfLt2UFmsKWRwv68e9B81FPu1DmKj6PbPH7zxQuwYYz0OaZnrI 89ZjX/f/2jqWHr/EIM17lVSGPytMsQwsmfM+bQ1ETxbdA9CgigypmnnYfRoBFZhJrT8UqnAsWbjZ 6nqKlP5zJnK69v41uuZS6VZBcg5iaC+YRobYrpehZ1ltsd+g0JSeUZoyDLX+Lhus2QKnMYCsBUBe 7v/XUysJQsDgSLG9JPV2UWd77wuxVxMxVXDizx5pQ40IPoDGtS/Dx0ZtCyIASwZ+G2KGwDkIkUpf 9Th5pXYLjq2swW6+zpwsA9W6uUiaJUZ8nJ7rI7VpFF+GI2kAn6cJQ8RQV70poMPdjiI5gFheIB10 unPeaTHwl4OMFOKBodgzKRuNRbAq+AuALEMnTZuurp3jtWTED9fpllA1sEVlI447Mi9MgaB/JoXK GwZxpyrM2DgkU2wnJEWCjVlKncn1pMPDPpLke2elh0GJuyHZceL7l/9u/8d3tyR9UC8yR4Jy7p27 8IjCidvyDbu/XVVC1tjWbwOgbD0pz2CVznTDnpjbgH29RjAzXCj+7OZOdsoOX1Da88thEwmkp9ZB fc+66ekYsaa8O8asX1RLIeufKNbC/YCPYQeyo0u35XqxYw2kNLZhfwNkO5EPU82/TJMqi4/hrBl8 6sAMrxFQhyr/SodirDSNQp6aLwv2bb5AmIuN7stSCjJSJtZwSoUyLXGzqPBnTpU/9Dv4fgy+KfZR 1Ymmqx0cf1WGuqtqX7c5ZU/oSJIVUBXybbF1UMwht12DiKv6TuMas50Dhtg5mOzWxOWrSMolcLO1 NH6e/wPV2Dz1mBTQLUrzE/4AeBJnA0lRUmIlaJGfsKnSGWkdDagUsAIdeMDzSgK7UjVoqvIiGGYR KGq1OR0w+eRQJAyAVpj4m9yR7f8av/4vEDTeZTv1bridNb9UXDhBZOSvS3bdwwapgkiHPIpMmryF efiwIwIyNUTsWFMAACfeWbFjavnUHOAhnO1jOKFP4vC/l30c9Uj4kBBhY1I5YiAZPSdJ/U9g4FiB H03bVYzx4yYUtrkAIrPT9QkRQ1Ba4tBQiJCgB/uCLfUJ+bYHfWnVpvp+NSlH4+Nw+wI2fAx9LIwf 5RPyY6nuxTqlSq/XqaCjAVgB68k7wz3LmvLxVq/qhLNrK8XsWpWLxGulKNN3DOqWLjwJAx3h0qOI /Glhj11mXZ6DviJbIWURvNqHG5RPpLUVNrPGoidLJEGdWPJZQ5OYUauiTTWi7pqiAVFd9PJEs4FM VrHxbif1MybQcpx9ql8RENh16xoMU222eL1Az5rbcWbf9CHRCjhLMe8i8aYsLjetHe4UtEFL64l1 00+inHyj7NcEFnZZuhPm6O/7emZJLUCatCdcfk7DcQU2CUatUh1xzmcqiPfULK6JyIQqJoqoxQQb lbTyVdXVxOC7c+XZQjRZ2dpIaNOIQwY3brjWxuAzkJDxKjEx4R4TEy4dHTtOfQd413IRXMCKEI5f C4i4fJ2dbahIPCcORNY4Fix7mfu3IXDXVfVRm52k2ZbEStPpGTmincrWl6p0droRmTPbkM6voMke jygyLxg7PwLj2hNE4nvSGVwZ1iJbLDhxkZ47PMySFEbyj7KBaKMnDvnSiIcLo06dmdOJpmo3SI40 RW046Du2+sIbvk3fQ5UAJieG+YnZXQ6T47nH5qJga8C5UkduitHW31xf21/yV5O0dwL3PNw7vYY2 NXeK1vTGhPOKouQsf6lNJS92XiwZcKoX8EoQ45EqSmQF9uuc8mw68GnlaCNVXi3C/BEdP1I6yQXQ x3QnXik13BKS+lJEgkwLv+ji6x2G3tWYPTCbPNu4qtigzFmubuBl8XQqGPNi94yIGotwPlD1Z//R pLdmGt3cFa9ftxm/UHlHcnW/dwHp7gtJkolZ6D0MtesDqka74J7PeGXMaeDNFAyutjwykVV6Osx1 uuQQDM9TNaQt7aO4IINkkEAej7hK9zoqvxdXUQ4Qc9wzj296BBwor3fb51wjNgLPIG3YrUSd9MSx FOZCu0QVgAPVlyXZjk53hD0U2B9wJxHJqKDlv5fveHdCSXLgP3zAPohOeB5NBNyQjwVp1xAKvKD9 zEoZIyLi6eO5aE/4tJU/BGQr0mQ+Q8OvfOYxdASVaqGM4hRS8VUhFSBfgUDLrVFiN0f4ww5JuIgc oLX3KbpAtyqnjIp0mnkDqMRpsshTRrJcZYwjac/x5m7DO6Ng7hDGiXhOTmhweUOyQab5AusJa0R4 YwXOsEmIgrHXxzrGksK9IRVYYnBQ725T56ynj4li0W7QdN7mAVgPFlYZZOLjOxwuEoAcjH1m+x4X mF7OcWNd2VJMbKqofgIgzPZmmvm/HPfJOFb73LOJoeCri+GvoMg8DbRaBVarC/0n0M0uXdKWcKhL WSiAlSBhSkk+O6/PsKDiLUPgEz+EL9sh9wsUVT8EQAdjM52z6mDIF8NCe1fLjP9JPtG7js94s1Sf 3wzYg4V0dteiixvsqj1giK/qQqH6fGx7pTX6BGK13BLq5FPjCTkJcYPf9aMlzVx3SKhPegvrj9IQ YXNbaUtUs6IbC8BEW04xrdAvtrpsGYlZoaTmbezqlQqBQM027NWq+3SWbXIcQFWAt1Ud+k1hf+md gqnt10F5s7fvm5C6DkpU1BLBy6Va7zvPxosez1bq+q4OIphHWS9uOWdev5Lx9sEM/KfVMUo8S5EM GjmOtApnAMG/bfTDCyB5qXdaum+l7P05ojlPNWwb/SD8C4nOJnfYT0adMxcSkthNQxdwYhSBvxB1 SAz0WvmKAkHz0o2Su4jPMhWGDlsTp0VbjCfsC3MnaJ+OyKwsK5wHeoNSSSEleNJWdALtmSvF5iHb uaZzv0qMuEULiMFr4oRR6NWDG/d4mpf2gzv3ayaSRnUpAuw6mRc/0fqpPmeJcuBS9a8sVOpXJ8uN ECEmvMP+8eSXYCpFWswqqxHj8WAs2lsfASXJpSqpHZNGbSnHqICHC0ogfj/7CI+Db4uUdeBuuMUK VvzrqE5h2pH/vF22ulJZomBLX1PyZTr19aAN48cG3CK9Rdauoz8u4Z8hFOOSVm5NhX2FrV2D5mkO Ejw/iVfjYofMBUt5SzTSYvFygF6m8XF3E5LM5sPupBhnx0evkj70dSzVpi8nfRlHzSw0102jpGIr dF4CKIAuvP2Fh4ekudV/C56keVU3LUmjU7GACZ0YiXBbiIhLqpfXI+bMI8w1r5ALZQ+er0CEgRsU z3sXOXGyOVRJ8AKDCzXtmE/Jq0IMdBFlcZbZwI58ZjxStni21fM5Q5d4rCID/h6derlkuJkYe/pI +B85svrUPyPLYLa9w9JBM1Doc34kUnNf9by0DYjIVTj9boLXWeSvhwTMW389ghOcAdmLaZnsuoC7 laJp+hFGO5MpSuzhaFj6+zTUtStLhZFsxvocgRo0wiaIeGG1sM9Oi2mn/gI5dO2r7zcV2QVBvOV4 BkeUBI8X4mrxwqE5vXxqD4zekhMI5/c37gZHM/DEwK3XovsiOzU3rIQCUyVIp5GOxS4sSLoRdw2F WyN/SxNy0hiv6GPUurDjZ7oWHv6K/r0cwEc8Fs45EKkLcKMj/10l4SXTrLRg2dQamP09kJRY3dJ1 d1WY423fLdtfQDMK2qbRyforhNCr9UqUcfZq46pLRDO2DyWUoE2bLzSTHpWMjglNFFdfkCwIY52H qNCQMmRxIoxHnDD+jzZpO0szqVIVWRlgoqbGQcA8UDw7IzvGF415QxcNVa9a/HUBhIS9vtDaSMWH eKe9Nql1OBuCLqdaNbeD/+L+PAy3RxeIh53PFHJSAA8Qg0jNTmNsDr3tj+uJYX0ZuwXWITHa0P6G XDCE+HUvUaKs6iNpuOqbmFX89WCtjo8dsYXH+SW0uLVxZokyIq8Tdqv4anhCeH49y6bNB6rhx8ku 11mFfYn2Wrqws6gOUbbEcQ/UIbFHwchr9AbJHTaXxPK/z7cRSWjss4QYNHK03MnuYhgh0pk/fokZ 8HPIngpl3+EJiRh8LgmXBsV7lor/KBi0uNhNqfnRW9a4XGFs5SS+KN7fUbmNp+Rz5F2wEzX62vNt AqAoPBHP34msEAurvsUYVLMycYMBqlQHal6zFWp2DlC2ZKylQVZlKfPTiovNeiHpDhvsgipizkP2 Jd/fxJTJf8YKfjRYZA2syDJeC916lvJwVu09q6qYRFWnBN5Qp/539kGrPYAPd9mrUsLoYirPenrz L1RGgl42OmUBTaVR+Iu+KaTilSZ9IfPnnLs24kZ6xc9XgmNsu4tV15hQcT/2auooDaPMrum5R2nz 5216R+/WC3JyR4xlGWTf+lgTPbRpR+UqX+cl7GjzMHHXs7dEpiEF5XbPDC83+3zldKHdFkd5ClQp PLCGQdjejj5E3DFMGFTp+m/rh3PZMOOK0G2Z814Cx6zdTCO3YGTCGZ6CemlOc+E78ZAsxW0p2n2h NNcDVgp0tul59N1scxpgwiCLQVQCOgATOGdMdBGNjDe5T7e3hv5fzu4vUoDYY8rOxICMbqxmC0OC J3Jyq6JZc8nc8Wmh6V8e/yu7Hs6qa7IAk7WavFq/dePfazHMHIXk4BgiLffCb3zQy+DWjpylui59 zE8piIPcsXoPYWmbW65Ixi3YuiZmka81mCbzOHftmHXIjJvBDorK0rkW/PeotOoAH3RuWRlzDW5n TceObJdjvdlgfutuaUxL9lx13/0vmnnWko9zy6ySRuCTvyuZHOjBddt0kRot1+qTAeaM6VaAQUYB l7/A4twoqvfPmHwB9u3QLFrYJ4br48M3VS4jXHK4ziIFcoP4AThcwozlXZv5klba74EQ2NGd1ZUL qC+i1slv+5Q+UrIFNHj3TH6+z/6Xff3kWXC87g4vbif84gclsQ5q5MANN1yOpukzNLhhjwAHh76p v8rtUUFRhLjbRa9eiTFNceoag8v0v3svp4LDVBwblSPVWQg4ADxkcEtZYb5aWPv/NyPpV9ICW50e j/jen4TdR7B9kXFCw8IsPDHDMvB6vASxZ7F161a3kPmHhnXi4tHPMDHGOaR5uyE/BudgYw61MUJG Wlerd1vclr722KVZ5zBmDBac/PBE2k13ZecyzAgox2y2dQt3KgZJ7CgafnE+G0Gyqpeaz3nJ7DAd mo7NY5LlVcbhF4c9elOcKwCpF1qnVahI7thax+51r4YZWGas0oZjhjejd4xlDH4e6Z1B0OZ/gShj pBA9XVZkczPTGIZsLo7gALHLtRp1nqFJw2y38YkS5OXdtc+IMcAxVGFvNHnf98q3viCUlQaawxYx apmXzEr4L+2ezOgSr1WF0OP0WiGJDRvUib2OSxE68u3kvqmA2uO/Yrj8YsHr0fbkUWfpyXTlFcJv YQnYBs6K/kb4iz6IiKOaXL0G1ktWpB9wW9m7fdRjpUHMc9KtfBbSHF/wYUDG9QlECZH4TVFqdTBm oyJPF043GtdjQ3oTmo2fqvty6hKeY17TFbj9GN9J24o1e7Fq82zpxaDal6NKUjqu9F2ILW6blnHY igi91hM9A0RAvc5lBR90SGnUsjad4wHLvkq6G/1G6CJVM89hyPJhP6Wu22WxACuCGgLip870iFIP sFhPd2x1j/AVPtKjN/KnBmHRnVilC4t7zznEoRQ8587mAo//Vjfm01Ni1FrtaOhJkggC36C0ud+l x0jebFQYxyksMBNkCamm2l6mVEUzxf/Xa69U1uBV+7oSV7PMBOgL51Ay4st+lUXbbenKDUmAacNM q++FLFcqSwdHez99dAx9SI8gIkURKKpInH1ZrCB8E9PsnJkNZSGXXOpr64kDnZ+dMN5o+2exoB4M 04e95UpvNQmPctOwrOcTi8Wg3T40Wu7cqInrGI8b8UHhmgvUtxOuJh6VvV3yjWcqOhd/bPuaKseJ IMXePGiJhg5iZbTix/CU6q0YSRXJqG4RwKhGonV34m1PWPjupxnEkBt2fG6WsHPQU/3sC+xP3YvR 9X1eoaCjQC7s6mrAeiRXjO9hXEf6hRT47v5BLD/yIG7x3Jx77KItgeFeIS65mLcJ7rNKEN1AhGno hU2lex2h5mhqi8w0BSQakfuD8zW4qiYPO4c6FQj4PNPFRUtMxjhPRNEbOxJMVPFmn207cO8X8SHr cqXanxqSrCo17YRV8hEC/FdtmXOzeXAQYV7S7JWu0UNaxep2RkZgA3p5N+QKV3w/JjRGfPr5yOJf oJQWgGL1B16P529/+JO24erHwhuE2CE0OGAPwusyCfHxQZdkFsS90iXHF7jf8mueeWIESP5tAgtN eIWx8KWFrXRIzmJhfyz9uVLHUgAGxv1XysI/SZ7h5Xnx766YmxUDIDH7DTbjEc6cmd09I28tJRtw QiCgLUENeg/3rd+X2UqWxoD7dDQVR0UA+RDkpdnVbT8/vp49YHz8UXOOhLWFMH7FNjQDUhkPn5j0 FKSrugvFyzrJ+E5eW9UlDT88BZ8jHF+21eXTqhOBxjRSJvwwB1/i1WylYgkVN1JCMxZc3VO8/Tmc NFs8RSO/p6SNU2Mgg/kqy4xgY2P9FZWM8jVzJMOgUFWSzP9CB4aUyHhRHCe2JrUziIA05T151uRB MImgbyUBMM/cUn3z352mS63yFQYeqmz1fPpfTbZtDh50QdvO27yXerEr8GL8KuMv2fr/9MHbrxWM j5sTnm2MYnVwyj8IOqltXWfaVpjOgNO+QtruZIHJ1wngPq6NnmJ2txU+lj5Gm0PVTwsQQ2mcBCV1 QHwEzVXsHSOCRII+41UX33aorYGcGaLCiMDXhxuAC18G3c4rYfwulHIHZ2YtstjtDWIN3a4A8EqW Cn4hsWQENaQVOjxmCU5DRVY9438PBGONkglGrq8Fqu9f3oTAdok2fbgXBrOOED6mgDxX6zxuEzm7 dYyVF1jQjlbT31Hx7ISyWzPXUKlaNxCFhBgjxF0tqaCfH4oQuS9VZDHUGwqNALKZsKKrI6Zrisvf vISbG224c5yYQgGHrrRCfH9OaOlyXLC90OrjVFNJXeZzF5RV+s3sWEtMBT5vhGmB3Ll9MNYQIL5m mo1Aikq1fh6qnlF4A9zyU2Dz8UInrrDe+5CjNYAXRO8Zj73rai+EHvD85FZPb/IQ5p7yu1sFkn22 fux32fYfwtcy5wFVd9GlLzah/htq093jFYPG3dXNHYy834G0tt++dX6BHulh3kqiXfMZbWZfvYbW kXtZm2063oTOatRNwk14w7kKLrj9eXCAyzxp2BkpcM8CK0r1EKv4+7FTqtusF0/1zoLsQ7qxn387 fRFGaQXpnRbVwRZRP+9I46M35jBXxSSnnlDX6Go+r2/6HKvIjo3TrkVcTvfYyPX33PANX1Fbwb4b 85NDRsvX5uwFdKTmJgJdl37D45BlRKtxK5OlQ8dHt9mnfrIaKgat7j1OVKe1moxT0o3CZyq6EVs9 MDu88ukfZZugYV1FKxCVzebLDSwzZofLVkFIqDwn0ycyVbSdkwmgtPZ9s5aXpiM8X5trX6FYYcn8 jaNDm5g3LXBegh3rNwdwhmijNqM6BvoQTpv6qwISAuObV6JoVVZl7+yC/t4eqRdGfKFKLBlpOxE/ 5X/JnmwPcd5gjheP9HEDumKj18ZOAeamiFU+iaPiwoiI38geMVhR2dZT1pzJC5tjUkScc5lHgoHQ H2K0YIEItbH7yc7Jc/b2FanBJpmFMXdT4VSdGnbDdr0GZHGhKfRuWmnM9dPGy9DuQiUomWFXHcve 9miBawPA4yI9o+mT4dSSLceAoESMQZyOUzT8UgCF3HgIQCQJX+lmkzJLIk8jPhymTd3lOgERrfYL DndirYN6kgJjIy8T3d4wy35drZdXZRB3wsQETZTII9zz0pI+OL30cCDgycuzNpCHhfftg/WTgk2e qdVM5W7fmNWxU/Q9GkZ55QAApkMY0ilJolfKGdQwPoTBA6TxkDkQjR4ieKhokCzsuxjvhOsEU1x5 1MkohhmQEYgvKh2pOjLiP3sw/FhBlIWRMD97Z6uKQt+yOWUAOTVSI9nibZ4VkL41k90Dj3i4lF7D zyTcsAFdOkBZeM9CnQ7xljQmjNzGio39VsNFGYxVYo6DZlFHavwXfCrqnL2mJmmh02p7iIdpflwq DWw7qyhlYaeqxKtg/XhPnppDhgNJNOCnHa+JtqknqNlf1wPj2Rocn43oX9qVGSLnrf341LJgLD92 RKVs3wy6mIXBgb9/1PVpiSrrWB8ZkZxjTtx3SA5NHA5SOZBcNBcs7dG+pGgIydVrl6DfijGz48FD 7+ZTgBpQ3BrMLJxisIZ0ZI2FQT3dRjsVLBEEoYVMHUZ85eAYnxXFVQcYZdOrMITDlLFPKCf0TzHB 3gKCXkqawvaJD1Ep0Eq7Dqwjwf4eLLCX1T0T0lOtkuWHMSKfVpfTGPAnVyPudFEGFQuXgLqjVAw8 BZeW8JI7Ob7/RR5iwozbLSfQTvPvYTxKE9YgefVo5j1GEV7fIqaA24KVpWZzyHue16kDs80jXjeQ dg6j8YX+OEd44n0NZby63GqJDssE0g6iqt1h3ygObRJkr7LVldHtwaIhwUOGq0IRCqI1xUNHyzV1 r+3tKXUpvpsc4ZXJtD3ldNufDomojGGW5OrYpgnkH/EV/Xl0hiD/1kCmMBGQ2sSYQ4uQPDabrOC9 h5G3+qXO5dvfNacaA7fGTyE4Yex7wYRXhNHEVQBTklEUIHIOM5Trv5FMNI0nP06ddU7n6JjoENtA 0qCRxZtrxNF9k6m7Dahp5w4gj3/NYT2s62GxxdClFyW87DWVItuPQSMlienJLZWI8gliDYkZcNUB zd6F2gSGx7OMwwTYs0AYBIfopphwf8MDTEQAxYjlMfNfUsqnQKHspeOECEwmtSSf5gVK6+33+8zS /WkELgeQzACYMxIGOfyCY+YzV8XRO4eMVOaZxQcnC9Y5wvjyZPMntkcU2XCY+5l22ow/DgCqzQSb JgNf5Hi33GoU86lyqqXsjiycCMqyQobMCwrKjb7b1yvb4kJmxuSnrdBNfeJ6tE471OHiyqArzntF ANBscsNPuq1WXbbcZTzFb3R2qethSeJPpQ29MVYY2BG1FipiBqL0/b9CYcYs3WBFic+IH8+mp60K zY7K4AdG7LaajxWO71VnpHnbRPevh0s7YdprANcSl5OmBbatSlASMXZFmXwlkzo1yZcMQGL5sTso tdrXdspfDFwVAxfzIw/hQUGzWpQiIyHDmS6BQWdRAy9YMve0gWHncPYGs5kOirpBa4FvooucRNYy mPe3+UlpEptkXpV3JFTbIjq5Mt26FrzUSYSEcHn/228fe+4gBBsOuQb6g0YCDmWVBgwX9VPNvUlK Cx/1gzKvW+Tq4pPPFQNHtAIdHNNCoUNM/C091RLWIA0hX7FRURYj3ZIffUNBMEXidp7/kh4ZFNRO CEQBKlM86ehdlh78w8E3dte/N3Uf+c1XDlPXaUkdjUgtXJ0/JS9gqv0CSg07OTlvl7nDP39GF1gF 433thIbDFcrO42ISf1+uj9iGIFaKBJKf40tFSB7E7AuPCscw5s1iK3dS1S/CuAwYWhxhXkOVOm7e Tzre99yMceFfasIOhXzS66/TTtcrrUCXJyhcaEh0+aeQ10rmJ9w9bHoS0YPlQpnRkbivkVvxYNA1 4CedZdqehh0JPa7ScBBKFtd8tg4bO1T1oC7mn1m/1JK9ndgprnMmOCFD7w2LYD/HwZUcGJM3r3W3 qE+k36vNlfLLEOoqyfMhhsgINPUhA73XJvpaYgWGju9o1teA/FzSSL9J3doArJ8XX6PsGn1/AHwL 3Y+YnFuP9kkglPt7loC+2XrTzKI8nnay1ltrnJcUIFB3e7Qf71w9IQ0Co7EeEeqa0gQpT0YjGKgp zgiwC55b4Yrmw5dmgidhX1ILTDhf2gja5H722M0B58qOfP04FX09p9htXqlCYHDnbXBCMGeahtEC 6i+U9Oq0fCIz1ykVUvd6GhO86nUbQYwGvrV6RTW7RcTSbyg/mtIwDs+dwaOG4jBtDrMi/fDdY/mL Nuz6smIWKCHvo+EUo508pAF59NeRnUrC3BturbwwmmRHhIFUFtAqnkqkAPjUJR4sh7rG5ktsePSc 0a11MpTf7a8CB+8OMe6m6ko5RMJ9Y80EhpkJ5ko1C2R4v4PTbYbnk62pN1NlIBXFdJP15IhxGJvA KT9YjYxDx83CJeVmWA/doySZ6G21WFM5AVsx6479zKPK+cR8tTAKZPQAKKY7kwFXQt8zbyj3u8X3 k/cvbNHOSO383XvJEduDDSIzG2GPCxbLk6dKE82CRfFzrHcYxR/ekd6kUqXmldwcJoFCzw4RyPvn C2n/f784yn4vc0P2V7lZuLxSuY0gqi6tj1M7Ja7ZaiZjjhXoWtXopvhgUfvgLA4t38jCi9nyKzrE HXJwr+q3sQOHY8s37JZb/luxifzzk9GVtDX2kbcHFw2QGOWX1tNLe8cA5Ge182XRGVDipJb96/Jj omko6iFV0zW6zaQQ38CJQfzSeloIEEvnJXVPsXHge5A3hvGIywGJ/g/buyfYw0MV7t9fCx8mztq4 McSCoV7bPUfL3+TWzBQL/rwzbfYL1w8HAWnRbEhTFHSMTsAvgmxpKqg2ENlq3kOb4LqEpgGmbZSF kSx7zzvtkvkFDLKMQQ/VDUJmaQR33okna2azmSZq0FfbwN1Oa2lJzwJJoL79RMndHDZx08d2Fdye hf8Xl1F2gK5fUjL+mxkhultIqjX6VXOs/qMwvQXBuADNhFDzR1E6PBLX+jgo+kNeAIdnCb4LbvSM Hjc5RwO+R2dF5u2YGXRa9bG5TN/jKVStYqdtaFrV8Qit/zhND8Pc0OD8IPaabdR8vLx82CGpayki kIpf5Cg4ZYjO2JRAghItGFC/qeIEq3H4Z7v3T0yHm26RrxbZlpqWbOFEqBPxTI5zIK/9QpOzjnMM yLvWhc6/GLgsvKVQp8x1ZkupK8ZKnu6APaMlCZACiVH84S6LrhtDrPVtIOkQFlRQG7vzw+87MS/d WZhee8fSrf8LMmpUdyBowA9aH2cSD8HmW1Tk52iJBxFY9mH7rO4Tb19+pzEyFE82P+qIVOVXlcIS zt4oH7Y/O1WZu7JhbBs4SZf0K+gKXfTsLUVM+3jArhsu5fN7qpPXyXcD3PxkMbHnhxU0PN4wu+Pm FrE4c1KtZzivjIOrzURF9lTDPl/Hby6sJ/ng14W0E2/b5kv3H4osv4Y4d9a6fZo1EeFvAXaI5JuW bS0HHWABkHda7RuJsnGUk0Xnw6dqmo8SBNIF6UNf5gFtraxn1oJqYImW8b2JaSVL8B/lTm8rfLtS LIjE0csjMh4Pfg7ntVGCsPvR/CNyxLqCoYF5dWJfUF2t2fu1hDjgOxUEKzKr6ZFhpyesSIgivOEZ jK8EuE7vZwvQ1MQSI4spB+FDmv5ojbpE/Vtzia9hnu8/x3+4xhGp47U733gvO2c4wFyDYD0sojBN u4hpTMUbthI8ooK3YbGM1KptQf22uMCw9+NOsybJjCvP5OY4xJRt3kBk7uHVSJSWQsXZm1EoKus0 wfH10+XAlzCgaXm1kJ3Ztjj5Xdv15DHmYPDt3qCtongnX6Sc+GwP+3WpwpEUvZH0ceS/3/+4pIQZ rbUe9wzK2quP+C/IW91UkY40OZKVo8hwjCosHSi5wA2UMFfz2hrjgNF/K712jMszWGBw+S15khRF tBBHJ2Al3mBJ9QTmwzqaKh0JjTUCV9SqziRzFpJDcHHlyNH+Vu12xr0V9QIlDYVqEbOTGYhPJAN3 ZY94rO43bATYjIuDyw1mXTy2cxso7QhhG4En4btxSp3PeQMTB+3EfbJsCFfigFomWX7UPLG1M6FO ky6cg/VG3WYAGbn+vUJDIEmwkvAMePnejFmRFkJhyhkiYTHJ3R52zP1KZhWZBhqECpx5cEnnZIME lgPI9z0MoSRTBAmlJouAYU+ccOsF1LMtxBvx/ySHwrP4kPp8Tcj/4q3agPQvvl+S8+CtpMfeRktm HuOU+lUIucwbCqdRDdOwTXUvL39eb4sHwX00LGcIPBY+Zqz4JlrP5Im3ElxcIt0xgCnlqxeow6K4 POl/413o4iKm+24O4Y5BaRNpucOG5fs8ZMG6RYV0A6hcBQ3KS8meq/Hara5BIGo6mz14YIWu7xpM 6XVK2hV59JTNOyLzsjfWDnFghZrMK+86QlktNAgfuV6ADvmMy6Et8Su2QAbhVYfklMLBCnhykc3G v0mJUZrOOrNVOKIZJbh+xRHH7H7xwvlhN748kVMNsWeRGTSAMgtkkkh1jOpp3iIn8Uqw6j4wwzcj fxnmv+QbDTXwd0PLaQJ247z0OIWGR9MtIZc7KzHfIy9vSrbXJHp+rpwjVGYW+ePjd2jdFmMqIOZo jmBMjtT9ugwy2gDPxT6EOaOtTpZeUBXWX0xxhGGNoqylXA+7i5Hxce/fRuVmBJBYQi0q4QM4YFXw lVrf2iI/OD2700rOH0uiN1pUMsSX8tHL7PnfIbmq/4JZ9XAEEQbGSveYz4xhpPNnlRutwuV34Ilh 9v8YDVzi1Txs+PzJ3KuPiZAAJAG0raaQSniQGrXlhUIgY7GhSAGWKEpiHxw3FcF96i4I61K/9gbP 6a9XYUrINFwg6MGb7orDwm0X2RGZJkOyXzyqfIN59fCijRHuf/YpBMUI6COLZiPjvVt90lntehK4 fq0+Hzbnx0VDo6rKoSWKgl7OQnnNQ+6yCW0V3CUoC1WhH6AgBvi1gc/xE0z++6kwxVZKXdbv0dY8 tf4D5G9YTxvyG7W9V5LPYpoJTuB1CYigyPlYsJqFlPK8Y6id2g4Il9E5dKmEBvKBM0mrBbb5aL+V UwgseRnHb/gWZv23C4W/VxvH0xPVdPSvwMgddaPpTkHns/RtLUf31iG6LbK8uYVPDoQI3vplexsQ ME4UK5SmOjvrc5jy8+oDpcvT4Vrwh5vcFr0JuZFf5x0MJIXslxGLh4TeJmA6p4nf5TMRwyhWOMqD uJEKjjo+uB6zhRlQIf+8DT5rCN1puGyRaWlJz7thtk87lirkZV317LHZahoq6YqUvVh46PoQSUKJ 3TN0wmDC/ABR98zASVHnuBeYq55/1j4rK7UiqWs5i5sClp1VoyOXWNe08kxGq/fUs5vAkvV5OBST iChvHJNy4VGBU4Z90RyotmXNHG7OFyautbnJAoraKxg39P+shHf9BJ2RjOjm22HPnvYOzrix8xMd r0kwTgnWo0gkZxgSfoHyBx5EHx4rVao/YsBqziLOVNy7+ZXzRjGw6I6++SK7+6hkIea4+0o0LfBM Uw6SdQNYUCU2i/iI+gy071JO/ipojB0wSiDswuFphQYP8F6JBP4MZ7oAO0YtjrXD715XsZ/ZHQ87 ZIOC+oygDCzKselQdv+kKEU5zenKv4+/6PoGa4ct4QWgCg1IjQF/lcbxNYuGB1k+BVrIxNeITYt1 Mf/rwFmCMFXs8QDAZYvZclG4i6SdHpAJkHKB03Z680YhTtk8/4PahdbDtG3BJIWsImTJ1N6iKKa3 ekTTEG3nJNEbhYn8w3DJ9jUkFBnFcO66z3cpo/DC1IXscczH7ILnVopg9TtV7jtfhTlZkcrzW3Uc 5tbA/phX4Y6wNBvdhBKDANabMc0uUjsIexwfy+pXc0qBHVJOk2lf7gFiXETZ/m9v+N7okBdvTu7m ofxmAKATtoBACcMnNo2UJrfz2GBSxpjVqvxRZthe6en6pKWjvQxT0P81/u06BMzf6UNQuYaEwgt6 27pB7hDT0vt6S7DoU4vI8YtQfAkJddmMgad13AW6YjfOLbQL6AmK4cPRPelT1UVQ+ajjafwLCBnR tvmJl0Jl8B3Z6NJ8VMI1Sobie2whPMYvYemen/nDsNVzUZ35/BzTKIpjOHPRLyrOHT9agTdhO7mF LkGYjHlw7395+6hQUlqcnGmdeVASRsmOCoVL3OUAr4cT7COcncC4tfFddc+V9bGpSgZ2qQ98T5FK yFBMEYWPqy4A6OEdQSKoe3NWaq/rQukhVh7EMXxg6V+hYbMA/t+f5roQcNiTw4zoClRPQW8d9xI2 D7+sxelL0ZSQZMiraNYRsA2oJOBKeiwEDiJeVUc+xCZJWTblS8b0qZWkD4sk+iVPaUkLvI8mlQzk QgsaTeeH6MMuW0WI920kz6mKXK/3vEZ917c7Fr+akpxFpcpfZDGoftQtA8bUiSuldtS9biExn61A 7aVqjrMF1vp8G6oHRKfB257HWsLKrjIoXk6viNVgc7GyTrbJuvw3coQXdcUyUWosBKXcZiooiSFO XcUorjzVnsAM3MYXISFeip/1oCg2nJNnyZTMNMEvrbS5x0IAWYQDtVJtT7cyPpjzw7miUBEIbP7b tH9cHmS7IabUtBuA4fskfmtvU5LUzjzylP3Xel5xm7JWb2Kk0rfoYIUzpb/RGX3hLyUxnjRz8QTB 12Dn9kJ5mlXox6RPi0za88WGDYlnllnoWGKWTElLlAijYxG8MxwfvrTqQvfQFPcn/BmlDlmKWD6j W71wtMxKZZzDJXj8JFfxzTQgHTNAt1dyNKJspfApahg71ZZlshTEm0DCI93t7X3hqQXpxciv1B7Z BBiZsLl4ilykx1uwRDc4SGkZTaa2GMOK7pyoFiHd0jGL35zDNF9ayOmduzDKC2VGh2A+hw/difNr UGm9J1Cy8hfRT3eTN1iWbKrr3XDcsNnb2nKunqPXgO9O95D5A9bcLyGPk8Pe9Q2hIaC+/mNyUSVC qGJ7pnnYkAaZYfrCXstO40xHGej5xZckBu3bGHYUMWXuyP+ARH6CojcW4UUJmQuo1NUqTz7e8E4n HK/fz1Es8AILj9+Bm8q1ZlIAy2XJ+1Wv5uD5bQxGjzKekgunaxhMpIzd00xVtUYYnsR4TLxaR+2M m4W0pDtT/++yTPCpDLmiD9jK3tjjy0PaO2KCbsiGkMT2oaOHGOImUodmzI+U6K6hmZpO86AQmmSK F6q1csfCNZ0iLFvIQaSalEVyEilOSAfV6e+c12KyE6jbYDILI785Gfne89WzK9Hun01sK0CZsrOM 8t02/VYol33sUHehtM9s57tuI9mBOy1650i2CsyEnn9CgyZnpGC9RTbznB/+dFzJs9sb6VINwSk8 44tDwb9vB4lPoV6TJ5dkaFNg03nQRrXzh3zNyBZvsOagH+Cd6i+XcJMegDNjGKLg6rYCJoiB7D9W AECCiPd6PAOLlgKr7n9Xz/4dYmcHBUHo5NKl1nRpZtwtnaBoL8Gsnbv68zpIa41X1QXTbSJZpeZs yZJB5zxaKK6WoBYQynpLFrnWxgYW/oTTQyGlBhuerRo9pGIHxZTdKQE1MvR7g0v7KcHQyd2VW/y6 pqlq8YU1gdhgUvJqwaeFT1AUV2BSs7EQvlixswmp00hFf4LK7b1/FrU4JAc26v05G132lZA2PfXD bmzt16jakq2ppBoyFTcB9LKLASipWebLxysTPoF95QtamtCLH8W5vRo/zDAz6XcMhrMx9FhjS2DG hgi6RvMxsZbtSOXGJRgOHC/TRUx6GF10lUmoxUYAsYCkHM2lqWwUz7AAbaML/kKLM1PGov6LuDy+ ZxKw90i9Ws/gjSlZDHnOEt2S6Le7zj+exuA3VRj/2x0MTc5mlpfbttFp2Lv+5WQ9RI750/eLXjC/ HiHX72Y20UpZR7bDk4tgxj3Npnc3tKlAHmHm1qdFAyz4tTEXiqV6uwfX+KJQxiHLGxNIhg7104Pi NEQVfd6wnmr8vGlRI8uaOCuvJphRWPTUaK7AcHfZ6faOK/lXVgyU613ecgIABaguivwzxRsr76gx 1kNzdUJz+5w8OmNpdqDxIS6m2zAlKY6VAu9c/jnous5RE6praoMoYyMXATzD/ifd+ZubDSHsTE0p AKDCWoTQLAfh2ZQuRxByVzfkCwvH284+v+7k186KGwHd7Fsvy8C2d17rccU+4BpzenroftgDO6sl UiAq60tk+rQ/yAVUsJ24U2J/JrVy4xqaDdqbT/KU4s5e5ebU/DPNeY26e0BVxr1vl/QitojD7J5J tOne8PcecKJra8X/1c1/PCjZKvcW8cpVKg/X5d9aA6o8WzF90WjT06ewWVlwkwZymiQmEi8sXeBA q3TJGMdS0w5ExZgjWOHGxEU2F21Gy5WrdXqjIwkCvaIr4xK+iXi9R2rDLyM6+iEArZGZMZnQzyKU RPmQI0FlJuCjjQUdNv3OTTtrPnBltP3bKuuXeyMj4w8kOWZ3zlUatZ+wWTUYiex/FctoqpTv3xjM zgJbIAK4C+bkt80/nf1scZicmatM34JoXhSyYo3cX9ag6zZqRMJ4Zw87jOUEb2ddwstETeHGfL5M lPf6USvrSe51OxYsi2UdOKJqFkVegem8YT4OGDYEgt4RFq0c8amVD2zuotXf8K0jrjX0wOWSYwY+ VeWa000mmxRlUh1nhD2+HtQkz4w8nAGzhR6vURzGchc4ZFadyF3a2IMVWQWBmz7DwYxZOAHIKcGB hGm/11GXJxdB5WmS5XdIlDFXIxcXwGCzYFQ3bHwy2Jsm4yttv7z/GHj+fXpBXYiIxiUMCdJcDeuk EYHLm5fUz4uglp0LcRvo7hbesDZbwOW4YC6TUfaG++w1bcBeNajXFOYtR3bzCRV835SLsQxIuiHA OjrUg+b6Vzs5oJoLnoRyGF862LrAES+v2ndi/eGfODtRk84q6PFiicrjtmJMW5ix7Pyf0eO2mgqk kaYO12/JAUNKoT8mranBpc+jl6j/XS9bOoRudGe+1t1nYpGSh9VWhpMKMXH1cWoGVjhykcyC1tvY WB0SBqh2uB+GtZacoo5vCYRe4/URLsrx8dq0ivj1G+zS8E4Vs78aPIBvjAaLjDkm5GeOzW14AFFq IHX3Lf8gKi+3SqCQ9PAOazKSmF4IEbo6OqcBSX0162NQoT+iT5MwhIEw2M3M5NMV2ggEExvcx/5f TRkYE8o= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block TUg3INHh1m7IhzJTWhl4hakhjur7Vc43ogrhEddqQLKQ5cTmQJLyY/O39MHvxAMR2gKZYkMnwG2l 6cfBg6sy6w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Y/ia27A6wO8jmiJeDD7eisp/o0DINS9aBVInHA54TUKf2WoIq6hh9BVkHnKwsRC6y0ISNQHYfAzE PTfro7nWLiogO1UdUR6Fdg0dugY297GMGNSgJp4hSjDcncspoXCIzLXdW36IFe/bIH2I6rVCdQGq Bfb5Gy8ISAcPnQqsvfA= `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block kz0wef7kcYmKk02nW3FHEht391vWQJY92Du/ZIt2m7OdokLfO6Gv5DKbZwOuyO+yXdcUUdWHG1Hg t7gRWxEAkdlL4/9TviviX6GS9QtH9m8xJMYQY/3evLZuJv2spaJpj9XdTT9hQlWB3KOO/c4zrwkZ 4xmqwjxejGJsb+FM5sQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dkOPiv2V30YAr2yuyXphkE0lv+yYw3tHqA0yhJuJHSglEGmP0QTtSBhDMb+PGYV8NkSI8H4eVQnW syXqKR2vhzWnJ0zRCtYlN/vMwjrZm35SHeCGC3CWsCXPg5fWlXJzxzDU4vP5OD4maGH8Ec1mMktz gRtGcXleZSmjeO8rz4N7Zl+e7irHttUbvM4i2n84/VDlVWomp1+ZWh9VIiNadiVaF4GeyDmNDujq KQ5joBbbe4y2hoQTmu/mtfDUMZGGvUoImw+vazPIlVHH7z5MXdEpWiEKnH14qDniwjKNjq35y1au oZwXSsG5YkjKitE/OpWH3/uszWGUyrd02WCk7g== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block YPeWnh+rwbGP5pImDI2d2//p4f/IpBCUCuKd/hCDk+2PHES852iLZdoJloFPd1161LDanxCbRI/P 1nJbZi1obBy3B4ujpRc/a43DfJ7dxQHZtNjYKs9a//VCBS+23vBkqK8aImNg1Enfw1pvrz0j2FHW 6mOF4jYRiH5WXOIIuBHFpcloerzd0g9AWQUxk/T+WCSCqmYWUEWg517jiOu9LvsqInAOCZ5t0SWx 1A5jeWyL+aVl7ZT62sEEoT6kmD5KQH/kGkUI9nUWAJWa2/k8yR8JxLoz3s/KFTMxpyrcHKw2Mba6 kP0rB/IanjAxmkWkkMe1p+USCoEpuIy40jLfFA== `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2015_12", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block RAvb/4vlo/jbWHAYh5QgcKvlffxbz7XViXDEv3yRgfG8bjy/C4S5r75Las5nWMxVHPrwZeDhcP3y eWa+WDaWFUlrAa+9O9M4rBFwyH6vPJbUtAoKNZ7YapE5ME95Y4BKJQml4a6fc9hGkDkuDTohQL+e h1h5j2N9YkWtTKH92l+ACHoeTq3jJ7tMmqXWKNWTJN+Wsc2eZhJhClQDjPMSNa3YztWgvs7raemX fIP1EibAwQWW24hS/XYADD61gRmAHEtBDkKgnD8twsgno/WaAeXts9/ZgPRMk/yeorVQWfEagZMk 7092cD8GLSfd1pkbwQlcvmGKY4sXaCSxbhTWwA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 126032) `protect data_block 3xwWy/6MRkRZeShS+gzVnyCgu6hmxBCku8OmzHxtEmPfQhdBrJUFZbsyilcsmXF9fxe07WZOuEfd 29eDCusqS6+GDkQa1fObrFpDTlGsg/zuZPKlOxbgj2ogFnMxnIt9mTUOm/CSQZjfBQ7ygkuzC+46 LvVkp2t9HZAvuSAyMZznF4cqG5AAhJvQU1qqZfPY0AR7DCBAQy31VXzjIVWQ26+QZ0TGIhk1ERrN IJFDiUlNRrtxLq9WMMPF9Oy2fUuKxCbahSnogmQZTAWUYCja/VXw0bqyhlD4MXbIHXTM3X+aBCe/ 5QSNpZFK3LtLbQe68WvdwSyURbOkc2SzWP/a6odnSOay98eYKLf7Zq6mEXmWGJTlFH5lDdsIO94e S3v5LZIAnPAG7jPY1Ljr66SrMRz1EPDbrfQAvu6rmkTEAqvreWAFHrJhAshRav7gbDA1MZ981/xB b6kEszqjckJogNAa6Xl5kJurk7cpJABroH2dFytHhVy4ze/+fwesoHFV/Q3HZiAHFKEM9DtpLo2s qTltHTlgUzI6hS09RD6/zKLs6TcGgfgAR71IUe0mpuvr/pF90dBzgyIMr0ujtTjunzOLeOAeChWk RBxBgjAiFk5KsOeGA0jtyegJ5UN0Ol0JUlRmNCzL6kiajDqOsdvqZSN5ni8eUtnUy8W4Afkerlxz CmBiC/d1aBqVPxN5ppWmZEGvQWjujgx6gf1dj13x77Af7FfZOs9WqVRhSJJrWMO4fJQpXbUMoZSz Xq3bD3IlXclwTu4LkrwFD6EKQMdViPgZqIhu3ppfS3yQhn+g1GbhN7Hc9wgNYmm3XDOXH3wzV531 8LoBGd3Msr7am/v9mOeNGt6Xx4z5I7RPDkffQFOGsoprm4vCHMzurHlYzWHPUdDqDvJ7+b54Z5C8 DaxyLh+lLT9+/lDs4h+qH7yfL3eeqZBN2zDjrwjvlsnsIMnyVQgOPFC1dUYwkm88Vah6G7O0NuH0 UYdBDwSqdGyqnS6eHsB4ia+Y23Qd75J5twb9/lQGl0dr8fPaBdpxfZmq9LGRpdrBmvzngwEzR48T MxBVge0eDEnAaFnCNAI3AUE3mm34o9aH7piZNAA9b+JO7SAArLNZ6wgl2717AGAZ3TpU4bfmxVot 7Y6R7h23pTrqVY44GJdgKRBQ6DQ2SPnCwQRi4Q5/XPZDCKkr8gz1aseDxAtFQxdayMOHeQ9kCcp+ gQ2PoxKwDSVg8z3QjRFTvWFlohpIowOw2pZl7wU5RVRSPAXYGKYq+RjvRgrQAvIvxVOBrnHH11TO 826DmNQ3gbHIys2Y2kpesvU1cbackMog70cOelnYP/my6ZtOKRPwCwTeKNAPFmJgLwhWxmhKY8I9 xG/+ztD9I8FHWqozE3cxG1qhNPTT+dZ2x0S9fFA/INZnGGv0j1Em6zp6sKLTQIghWfde1SaTz+qK eOY5fU6Tgm4sIoV4nkz6LLRe8euzFyguFKDmFb2dH5hHk/oqGVB7+76y79TBfSFu00Ck9BPaB3hK oMvRy5+CE0/zGYz39+Z0gbBK5/YVJZ6Yx7BKlG5GKtj9fOjUCbJbPqgFqoAJFsJvBRCTalwWIwxi +47WnMnGA0mvSei/b16TrBI0d4nBMP4ydy5bNkSpUZNhfh17j+2DMhCpnsgvPTLMBM7qwLwbaSF4 Jl5U7eub2uJlvGDWM3j4icIkr2bfcnrhrLxF0sx06oz7jtjIBnME/CQaKU8GshBpQjLypwgIlT3w DWhKSRxRMHqq4Gi9QqXJBBIUWtiq8ziqfRGyHZkTT6c/Vjw4/gTWZ79eYQQ01+DVjp7RzA9KfLBT ld8tHNOQPwd2+gSGnp8zXhb2t4r4M6ujH6IMPIWgcWGmygzc1MhNRH19w7ysRu8rEXVkEhwG8Pb9 W4HICsubX8/PId9YvwsXYImeIW0wdUNSbZTxIIkfK55Go0NYXSrT0ap4CEPWzA7ZdWu8VJshAilj l+xM7KfsSNECpCRrBjG0RQrWsPMbH1B7E7IALtEFRjztXZzL9/7/+e4gGbIz5pfLybmeqqGhKzIR UH6Tlxm/8X77Km0ksofduOB/QyLZ6+FnjIFJ5GdzLairynMIW89p3LJO9YcOCX3Z4QqzOQdCn37L z8w0VxkfKeyKdTvcErn66yYcbV/9MFs/WL95UYAVYQOCw04b5992lULw3F5DVxkd5AwnfY0bE9RQ U1HEAOxgNpz+Qh2ipGvsDyRPyfW91WhnS/DmawyYbuP3FSm4r2knmPbd/U2TPkTlSFnItpl0ltZ9 iFepSSPjiXv2ZO1JWPcBt7LMYq8iR4geb3xR5WbpIAqb+LXu0dhsJP1SxqHSkdKfVAPHCK2nyyWy J8lO0B7zAUNvXnC+OUmGy60Rt0WC/2IaQv3dt/He/lGxndUaiFzFK6M+JAWOJx4GOi96Lf0DpiJY +3NdKiXELwi/GNpIRZilW/pJwmB5SLnksI9Nbb1S0Jem8zKUHRQrCA+qgGfO390iELI+AByRh5HJ vynXaQssjmt6zbe07zyrc8UwLNaud6BojgjT7wKq5oKCHVHfv70W4oqVeXyH1liz7knBRX3iPsFI GXqKwk7D+UYUx9f08715lPWRf9oMZxTsVGgWVdnECG5kPSp0tGZKK2h8PS+hgnCcE4y2aFoyfQlA wb4z1zQf2wKd89dDx0Zqup2btvzgY5U20sn+zzZocHMVYn/b9Mb8HkdiyVC4urvaxksPYhiJB+R4 xtceUdEWH07u2hnhoUJCQ7cBwH05NAQDwELAH37SWosxSTi4X7sJ5/lxIbHvD8Izwv8/iwrYlXPh hGilVMxUOjWQGI5LpjYFzwX/qsSCY3feFwnIVdZN5k8Ip/LwyuvywrI18nmfrqnxBgwczK661RJx sxg541vHBZHlYIkSaBOEte224IOSmurKEhPYSRzWBvD2CELtIKpFVj+okmUYgYH3grOrHdE9NXTV C0Asqzec2j6p44zM7VN+mpxrqapFfw2VQOcqx/qlrZ5nP1HvHi6P7xikvFsPUnnJWqf20F2x/Qul HHM0wNIsvyxcy/+Fi4TBVjpe7mv+LD5ajwNr66IAS6Cb1y3FfB8xoiPqgiTve8r96ZEnZHcE5OOL btzSXsBuwMvsyz5sIXZtLIgLdNcoGBUNEgdknzqM2iyBcGbhD+8sNOign+EpM88CFdZ4Od4xfyhI rSMeX5peXRiTP2myAWbiSFcumyI/9Xw9D+W8iT95MfH0qQbBea2jYuL6gWIEdvu87+vei+gxwtNs /BV4KzRPg884w83QMw1sOyPPavQ08O5DLRm+wxSAvlC9AVAsiuQPF3XjoItZaN19PTN3fuI2z42m sn2V4KKwkpo4N+T6Gz0JCyotjwCYllGeL1u3579HqFs/xdwhrw3zGWNexfs3UIzmqu3rdsKD94Js BBqAnNtycFU0rhAs4bhgr5yzapArb/KokI2YLBDLxVMukaiYQiWR8FinVUolIFSOB3/13c5Oyt62 EqDkjJ+/AYVeM4b2SxnqKXOWBOM3Sz7oG0pB0rxKRRTlq3oKaS0Pt2FucWveQd6Kl3L/bW9usFSs 0t1WDHjSjAYqPYNSyYxdHvtV0wj21ptbS0a3YFjmYv5KWvbbjJjWnTB2CnLduSqc5Ml43juDfeGO E9yz7LANbTiq8TK1hvb86WN0m5c5AOyVSVpJckl3RqlfOq79F6MrgX2MjYPF2avCfiKOyouFPIPQ 286pzSElFbEIjaDFPt0vUOgDoDOTfaKTkKQOc5lBhK/J4YVI7va4aEt2Qp6FzVuqNsYLc/0dwg5q 1T+xVLI6KJkF8mnfSM628qumcgAq7Cb3GpMlDNgGKK5KEPUNX/xhHEtHH/8JuCDc9HydWGPm7/yy TnBuXjZJcUcUKgeqkWNNSWZZqueHFI7/3htGB0IFbWLgZISJWcrSuBG8FdQlberEZ3fxg3kk6Dy9 GqfLp+WQLxvQ7z2uNYJHxpXnQDnhp4gDlkqQxptMIVj4NJHh5tHVzT1HV1frBOa4THdkmHRlvOKl tJaol17QIy9clnyy85gFbrf2ovwlZNuFeQLccfucDRhkfHbQ8mAGjikMtoREXnIbriXNWF13P49M Xl5YLHxuNgUyYbN23dptlDF07Ipwrg2oJUOg8gKRWHBylH1AKO4D7DYsI8JCRKtcXJdtjCja4Lpi Q1AZwWOSXKGpfP9bvazmHyYaNTyQTWdLUBe5heIqbG5f74t/Ctxme1O74ASrXNvo5BOuvOZiNCuU 2/yJgd2Hsnd1RoKCELbuuL0TJiVObnH4X4sZou1IEYx2M5UiFumGN8/bNuvBzUzn0SMn1EHSzIvO 9m1Ecq8fkVERm+7wDR5LuM82ARXVTQBQ1j+jV7FT7Gd2eEggTO7IcRI2exfI/YZMkDp1DBD8MEmS jHJl2xKdHfu6ZRm2GRUWZ9xrLP8HtndghUNSN8PB9EeF9wogCPnvTaZC1kojFxc9+1AIVIxMi+bm g5lbxREUBRqFf6om3hfMx5v+vEC8CX8EqkprmV7cPlmbYEQJJ5AqpA4CVyqtu/dZ6+hzZwhRfN3q p51vibWVlNPPlzAh1DodiDvHIOZ+sT+D0UM3iMSH0wGemyzZ+gSilO5cHf9Zyt9s9L1Th9pemiH9 e4SrxxLpWEpccWE6FnpMv1jQfNj5RakUH+VJNTZ1AftqdaRote1SjQgWW2ioU14l0HTD7bs6E7+y pX0/sgvDO/wYYuBs5SWLyTjaE70w+fATsbbnGW6Ry2FnKOypoGD4jYltyrbUVpLKvUf8GPjowQhW XKO7zsOBpwoLgNTGargsa1SEt1+1rHhLWQ+svstwz+aMBL/FyPh44Myrw4pmavybEZgtykGR4+x0 sNsw6ukm0j1QIk6cIgoAWWjalL/CpEW8P4mLcN3CMSgCEdcAD6ksKqtAQ1riCB0DSpA0nHDXsvua lFOHiM2JZX0alLn/WOXtDrJTiZOGB7aoRKIfdXgvIze6FsRWH/emlAkkuuJAzlxqtVGx9YkXk2Hr rVZLjy1NvN8Eb1ygI9eB0q9/Pf+LXYC6ffv1DkGEu11lk9QXWZUhNsUiMuRX/N2QNXHJfbVeMiLN qbXWmSGK0mtj06uEnVRhTFrb3z90wtVZGU5T1Tt1CssfB4bn4Q8pK8Y1b0+uKW6ixR7UYgJXBKOn femxWVfVyWH5zlE2F9TM42BixUq8i35s2gfRa7bHBmptb9/G1mXMRuEz86z9KvA7GcId7XGcMcr9 KSwAI4LR5eJjZohqutIhqrLZwUZDrwT2IV2v4CArFPHXGK3Q/b5IfAgrIxGl/FWsvNyfByAks/3R w9WxRmB+EAQsRlcS2aJaGpfCOJ94uJmP89Gl6Pxcz5JChLmV12pae7njNEsNAQ2kwe0TKoYc/YQf HeoucMNNCBWG2l3XChqO3rgL6/LLPoFBivdyPOmkVSfnIQ+j9rf7NU3nizRfjxb92lyve8tvhgdu 6DdOw9XTAF2YoCDZnLHr3jJCrkz+I0LBzh4vBrQZl/9AOs2B+EpBkRJ6gBgz0S/AMnU1V+1EzzQB CcMtXbwRozrrCSP0h6beeRjYS7Ab91gfOKbL/HiTNEhjUUh1TYm9pvFC6Yx2dxZ9s5ebCXwI3q5u qGPP8AYEk4T5IWvtfdnI2t1QcdU6nnReSaMKM5KNKVtUC83W/J6toqpRzlX8t3DQLyYBZrMf/WfI ssQwn2DIukW9eMQvof57Y5ID+2wP4OjyQ66+wBqIZlM+gT+TOALtc5xD8DsawZ9R+b7pCDmGGNr0 FjKYEUlf+KF6oTkDuA9dWND0csYZqJqmCc72qnu9/CutT/YiaVwgskGLRYBekX7XSQF0sbeWZeJj TKxkIibqhl9V/m51cTFfG7QU1Mnz6YIlWyvTGb90pa0nYoVXqjw+Ck2HX/00JkEY14oAlPYnTanL /cZAAALOtGr0uWvZ8iUuR7jk8C0X9lhS1X4J2VGhsZmxBtuBT84qi4QqsYEn86shGm69FVA229i8 ig0xIFGfi41lyboMA8gRAqwMdVyw1K/YefEGD9NaOrOSikh1G7IOCFg3XT3K2Pd37SNqaepITOP9 DDo1TNm+Rx/xSf4R9BDbw8pt9PcHagA7t0wBngMz0LymtzBqSS7l8AwzzaGYg0cGM5rjax/6luoS B2rAN3Z29Rg4bOW1fiMU3g6StWZrDlJMqtlsQAUSNT5SOyMZe7h42ipxTsadkKnKySxopg/tjQ6o 0ONLzeqlLjpyrndMbLdrePKYPQiuDqYj8ZimBQoRMMqevTSS3gbRx4pFHlV7E4GCQYY2KD0xh4w8 CiVOOm+67YGiZJNDVL6QzXOGYqTyBxR+E0J06IWQH3q7q8p/aUvtMLjTm4rFM+di5VUjycM6T2A6 W1rk/4cf2BGUhWm/n40gWJxbEdrxzBGevbLL0GLLq+W9NcZ9Bon1QEMOxxVtTFwjGv8rIKNzQs2V XaF/9iVrwEB3v5Eflhn/poejVSa3nRA3AklIVRGlOIjqYmQbIBXAB0NahTEXPmuLY0j1ubkcrnAG 3H9+NcfRC6mFXdhKVZCwnZBrQ2yekeTO7CdUWhjgz0t5mHA4SWhwdHe0YEBs2ixVikLqixuoYK3E BrE/z/B7uT7NbxHB3hKxq1E1jPc3z6uYqpys1jRGZCMqTgajZX/4D1CWww7CNf3mzQ7yc8hNw2Uz BL33FTZ3CO9pqzgMMp/LkhkzysXlNjrlqZHk4DRmH08hi5i1J19Fh1SkuwdyN9SP+AWI8ye4JORy 9RQUwe+9TXQ4GU6EED/t1mNjgy7LUA91qEh2+PkPuPAn/uY+QfuUnmeJBa+LiuEZ3EkLpba172wP h4ZqXMdW/ALm2wwbuqqYgH4mbFsp5SijaogjbDhXoGeVJ1t00wkgHzzC8R2CKQjzsQuRbW4ko4BY bz4bcLgo/Ve1ltxqamyZsfgwlFlkOacrBDvOvQDNdlIT8ysggAEKXMSeqbeSkqY9URS5PH0pilvV dgsA2n/wTGjgbbw+rTTnkDaMkIfLqEU0QeZxwMbp8ZwKqpw8Rx2m0Rkio70bilLoCZy9c/YJ7jPu UWYKBF497VJarIoYS7tykqiPdrHCWk9EqV111rgY+J8VnEieUzo5N8Cco1NbdtENdvesvRobfmKg bOXmj3JWXGBUdmatT9zC6uJbeLMIf9cVCEOIN+4sNW0DTiSaX5WwiSujlvJBIV3fMdWVj9lb+d7s NRpBSm+Py1FGG987smEwBlzIbdBQKPslVs/Dge2YJYwJrKLJbIudUfQvY7uMfeep5AvxSyDellIM RrvO+etyQ2ikJGg5uRfc83cAgALSLAWqe6lQrhZ6vQNoeWKYkaJdI8RR5hAH+qW5nYb8u9e1qz4w DXvZBi0GT7ZyWDzW7hV29hwSG5nyLg8KoWxgDcdl4qhtRnEaC0HFgiW9hW/K4G08umB0b9sinLcI +NNz+0/ixnkHUf4GJHDB4JtjFldCfHiJJs4l5sIcxZTYRsIAq7q/sFi/FzIxXTUxZ1hULazBidXp ZvKDOR06k694BjMcJR7k1hcUNN9rYUp3M3/XuukAn+Y2iNRnDMnXH8WcTPRIhdvRay6/tr1E2vub uOPC0UGKRfO5929SY+nVx/Z2WQ0pFKuHkDCdQO+a2WLpz30VBVj4h94h3yXTaZmQsZBcPdzqvDbD 4tFUYMcOFT+xf0WRvXtWEgu/B7VKzNZZWaRfNTbAd3wRpseOZBh3lJzHLTE0B5V8lnzt3r0K/fHj xY4of3tmp9Gvd2/SdubaVTQpb8PkjGJrRavSLGArMWnJ2gujtR3YglCKo/5gjA/1RTljiHXk4NyJ Syd8NhsEE8Aamz0FuY9GXhoX93ULxwHe9mFYxy7nycaWU+jxlNeKXfqOSFL8xUw9a7ZgQNMzr+2Y +llPAEqvYqYG6MZ3Q4eZIxcK1VWHl9/79QQXh3x1m4bMLikvG7eOcs520RCXC2sHM9OSSGT3rDTj 9XTBNVDjGG/KYFSOoPp7r7ruLopYSbL8iQXYO+bjN1K5VSDKtkgfPDEJp4/UFoMGEUelJOFGo//G n3S/RadW/yI4LY8KfJcDlYf4RBTcAsIddTihhAA5Ga+wQYGXGWt+jSoBgHAZJG6fSmcQy2ViaP/r fY9Zeev6fRhLiTFxqtNxnLCbIs1LIHQaErMi7OCQrCMrJktak5P/TKF/Kah/YtyRwnFBsqcECaPB 698sXJJQlKPsG4rLQgh+3Xa9Q38jmkky0HSrAQi1SAWSM0XlmiaKwfo0r769Vq8Ev7E8KrzWOmwV EzhE9YjIOQAlNZ90FEslBDpJVH6Ffw6hprKdsG3nhNbeBp6chOiTo48uFe9f6sGd+EWocCLOP4TJ bYOE9eu6nnzWCfp7aKj4bH+IFae5yh5pnTnkPsghJ2LI2RsBc8rJgbBXA184UnWQ18hBlV0hk564 pWm/HJV+l2IoHtRZYn/21IGnWpVYNeHhOnbIgVhklS2Hs1xEKGX9uzy3EjdT30f+Au8P9ryCtJzP RVSg942p0WpaXSr7RIdboq/7IHV0Dq4vwpkOJ40XKohjTQQZEYNjkdBJK93+nW8+GJNjVjQlvF72 JjVN/FID6lmMKK2Xmq+2tbiUfu1xunE/IvAJK8FxMAthe3iPYKlXDTiyrdw0opq56XzE5kQutBAD ibBl6mGvnLi0ruje5IMR0FOHEIlTd/Y9HRObc9vRItJgJdlbhwSh4kiFmMceCpijyCUyEIivuxoR FcIOafA39dxyzG8UVk7j1wMnY0umdiAWwMwrW5aE0dOm/WO6O9oyXGzPNGYxazZOwdYtY+hZH4hJ SBocgfbp5FYrCXD11UXbZJfiWZ4ejnCS03azhlGAz6rF2Wj1EKbjZcUB4SEXG7pCercuGdFM8LZC cFlD0BZvX3DAJOsnBkWQhCuRUOtYr6M2XXdqk1+3oFaBoUm+T8akn8MijLMMCQ1yMoFkGR2F8Oqn xnNbtd4xW4Qu81weM0H2YthCsyt1opEDMCudXaLk1PzFZhmtIZTTUXtpEB+CbE+5poyhieQ2cGdx K5Fm57FGYY000rqjcYoK0ZZVuIbNM4/j4Zx7eNYFCw4AjF6EHxpgds1sdxSy0N9EXro9CMAlb9yx zVAl+onZXbthmG4py43Si7wGtm4otXyhN57dFaDJNOY2Pr1Y4eKmNaimXOZgYEVybAanLO6vwUaw Tu1C0ayfLxVDb3MLfiZPzktjViIofAYsEIiKYyuER/dQjQJ/5kRFpYC/YKM5QzGeOs+xPrSmX+21 4wIkxcfVpyI7WJDA+OzJRtggCilRTGGPUYox2xKWl7TKqwK/6N5FT158B5fxtS4Thw4Alk72fs5R PoeFcz+TqJDhBJ78EnrTE2fbPuor56rVT80g8uA3XtEELaASzL0vVf75/k4re68wXCiFXfBPY6PA BQtNlt1WAOPo9A8Nm6gz3AE203VcQb348gx8WxM3kS+44c/EMyMspXPLViMpP41AFRB68CuZjSxP 8ma84BLUOyoScNB9P1cyEudSZSmC7hTTkC8Zat+oooNbQdC3tuwQHt0DL8yYs+eJ8pXgFpCgu0K7 DJzJDpl1+uSBe/+ww+R57IVHm1S/bSVN2EPlGomDWKCr1otXqd8yVmjwfmlDQKBJmgHtQnz5Gfxb FTLLCXizDVw+ZbO0/KDm2pdPpjN6nvhr3KPPHqpnruTuM0YPNV7fHk0c+xiDVEjhPsKvLttkHhjG 0sSMX1kh9Y1h+pLbzglqS2O0vBlbSOLgyxwSACTKmf5ngjIEXlAUfGrSmxD8cQPhmvfXpKgenD3J uCU8wtJ8CdMYxtnqGJlchWzSoh440JB4SMakAE28q3FhAZMiCpprJDL9U04tDYvhnuhEcLEWe0vS vdvguE/bXIRGv46MDTiF1MajhknTvCj1bKv8Kz0nOMtMX0PWdUUpQCvmnj0fZTR+w9NkFYsNE02y CqdpM1sR4klWDwTY4pTe9ls63swgFwTGKnGnwyy8gAsUq2aCfxrj/pDK6dQ4S+b+qnq/eeE7Jg/n 4FD6IZzHaIZchYllzT4Qm77BeMib8Z16RlNZkPf9izHvSPq4Vi/PtgHgF6VKA0P8sofGdWxq0onr jXdHRdSWDrTcEykL+lQ2t3E6lC2YC7CjpbkFgSeHBya1pgrekuKYgk9hihB1ErawXkT8VdT5OEIu vI+6N9sW+H4kkBhWsT77AgJfEz2iilvNGg3xcj/JuHEPxsAJLYeWM+5hnU4q5JyeMJteQBO9qfAk P0Li+WdLfbSILYAIcAGFamBF61geMLW95d19y0GWNoERPOPNW95f5hpM76n/VNIyB4dc0WycwzwE nCIQmmtXByB7YXi/3qQNvB6lofBkDTrm60DaqTDVP8upe7npvEbkFS0OGHh23GQJl/Mn+qnAJqnJ sjHKLuIAEi2q9dBjn+0LE/8uYWLjXJ5lAta5jY+Wxv/8BSFsNwh8yu8IhzOBkxPe+HzT8aw6FSxW qFIh7enw6Ft8I+ZX0zfbGa2RRkqC8niRKn4gYcmtALowkAjTxQz0Wk/4Zk1hC5xbkUKrzDZrz9eC QoBt3Vc47O0iubera6mLTBHtNGxOn9dLnePidqRYYYJnonHt7HHKgiBu7fC914w28mBUxh0DTyT4 xACjE2qmN5UDwNOZEIn9g/hKznh7Vqr4si5wP9TZsqQ4lNt89EkUURfQugiJH5vrw0dUltkxtHKe F+CQIXB8D081/7EcaroNXIUXaFYOepJD+cKWWF0R7CRVcSkFG+U7WFEvTkQ1XZ1yC5jbSfdv7Gbi XigYVe5lGMkDMdxIqE9oFyR2H8LkeJBKTnvdTLjABmLENNKU2ASzDvF10xGNzmoDbr4Ez6kpW8rn KC+5Yaza6aunDGsgY6G4l7+qiztHx2wAA5l5PiuyaJB3yCumZit76/rNDwtv9mdYDB6FFtI0DxnM ZNo8jCY6mYEWAhxWZI47JdLaLoJZ15qZSpGpSSs5KyOpV9EeqDyNitIomzlKesQTWMT1M1NE+P91 oruQ8MamqZuMeryCYNaWR13HkgF+V9hBu1srgW6o3Q+RBmjGy7Ju8ncxcuslOc3odvcA/sfINbxg VAeMXcJuYdN/nX12cpM9XxENoHp14p3VOQNdQeCArR0y1dWce8UgUkJ7/b2fUjE3yGTaJHR6WC6N TRLv0fCcOF1Ss8hYngJStTtvbFo2S7Yq+XpvYF4Qx3cnzSRwFHcMkftJOO6O/jSzxgsoJZmnLSvY 7ZNwfCaI+2OVUQWC2rMqbSX8o1XOmnCgdu5EZe8xrVm+GxkZrqZAKJiuukqi1/IoUUQjthUH3b52 39eB1gkjIoaUhay3an8vzUmMTTxSjumIV0Byj14DUNPxSYkxJ5v4nRg7JwJN86ek9oNKA03I3ziw aW76Wbu3XrudvHYSZS1t8uzFXj7t+EcS6PvLH63QMarrIbkc3aUhMvB/4wyoA7YLeXlEKzHPnxY7 5QQdS8TZh0Z4+nh440FklHNQPoxN+LCDtUrY3NsQTE529kiSkpuc+j5hNuXyaiHjX160HYZGrTMX FF2Sv4Cme08eBk3hjU0kUwKNOVMaZrpOGE8tVF1OOo0jdPDz/oznG/yslRUBETptOCII+uGKbbCn qFnEW+18XBEXdUo2+H2+HyUpO2JQEJfKnUIdIQ/+ffV45IWWqB+OuRER6Ntne7hlee30tTbdcdkF FJusTfikVlhQOljBotwGjMeBdbLDjGK+xXCZTIEm/ICYXz4vrH5b8M5nys0TOzowF5RSTgffaXEH i/F0u5UTVSKIIV9DTcfZ3gMPCuqvYuvteUNc9HXqtK9La37X/4Mg53xrinXfYqSgLHzno1H4zt0U NvSLuTRr67JBMCkesrBgjS5VFDdQtJY1KQq0ZwB6qIG6i0NXB1OJhGbqJ0xwr+KlQ/yY8q+TkY4O vMKVI9dnMME8rpbtrDGB6/X2peKRpPUoVqp7f6G/qqVO94+fWZ781n5YFKlm+DQ6Ok8kwbW9qpu5 mk7mSJ8UDisr19f7jm+dQBWJM1ktfyYhHCuPjYUJ9LYLReOgsQfNUsfZd9dlT6s0uU8Ip1zxHvZc Wks8d3m+iaZuYUKc3INcRV3E0dxksPhut8U9h2CuxX1yN9XjhrVw0iUq95V+WlJWx9hFwV/mOzj/ ZpZW8eSzD8j+GVWxNKqrDeC3soHMZ5WfeEvMNJHavOcAntdGdzqtqnfyKqp9G2N3ASGuXRAvW+rK T9p0c0zQmvz1SeCx+rlPhIWNTgW7qksRbvrJYy+k6vgaIS74r/MbMfehwYWnKYKGtn+wtlxrWrMk wy84m1WVydhJytYsjnIlf4IHqwsGVDH749FRI4SjGb+u1X/MCgGL5Ee2ufdxOEgE/aSvSiw+4zXT 01hULsrDp96wQ/QNdSFHBjN1fygRoTn2MRmSEQEtCu0b0mio+GRHWy/sPYRsRU782VyFYoyJjzXF k23yyM8G7ew/T5kbYr555PGbb6lYus2vlkyvINp/FYQJD7cnt1NBgUXGhiGZUnvVbiwJFB1/v79G GjHvcYql9kQPSj73c7aJQ47yReAr8qBY2Pzl+8u+88gKV0s2B7v5kG8+IvqqSMY3opBq9wEAU+EI a2gQ5Bkb/tr7kd9lpf16GKS2GC8m3Z5LpvJ2WXVHrJ23oMyBJDTkaVw9r0VQ+Y8zaWgwEe8PqOzJ WqnGaiRxABH1kALS+KsC2ahpK6DLFP6w2xJFDrdcEhy4ASA8FR1jqX9XWT7xKMUTyEzWxJ0Ip1Mr +sZoXutBIt75YcfsZKgntal5yRivEaXIex9AUOl4vRyhf5EqD89UBLiBHcDRagkcbx9ZblxJNYNy UtWtbts36uYiP8YLynt2BDCr6ycvFnfiv1d9aaOb9+ifYX29nKQW1D6SfYPAU1i9/tBlOH9u8eek aMUkndyHOKHaYtjgOHvo1v4SDCtEZcKSYmo21D/w8UKzk3zsxoIsAPzM9f7/0ojxgKQpkb3bHubK 3BwGadgqQRxvU3DxxaUtqg4YCl1bafgfPGGl1v76qPNowZ4qaaH0vHOI/O270tjoT7uQCiMNYL3V DTnDcSjcakVT7o7hO1W1TuRS4L5sE045MbgD3ziVtL0RtwFKr+d7dv0ZWkTwcMibnvJjPxYOVJx9 8Lk3NF4jKs3Ld2GGUoIjEGKPgfY0N2jxvOhmdZPRREBSttOCaUnc5bTWg661UwViFzYpPrUlJ2m1 1QSq/jt7jYW7g2vsUwgGaeioxHJQ10wP1Ry63Hm6L8GAD0Q7PyvgibvdzCls62V0UIFNWD/pEsNS Apop3LqeZAsCmD9zcu0qfpJjsfSolQIC/Hl5kHFOD3r/I5sPI0CZEncVoC3tR83Zz3Ty+Ml4nfCj 2HqEHj3jXPu/Fo5UFYwE1fAH/5y/pJI9pgGKXRtXXJXprULwxLsQW4q+f3sStvbGzendeTs01Ibl RxuE0evGPuIMwuNzzN1lsMPCW2al0763fKC95o3daRWQEo3ggXENWFywaGsLE1734y3Y+xL3d6uq VI4zHPpeJTUj8zrr3PtjGSl261O4+8gf9vswMb2XZxEcQLZrkFg2hs3Vh7dH6+b/8MiM3o4DKhxR Rs/CAXKRJOLv45HM9H/PTRQlvfe76UNpHmyq2+Lx99tyUMfaSdXUgTpc5Sfwcosu5coYHpHIK8Sy 45rBapBTITQvY23G48ni+WvzVbY7DdRCKVh7O7p4eu+VBZ+MTn/a454m1j/vhHmqnIUq5j1WodR3 VlUvpCLg/doV1eBMqwUSP+GoAqxd/JbrQ3B3jux40vjIntz7aV4mbEgnCmFg8Z1zXoD1W1LuTYBl /wUBlMf3/z0xBxmX26sY6hkMrzjATpRXGFk8Z4torCpYYWNPpz87bpbag1WWculwxPRODrG6uP6x 3Z+N5yGY9V0HjEYm7cjgF7Rhc22n0AqKbrkKyMZpmhzuSztgXwPhlDh2jWnKWYLX38uYuPRS8p2/ Kp802+MGiNOUhGKiBOyAEL2BQC9q0hvh5KmII9qR09vh/GkQiO9jVOvtf6TCQ6Jgt1Kyc4VGmm/w RS0JE30kd79DeRPgHrIFD2gdre56GhIltC+P/ZNrRrYh8Jd8u/I2jxA5Ej8dUQpnEdy6qSlHubCM ofJYMnjayHfjo67vzfs61/A5owPeGe+AhPgF7ss9107XjYragIveySsTRYgID+Il2sm23PJVsNeR fKJcUoGnPw+3Ny2o/CYXskKikv1UzNKDKiD8hffvgvCk2ugWagrmYe9V47FfvLnq7uACHs5+sCFF 9Z8gDL1LJj/Y2mFWvAhQQFZfjlh+YJlxY5HdqVUId7UG6zgB7DSVOFwpQ9KRGFdC9ua6/C9ewTxY 1TsvstA58Xbkgh0BGbmue2XhIm2Ck19WWDU3pgXRYcDg9dRiuDVA0LEzkfwxG0fx/qrqCiu13qPm 0s+qBHbfhd/ckXIpDkJ+8hfOwV4utyyla/Qobpq/6qjKdAvLE+FKGn/yoLgL3jVVnnpcpADc7Fn/ Y8IQh5ZeZwlPbB43ECVk0VR/JQ8ctXumIl+3PAt+u00tHXw77ziMPS7+Lo844co0fyjlzczjqJe0 JFb1IYt/+3mcK9oUj2daQE7lWBHekGYoA2wEGwHvm+9rfAfDUcnT/vFybg6qraHTngRfQo2xvIrM SxOi4EfrkRr4eXEfmXLXF83fm2iNATBgakntzDEpt7j9do6O57o5TqwAH9+TCnvv77TyFp+IRTLL QqKJvffUcUw7H/DhauPe5P6xXTJGADLKd4XyXrT4EGkG9cJe645nUIVRuwWgfGi0FaPfZV/G4hlS sbMVFsJwfrSHp95Wdqtxf/YRxlExhQ/XpFhT1aqZwWb3sC+kKRIfeNjoht0N2angZyhnI5/vSR5C HPtqxBtdzFXIoBVLWdvTDnzK2zWqKuX1iyua0VTWDYLy5lSqX1pAdP08oKu8KI91xBDr/HlbBk3U Z/Bdizf9ex7bzF+QZcWydvva9et6fvVBVwfqQzRNCCRloNpyaT/eEKHa7vjmLXa6bQBX2/B5Ql0R Jb64rRFxUU3pBgvQhJYCSK2+JUnppn4ec9IGwzf7CLvRTjXUyGhKDxda4Jt136WVhgwgr85xWUdK wYAhVLftJyfKoy5fVzZ7HXNdGfzMJsL2P9rQ7tP4WUc6/dKh9m7viWawGmriX0o/LZDm9uXvglv4 50kJCJ/nw94XyTaCkw0FyC74V96csFCV/1fpIHkUAIWUXBtwzkgVtNGiDSWAyF/WpzjtGkGt8Rd6 cQ9ngA6ashJIRaXI8aEkeA3AbhOAIxEFZoxdHy+yFuLNbE4piLVYI8axqQ34H4Jz8ALOo8jIJVPY ACw+nY+EJxx9ae/W18PZVCz4i8oLaYN4o3hzRVEJgCg1ecR/NZq6ToSFCVJSYpXmDMn+yG2+BKO3 L6uigdKKx/kLlqHKxHYfPaIoGTWY5AMn7oXaEsZwgC5y7DMyh9mZ0/W0QHHPtR6KMaZUMv9IoJ/s A0yYxD7objATYg16phqXG13Jpo/A4r/YvErCsKSKfKyW0W1mHnpV2SEADrZX7JD766X2jC7CKGhA Zw3O9oYG9/lbGdaI8FUDPZTisdZ1mPfei+34Te1R6bicbF0xCaOGwLQcMqeyjdS92JUT/9mKgeQt Y4ooora9KskKmKK6+OhIMrZ4hqm4juz5dEp7vf71p44OkAVvEcO0giOPLIJ3T47zpk+lZ+b5XiWZ KyNaS4sTG9dJlJSbtiEThVDeBtMEWPJvFMGtVPrYC2+X6SRsf1k54xilnJKqc0MSdmCQnK2Zu8Ek RtBx93h7N32f7pZoktB0oCxZHIT2HBYfOkvzK58mwOPDXKSXNAUy7a0awqM5e2H2bbVGWto+ji7l ncHXjQnJ1mUMcKNDMchMdK+4MABbDuLHtDi0wwIr9g8AU5bbt87RSUi8jMYUOOcbo8XtpbgY3cNp 3JooAeAIggUB26LkQA3ngk3h5DQuc/MDlSUONO6+PQp5zKo+xxByChHqb9R+RaqqIWPeArga2uH+ iHgYrEYePemcxDewJ6prlA+6sfKos+MI3zMW0GkLlPwxV7ELA+aySb4dgYLZQJY5lyHyrwjNNpGE SVGWAcQTmiSsCq1RWlw1bhl4Os+UMbzCZAiZq0gyP24Mqs6DojfyI4Qfhr7OhT0Azd3eWPXfMY8+ 0mHY3yxr8Uh77Wj+UYOz0Cs93+x16I8eMp3jnbU78dFF/b1g67N+FOwZD+7LJqTsVp+1LS/RRKeP jqbD5DJhM1wNQO40cluV6eOgsHiIATUdW0wHKIvzjmOY84WIEN7UdZbxbkOSCnWdN8Gzq2ZGD2ZB XTCb24SSG4ziSd+QQc/FNYejWEicVjwnfS4jonsD6N2r8imiUliysGauVR6vNRgfZiywIMNhL6WE c2ZgM2iWr2leosk6PCLtfXVxbt8/zaC+RNKt0iUvJimWyzGf7MFSOboyHepBdPzFnqlRwvI66KZv lKBmutiw+3YYKnNxTiVHi+WAFV9u80c9dc73DVsvd/OufwffyVK6slw6Lb+Zs5+gABAs2apRbEiJ UY7ZTdSqBrjc0jRjTMhJDfmJDTjNaaLhFIwKiFFy8k2NF/stU0O1aT9WIx8ZGqjhbZV4Lia14ju0 ZWP3rEhdIfj9SNlcaE0pw5kj5c+WvrThEAUZBaHDvNuJruuX4uCedksz5Og09XN71wTLn+OtpE3k k7mfunJ+Ar8FvEEZVSHBQUQ+m5JwH7BIDs39Hri9fHIeW4aMW6dWsU4KC4dOs/n/LnMaKtvNDzaV 58wOzz7b3XzKk9x+30dXcRp95dJyvzu2tH7LejvBhqouwZVzWzgwazP1svtVhJObO5Ff8uJdJW+N v08X9/ZvHCvJP79zPfN3HuuFEBuq3d6hHP3WxmTjRH3tUJ1wUIQUMaD2UcaWMn/Gnu58GDaTkQUT Vprb5P0fIn7zkqtNNoB7vO59XIe1t5Lp36VavtlrSC6JrRoUQzY1rLmGbk5EJJsk9Bat3gzNuloW zdM9jDK/6MYl6vdJv7fkTAdszGfTI0XZ5XtH7vGbxAPtEIr7OrIgMEX1HJJqdPa39EcJDHIRtvGs B+dJKmcsw149dVD04qTvGPrQ9y2utA9S0Hib6rYmiW127tMW/cVdzzS9DC3PLf6hL5DUp7HTwmSa DPQAxm6iKYlxrGH2K6FC1MEWqqJfCi259K4jq01SAbOlIPB8ecz9DAKwO5xgr+3fgMeO7XgaRMAc ilPrBgDoifYA1ztxIZaaSprdcXuxmsZ06F7oJ+ciGJgauSj2l7I6SAYH6UIsK2xSTVQu0J0cXBff oag6mbZSIbLbFfDCMP2/ViwWq69LYseOnqUta5PlqlTpv9Y+lZlz+vFZcYnesxUy24vcM8xxhMhE H6n5wE2UbOf51MKNNRgXqaNL3InahDGiVJcRn9jyuq5HW0KnGoRl2uBu4Uh1wJ8OabV3C158MZoG /aMlCoj847N4ot2hX9sHlaCHAyBugxGfJbj9DDDhWeaJ1yxnwcH2C8PmJsmH0Gzk2jlFkNwU0nmP /IzXP64q3/Ee+bkJ7wlRhzP37vZpOa4O9CN6CNCkiqP99auo5ljGFAULX/t6lGi7aBg+Zi6f2il5 iWckstU6YEL88oOB01iZDwkVjvUhCel8e/8NO7jjiIdtDslB+bwNW3SNGwQ8eJ80SbLM6oQeCsjv cZ+8mVfF67EGYjEnBDo3MlEh06Hce6MM22wq3A85cu75dsjzjLZnGfsc3xv6K/dyncmui4XXlPpH YPQtgklLpegiPbQYge7ZwGUV6hnSkQR1jgdPGZVT2v2vDh76ZNt7DrLtsDbDXKFRSm8c/VgHjJrA ld3ZVO31ttp1LrZgMIww/13GiNrX4CYZrVC0EhX6qX3EiIqP7H0GV47vR4LEAbjBrTiVm4vCyKji jePHR4fYS9g6GBfgVyQrADnVMawYGKbCOdjnR350c3Fu0GcQd4/47FGFWk1hcDVXYpyPwt4m47Fu uG+qlzE4Ol33tYdfM7fRc1PlTgaYIT3sO5AdNc2VcVqeaSY+qzf1J+vS6giWOKfEkhWJp5SZehVG fjk/iV2Xsdc0owL7oBR0c+JY3tPvFakT8//nEkoAnD7L7rClEYc2vLJgT0cFj4kp8cPcg/FdNzP3 fncSDkfWmHzKu7/zvJSqgtztaSo+oh55tYU+0lbQgmFktPxRgZur0KMDbRYOL0RF0G7kw+qypYzM pNWg893hiQxhENkf1jZWDoxRmdGxPqTgnZiz8wNtCP/IK9D/et8LwOKJcF2ZC+KXjcx9dd2Ye9r9 QGE972jVrlhzQGlr21uINt1cI5gpHy0QKKFZrPm192jFHoS1tdVLphOo8ouNx62bVvnQHpGr76LR rfMDlVQvQqO/Ehhhvqzc+sP9NJDrMIE3yUwGXHDhSbnCWzi9PglM/B3VSdjPRBU8SbYYBy7rBdOn ZRyDjfrLq4A2c0oS4pNgZzm6UYQqEXx40RBvZ7aaFG9h1UTKK9mOLmJI8kLP4V35FmfAVv7i2tz3 T2wVmvhk9q0hzc0eAGl25+osZAhyg/Hpyv2yrL4eN7Izhl5JxtF1mk+GEHbx0MCWyH7iufydDPgw YSxyJzchIPA43CaKWvoOFt9JY69azpnNuI88hIeBWBLyzLvHlWlRdstLRRw5gRGfGsD5yvfV6GvP gFqOpMJDznfXXuTsuyoZH+8mXlnhXdm75r1tmP31f4L4Hz6Nxx90DyG106tFhxrn+M378kCpTuDj rXD5ebsJKzxe1F8zIhbNZ1DX49rs2StpviPWzeddb2DpFuL0OJnRdmO+uYYeUBPGXsAXYUhN+O+0 BQjegZwrL4dmuqFL0lLduDwvR/2UEJVREPYAzoCFmPutqpgnORGNBJBlag2wivYLZfMbKol4ehU3 3WwMw2n05hALYWm94ZEB4i1rZ38doRAtsfedhCS1F5u/L2cGu5Va/6GGsxo1/OBXYNB9F1UZVf5q GtLqg7IWJqq022yM6uESuhaRlHtEHt0abOpV0cOHR+kQUenEwMewN3SABa46XbtRZHDxZyFR9idd z+6ii+QQ+68wDY6JF21peUvktcuv3GhLqz9+SIEvKS+HfAKlPsysYAtNfgEV636NheWnSYa3JHiV fLkouAC48OI0jrqgI3CWtzfK9IHOqiBHPE4ytQ+7qKwJ0w7oW6vM5jTsB9BbzEf7OtsZmGVzoy83 rth8prCeGxxRuNvYGehik+rlJ9QMjhYtOyPqSZhjyPt4HWflr5Lbt61JBbR2XeuDjhjP59cxgHEl GAWnOiC216g54xXEe3k42Z1eFevuLdm6pRK5kCpkQ/UnYdS37Dt/24oGYQ9eXpWCnXZVMGjdfTIu F/zQNBinsK0go56ptqAqLL7ieKA+7MLyCVlj+0g1UIR9vQuJOVtbYnWEfiI5NVGvUIMyTKFVHPrV wyGFt4bmI01Cpr5CX5Y3ObiAR2U1BAbmrLhRVdobJXUOpz0JmXd/k7elsJl8c9xr4qDJV9CtPuy1 YS9A6d3GD4N6hr2ID5kKr0tYh2TpqDTExRdRywd9dD99iPCXsEcz3OC6TV/Ea2AfLb8B45yJTSje K2cY5RgFZIf9J6ltaERex0hJ+pBfqR28u1+oIP9s+khPpNaratuIl6BqdPce9qAY49fkseOhiSCP gnj4f5qw0pyn3ti5AnXukR6fzXfk1MgzZIBEOayoW0qF2LnENGrvWjiuFchx78xa6WwUUymjx0Kb RoHX0X70xd8i0br/aVBS8z4gtzdBmZyO7I2p5lKMrLJq3P7OQDUPg4CdvAylmg5qYXxXdJ+64etR U//mDwbhsfv+Ycc7id+USGwl22YvYEd0LwrgJ0bTFx2giZ39swTP2MmJJTsG3cpG9FF5mVUJAnqX 1EbjmLcIGywF7rVDp9RP24nawtACpqn7Bn6nh2pzZ9bcFWj4+qJUYxGJWLX5zKL4/4t+LQ8eYxBd A2yoAYb/MkN7afFYCPe1sFH3ypreOxbTYVoYrmLNkK/izw9KtaOr+PD/k4boxovTsSF7vP4q3KEn ERsqQTspm+LgyrzSzRik2KTBfBJEkHXWNWpvCJqCg2J06IRufbBo6vNGbi84VaMu1NCXPf7k87QN NwZfrDc9gbbgvisvQYeOS55aoRc1XF5U5VP1hD/+oXxZKg47xNBqaKSMmsmeS4FJfj+uTff65Fym NpEkd081lU3UsG43oZfbywG/cqis1j0LJuZ2X9p4OeANwGCdcmBIbyGpKOCTxsqk8+/qdVa2hmla J9lvDdNISZ+xYxp1rqZSreHStfKPR3+pYtuE55Wkqg1xAlR0aVzFvTVYqnxvf7j2bDPrC6zd8Qp5 FXftmK261KJmsTMkPMsuR0tH1ikHzjfzghu/XiPEwRx0W170E4BD5+8U5xujbP6reCMQCSOjUyQj GXiGnff11h/jyb0BlZpN6L3aP8qWCdxus0HtihFYSsft9Jk0lmvQ8o1OuqKRiF5E99m69q+CqlPE KAv3yECpb4fYhXjdfKPQ1D1a9+ZVW6bJdKTtadzSWQKbGBqhz8c0hJM7CYGs/YZAsd/diummXKYJ XnOSoLJTApKcN/pZ1Xr0xUzAJ5yjdW9xy+QFWa9AbaBhNRqTQ7CYrTe1z5LF2Xfqwoow6+cPu+FY 5fuqdmd0y10fX8Y2CMegdQoxNVtvPE6SYaiGmFjHuLB4AulXsudvpjsLpXWEDLfwiSUqveD88hC/ gw9F8TacMw4/ZFltVBFCdXuctNkaOlW7RKsh3Ob9woNhTk1IQlGAV3z2N7JuVcRnQ932eM+O8Ynf tkvtN8bVE2xG+tbSaIncjYrxMLGYZELVXqUareEnQk/mTDr6LQzE0xziGse9u3NBWd1GLy/Ck+AI sNjp6QFeEcIX/ixej88isb/u2p/4p9vDMfKyCSUgU8S+hnmYNdber28C0anph0wi0gFECy9OGCjl aM7oixjVlGnk1jnHym0cG6lAuuSo8Qqx8oyWPzZuFzsN2fwIZ4UU/ZWS4QDLYjYUGO+s1EbLJmyw i8KY7WXPPGlfciujbNU1u2AWPlmRFyN7jLVxzTM+YRMavtNEbdajCu26iUFmYvTTuSWfnTc/9OZ8 OHqU/b6C9RdeRG2cZffRLZo4FfbFIMeK6dAKZZ8GybupbIfso6HtHoPvRVFPlNyQSUhNRVhhajwK TMk+UBaODMUgbsliyiriIAoXK9UinQ48d/iitO9BhfcJOJ59lfm+2pT/vIXFBT1lqa+dxPsnUi63 rFZ2GkSWfCvBgJrXZl+KguYl3VRyTcong2xXQF3skwDV9PZVp8ZoAlWIx99NnU4xX5pSVoJM9LDH yJAAHrL97UI0FkKXbmhn1qmzmL7k/1Er/r3q+22iX82gD2WaK00FrTzRT6nwbEx7kRlBpb3AIGKE 6pvAR9FaNgpsAHWcxY1Asc3084Q6BHbwMoizP73lBj1zWutdAF7ycRfgsZPcHNDnYXN42XGN8klP 3BzWbcxa4Kv3cSwRiMtVFu38tFQYCVPpsEwEwypyk/YkyQvqmJHHoz0KNQIKD+j3vUQQ6kEn0spA JPKpdx4vB6mCcTrrB3MMZ9LGayIMRfZc7h7boZ5xqhamwb5ScMo6KlsvxP+UPaFyyyTvK+MK1Cmt gyurwgJ6IS/iNUAmkeen8Hgg9UaJmAlqxOSO6jvKVW4PPnT1SUwqg5Ce9gvAHRUKYCwvEAcA7m7S qm+1etCo1ngkPJdsX6OGwnZsi1l6DyRAz1v+U6qk+IbRgbM8IfpoUhNMZDcnio1Pgu7FIidnXoF7 tJ8gwkM62ij+8WStb+4L9lH8gZa27xGc1NpAKT8o2bK1S4kXPwtMe9oRAfgA1x/imbQYEEAIW9Bs ghurvr071NUKuUuehrYN+n4oE+iRTTyF1WbpMCnY64XdHnUO5ZNCPzBhkO4hwt74OV+syHVBwS6n w8zwikBqOtSR/uZ9qlmnF2glr60BBGLjlwDCuhvcajSrPuGlJqPryzN7YHcTIHKwV9rRyk7uZF+e 63kQ37znph3lHznl8cbVMR65HiOIVLpRbtI82OfWWALqEAJ0BlmEwInnP3wgyhTjs3bq9xY1MuTT DS9jP+j41UsSzOZxO0BzZAp12tcd6T2MY2Sl+RP0rJEC+cfS+T92aUqKBDv8G2t19jKSLjQdTa6o FxHfxo4gc7Kmla1KQJNlwChzHHNQ+DUFAeioMKqZTmuCA0Dlfb0BS3oWvX5jQb7gBR5SIFN9E89e xkoNc01U1EyECTGzHZbpk+TwC68ZRu2+aTBdgpz5G6uyOICf6xa6+XAde6QsIcohM9bZPmd9bA6X U7JVnMc+J/Sa3/hIX/6UXnAn3qftD6a4720weyIAncBit8Lmc4n9zg3LPrGFIQGPqKkfpRGu7Sww NpE1PJtfdiXuflYxcL4pwXvtJJ/zluY0u4WgCEVyKuki11gBZjRKW6DlmhHUQ5oK6dnQDvMBTZzK Zkwe7tnxFrSZtCc6iM97v1WG8mmNHYacXcii0V03tyOINdiujDiLPza10IkcD6Web9ZYVDR4f7n2 v8yscM8nNsAbKx7tm0IdLzhzt1SC8KhDAdZ/3CWZ0zIxBFwhsW42XRKlKJCmKHU++jJxFY/yOjVU xfxl50uwY4Lu2mnJsW7qgUxp7iTa4p3Fo3BnYXckGCa1lgja0jGmYTyesFyJnFcY+Quzz92S2ZtZ nIcTb33mUpXbowf9d/c/KGcrIv2i3hYhlF/ImRzEeD8svy/UxKsMI52PkTKnv/ot0FBy3bg66+o7 D4icPcZY5ktBzMRk15v86U4ZYGriWHGwfw1LNjW41n4zb9kgw83yY/4xiNBGwK6XvW3ixm9kOEN0 7moIsavyq9gOVQjjXTPPzXDdXgOZe8Gbb/0sOXwZV+paSogxw3kKejMgS5SjK0SMJDUV/ySxFg4e 4PeJ1FLeuzbGp5tVNQ91nnZENjkdTldBvGiBAgss8fTPV58yakr5XLwV9uMUrinEMwJHL1D8yuPP +ktM/EduxlrEbbHqw1gverlRVLMVSpxrUtKu7/HU0KzQ7GiKIpbN1LVjSMloWHWREVd95GOXyUtJ Ux6RaLPGcaqnDjYgsaODOkjAZLPUcINxMKMfYSXip2WdPUgyOKolAEFn6A20qnSg6QyFGSc6Cvjf ZkShPBThUMehk1bIYTuTRuuz+pc4VXwHXbthdfGvN6XoINZh0RXHaF1eYH9csJwvX1zXg2vj3i8l bdV9aG0lZIYvMGZttpU+m9ejs6kpz6QxjWeQXoqmafY5nl242zEGWgyqa87cS7uIDTkdqJ70ycD/ WYURYPsGobbwSYoJALXibFzKnjDFOu4wy+3+1k5NVhRJd6zUbeB8M+pt9Uj3d9+KhcJKv6YzT00i UCIMaIUT6iYtN9OVpJlyC3IeZuh/PsdFE1YQsM0tJfpcQiLFvjHsZmu93o1kngZDGlNkm8ygbXRl unK7/vrPqotglrwEeFmAOc7+H6j7AC/4qQ2zx1eLHvLByQ25QEKnCjy5JRkq1u2cdTPRf2LlXkQU mbcu7wX0vuXdqTJ0+z13e8L2vpIIjB2UbfkHYCgf//iT/S0nWrQq7edupS8gSITX3U3SItPdlJ2/ pOjBpPGhngsVxZRg8QPqtUo3QjggNTGnXxDMuYIDsF7Uw4MMpoyYKStXBnO5pxOz5jyOJJxXre90 bWf7OLKxuw0wKlKp+L+bP9eDzVQII0/J3rOn2zubzUv8HGpnflHMZZfT6k9hwXoECWT4AMupREwa xdhuf6QGh1M+8CLzZoPr19eO+No8bKRNtpS5BW1FuU99WP9CEY9HEY6a07xCurDBqCZUJf9eluTG 9RHxewQMSVAQp8RSdBpJ+3qPTvYAkn/980hcmBV+Dv25zIvNKdpnaz9ndNyAg47U3oDNmiXhDrxz zYM4gsHL5SoOiwe6/QLmw4hLMeJj09VJFzOtqzdkecE7OuFLF8DQovxeZX4d3+kpICat2mAb3/tb uxOUqsfIlSUaWN/BGgL1f6oO0acnwVtnUr9OromWDhLmZlxs+fA/Ocp8P+MGsdcdzJ9lnKRY1eSb GAlYmzGouuIdBs3odvZH6No1rr9R9vZ8WuXGCzNGEeMaD0KUPwLnv7PZwpNeUrKCDhO0C72PVk+W lXulsuzUEKxIq0UYrjytX4yEKvYGRzXvsceVTBxc2cb5Tn2+fNSfXqwEI+jB6YSkh0SHfNYvgrU7 F5JaGiUwm4RKaYfYH8f45f5BH24PvodhUAqNyX75VJqBmP5Z+ER+zL/LR5d5LWC773wCiYfNZIi8 Ub0i4Wmu3RlvPNYyaqeCgc3AThO5NHtxmjXw+P5ljuFGr7SkfxVzCY5yB0jJZpkJNDfc0eQCX6SP disCRnM1K+GkG1n0rQkctVfzF+tu8/uIhw7I352FfTJlWwXFFv2CIvCJani6axKtOXdpPImJ1N/6 r3jdf3vNj7DSqiC9x4SDW9D6YUvHmbptwE+q10e13tFDRo4125n9sYYTfIoZKvnBgTJ9IMCLKc8a EQ91gbgEHVbxFGWL7Kx5YOiLEILteBy3hA0vVekoZHoL23JC03Gk/j48+AidDBH6tBHCAwG+bBOT N7ueH0l9bhu/+ANYC9q7Lw9aaMEDMGhhDdWItIaSLVetXhYrsTaYfQTsnWUisUopV3jk5cWhiQwa OPQVuaD35/fon1EWwHgnvHgQbVooaspHv7PObh87NVTxW2Xgg3tyrUEDlNP3vWK12QOC0ipGOcEo MqAcR9wXkCfdT17wMmqpnmFt0/RHgfqW513RTnCcZMQp0Fd3bz9fPGt1e6lG/lOSE2nptH+JTCSg gUyEzjrTo8xibmqqyc7vs5DHCNeCJ6RisT6SU+mmDAf1pA4nCPz8qpD50bgKxy4q4hBHgKNxNfd0 2i1J1wGCKj5/2+jVlWnt28PW7B1LoDa+KRycmhzvLVrBqX3/xOiBF6Mwd15fyoqLEPEFExtnmTAb fma85LiqEOC8KocuPTysxYuTYuFomDTqXDei/kkx3hlLqId/v3v0GktGti/1zEdrlvSMpRbeGnHS t6yRh90GePwxcxPZTlbMs/aWR/3hPIoq346wf7uByh2FttoWPN8ajVI+i+vLrcIU7xiJb1BJPfyl 7sNVmCXRuYjgp82uwL6qjbtuyTMNy3gP+gDbMgQ932iSHmHT8X8oNlANWCzbsQghf7RbuAxtdSI5 tCzHntl8GZ5B1Zmzfom/9N2odK5TUK6X3e3mwLsG5aayIb0aQRH8daUygNRC3Slx/isv45gdzphE Dij3tJbp9KLn/XYg5Vn+Y3iP/aNP+VozG2rBF8qDXVRamoJtm1DiZhsL/fWFEWAQQrl7Zd2RNyN8 Ps86yJSJPh+EifhEHwQidd/VEQ/lR/zRoFTtoQF7261RAhKkPytNJneqgS9HxBVPHNYjMGseJYi4 SCDPoRMWHHHqIdowfx42B6cWHPBqqRZUQmJwJStg+tic1VoYZjMlL281nBMvXjBArL8eHWQYygpj b1kBPK0/pNNNqGKrc3OJJNWnhgCAW9D1i2Bxq3In2szE3ZJ8Uu4ijzab64PwSgzYisWsdZuaLVIW ceEYgZ3z93aUqfY0J0e/xWa8GoRJ58YMuXCqq4EjMdiNtTXucMV4dInmyvCVsEZY303+9JmuNrbs /hfls9ByYk729k3cHHNgrMiMg1y9q+FlTXdLHKMosv0wrN/ptLhm+YLYQPNJvme6N8NM67n02i53 NU7MuokpfTxWiGcLzTZAsY9Rs/bU7um2MRMDL8fs5pUM35qOlUljDPUQnNo3v/+RE7uWLRJpu/cC zsMtwmvzxM01rbtHWOoCvoh0mn+tDFB7/Pbq8coHn9pNFPOTV/gMyGL4+OY5SxD0y/6Golafn+Yf 2yr1D4UUNm2w1gJYLqZveVIef0iDOlh2yZz0a6SPiK53QYsElZcQ54nxIDwOkuQTsEBIkZhKZn0V IfxUykKOY6KSzJiB5H+U8flmTAZR/TjmzKx10h15f0JevxrUoiqHnIDqiVgo4gye6FGbq8nmXaKN FgrYik5713R6bw5srihaSFByC1MF/YNMsTUmdsoiXJ4qaQKsaN5/q265FfEUgOHHg0nNhDwWKfrP epAv3/6MuVNyusK7f5+XHAfnDJpBqwfmaV8dY35nzL6fyxGONTfpfuAyLHbQH6ayIgdYLTqC22rs yYEQEH83IorfXaYiYjn5B+bUcp38e1GZFe6FgGWHh1W1ouP86P/BqYy54cBZBSe1MwmpFy/lQ04C KatVDXu9u4Por8J6VvPiFZbYXeJKEKrr/RhbE1N5Q9PvhnxYmYblfqcA9DRZk8+LFdNkUR+4PQfm 2UrmplVnzlN/YJnPpswJnfC6pQpkBWvSFBxCPgxC6YKezwXzF6cViQ85Ck6wTzNGuRwZJpa2zhB5 kEY2P2U1grAzxlRYxqI17yWcQzwNcLthxjc8xn+YU217UbbPkQRFmhOFxhhE03TEcFeMfZ91yNKg Kpria/nL3bKoPf9eBXBtZQI4mkuH3Z6Wzsr+YDLtTdlHzhvA6OR151KJ9XSHpbv5OWphBx7V0aJ/ WqmQ9cpJQct2L5ZvkIvJoaxzoKCH9cUSiPZmU70T5Bu3Kuu3xCxgEjaiYjIB39vx63UzDxe6gYuz z4mO8D2alPpjhsOAsmFxH4LKHYpQp1sLwsxs9WMaC2khbbiIWZRTOQ1Pu4fzBlsVsG3zXhohlWMc K05dd6vF1fplXGD1qgGOv9gA1znZYUtiDmr54dKVMr29BUYTu9EqVJhOBujaR/LZNyVVlDFP71rf eTkTuU2uozTWyQBRdrOPdT5S29HkUObWJ6d6VO3+sI4lNOR1qUmtwz0JK0rVf0uTaTteMSmVADKI INl7FlPDF+7JqfLIiDGaBj5H7LXTTvPxICLZUOjqC1jmeaTvUaK6QpD0BUj0zXQFLCSVr+dBd6jj rbS9zIzl7UovHd7SvAxIme4ADOFL+7Azq0jacAcE3Hs00r/E+BqsubgFLd3hzxQe5GCsHjKKWVJ1 VvxK4ext9SIoDXgsgy3FgVMzbCPvd1aCC9H/2DpTjmzimVChzTHtvyZ1CEqj/KgP3tgXGZXhwFVr rA4WS0xqr/hZuh7xKPiK2lFYw28GlmbmOd+9WvZnT5N7CiG2STOMdDTxCZOV4s7pcVF/XfeU196s 8zyJ7DtNxLuqhhpbCYEwUkQfyfBjTpHNripY0I9zNlUTXCXdJtvpeQ8jnnpoSD6Jl4voC0ysxqik Otyj3nbxQSBcFvKH9ATu0n9DCqx/zGzgoOTsyXOjchwIbLeFJlafh+FoeqtJP5xqV4rWSJ7V9Ma4 2v0PCqYeLiKXX1pkWNVue6MRjMDolQmM0Q/Ac9kGilDnAIYNfYNKWRrMGHjIc5/UC+W7v1/Vg3Pw jbDdh9cvDoAx/C3RzfBsdam0q7UiKi+hs0Jz+NpNe1t86iReeMdOLYhobiwwAiyD8PoHdN67d1dL XG+HFxZxSC2uAd39RHoCuSN3CtlnOze2SmuSmajB1BZ8lbyt1p5gKDcghSMCTdCvArUVsvUQJCxX 5fk9DKvH5YbARxOoRZ6w/Xu39tuUlCrxNz2n/kijiBZDU512eyQeOnFAfBTuNraqYbUWw+HkqdYS w7BaY/Ns1/nrBubYSFZ7+sMlbn4UHuu4wYs70QJiCPKVMRkjS57YlT1CPp4jS3jcWqptBtxGBZEA Pa7h56xVHh4mapCTVnB/KtanbN1QjQz9YgP0T2sXLJhMeV+Pdgg21sEew6Q+KOs3f+KhILiZQLQN prcThPitU0aSuI/NU9vogkDwUy6MxvlHOg6zG093FfDrSdcxqfgurT4XooYtYVfKrfia8ATvDQ0z 24fkqLmnlkRRLI99YEqyzI42iwnlRGf35lCdPCoR49LO35KzqUCgVm+kc2nV6Ji/GxbYTSTnXe26 eHnjPZ+dmU9B3VZWA6FbDoy2fAZ26BrOdKOmXgcChE50LauoCiLFMZqw6LqmJ8jMtjV4xvWEgozW 0nIBT0ZDnI7meUtAic5SC3fZg/jG+LeogOVV8SiP39aIDIurRzIKRzNXOoBRvounclXpJHYPONKt 62JmPbycPcvFzZ7jZxXWF9DghWrkuINRgVUcCbPgXo5v0hWXSg1et9unXvKqoVoVCxKgDtljWugM GZMj2gGEZaEbSYp+WB8teHxVN5kTO3uG2VUApNB6WOoOKwSoMzAUKq7ctB7laptMi7hvoaHd1m2T Bkpx6L6fiUwSlwALSoRQq9XR8QynPIysLVxUvZJ+Xme+/m8l4BI50szVIamv7dZJpef1JUfRRGgl vjtr8DkFB86D34+87q6SY2HE8HIHD7+vu4JsZIqiJvHZLyCWtYQRksrsIJ7xBBrvLd8UVHp1wZlp gdIRUL5sybeGyI3q9DpkyvBDo0ha6NV9GUhy8T/v1MgTaP04koWZ295rvKepF5poSesxkGoubz2m zyyxz02ciTZ4X+aSQqk8pTVZwWyC7D5bFrmK7lgktvjxy255Ua8SsZ1ihIUXQjnQhql8KH8f04i7 NeezV135/m4m67AhvErI7/K3099u3Wz/s6qdffJ2oV+2TSFAfh4/1iQaJqxeZWtT8lJF1gDMGCeJ ire6iu3tYkEG3CRxieMddkMpSSHLMLRWYr6wCJTlATuBaa5Y7gGkZejPJ0jER3bsK9U6C/ROIqhI didS5+qif1iNWh2hD91H09mzGTboPTXBlajss6hq9lUaUBZaw/I4icHsec/KDQYWsdokiPb3SvKH QTQ88v6Xcb0eUbpN2TOJMCL+cWFtJDbaoCoMpG+NLKsFaWxnrcpqv1Ecm2nS5kb5fnUdPszC8Po3 J3yO98fnm4j1sPQHPfEycptqS6LYD4Vk4a1cGlAx4FxSogdcMBUf6cF0L2CXyRLz/bvUatTg/pPw ctoMfKSz+bxYEHpgKxV63/U3WU9ozrZjT4b6bajJ6ru6wZuWGOj4vjEGxmp52yxHNbqFlVecJSvJ nXhYjYr4h6S667fJqzAS4k2pxwOp14OH/ySr5XuxRly9zt21Szi9eD4eWWSigac6O2yV+VvYVkx+ KIOORQK7iiPsPwNOaIgxw0ykvaXNMJmFVm7cCPZ9t5N0P7MTj+euo9h/Slpvk3oh4dlWp3b4oaCn vWqYq79eUCxTGfcj0Yc6YL1eqPvYF5BD8nAdHvUzpAZUXhNSPY3S4RBjjyq8+yBiCE1FS1gx3FPA 1xjE1/T+fgCFkly0MwDZeYL40Hq9bASWTEGSaPQ1JSv0ektd1bfMus2E5nl0FG84B/oGfOZ+4+r5 QjjkIB18rNazcsNyR7cYDNwDNivZgjDF/tZkNFjHywm3ndOfxSSoNyJjeNIxULpjXJPzVzQuXrWe gQmfEpn+lYp68AVT+04jTVZRkdD8Y+dXNjKpQ3KPRapvS9lAtdQDFbVO9B6vPBoEadZSO7srgnD7 on/fRQgb6UWic/bx3wwxsCXCssxZuX5Wb+pvcRfc4sL2CkvRiZyS54qyn2oGFTPKplxY3J8LQB4y dXDjjwXiAVuIc/MMzdYkCpdloc+6BbWSrSdDQgq2TfA3W8ZpTMFiJTaMswvLpxPROgDoDLGVeFeD ykLdPZnPEwy1R0qhQnFcbMsLXSoJK6C0LNzKe73G7rDcQzkrosx85bg9nwwijQ/pYFYn6fYUrvsX 7E4eTn2UtZTeKaGXcaIQAXHQHiY/eC3pfKibphzrYE3rv5z7czUEIuZdHFLcvTlLRpl7X6VXC3Fi DBSAZkjJb08WRAVhzYtrqf/3XR3ESJteWMg++wG+WCxQzxcneSIdsIPxlmOuzd291w6rhZKXhvOA hipXBeopj5i+ybSwLkd4dF/c0itBPjmhSC0yxsHvmycsokc3eFfGyT8w2w08aqlf/hh42q8UZpEq J6j7Lh4dtniNSQ4UanbrEEnw9+ZmbJlE9iI8pdbUhYpyltjDKZjuIbNk3nAvscc79zvWbzXFx2js YVMCGRl5KrlHtEaJ7Fg96h71Z4M835dbUqoZv2bA6gD38wyd6xbLdFL951CrksN3nDmCVUDY6oAv p1BfbPl3BJwfcdUQuZAIlj1jAEgaU1RdweMJRQpZT+uLjcPqWr3/IrBE4AMk0ND4O8FmqwuPecSP anCobQw6oxP4oQQ5t4UY5o/LPe8qHqn77oSezaINy5nBFh/R4caZv6KT/9TR0+uErw/ku/GOd8wV ttveHC/rHu2Z2WYgIR42239w2h6lULhsWO3L3SkSb9mJ75B08UWtWTBuFDTjSjBXECCBm4BZHCMN Lp3ms3O5or+UpPbeCMIa66ypGqHKIIV92r3ReZXhxdYCZGVK1Ykzz7l736RUo5yF4ywe7k6rWwTO 7pxsE13QQZSNXjIe5OTvm7K0MjzSbJ7QhfiFb+9r3ysb4NbIxeU8N6bo7CQHZMGcOzJy2ubr5mbM 1yFCEJMgfxR3256MIAzzj3MjXs4w3M9Omg+PkaL56IlrpgX0XyXKRQMvR56hq85Bb/soMhTvluUb fxDTdYCjx56p3AbfLp5XJumFGXQywWnubls5GXxSBZbLzmFlvpN7O3C/I+dTw0QiQC99UQEV+VXW Dy8MTEe2crcG1DkUHOhilMD5Cs73H/2lslyIDr8E9PExo7qKahEVhNSzNp87Si3MalqmmEfYCsfV lm4tT9IDggxoUo1sUXhI5ozNe7bpHtkmGU8bO4ccaaAi12IYEGNhOhe/1cphdd+dx14DMwPVjGcu 44iTvyw1/J5gnSAPBop+LJJi/RRn1CfPYae5n6w7LobGHHrqgxQK17bV2VdeKMmyIzy7jMQG9ZUe RN3+y40n7z7bUvvKiEDgJRgliO+mWYbMSXt1APaSXSkdN6/0xgp96mH3J0Tz+a3qHaG5ZmThI6j2 QRiy5DW0ziaQk9h6E/43oURlS3ieduG/oeYDHZr4DTX2fM4TjrbWWwneDNSOuR7PBQxHCAyaCxTq KTqFv3+AsEU9fYeT/CpkzdaU5oZpXdelDzbZM/t6pWwgURyFsTI9cqE06wuwAYBzq1Rr68gJGTX/ eDHN4G4m8ZIfygkF0ui3TJ2NmKQcil6OS4FGLv0jJZgiUE68kA5+1ZJPJBQWRINNd8i+AG4sWGPi fsMtJascZa32urwMofNzY6P5UEKMWPFppm17w7C+zW1iqZTi1bYWOuDsXvBlpuWYAYEa3Z9qfpaV MfIBfnxNJgmV3IlOEsEXg23LD40odCYQRCLPmbA2UWialIIQ/ybA/B7ZBjmsl91O0X4FG3jGVJJp u6KOlSGTYItR8Q0pY4n6V2y715DUdf2iEk1lHgu7ShroJeN8MZlXPkI12qHfdIz22salceYl/qYQ 2nbz8uJCUWViwsMY3h/VjN+HhYSkuuAPzaPTUMLoBMqqqgvdinkeH25XyrJAj4cKFfCIKexktrsL mM9f+RqRKE34CdNhznBMOfcNFfIpTSCAoklHOB5XP5SvR+4y/thiCsmkopKGiiSEo9xD6gvSYHZZ uPDBPV+JdQIQt+QX8nYXLE1G1ELyVInSXfLrg67fS1kzUxP8WAop6KGK2JNwnacPZEQN7hNvs489 UZTWuKKnJLr90K8HbMyiRcAWIq0GekqgFE+QXEiIfq+afzR7le1Cr6DsXVKgo1GIJgNEp4xeUGlS b6XV+UMJA1/014PJCGoRnmoPqpUiR+bIaFvjqQW4AKOHHqsYif32K2iMuD3w4f3NfQ2BLqNquRJi xzNakPTeydbP+TqRu28++bUdOLnaabQjMUXRP/aQ6lDP5y0fdfB/7pSdpSXxT14t+Q4GdHQymL8l Bg8F5TMYikJfVBAypnezAEFLNC3y/DU8tvx6kZse/Bcwtm+G/RoqDdLxGfpd2TvuwHb1vJkblsAx sGeEr7JthSBXuyoPk5MkaIh5h8yljb/Y6ptuTE1IP2wq40UZpk2Gy9wn5UqcMDVcW9SiE2UDs4ye 4VWE90i4JlKCB+yJibF898NAYH6DHV5idDBr7unFoRHyGcy/Fmed/U7MqBTZGanKgzqbsdA+KwSw PIEhhDmzusSU+iiiyM8GrRXAFW7n7I3o7p/QUG+tuCP2SRwH2MtGPe7asRbdyICOdx7IDSCJHGv+ TCPEt+Yy4vkeMs6I2kZ+8gzap9lI7rjkK3UZ67alTwNMu6KA8bq6L17TpCHzQw5i8/n9NZGvIcpp JTH0fBfCiCPjDvt54JCQhu9wkjFTmcSM9c8ysjJWCjmgbvJ8jh1A0ri8SRj+7l4BQSjn2Vlu1lPO axnRHyaFDrFFNvQximdzd+J796xHEZndw/lSc918Ov8niXuaKBaa+lAnT/n0IgDUauVOjfBVUDYt gjDbLAFdYggXqnOENfFQShG7TDRn/Po4PkMYZR41FqIHsQoGr0VbCy5rIqODAjfdrBQeeCLJOMcC DbRqRwyvBM5eOBmdZSH/i9V6De7qV3QORWSADDOQj9grfv7wXCgL4H8++f/0ZFY07nt8PPkKam57 E6Vaqcq76YLLSZqxkXjVDKs2CdlZPQ/D5oyZF6J29V9antgFlcoEbaZXJqXDGnf7J62UWjyJMFTh VzBCW7KNt0Pih70swOSPziTDr3SuQlgJ7OUtkJdUkMHnUDox/Rw6v6IzXfGAaq4EqLXBA8DYJYcz L6w6CHzlKtui69wplravj/m3YctkmgXTZ1pDRsFrHMfOa3QJyuPDIEs5Rl7KHTcw48jo54x4ZaaP 1T5K0+T4tPrVnOdOEhZhc8qLDwX2Q45aj5ULazuPEtbj/2naKEBcpnz/EDaXt+5hbYHdfnGPZPXC o29CrZVGDcv1Km9lFE1qpccFsyQmwUSkHAjgdQeazQH25hxqQjOoftGPTvsKQgxUjN3DM1JNdZeq rK0uuannizjGSZD2EEZ7nHVHbGMVR1390nm9A7LY8NNKef5n/KsjBF9bgwRe9zuHWrh7uQLTu1XA KMl8q3h2ECuE3LCyXhz9zkfwb8357ayUNNxDl86fZJrXFvowm9o6oqqkV2rzI/UwG4SW6UIBL/Kq nXk1VjN9Ffn1qJCJZhv2cOwo5m9VXzE23gKpB6ofP7VXMoDAqJj1Q9eey/ydtak656WcCN7WIlJ+ Qf4LdbgijdTNg/9stG4qy3kNkgsbWqPaDhzFFeD9aKsEhSLDkmeGMc6GtT604xfFV020P9GJ/nrV /Ryph13KF8TTzlOny8OMNVr4nP21AxQh0wBgp3uPUxjN5cKjIcGJ78IrDk1E+A/VbGwwEcCVZ1bh tS7DVk9NZ9HfoZhwRNVWOyDXtgO3GjOw4YpwOWCvk7iahJ/1gDnbUnKerHTGFxF9TmXqYaLD/lIQ y1sYDOHHLk9LYOu8jgEbyU+2zhKm+Lf3RvC2kgwyJPbCnQ4cy83mzpHaSuUjEvNCMRz/FH72sHdQ ivQLw2HwcUUPnqO+mf0nBDupXnKiRxqTonl3g7zJlqzjnnXAQ4mfl56jxOvBMkXVaUNccaB2MH/x lLrlrCzyyZHrhZJ9Sn4QoM249Xzz+lZISIpxCiQ4BEpiDPEf+aafuOLSqhgTZch9jaXStV1EiWPr qS4Aw1PuxysvPVBxO8rq559bBtb+2oY0J7qxaw+LgLK4FhdEJZZKMWYqLQwcx02bk2QMEyImTjaA NO2uHuqNdZ2p2nSTC0N02ytUnPEK9b+9iZCQ+Zy2eyTvE4YJCEXzVqx2Z3XZX7153HF7W31nKumd NeHSw+Gjk4hgiINxKnZ02bx7YLBhNF9TVluyv4plq1+yE0O15wulQnEQmOin1BC5j5+wKE3UeEwF e8wMx9n4iboRNzOqaL/bqQyoq5gqlt2U8v/KBvOMQ0Vsuu7mmctMvbXghlyk6LQ6hfGnhsFnWYn1 vIbaRXpeGddst4n/gCrs+O21eII/LKrFvnVSyZ4xPOTBahLtHo8E1cwuTrJMI8leNjD6mT2BHrLB E1zQCLSijeka2z99jKeTIyl69E1T/b6TfeH7ow0K/IMvyaejevcN5sa/CK0dXtduLGAHQb+aWtFi uI71J73rKN/jOHFTYwVgy738ifzbHWdoimzkRYVwnxG4DcuV1EO6jcmviisGuU3mHSShvh6Jq5bb HBJakA/Re3qlnLnnVlRRY2/i7zG7tXO0PJJP/7MHTNsxmTvvS0YUPXfP1wzsRvGflskvTCgKEFSa kxjFGCFzpTuMpyOXiv3oh3/ZDGqoS2W+du0rYtBeooNYk7eAzlluXaZiqZV5Mgks3jfUXxnYWKiK 2klYWGJ8NAlXmygmOEh3lDHMyUcRBpQRR5+lyroI95VHocMAozXfTYn0Ef1ZHrvKlob7YlF5kvIi opC6dMQ2swIbH2GNYeikY92v0fcre1UG5QQDIg5le8h3GSm9Ujy3NtyQnmoWcAuTScNi3dgNKA+l Bq3tPOF4uZKwr3xQvNS3+IDaHCG41zna6Es9Q1jma23eTqRBEK61ZHXKQZ8++Fm1neIXdHN0gvln Yv5cvPPPS95blYkMuD8JjhPZi9YQ+/1Mm+2o1UJPVuqhD0Owx/jW2MZTafodDYA9mdrkElSQyrMQ Kr/KS6SAPTcrYZBL8cK+r0ZuTpxt33jaeQvqfNASpE4zmdAR+PJ69p6MvAUCCr5V1bLRZhjWAQ14 JPcJw//PzmxOLF8cYgYw8pIa0yM2OY+DM3LVkvhN5FW7E9QqJVqFvRWgIYSjxfhVxWwWK4xAmQVJ 803IuX8zVrudwQuhjapyeGiCqzXiWbPyaSVd54xWm+vtSLn11MW8Doo5fokKFLuiRT9JyP/8OEbK uyTYjCKxWWwok/8lRm2DDywoQ215P1aqZD3aSYTzIvXj+5Os1vSjbxI0dOQw+QHfpnXfhkQnc1jE k1WZsgSeZpqiQZCITzuQ42JKEhhUgKYd5kc2kaKCJIN2Nt+b4mQ8ToJLfZkSjbVRtc1S18BvWP8S U7E10ulmd0ixN0rLhIv7UHC65VWIOKvpLXs+mUYTiMSnV+6IUNr2fKC0uKXPtyfISup/ohI7TpU1 qa7kMynCmFgJNTFYxDvffIYzuy2wEt0cv23tFCoMCGDFzNsptkS+ImbE6B0DYmA0y314a470YJQJ OcvBl2tMK+Yubrc53FZs7ls2jamk3GOWQVCE2ZyOb/UGGe03W3eGxCoSlqmAlPNscBkGGaiAITOg 4i/nmZ1jHiKb82xmtBmSh4hw4cZet/uqI8zeoCm306/4P2eHd7o6EP3JUgH21SwuOPhvmY7tUl5d DMv1zAInydrZfhVNg9CZ0SNW19oYZN/T8Z0HKuPPmJevXExckVLwCb+H5XBgzTlq7QS1Q4qZ2p7K tQYJf9NLyu9VIBt4oAqgpBvOq3WSqztT9zNqFhrUSztz7jSYVoMSNTwxLXtmWTuTXaQMYR5zmNd3 Crca46fbbqL5TjbQY7IdmE9m/A4yNRzsgJn/9wx/WcCIZL8qsVmVZMhyeuaK9OBwk4v1cTNHPAIm uSHSD2pz6izxqHWrRchdsUis7cjE1ybSLOYuduHCdLgleri7Vy9DZg/K2vpZJnKaKg0PpqeC2YO/ PTDxcUBPNAuIsDCQN7T/bO29Np64a1sbWatbnR5pn698tPylT0FvR1DOEMHdAqArBQkeZ4Lox7nf qLMokmaNa3qPDKTHTQDBpJUQsWnwXGZnmILrF4enLN1ioFuozdN39a307/qKI5gFSCvwP9FB2L1b awL1Soa2wNQ7wY6dOTZvXAStfa2nmMtMi4N3/W8nymh4xreZEAmh9Nh9gSy3jlWd3PAVTGqpN8Sw ZexE7vBdutcpslwoYVVc7Cd5YbH0d815s+Q87zvO1UDLZPLpXEUo6F3MaQNsHIO1xcv0esSU6RX3 LFlef/B9ccgLMEUpjC5SkpeERrOLNJ13c5bJ5zS0UY3mL33TSyKQlqPSmfZ8iQ77rih8GKKWyd5K atsaIURXlOFq72jRTCyQBe/Yx6KJJ2p2onxHSmZtapNculWeU657hdOGCu4P/4f4mv4pyOaUSQys E1riqziI+u31CrniMw5dSmOj3cZ+dZGanG5KPqxzoi+wCz1iNepOPMOfd8R19C2whQi9h0SAmZFX NBf1ciwJeeZWEBxsK8AV+1dJzcEjBbXOmIShbBC4BU1JYmHuFPT91AxUlZG0XeDqacl/lIA4rO4d lyXGVRR5dzKQy4SfsGivT34hjyEwyA+Qj8WFiTtVn9RejGnHCbHPzAaC1vehQ804fVHFBy0bRea/ mWuoONtEUsfVVXf+sDplGacB26qrAqmHCFsO4XCD5Vfskl1IqkvpenHzTiEnTKWhlx78AyBlw8LT hLLeJVJ9r4Qj2XGdJ4PZ+s10uKI1BNPT0ZLRiceg+grHeWzSKdVZBgD+S9ZE6nYSri7g/abUIgKd eW4uAAQRkzUJs8OJ8WQDk6mS+zZgKDFk8TH1Em21dXTHn3v84Rqhrm3KI88orceyRAXGTEhkVfki a7kfH3gLws7kYR+lFVdtQ30O11Zh7VVpTdcOe7C5Dx5bcC9TDKmH8isNWi9nOdD1vEmp2LEiwQhb Fhf+8aFAEx3n6+ioRKle7wm3S2i4N9qBoTMxvDNu+ncPhq/9LiXYBqYvM70Gsq5Gp1GEQpRajfxi 31LBZJAiYspQrWK3CLqgxKVLJKZ2G6cbrDTEsJL2mAlSX6gaLd4HVToQPATtI3han20Iwii31/J3 8oGCUwwrBsTvMYlpl/xKe8JG9Pucr4OvDKxHXnrOPun6wLNpO0PIIbd/6+RpfiH50717lj4rtRiE 3Hfu4fASpeExIGWyhBWkQMBlkiwokVeFXbVMwIMkMfpDfFp2gkTF6rXvD1/rKfiuJo8yaOJOBm/6 II8fuP7Qh+yY/FssHqaCt0kszGfYUNxPTDnJgPrFItK+Lp118qM6WZQRWuMbIX0pNhTE75p4d8a9 6be0c9/Bjxhu7ppL+TmzFZFiOv6wHVeJYpHaSpiGigElwvtb2cUR59enyOTqtpqXzDKOotBID73f gDM8G1JjRPLRaZynZLnae6yxTl74UW4o4iaTQBB7c7CWjjAFSt2pF15Ertg5YMzPe+MdePPbuiYg mtMKcljjEerPCEhQemwU/M33jL3SR4wg4rNbPbSBi8EhvK3mVR0GZfZDOZVgM+ich2t3mQEJqu3X eb3hieIbxEsCBUy0ir5u3i9EpKMZroW+V4yS5i76bhd2uGuhPJnGskAANDNwvPIBfZ+NOLDfvqVQ cZn7tH3bz54hNnLXC9q8Dh5jK7Utdu+jtNg268+gRmcG9JV/OZbVIfO6oR+T6AfJl+3/dVc2iCV5 d34y8Qm8+jpKoErr2CGESHPpp30op/URrLCHSVhK8J317Yec2ruumml/RuytCAxvCnPYpKO2pvDx v/v+mnwawtSt4ckXFOCulYHkEQ0UNAGG9jEhYoUAjKmFThp6A6zHNhsqzn0eISIyWAXObfk3s0Z8 Pt+ata6HMKqDZ4vfmGe1m0d6YTcBQv31oyEZjaaPitAB/yIOC/4Ptzm7315vyW0Ls8lR0ohvnmEb Nil2aeuAxdaDK952WQtFGYlMv3drLtzeGIOX5P9FSC17o1qz59VchRj054QE49WRATYss9nA0dpm kJfUK1PurHw4z0rHp+5doj5iSTTv2aGCmhid7nd+raVFoK96KGtwUDgHfIx6OWLGYn0iO7Pdi4Ve 5aLoImbUvy8r6JDr18BH3HsriiL+AnkatV8je2rBXbh9GiPIGfrVvz5qWhvo2RgGukZLiQJabdji L4Entz2j+QhDPWe4QyhmC8Ci8nxZhSIUWMbOhpWXwIdySjwsv5xiKP6X6VP+OMj9O6IQnjztWATJ PJofkvo1IwFTLuy9OVTKAxRPrkdWzLac0VlQEn5MVimzSQAtNsTu8Sl5l6jnCESfuQ4gzCqygwT3 a8g7lh/TreVOoK9R3WRD+1UCYODT0naf5/07PRmNd24ZKbdJmX39YuLF/Dna4NhBcq5woECJFi9/ igmyxR0o2fl0oI0P5dJTtuOtbtcfqFG5gwkl9d31m7gyODNymjLmg7sCBA9ECCcgBAVyJ6x7D7XV 7e/Ov9I4OYs4zD5L7t8pDSS6Zt14pJqsjJDdznE8Ek15/HTwSdvGkxeZkZnD/ikgtlH51gnPWwhg PuNIvqvNX9wcbGHxQCqLaUubfkkuJ8pRsxB6hsDH+lJgM3ouHStHlmh1w619yAyGyZJelUymQmrR 7E5qpV0b9cC3ozZTO4NlUJBuiXi9Lqd4CPYDoL5wqQ5VeWAs6CoCUmyLrgAGZBaYLzLXZCF4DRUi HypdiqeIj/YxyATEltkk0KLAFVqM3G1KGCST5t74rfMoFKzkaUpcpvJhHmRwXtiDNCFOsfaYG2au /RVrIOl6Fd+M30anUwBV4XBDFkwpYSFFv4ZKKq2Kdg6bOus4EVN8dEKC5W9bokmceWBok8hf+mel H6lsTmNwVp411YH7A8uS0TnbQiKlaHRxOlLYjHao7cUjcNGjeIl/3WxFBXaZREo95xdQlnv2GIZj TashDW6bwbsFwUi2yGcEK90q3uecoOTH7G60Olr+i+AySaf2X5243+HHd2P93h15e+ZeAn7dSOhO rr31QKGd145qU2uug/PWpUVzz0Fa5CCWMPJNNoQKNXbnw5xbZmlBAjOwexNnoKzO1Sg3d/PKFID+ aprAoY/QFR2cWHKA8u+VjC29ZJfZCuQZrVBHBjedAoJgW0XEKEa97MJpDxXw+SyksHUuwAsU1FY5 yTHEmW1WxCn/tqU0IQcKuBnmD4sFsJpsP6LRjSc2buyJKK7gNgKRH8em+t6zB03szNeRhH0r4P2l c28lwFrkWKZR54OWCl+nPjR5bZRS42YhcZrImAXrDcCg47BSj2uMQPvr+X95yla7EdhCplZJrdAp +BguWnYzyfv5WQmHoxp8G8XdwP9GZXPQjuhxTd2DrHIDmfVm+pStGa7sv3JGqhCVJkOJocpEO3B4 6TuZUbQ0h5sIyZdMdusXfPsiCa57bqqEqYG3E4N86WYB6anIHZyIQV/R14+gQx7XTY2O+z7exJq4 nX9t/6y5/VomxHsSYdl9y3fQ8LvT19UmjiF9LMPlY6csYmfByNilEgV/dr7CEukpMYdgXcu/53Z3 0a0ahfXlW0MTiFCWfLag5ATzFCZNVlHeFSSBt22GgGkizvYXD8KGYYkSKV1NuDkxtAnv90sZIcxH OtpUqiWeRCz42ugDjSQbgS2/egC6BLFx+/XUIAKeNP02aIPGX8qVcoBS6zE3iCHApnyE2p337f08 xP1hkBMz0dohW54Kr3HO+FOioz9lgX24XmySmpXc37P+HbnZs0NE7uCEexU3oShXyE/nLMWb3aw+ z4vC5BIL4b2XVJLv5/TaqdHbH0/d9SbSlLr8s3P58Q5Ra3R/KLrNaujDYZ0gv4+1WC840vZiZzjd 2NEI65lHyXEuS+ZmLXCYwwIciGZIyssZIVim/CVXU2jmmH/QDOQ8UpwWoNgGbLsWMl2NnoOCjWn7 cf+adLJozIkl9q7PyecuVWlZUX46dLbDjarDLbEbEUCMObL1oOkX5HWopxC7xtJE0S0767EBj5Jq 4zljT2fZlPJkRZVgfX0lAHN62fH0RSi2E5RrkXiz7YjUrZ/FZXjXi2I899h+tY1jIvd4wheNB3uP UwCj9h7enBa+2Rgv17XxgEb5a1YYz6d+9UyN72c/5Y0Nv0FyqYr2US2o91aakwisTBCTIjqcaEr0 sX71HiwF6y+As3ANgKvQZp2uTbbiZl9Rm8NOpb39gzhiZjNGM3GGsG2H330td/uX0wxSJOznr2uo jFhXw4RhXDP5xzmpZkB551z7IDeItjq9VYEoNYPyzTF77iWxMFO5QMSC2gxZe7gmGwATfbR/VnHz /Sn90tkRxlyk9h8H0EO7bLZ6CcIMFxlY+1QiWNDKQgzDpd+d/e3C09J64PZgqqvHk48QUOYjmK8E Rkw6WiET7JKLWx3x9VNlG8d/cnz8nfo0BSj/OsBzeDK2WhdVZf3ZNd/3yCnuLPADosrP6tAIOp3P 2fz48NAkuXFdKkuQOHQ5fM8PI5+PWC576wuUB8qjBvbr0SJkiajq7C7c4C3Zu1FQz507jmyNC6QC XSyPJs8tvqwO+rmGQv/RSBgqmyRGh5qFJPQnl9g5CDRATrQsznUhjSl8+Sy4c4frk8lvAe+rSOed DrJJSNaYa3UwTnwJg8RdLgH/waLrnZeBgZKeguPUPVqNEE8PD87Istxo6rHFp/0yZfucGHKTakNR Jb0TmLBq7zedRZmoWRxXlL6/OoJdfozgnM3nbfujmXrZ9N2vi6ppvLCht6KZeRKvKo+v6P6OcQ9c 1s1HYYPwOTqhNHjA68voFdD4CJKHBYax6mbVSlFpvmC6jIvjMxSAI4g6pqzePvks3syOVxxlZsqU uxQ+BGj9ZutePvI41lxVjSw62tO7R+JqliuAkfhIwYBVUfJZGpqTxwAr3y2kR5IFKbF24VDTBh7h S0uQw4QEWN8n/QBy5P458IHssZxkzM1dC600PyGHsi5sYc74KyIO3vdgh8zKNT2uXmEVkiDpNJv5 Udc8PZCbRoPALiHbByr9MokjR2a+h5RvzT8+Tu5esxPf6Mfowz56ZZ8nRvJUkhOG2+ze069Jgh3u khoJa7Lz05Fo561ywnUSRaTVNivYYtn5FyL2yO+8NSxMRHoEGK5cyafUXkLpHTcUfD++hG//dnB9 cdSTqK4QxPtBD0JwCGC6v/azughS4mgfXNQ6XJhLkGUDklcCqwXTtv2GnzHHXfCZ3PMuRhp5oVLu aAC87e2da3wVhpw0tRHacmrGmyWdJC4lSoeq4BwlvIyQyTbOVOt7zP5XMspFb6awSii2BR39Wwr+ V/l1sv/JVGxiBD2YzdsxUqVxwqX7HEor0KgllRHbab+MB4SFZ1coeXG10kmUv8ALHmyfFiHO/BOn 02XGbNAeL97RSM+IS/7toOc02z3i0KM69dDcfEaW3yE3Qj1wBZZfizYhNckDdYglbDCwUOV1Ll8a 3useperasxf1cwMrQ2fDoJeyMvh7XVqGGEbRZVueiG1GWydwzBQW4+fVaR4W7DPxb3HWkQfB+tkk aj6M5qYQ6+JuCjJC6wIke3XYmJeAo8g2/ewRZuNYAvShZ8NSVdSsVtN3FkLdsQNAkhtUpidg/8hM 0D1em8b0ozvOwCqhcDv4xqsooj50HL2BD234MADrr5Yw3MbTlFBjV7DfIVbFhvziQzUVqkDRvJS3 x4vuuuKj2J12JHapbDHTxfBJe8T08nTHZD5MYZKF9NxF8/BV0gq7pSbD7cb6nezzKPO+gTlGQ/Uc DliVagSfH979RW0ZzJ+BCG6Kwg6usSno67E/fn5JNnUrinwzRCS0GjckdzFONPu7TvWxmXWC4rXn 5f/6RXb6Q4vJMGnRWm2wBWkxdE/cKTVIGs6FRENkkRQ7WJ19mOfD3OB4KKS+Ny54Oyp4y3x+nU09 Sfuz4pfOsz7NlfbkhZnjERNyenEit1U0KsN2UVmcUy4V3dGQwQ/fM177q9/6s469/rBT2EcdYoFU K2DQEtspQJOq/Rkx/TkyqU7p2rgWuWljpgDB7kykaB3FsEr3O/gFAk6yLGOTBeCsdSi9Bkq6Lv9I Dd5CF4Vr5att7WqjHnamkTX36aHy6GMU6XWd8JEyv0iz80WbUU3r5h4iFOS1wUJONjWm+cKMv7RF rmciTOrIEACiT/90tcP2H6kkAP6Dj+t4+l9XgQscgS60fPyrtyYiMlig4BIHwhDHM+hRP8kOcrNr 5qcojephtdTlUDNgdpNzIAeezNsQGQ7H/jCcVJ8Ha6bGKe6MAmAPE5+L3V10YnsmBMNAzL3UfJm3 O+QYtfbQ7wUKAPkC6nwIElzHl6NUDqEOAYYsq5bWqnXsLnHRGQxFD+OiYoknQEgB2YlR60aQadUn 1LBX2bsGJLT0k5BaQ1tzp2Qost7jtlmtXAma4i30SM1mLwEp25OneNdbW9Kar50xEfvGhmuvzCk8 LsW7tZEFB3P3dJp4ghAOg4MELHVhyaaGur5/CPfF4dSbruThRksme8qcjfl3C7IC5q1j1tPL0ieR d9HId39UbW9X6W6fUokfmiaFVK6UYAjG/kWvSx29dO7StnFWyhRopqar6zr0hHJpi/U/597neKsM jXKvVCzzHRi6wPV128QGAJBvbt51ndTLuLXfHZJ80ErVkfMA0oRQDphAt741hw94lLWq6F2OIvet FgTdbWIgEzDGQffIs/AxUiJUuJTyVl7qgHzgMA1JqL0utaJT2HCGILDKCglWMvEW72wuS1/iHm0q Lo3z1LCFYdJ3RFJP6yq6dOvBh+vzhRUfNLxxYOWEDlZozNbNPHPFcpYiGBY41jQHxg3gI4HDZUVc 7dslCy9kIFngdLRQYriJ6JFgmEM9VbQnipPKN2QZMKJ6UrlC6UpRGrq0G4mXPpN8Hayx6a8GdPjK 4tn8XAPBv2bBqmWSKlNh2ZxaEJQ7B8geUgzrUoFYu1L0yODAhZ5xCflEE2Nfae8+2Qtm79oLGSmm anThzo2wvyP1p/t3VtmI8mh0ZzFz04fy9yIUV39gdFeNcPBOHkxSUjk1R/vee8d1ZyuQZ6mMZuSa 2bOHnuWySnrXryquSw1TBdD7WihD8aoSyLbRAKtWJXk+od+Ia8WCcDMStCJinASM9kVkbUrb9Yba iARrPPX34ei2b4E0eOLk7i+4wuA2W9xxfTFmJdaoAM9ChNvMFnnOtk/WoRWgMw6MReBsXujO/4fb YjGfMCaFgt1EagtA9r4iZXswZGBFZTukH9CXUTzF4BeqSIDslJdovoqry328jpAevsuMJ2EWgI9M T/4WbNR6/b9ind7BSUrHMPlIITQnSirNLtr4cm11r8yrbTgWM4Vz1i5D2FmuXGTVxhl+U0RKX85v vv1iDIXTXLy0X5tJauEAytVvuQjkx9HmMAhdmHiIiQ3xh8glsdtQQ7IC8zgsUINLfWoc7ndxO01c Zi3Vi4ylQEoW4NuSkNvTPZianXt+1sL+ntXxBE4KtfXvnd8hYbRgAAOwW0z1FBq9UrdgnIWACkBs 2bQOd8IwihZ4w+Lw1wgQwYQbt4qRE4TlKf+a/gmVYzgWG08UMXDjTyIM7qMVN4EEKmNdIYYjM+4N vz7f1Gb8GK1MI+nNurH522TqmdWD4aTzpiQGmM8u6JMDxtOzaPtbwGv/ZlnVQMoS5Uc02KuA1s9+ iwCs/95GGOwEn1FZ6eghFrKq2s2LGmTjBhX2WwkNEFBqqml415F/L5HrnEZxuxNrNyDczeAheB0r 4Y+EG1TMvor8n+QSJWQP/d/q5fF6oj9hdccElVbDAxYDQUUHPjnvyP+AH5wYUASbCpPERWti78dD 01902aAcfZafItHHTh3CmuK2GSVG+epMLOVsRxAER6PxmEGJPYXlZHNIus/EKSG1x4BUqfVp1EsF lgtxBqKezEaD52UtUCWV8FvVEGNCkI245d1NkJZzcf0R1jNkdny7IEmHAU3IDuHFVvqF1YRXFwQ0 Jyua9HM8lFf73EQJJoXmiYY6jqDvK+rKf/qQBMYlaNETpEnEyDFmbz0qK7O0BIyDZbQ7CAXeqpXp mFqCU1cAYZ/egw/2ewfLnIBsa+UenO1CbSEAKth7UITRpip9xBuXwtgazP88NXBX68RbMsUQXI0u bRAQKF1hTyOd2tVg8MlLlZ72qjYQ54GBtdMgb3FZzorq6Ubh437/IlBZx3LsYs85Hi/KSslGbktp 6rGDn1qWp6W/65DoAolSnzvYz1nMA3LJn/LbaQBLPZsNRYS48Kp4w8zJvv5sbYvr0pVZldqDGBeL 21BLrlunZ+jGos24WsU+3pAxR4XnMEveRXZO1i3Y7O97kj/VhP2BmqeXqw4XO2892Yrh5Q8h2eFn KH5gepruIbsfaMxX5WQsD/B93SkIEK+5HBRFfpyU3GoVKDt6xlcQ5fcBheGDS2+SDhvnAvi9SvNY F+jfvrX3xX3P5XkJopIkmW9GJ0TrP7C5Io3jzBwSTxztm/FEALB4EzQcC/pUcaB6//+jw37Sw1yq dUrfzk9MILgChgZ7jro/1GYNHpnKkaIWcmOgHERjuBrHrKBCJnJc05VerPCepSLjHOXHltAwpv49 LOoYkICYEb/XHvlWAS1Rj+TiH9kozXzB6ApayLY+nhpzN8Rh85C6uuEq+x/UQhZPg/VfsMF2DNwN QB1AswefQ5s8qY8yUiV1nHmFEp3zbeL8QP4LcKa6A87Eus9q3DDMZd8dWlW3MPX/T4BvW+l1U/XJ NLQR/3arHdueK1/hpc6xSO/NOmMuRzkL80hYsqjiukmW2SidCUiBuaZ0LAkOVgfCNw0/YV+ecMCj 9imKu1kOqfgWwV8VvcIU2HMm9szqVgS/A17gpcd5pQdRZI+Ljd+4IuHmze2oO4VFq9+qzASS85hb koTtMZ+Uyua0QoSR8leVnCOWBLTLH01HZhFqaNERJOBPhUS+A3PCWhMCGdrTJbgSbzOMapZVal0N j5JrWKftXzRFHI5dLk+HYOrjHKHxHtJ67nUhyZokdLl+yb79T7muPgVXh9u6U/OaXys0YPY6nQq4 pb59LzHmN/mxqDMLNL1m+cEHXUGGFStUdukJmVDTN5c/OfdE7zM+2kJyQ8+SwFRCFCv6wmejsqoU H9jbpS9m72vXzJkmG4mHH0KfoOf7K+S3Unre/sODhhMAU1vpnUu+2XrVDweKgB+key/9oVGs0PKY 7tkZlQiumnT+IA0FmwgG1r3GLwVDWNDEQCjZvLPNH34cLTMisqQJXkKL45x+/bKjvtsFqSoeRXtA csRV5P75T1fA/Zp4XNamjaZgCNCIePG9Tlrdz8ClmUXru+GSqCr+6ngUL0RwUiT86y/dakewp8Bm gpdEsHhsn4JpL7b+OryUUle07yn50uLqzYjjKekUiMy444eYWTO/U8COX3eomt19wAKFqjC5Q+tp M1fG3rEcLkhp9Ww9HrFYre1ECfZ/DGzIrHykwLGilsR+uZdgFZk2wxscUNSDyl2RzZrTLpaqwRJj OXt4wPps2lLwk/635AfQZuM3DC/iMNpBpO7Jk2PwwA2jtzxJ8HG+CD5MmzXJKwNMmYJPqxV6dRKS 6VMgFxrO22o0cOwkBoggvXOs+RkOp9BuG9L53qrOMo6bK1xezaDr87NwKwVoOtNfrNyyRcfJcNpD jPxDUdu+NDRiiYAqSiw25Lg5k2vNMF4RiSdO6kE3OJEdGMdDl2fHlZB8zardysINJlP9D4qllK0O bFy9F3T/Vg8BifzNLP+ok6HSbCjBqJXLbcz5s/24+8UNQQ/N9u/Dg/6YBVuBFpN093DwgkXTPYdJ wQSszTABwRiObqvsrF5VXDlffBv5v192KDteNxIbStd2W8XO7uJjyHi7WQURTX4NV/1wKbJasnCi RHmhPVQuOuPDoZRMEHq9wWIqUh6iIimwt+hpnYhDKaPBQdtYxEGEuzni7mNtLBXJLVu90P8/v8tn AZbySS2y9or4uRc6DdzCcGw+IBk1nfM3DNRWvXM2EphenKTqhai9RfKN8qwFvT3TdH/Fqw8kYjlA DO4joHDQD1QD8pY0s2k1Z8LnD9AVKNjBfGlHF4Tbbu0P/HzoYn8B+zlope4heRMIjp3/0zUZTrDA gogwTyGNFpA3fwbDk5ql0J/5ejEJdtxGZ/08uQGzOZn4cIczu8kLhYEJCzFAn/6FQCfDl4K5JIVN suaoNbQqaLmcxwzv9hPqlI3zFT/21X6HwUA1ZUwso7wxHGEPgpIgb3fzYJ1Uve0Q8Xl/H/xMuAJV izmeXbTrkTqu87SsDwwzm+NGBktNEMIv/fRykq9LU9UAEwQQPV89sa5EyleucPM4iEOFe63IrQ/M pjnpF0N3Y/LaX6lc/CHiQFA+fnQmfyNX7S7U4TzqaIPKw+DSn/vwll9UEIXF5NjBFjDxVRIQZqNd To1+uukxCtXDqmQbXZDsYNwrnJtRMij6NOv09sHpecPV/eXZGTQ9AMMnvx8EMz8slkVFznBDBGcc qZ4HuDkstWE68yvO7to02LW6VVkA0V1TuxnNrNzu/FXNUknIM0yezYBvpO6O5WM5nua3kz5tMkB3 qClpbhfIHyG923nK3VG1MSCoDjmDyKIR/DBclSh6qlaADerQKoUZyjtR8ZRk/1Wvq0rKvq3NpjZc YFhl0lIayZ6DQVTCA0q+FP5LeFJhvRewwLLllTCE1HwHXRJE+D823GZTrWWwmNoC5ulpHehu5D2Z xEhrMfQT9nxzvt2zFsxkCINUKq1b1VB6cDdIEiCTRUO504SLBp3BA6L1NwA3NpAwS6fKA6ub0LVv sb62+o6V0vc8+/XxDvjRXYmoVsr39P2Ra6g5GnGZp4LwpkRfXr/YqEVRCdYJjmPPEtrD0+fxtZG/ IzhPHnPLIH+E0ANw0bncD5a4Tt4B99lOerVMG8xVcww8w+SsLPIa3mDMHZrBFAks5SZfw9aOdw/e V6EwL8uI0jhdbs6mCSnYyiI5vBCtzIleP/iag2yllU7O/1iDfS3fyJO0ANqEEzcmYog2tlXgiRXT 5+bfdW/6B2ukSaYjp2Lt4IGjAs+akO9EVYjKY6m03Avss6l3wIG+EuoYFclPGpJuEV7jzQ5l+ZYf Rjv01shXJMPpINe8mEoRXEjxAQyjBt3QVGdFAuilfeun9PasM3+T+lzB+PxiQRoySsLXsJDY5LCC JPoLvWVyLjbHjgAFdwAd+Lq+QKI6bfkKkILbbEYPcinGq54swl0UGmTI7QlcVEjf+JcgIKRJomQi kFV+EL2CAHi3ahAh2QHIR6xDIcd4rz78MihSt87kM1ZjUUd2z0IbqOo9HMgpK1EImr/K/Yu524wW bBlhrVtgIqk4SCLVfcJsjotHmP+/H+MvBHv0Zq32agTRtA3oR0GKJfdwipbC+l9cYIwetqS7ws9L +n/cNmCQ6EsfrKcfQqylItlk8JAamkMOxqI+KSblAfIynetZZz8DSGYd0fQPLd/cjXikkaa8fa/N cc5CkWkntda3dUdujbKhZmyTPTddaI87cdxHbatagtcPz6CFGMXcB455+a7xYk5+MS+3LZx52vwq GEC9zqc5GemwH+qmCIQNNJLcauZFrOI+dIyeBYpQMHKJb5klKm0C0itBAsee+L9C9PoRYMT/Z5wc VLM0eoC67vNmbQ7OwZVuPufdBhvDGcG//8Cy1usek1wBgrir9pmearfui/xZbReEq6ZI89zM044x yeWZCKLUxanTP97QdDPqJy16SV7MFvlX7avT8QHffxxkdQtXJreU8H26VZPugMvrZzozqmxp1+cL Wcmuk6b6qUD9107EfyjwxCWX/6VKKUmtxRw8c1bsRKhiK4l0S++2VD4biIpOVsq/OWMMF3GXOyEd H/sMCzFDVROYc0FVRTUn83uhgB9yvskYf272mDcDnCOW9ubSFdU3ilqqSWokNK6LnvS2C5I6QByH QN/JqkXvWwkUGHmBQoqoiBk9ciHcDrlrfaYos/Hs777HsM0BUIDJdpt8cfrdUAwpBdZKa1Myb7iR 5b0glGME7cHY9UXKR6S1PuU9IhgijI7xPTyUp8u4WF1zZgGYI/ZIxZ2rQimWMFMj2ZLf2PfzL3JM sOx8HE6rfPQk8UaO7Q66iCGsVUXQk08KtVmkCIfN356fPi+qd3r3rRa3eZus71zJb9glr+BTo261 +3aECDpma1wbINEbJ3UmJ1pV5r5SPUnAL83cVlw3ZV84y2TTzZ0gD3U41gDryJikRObhsFuTidMx 7MUiYw1a8fyzFKByBFOXzbhBPjrKElh46hZTmPAMGGmMy970PY2eKF9zm3u3PLkZZTqIsrPwsQ5t xGxMgRt/0cCW0txK15b6BjBHaSielft32vC0KeEI9EmXqWWDt3ljvVJNudmV/xkwBmFMePYUCNiA hqPjnlMWX5UFSFTgJesdTuqgJysOvtHGWxtizc7du1EUYXGXL0+SgI/nbo7AGD1FSG3GHAK9KmHv ZHpw6LDiFquZ41gMqHuequxNcdERqRN2YQiiq9uQtnLB0CFYbdkd71KepaoO9RlUpGh3nPj9ZsFF 4aMKhzPvHpmqyzhLcGqYkO7w00EbKoNlXB2DgrAyXrO9utvOPmWoTy0+H1rLat65CHwE9Ain6wzF r33tR4ijneWmcjuduy2bAfvjQqYpaazWB2rKyvu208agCXq/btHDsmppSiTRG7iqFYyrNQUa+xMH f5nzgdoUJn0LXFHs7GVYIkInkRvYthDeAKeKpu99w2Sgro5i3WFFZ1ZU/VFFGa1Cfso00mnx7n3n tW8MiKmynP27Nl9pJZOR5d+4C76UqEweBgQ1D+pH5dW+NzOrXXn2WirgJ4BYD6WR5rBD0Tcw4772 WJWnO2FVnF/MwT29tJlGIpzWUmczk8JsRXVT2zsrHVdV3Fr7jSZowxZfvVpkoC7J01AVeWoXm6O7 3EiPdBbaambB1AOipcrCDgohRgBuEr93vy+EuAzS+SWxWFGULOGL1szFDvNYp79vQjiMJex6hAQx 3dKDrADPkBTSbUg+FrQ4SnQD5IJL9ZJ6d3fG4MRHCz70YqwEmoPWTbKBG9iv+mGpA9QciAACYDQg WYlMCq5soy0Om/8rz0JGu4+Gx74lKpnOIDLZkdvCCkTbGdWHi+0SeWniKoTUp5wLd0DYlF+Z6cNm lznSgXj+K0Zg7b6nSIo77cq6HZv8EKyQV0zLeWFC9Wh/HR7mCXnyRl/oi6ArakHXVzdzMqSkkEpN LtAM/4vrjqWNbLFG96ZYTrm0FAIlpikyRXQ1GYxKB9dZwAPd/ytvFaeuN0DLVTSK5FidlpdKaEw7 1Ozjcy1boeYkD8lAeN9oqkkBvMrY1PoYeHBF/eCE3e/lSt4ZkNYc6wfWnJkqwmxV/Ww2Odo4IOFg vwdxap0Dd7dUDA120L3t2fPhZ9KPn8NrtCmxfKrn4ypnlNz3NZAlbv5NPqMJPOvNE3eongjJSCPA zR+sbjrO1QQQNBDlKBtsSY/iXo+id8bhpn2xSEF29cJ+wo2iVrDsSkv+U9TqC7qpkuLCNcA2UHaZ bIjezZOSRI13L2KwCmaSiQTTI9kKNC2ZHHT1vxj+UB22d6/xJP0LamvntcsLxAcx9ZjMw2y4+Td7 2M2G/bjURNC6hmkMNh1seCXmj0iHyinuUptXtS36BYWbDy+ZmSOfivmTzr3rv0Hhg3AAT5LWY5Qf EKEmViQpjhYWsI+s3ymgzdY0OZvqqvpI+BNbcRC9b3r50M4zgSv1i91DkL/afmlw70XGlpgYkJoG oWBymPAfiVsVy4gm4xJ0z6juYc6Vyn4sBHHbGWHegZPzQRXmd+xMaYKuyzyD46n1DjaidKQW+SuF 97QVWB+5dV6OVk9ZVNqNoPKu+9fz3NQOP8i2FhRa93Fq5RTQ6+UVxeuxyjUQ84s/irtX2SelqvpL dyABpsk0KJttz0NuN/y5TSZiwYSOhNWjOhCbCZHZKh9kD8bXqW9I6cqXGsSdUoIkISfnQezLz6yn /EbIhW84DTWsJO/gmR2e8uSmU78MMhU8Ttau7T8ry5EQiyNw6nfLiCFBlXbvJUMVRZnN+A9ucrqt /ueIbi1RG8wFYlg5e+TU6VLs8TJMPdSpL67pJYVAjV0FUrgu8a8OXtfh+QDXcB0oiwED9VYNVY0z 53oRTJB5VyOUTP92gY85VCq2q8tDsadjtfiNK4KhUeDekqe7YQshYu7lIaz4Jrd49atJN9KBslDg 4apdwLdsSPsDrSYSYyIVdJICzIUeRwptvcyjL+tDzb/zLu+oeUB5iaCIEGFuoTgaowibE/MlHm6I 0JZQbIeZYnLQoJJKaDZUAozZ3eWZHqjCJU+GR2gh1fYOuFmX/v/Ha0zjxutQD5iSrT5mMoEKnWet LP4C334NcY1EFilpQlQ5AMV0HL0CDvN7Zld/fbRmbo2bzqHuJeimHjFpuzOhlnb65MgUanmgYOs3 QECPPXa36OtregkKOARWOo1FDbRlzqhXfnZI0ElGhhSn7viwtxS0Kbzs6MwrA10K5DH0smE2uKCx JzkUPMGGn1fP/bRfR4myIpKtoQ/n4o/Xlrp/ZIRGVvk49TudAPvnrEakPM9gjP0zT+2k78ifCWJb RN/0a2fA2YJxGyCgvCla2pEb+6RXB/dy2rp9oeMp43OLBgHtziDv4tkDVkFeTkxBeeqH3/+y17hn 2Uz5DUY9O+ib/0+/7T856XVmc+Vpex4GoqsiQd2IQsSqaLfs/hxipgjRyMnjclCkHP8kbVgksI/j S0n7bexRowEG2DnZOvCRfDKaWV0FPVwMx17HJmU/SWOu52y+JxKss8vs3DRBGxHBjCJCtQIuPfjW GcZUhgUtfeE/eKKV4bvoD385Su8+AuW1DsWvmnvtbKFruoJhqf1bZgpg6Rx0B/qJq/Tdq9+Lnmac 0BxXog03EfWi4qZOTGokZZFRagfH1zWRn6J9nzDZGjlPiqEFexPxDlp16r09Gq/KeSXvIqtLrG8c YPlgeXq3UU/qz0zDlybvW1ORD4t2vyos1m5q09tmRQxZX5HdcEQn1aLAmVpjZOqYra0thVbqGTz6 q72f/Q9DbNZB0uLniSXNlzdevSBbSQCwfHfYFs6ULRdW+vzsDZAX5klrD+cUA8sfRyCspeQs4Vwl TqXieH0Xb7OkgWc823qOkjbdpVOxjYXo7u7QepDaqincd3pqoAi1+90ysw3wbKAGlAK69MTPxaWe LYLKKEu3/T8dQrDAaUrkylYUYHf73wvxOo7VAf1oSNqjEKdaNoZUg+vPR+kcODeqD0QhOERnXB3L 2mPFi13G53A5kLUz0kHTA+FyyRkiZT8uROjbrjQaeEu9cZVKWb6oSMxIBjXjShxKnaZExjv8NY4L wki0qvBTipnVJvQfqZeeR+9M4WS+9DFyvtqXdnWl45p1srZrUic5GqxvJQYKL6amM4BBA4U8178c OT5Qj7xerS+uMMz2SHZcK4ZyWcI+b+SLLe/6+dWnaB6vzmBhJ5PeU50VbDIHOjZ5oBa4U0XPyovp +h3tVtxQoIwSIGlaTzRBjeDXpTB0SHqyZVBGjyHO92tluOFk0kc9C9hWlgagK6PK4qMlrnkAnoeE iCIfYEwF7gLuhErKCikMfmyxbjlwxFNXfnS/lZsrr5WWMqLcXFOtlSFJUDC5dWE5N8yuLUu5ZWLr oaXTRoabpphZQi5oxSXjz45L5anrAxwrhrVf0jOOC9GLHfhfF20a+WMvt6UWnS5KvwLFCGZZ/Vnf kz/BTYb+pTN6PvLD2V6sAEd0kOHXeQkSDgDEHPhrdf5OQYJCHl4WJEHeDT1HotHj6TQqIJv16LLE Gklw/q5oK4dQvx8Yj3OeAQD0wEb/5HekkPtFk2aq6DY4tprKnp0lK5/++prWVoyaxA0a3yf9u12/ kjeIBdoteG2qTeO6iSTOoMApwpTVoe9A07K5QbYL/RbT6TNeGGQucOl7CNYULp7or8TiuVScIMaW 7JKOAz2fd+CQogYWbPa0H46fLGNwiPPFd5jodPhJuMqEPuuxd4weNJUw95pqEq+pOiniljPulkQy BBQHcwYvKdS/Bz7ml4U5Ohv8//KWw7uQA1/HY2kW3lMBbDWBNvkliAllBQal/YwZ2N9Iry9q+s6A W3vbL1YRhob0F7ICZPpuJ/uM79qgWQAwq7lUzr6Q/7zg4q0mtFZqzhrVGaBsQzBosCMIaeSbBZsa rfJXPPnLFJm9jPdvl0qkKq+oda9NzUGE3Qbaheu2KU9qfyugZQOpcm032mkTPgxvxRDrAI10n/yL mWd/B1zuLe2MhXT/ja1CUnWNo0f6KBThFAxnOKtTSfJzjfzBci1+Bcj3P+VMT8bSp4r+ArwRhmsu Yuh2ve4gVggQQyX1QkJIADEQQcvhrLqBU5qow2M5+YFZzLfymBINBPKf8JqDbXBJbwUzXmcDTBuI GxESqSXyj2BGAcdtjfa5ZMhTsf2Ap5SBbGO+h9X/ZCv6u6s0oUdWfp4K37S6kDAbWlSL+wIY0Olv dqohufIpMquq69ONpFWPzD92M2x+n+Rlj3S05Gce2P239495/cpQl/hspCxwr3SrLdNhLI2U3xqg 7NtJhybu5DZTOkRUzyZJYYT+Tq4idJKqGT82cczl/B2asnc3XGN67Tjsw5HwKDscONwB8cQxsI0T 4VgcvfGq1G7v1LFaklqkaQY597NqbTsVOnnApktyCiZbJV7Uy8f1dN0mBOyrwev4YsStgb1+KuRE zjh8EUNxZEw6oP8MMfzJ9V/J+wvPxcg8VsSFcm5UOWZcArs60hfFoDbkSczmi6iZq4clnwmWkHXM KLMa6y8mpF/O4/CD4XgS6GI2OJmOuHat7HEjZRcLtILgDYX0RMKgbh+GogkZ5TGxIWJI3vrPkI9Z 8ZUkllW8ERohs2aI4e1bgBzGT7fbTOTJITNZoAbwmi2kfoztbtBjZvWi4OFlTHdJ+jsqJIe9c2SX EQMFCCx0CB+FCrnKp8x1/cwj9elsEpq+YhCTdFiUcSOfJ73Akd2QXJeQdgCHIagw0bPThP1TYn3b dZP18hYr1BffnCweJeFdNLhuC3lpd1NayDoEcTCtupTU70IqVcje1NhIWgvnXljjTSiawy0Ni5OA 27z0gLaC27bBHkwI2soXWUd/CbHUV/LjKCTVlGr7cY3vJ9quQbteHZ6iPCpJJkLmMRMivsivE8zr JrqnNI3BGaIwJ+Qr6KRaC7DT5jDSnKHAljjqu6zZTz2gJiDZK2Skl4qkwDPJzCB99BIXYfthFW8w jTL0L1lwnPpIkLyhWTwwYWcZJTMnqszoKSJ7FylMmw0bKDhlvqE1Uk9Ft2i3spBv87Sl0MiU36X0 ONqRFtqdI57S0fk1CzTtfg8u52lyNKVMeMRZ7tDZtgBwnO1rHaK6Gn/0I9nMVEfoIhq4T1ikOUNe Oq0l49xCbAKC0kpn+QtEiWmAAAVQ3BbTvqE1J6i5xbQqkh3omU5A8kXoSNwIqRDzHN07PBZRdrjR PghQAZTVycJ7I6jy3lTxnoK4p6TrqojjSPeb2MQvF8R/NWR0GD1jx720/o2jB5LiC9nR2gcCOLiR 4tzur94yrqqihBSkEod1RIk0Ps/a4mR50NgBbGa1CHA826i50JYev7O5J6ZUFHq2AueoVg4hIAWK //Oy8b3/veBaihFw1hvykO4AOi1jWgJ/5x6cgIwRNHsnNJkXBv2iy8VETS0kfyDRyTpQMA4ciIII 1DhofRwXMjAgBtJMCMJRYasTbpnvqZpkhSKSfbeSeRXVxMCIdwQMRelaVyoAITyhigA6Uo7AEgv/ yJIoRojaDj0s6wZV3rqQGRaQElROsG8cANa/8JNOpyvttH1F0FzlRbDPyM06XWAckJDCi5dxHkfw QdckGOneIbZajTOnXCLD9ltS+1RX3Z7pATorElVqAnWz2smHMBSHapC72/927gGIYkE+LijmIcdn ea5jT905SNFg+b3UeKbv3FWwDh8tKbtbK0cGn2wsQNN6tsh4sGPkjzTfknUIrS513Ica2lGbDlZ3 zmOdN3WT3+Rdvhj9Nq96VsbkPM3JhcHO14FoZ6YkbPIJ95lJhHTEIOSpsrEjK20YmpG7gbC6c6C4 SwuxXPVBPboyo6A3CRUtzyeRxLpwhmkBa8BkRRAYGCfu7vVVXttuOz5uUf+87JILrsMqMjUGRU3N QlhfgI7zGF6cQs9uG6vGGD5U6LHZfG04JHcSY3iFXD+uZVVUeOrjq/meIwg7+HrjmtV0yvMdzBd3 +RK16Cqr71nNeKvEdAhVtqopn0KGTkFiWsk4PdhJORrj0Cfmq97yPTmN4wVehZb3PnFPCa+WMZcB GB/hRgzDy4S+6aEHBTYxRUqPxnvothC9emo0nCxZqFBptz8uVJV9COFZhC8c6TlFE7DXLabpMPwY t32DJAJnLknj/1xKPSyz+m+pGUNe8JOi01vE4y80GHlAXGf9j8cK7maNgwUC13KlZtYATrfrjv5t AP+5XHkz1QEm+OBqI4ji4MQ0FdRXu7waoetZ+zW0l7by0i4Gf3u6wWlce1VjtAbR6gnXQ6zsaCG/ qLgeiPCkif4Y9N6z7HrT887LvrIszt9n2YtZV0DicOukWU8fgzPSaXSrhtnt+lwfKJx7tWzaldpl 0Z351Pu/rq56nmkND9qAkAPf0YdT3dT7cYEAAUkMHuK/pO5hOki2/+hZKG0pLoghyD/JlX5nwKaK Z69algRHI4UfOyJB4IFyiDnuQaDtljws9PQ3VAMjFc1CGj8aRTV6P7YAkQlQ3pOi50R5WsHGOdsm r0tDMtPY5T/Q6XlAdfK+dmyj0TCZS6hEx51g11vfOktOWQBEdfrjYmZgG0MYfFIYYkxbzCiJHVKs JroKuxu+iSg/bKGub08UKmeJjGIN5iFb4+tuICLkxojCUEVVbXCWsds2jnfOCOyv00LKOXTRZuTS nTaZFWDcPFkjvmB8jEnlw9YWBRQUdG8nQ2btlNpqfkDqRXNuruuZUx4k12CasE4cp27CcAR2b0/N jF6KicLH2yTq2PTLameLoPZvwq45Pbpnh52ICWEotAjOf/mNY92CHEUYPt1qCXCkRTprVSV7Hz9J 72aZHDQ1KrpGLrme70dYqzm84EUDw37xyZb8v9mX75d1PP1z5tEU6AECOIjAILzoBsS8ymT+tH88 CDvVdOfmOM8WQtJmFGZ8cyK3G42UyhqiiS3YQzRbh0F+PAe3mfcNTmPInz6ZquVZMRAIHLl5pOoz tfESw955ZZ7+8704A++plBPnOSfvY2om/JT+rPz3dPlgRlltfAuIfXbm+zHAyWFjoETU3RZ3fOpA z49Lx4kamI6ocqKeTEu6ZF7R20zC2jGws/4MJi2dAt8SjhzwofHfjlNosWA1+i5yHc+U07NGiSBo jXW0K+cP1McR0JeXcIHHCxxkYK+h+yMRWjml6FXcnazx6W2Kqs2Z+2K7Dae6ePU2sZ0JaoZplDGI T5RTDW+59UvxL/0BfTIsHbrNmdgtPqmwxE4nkwTd1vM1EDOCxQz8Z3NVSDJc3FRlgxqjXxclLubH OgJh71P3CUqhqdnPRk86GJ5dVNDiJ3Nxy9eSaLjuhXHAWrc98ASGzkQaYu+Vi6dUwnBLiXUF0tkl NIn6icWSoy3VTVX/G4u9qQuMSGoBGI+zf31siJHuMG0BKlVgqGUiOJ3cqsk2/9o94ItqFmjw/zfk VxrrVBa3Tc/RF0UBp2ldxdKnn5ELdgLPDR3s7JLwiZCyBfIyT4X9eKCEmaSJyAhOga40k2HUngN8 Y0azXmwemciubKtJX0WJZ8Id9tBZFHSn8go4byI0YQXkRsP0ARkW0npP5PlVEKyHaaFldAu2g5i0 8otsI1+PKTXtiCm8mEa5o8cLZUYAdjnSXVgtCS33azqmdS88Entii+0SdbCPZiX81PIXw/XcTxNA g+GTvIH8/xX5YuW4oYyHey2aZFc7A1WqdE4UECB0cAZqHvwpEvdJbT1avDfA1n95+PNe9mz32QkF Qrs4yh0eV27PzMOPwkQ4AuDMAywk1R9XPNQaCUju7o2iwAtPIsmaIo88T1DQKWya8+rCVXtHKwZb TmXEMKCoOCd63xOWbiWpDvn5c5kVOFHvfB+Lt7d/ZHrE16zJ55nUmIIIhtYTEmxm6c5+BiDXIyMo 9Vg0vWEsVKr+7kX0SexQTLV5h7qmjZS4O9hf/UvoYRFmGaUBJ7K1MaLRI0UhCTLfZEbTKQuF/+Fd gfFwQPfRdkBcibl4/wyzvIMejG4ET2Ph9IATBJZrmv1E7U/3SVmgUwrfCwHsxuw+S2Tq0GoD7Xn8 zyAz+eO31UaFDB4d1os5JvjCyO5ZnFSnw+Sj4ZsoxBr6EOaDb6QwGYkarNKBcr+MEHNdsVUmRF9h zCs4qno/aeUKOJKvU/TcM4tTnqqVafv0uq9RJKd6ZIDdo+BEi6SJmDMHekMfzAyk/nQ0Y8Ng+qkA LBO00UixCn96p7zsYgonaw4OSg6CqIV6x2kvkA6K/5f8HK1kZrLwJQd162hc5gYZhRX57cfBT/Gq ohg4ioJiqNrzIY7XeLoncVLLXjqUrVoPTGEoL8j1irTKc/flAeBQCgxm0Hokq4aHNA0cexLqsYDT uCP1720d4l01gjiab9l48YCD9PIIfeWJ9GebtXRktG2KoCpltdKtzGdTBA9xwXArEv2/0P024eyl H54B79RZ9JcPX0cT9cHqB0GQ8FZ61TVGyYt8nHDsMMHXjMDiCbQUptxBvoa9Nj1mC4JF5HqVMX7/ 2215/zEXJv2KtWmpqY4bdxXWZmSW+h3HMrmYmmXwB/yVPACk4hkZQZCIHhevn13eipm4/XiESyQt qrTAEs59K7LjNN2qKYXxHRziyT4A9Oj9S3cF3PQOUFODIgbNcsuYi7lpMSjGrv1Z/irroKYYp3Ch efVTNL36SE13mqRZD8kiksqpWgMNVQoXSRRQo/rR8PR2U8nbmpiJ1A9ovG7tCdWh2F3sTqZIciEN B3Ge17MbAxfZYYOg+XZr0MPFGM6J3/vWiygkqHy1HGHeCbqeOn+9noAl5ePldEjj150kMiu1xB2s Qd4MZClBQ7s8vPgoamc/jem5DPHI7KGIJ/VslCfXGm6mSzOMnaGfBJKNvempVGYMnrmIyNPVpJl8 rvP+Iq1KYfabGHK5rT7OzdpZDQ6Ta+k/o3hSfpZuKVWvqYSn4EsEwLMhiqbauuBnxUiWa/pswkjY WHoU9hcDZAHfwd9lNvUBi0hsDOxVVghL6oXvcmC50T0p8RadcdkrFNy0M1vIladPWM5t3SpxLOr+ NFYrSkdh94anO9907cieCTvE5Y3QDSArOEK2nDuWuat8t5I5tdYQT5P78xya1drqY5nNlIa3qMt8 Y/FMmSRu7Excthqk0DBMkHGWPvcVEqiDoPjL50DemKHMnHiX07OWl1oI0aEYKTnaNHxdHJMyt7NJ PrVckqMd3yUkI5/OiC7MTCah6FaX9FOq/J47x9YfmdjqarKT7xA4yJzwZ4CoQpJQ362huuLwlYPm QQEKRfKU6NoxiBVMypVuyQo+c1QPVvdU5uPErOfJOiIzLLgnj8ggHAoPxO0XNYf/vxWlINKMqTwA oVQVlx62GvoaYjAm8D+hUov7aAAh7KwrEmrdmaJrGzvVzo4bqHsy+KYB0040E8wS82nt5WrpMv4e LuRVy7J3MULNc83HU8XCZBVicf2dmJUGhhFaP3wMZGjv+vBnNGtCt3aLgJGLv+UldZRVC2dibcRU PA7z8gg8f5ax9DX4GomKohzsC3DkHumEiR4FJFv5arFUbE5BBvib2ug5odJ1BJrJPjI84P0mPku3 3dAeqsWfDH4BKCuwurXx/jtDR6vOpv3emtZRJUnAguYXNlvHdr+xDXxXK2Wa8oxKLT/mRMzSaPvf FAAH96PFskQTh4sPLiOHFeGLZ0CYDz6AyD4AeQqdwMYvTL8fX+4+8TWfQ0Tk3KzF7+GLYbjrr7ZU RCFVZSVAMvqrN+GiNk/19zz+sK3ECVKa/AVWjavDBVfCRHsENyEOqOKYQdmWvrvTPeUskJ/VZXiQ J/9oo1IBwCOzU/Taz6n2gMxBo/YfGAfHKIsRia3Fd4LDvMk8TSdwA7crWMuW+ICWqmCh3lRTy7ys Qi+qwGxKaFzF8Tu8j+gJ1e5XioYMJBVyP0xFLZjYrtSyZj2o8WFU1FgULhwjvRUJqsTKBBIX2FCX mi8rHqHJqX1zMz5VbUStQLgMpNnqcjmcG03twfETuJ4xcQ+2xfZlKRtx6L9OS1x9R6KQsf7c0P6o HfTZHAFfsvghfO66wQKsRHcOPrHpm5D2z3H+icN6zWqvOHDyKPD74UtqSao/jIw+xiRJFWS28hTH 3f6Zf9LxuUbehC46E1xKK8aOxj6lglNi+s1AOn6h5/Nsz8dZ2483Lz+qLP12DbX9t/jhpBEaLzHo GX8WxYlF2WVsJgmjnLMe9RvCO0uu8dmWcYFyku6AB69Bn39Fve/SoydB9gJyQ0GLA6g+bINoqbo1 M3wmGF0V3c1WJdYcx1CpRuIJ0hEMWkeVtmysohOBynjck1u/4vca3CXOhRK3klqYvLpNCpLA2goi tUrJ2X82GjsRgJEgRbOgzlCQedXhkDpBlSz1KgYpqlLWc0zuYyrTvEXO3k1fHs67a7GVTr+yARr2 2I886PCA9SK5E1Fb9lrtN2EpymQ8hi79sKY/OjVzrpjt2Yk9c6a6RD+y2ZynujK2IDjU0aLO5pUc AstqTTL9DLWh2bQHwQL+YC48N2XXJYv5j6fIleV0miAPevHP01iu/L/OcKYgJEXesD6CPcxLXb+p +O3T+wE2Uek8++L6IQoLEkB4YYxyYG+OWDOmrqZMXE/1xDs/7WeeStf5NdxPBH5zW2iuQBPhbU+s NEIXD+UEg9IBKIQyS+9O3eRKeDHGaCGmT2SMCzj+k2oxJ7/0BjAb1jBa9hkzJzqZ5ygEi+CVY8E2 7QsWXrYP07Ens8PHr218vQU/XoYRXY2I+RQ86/WjyBLl4Wdk/yYq0PGbKXkY1FvmduIj3vX3JrQu l2sfEqPAX2TyC9zgwsiIJSvfeA0KZw00TyG1TdG9jpPcWsXiJmF5cx+7OpyG0rwO/+FSxEtPkVTu ffziO52cVQg+Xmh6Hq/sfk8YhC+ZriINVx06TceNsLiLYHUE5bx4Lg0C5nNkNio03q9vQSqkUkg8 R+ZbU5Es9fM7aHI4sTIQ3DuFawSo+KNsFjqw+LjKsad5BRN3Fkr4MG8gm/aPj4Cced/nMLZbfW83 foz96dlGsARgvsPMbrL/a04aC+p5zcXbklx0iKviyZ59xCTRsuFFr27pLEPXFuTKwgE0LNsFTTKw pVLYmGDymWxvb35OL2AYA16KUMvVWFbKsjfCsBLQ8izZrurB2cM3CPwe1/ItQsIzm4UwBoTCseXz 0mZI2bws0cgJuV+Ng+Oxu69oTgqptbsA+a4d3XEn2+f5re+qZPkc3JFVg9XAWi4qiPm2bhkizmt5 B2KrDTAKV3JL4u5lgWf1FXb3J1XzJAZY7OQxIeWEx1A5TAf/J7JnLzYURGkb5GtQML8r5sPZPE2M POSzmgaH8HgLFuqskhf6IcGPabnS8bdwpI/47oUaoo7gCkX0tQ59AxEO6r0HtV5T9oDjUaawmKkz DANMWYPE6xtQwKvMbHmb60G9gJA+NTFwFORxX6n6BdANAqbbwEf96KORO6s8SbBAqSoRk5f3hiD7 rEd01c8PF0h/lcES8hdd3C3r0W91bLeaeON54Wl0OGlYCaPEYY8OSTJJmgOIJhMtkswI3u0rc+Bc D5O8ZtJYuPIljtSEhGEEeLdp+qOIKzOUhwU0zsbFFpdFuatkLrINa+prlXc65gV4MUA3b0rLuhGx Nwj7We9dJqIxZYskXTtPbs8i+13xzYSDBkeRrnXk7tL177suL/ZKUXooEICRD1NDt6S1p7i4r104 0xW/J1zmHoCtDcyINwsQRSRreYGWJQhcFKwDvZIFaIJ7ObQGor54pLcaMtMSlyut3lAWLU1qdyBT iOMggPTabopRDHY4AYCMgslSNlNULj55cIa2LGxWq7glLFbT2uLaeqVKCwjbauw8rGCN3ouBi2kP pxp2Jy6VCxKRwYvF/4vdmdjUM9wq6o03UavdwclH8qY1TAmGre1MJw8Jfl2gHaxHSCJ/smaOpAnt /7M6RIcDa4iBlAPB8CEAwJIQGul1HJoPab3R/X+WPLs36Oy5n8g+/Lw7W4v5fdouQlg31yBFPcqF 07UN4lFEHBmD67zwPp2C7WUbXoKhJBcTct5yZLpniRxsLHXPLps4ZfdVKfcqv5a7Jh5+ME69iTjA ElYlVgw/XUzPO69eHZwv4+zlXLA8dk44HfyrzxzS+tF91GfNXUjNtkUeyEtSYEKU+DQiBQTJ8Hkq J5//4/PsuVR2/5oGNRiTyA1V8+DrccFdzFPsPfp0iGo6r4iwdE8nh+jW3IXnGbp6CziFfLO58GTJ AjG+9HNVni+ZLPTcgRU2DyKMNPZ+4yeG8bG4WTld/lB7mm6S3vKvVWX+mChZNi2pBK1Y/95/yi+r GZh76GN74hZyDairL0NtVDMnnu1s5D4g5nP8ijyOrfFKuOYERSZkcziyr+dgxQ0m/JtGysH++uX3 ivL8co+2Dgu3pjm23mO9L0BBBskRzuItOcEQEBPjFWL91Tdq/DTZ4qDVpb/GV+5Kn02GzF2q5ylP O3f27RSoVu8NVsF7KO4TOO1odOvAEAKG2VHRPYfueseoa511064mH1smqh1mo85JGqfaWqIacqHl HMDWTR0MEIzgYQgEFcZ1UEChIHWpMrLmJCG/5UgIEMmtjDa03yyY0fvLqCwqFxbp14s3YoWnRFV6 pDGhidjVvKpReVRLE+oGrOdI4PjX5aefgr1o/kbj7uXKC91rtIx5zyy8fKEyRQFBI6dGoYzko3pP Eq1RT+epUE+tYBX7fDoqvqfJ7T53Z+kWwOdOSj4D3WoKEn34nd9WA3DRKTuRMaNiHSFvI6o7fe7D FITPhawA1ZJ+SuAhdiPFaDMVoIRRSK9zQAx607vt3wzY6fmC6WvLnBeVcKZcTfSuJix19zgvGwfp nyisXlGGbknX7Q478NlZHQBqcLVG+ukS1EFbJGK3xHFjQkouTFmhoDX5vWVdhUlYAKIOmWFARdBG /s7pdd0B2RY5N3CEI9CbdCfUzPg46TACu17qqZMVYQ5IBQjBt4l9o6RVzH0d0rq5shq2GewwmXFY d/Tv/aZ11srUJRmMBP6MKyLkPOj0yyo4zoykEQYj5lQ/Wsfnsc7BDZ1NSPONnmgV/ZpfiGhNZe4D t28SPAYfr1q5d1/wyvEfnZNvXhlHCUCgdy+XiCg4xKUKxifuMYzE+tmgRBweIhUclN3DRfQydwtd 1ZjKXM/ojAggLbSgYW+IdR/s4PU8ZK0Ct91NYq2vwrT5GE/Qehvg/kpCuPVBwKfcTwi1Ro9tlmLA AUbB+uLF7tx6Mx1IyyakyryzvDD9FZJUI6p8+Ezs2eLhysmvsTPh9OQ0NYvborkGzjYerloVgcgX HLJMhDtiCkdiMH5wVrpJfhRNIqLRIFX5DVoeA8aKiejCvQO18J/EoJQwDX5kndHs/0WYgUt4FP8F xlE3SWcgdYAEKtQ4uVaPlel2xCZErO4q8N3dt4d27SPUktOZpfyut3Vp0I8gdUkMiP/i4sGcbV37 PH5XshgTNQsASXYKO2sGEEnDOWAbAXOhjbtGfTZlirV5CW71eJzHz6K0Sx8ZQLzAgEGgPi/0pwLf hcEQ1G/UHYaHQ58e+nZaFRlqLJswRqGyugdQnRC8TePEgScbOBYieBgc/43c89gRsSyEoKXI/3u5 zYn8vc3YQ7k2wEvhSBD4mkiQxjnxvwFHyQfpd4bQ/wcrE4gnN340iZHmgrTgXgCTZBkQTTWZ8KNK 0mrHDEka4XsG91rzFPMqGz4Vkmv0zApG3cNRsswJLM9P56E4uiCW9/N0F4sIZ2tm+avJACLtMOMc iRyCb8HODvChWOO8qoRBAAo+xwp3M6k5p3y56oIGWhkJGgmYYVZ0b53KChUGiThKoeCUdtv7DjIi h2BtDgtEUgPlFvuOrmGuKUPFXG2oDRHsv5Gv5ZGi+SQUQtmwghkqdyWc4MfgRI8EF9NJrxxmW6UP +TIDLBufujSKmMwuMB3eo1ISkw0mocvl+lC7hltFkd1sJB75n2yo7DUhqXlLBXB40z2OPKRi7dyh 7eB1raoYDpUucBmWld3Wz/J6b3DO0iUZ+UPc8wzydzXY2ei2xQMyTUDaRPQdZ+w40dBrFSRmrmfO hFmoLs3HZwowrW2z1hJiVkCgSd4w4TuZs095FBfvu1Mm/gdayydWXqOehynDFlWW3M0tSBalCWH9 +iZPAS96XndIRl7/7SXMYYG7hdhB44VAI9QRVYxFciZTkZ1IwR/+9D6eM8BiVgHKN0dKDGsivVBQ K4PYy0DIXRSLHy6q+Vs/2QqsShpxadnlkxiq/6yKI/mUhRj5WQOubXdWGMWlst1oZiwX2yyC0yJf TKcUzjxvURxQ9PFbr4Gu/VkqrgqRYamGNNBIjJPL0wOYWe/GOyqLxOu44XqnJh79KIxvP485uXTe Hq+D8M0IeIwOBgXUieDAosHdWnZNioMANkROSbzqxL1kuh3+GzdG/DtS5loIS05lc8lho+ni33Po 8/SlF1E+Ao+GqA7t4fOk7dqdJQInJf9sQzFHM1yObn24dVRu3z8Lm0m05rsuhljo1wJCOP+yuRlE y7oaYuS4eam8m6pFQKrhwKCHU9YlM1nkScFCkCBb1LA2UTuEeIzu4i4ltlX/gT9Y4iZZNj5HCCFR 02wiU2GpkMqFGfdqRDVnibOfKK8vMCkWHzry6zoGQoU1anjdk9arS4T+r5j8Z/HN2Kxa8fIziCIX 14RTakkRIRE+oQLb1NjurORn2RACszaiK17IXa3KR+oDJZRRg1rNLpn92hhcFbUep86ZYWZBHwNq Q57BfIJskCnaD4qRi733dgUjHqvBO9lEgkoHF+sRXap0hy0x3BlXP6eLCZ7+ZX4B2QsDX7iXCxtr VzultQLNTSvo7lvfwoF0lM5IhOKYUvKbIHt4m4XRTGAoAy72VfZ5qprU/iZB4wbfDn2W7C1mqvcN 2W5u+omiv59K3FaxJ3uKKTw1E+YZp270i92vRoi15JmNoxq+sBuO3Rb4/fBUlY58VVoR+fgMDe/A X1JXt2334uYbDXGeDjs5XW1iTq1Ca4l2KG9UAxTuwyFObFRCU0Fxwtg5XV1PwgtdjeY8tEkMtowB 3gQwIrIDrwkfA7yj+asogkL3+x8/VQOLxrQO+9LAC34JOYLG13VG9n9oNf4G/27mC1ykUXaizW4q aauL2tSuiGXIZfXQpfuEYvZZPJfhNasFxAYDGg5ReffKXrQxr6GciKeeui1MsocKVv+kq9wH3/Pt F31DZUp5XVyUpQpby8IJdsM/JSl5dX2JpFC6Wk/WUgnaSM0tvA2OiEz124gFVF4deWxlNH1lRzhn kmebvlI6InPcWy1tKeR2OjsglelSSqwvIKF0Opd1hwTJ4soIou5LpJApN8uLnTfzPhVD9LkQEK+L S7Nvi24uDr7dHbt0tInqpx6A10tOoZdQ3qk82dfPtjd2+d+iaKIb/e/2YqgOENlmSvsVOniJiZTy 2x9kvcSq2VXMAHv9NrIyuu6wVsw9YqDtJzmU1jw55/g6nE7ll/dIbKHvAw7xgdl9LDj06sxYZvCD G/lEfvq7aJP8WPb+jwBNfrzrDuR0/ljcxba2GrbqRz9kVxGWuRA2q+snVwKnkroazupZtsASmI9r pUwC9JtAMO2NSe6wLtNpEwPTb6OPO2jQK58QlBhMHSgYhLRNrua8fAsv2zISEkLreIT5OystOlNT RFbclRKZjEJ3qMqNSqXXHrQ4chOyPYmoTsZSmMvNEYSQtz1zip4MFsFDUwybf9s0DrL8J/OCVbkF wBlOgDjJuidDt24f7U3sxwusjytOWG9GccPJp35zIqXpcsGTASwgQD19zVxA7i2VjPusZUZnCrTx f+kXWH9I8Oo63tbk2yFxcnhoiRdJQroU8qDDUDzMHg/zFXlzL+b0P0wDL7JBD8hbjU5kGc8hyqON UKpSpV9zn2S3X9xpwAr1jZX3GWhGQgpz61A9KsjTI14q5aDa3MjJxczPY6kPa0eb5znLS44pOS05 BqNe7MFsgD17JBBO9l4ZWrA69itBdIwYpddpxdHQ3pMjuoOH18NaWghFGZymHL0GhjnnXv72qcQm IcmgzxWNF1r9JMrGy7YqkvnDjFiWcG40WCqon6Fk1Ope9p5hi58nfiBy1b8MgUdGrgmbtjyZOXCP zugqYdDSyJwJahQ6i6F4cJioDRKUsnrIXOltXzcr6lEmmCveKC+lrNxIiDvCIrtaBsfnvzR45nSx N+SH0EpPOrRr2Dxmiwun9eY1Goc7TA780Dj++02AlHlIst7GHU5CUWkSXKB7tqOS4StlVdIpayjg xKtfblg0DojIwi3OxesSEhewQgOSruxH9H/aMp4CUVlTnFHv19/LYLXBNpzV6Dqq0XmKzUekmPsA siovYzZGQX1xQJ7ifr7cTQjeURr4m4+Vj7XH6G/SkTNEOwBVFit6Dx7kjVbey+3TsPc+t+cyEsQX 0yRGD7NIzRheeShw5cFtd+etuWIxc1QhBgUkQ/POMWa8C9qRjUjMrLX8Yw7ghcO/y8XHGQWFXp0L mBsBHn9GiPkuZY91nDd3tb9tQiyyMO+VLhv2pJ/3pZhME8EASHUkQi1O6CenfAO3tkb8F4sYlyA4 sdB+f2z49AQb4M+1aEPtwsTx+HPJb693gKXbaAShvJK8lh/kKbieo7ViRl7Gt9WCqfYUd3HSptdy S04CC7s4Pvw3cThQz71UbS1csHQcK5fgUVo30Bshm88+v7X5y5DeUIYYA/rE2HOs7xKP+NvmBPFK rET9ffDon2zPM15mSIi85Jia9YOyq8zxPtM3Bp+k1blbYkEKxJwjgNL/wmjMlnTxyAdZNohPeBZY WEA7dne8EDZKrJFtmnisU0UhAAj7vD4yzAW3IEi7Kyt7wO6m7lx1wQypub1uA8iqwJQDpt2pstWH rsprtqyERlxr1vB90d+61R3o6V9Xa7dbGLAoWT5QgUWjnEr6kbJlOUh7H+7wyBoRRgAcIdkU8cI2 8mKF0xpUc09hg2dnoBAbvSqK8mXca3Rg80+C2GpN3Ukg9h5iz79wN+wQRfNdmx4RZ7VMlcMbNRPB qahZLbNMEVNp1rnI0rp0zzJ89wdz3t0Bu0YnPQO7niIyuuRc+l5zqDip84xgV1TRQxOU7EHq9sfZ fuDKSMz+mZpYZmRrW2EJqAOy+vs19Ngf+oTyIUF5LSHp5L1xSURjp1iYHVgIgFXjjk/xSg9HLxku mF5CDlrBJc22xFVpJxwoMjLKHUOks0ZblGB9lp9FAhXGbjRGaiHpmEB+7TC0TjweJTE00xJXDihW mapFCqZ0xm4UAb6VPO2uBMKujM6f3npVpRAqVoN4JaC+o+m0wIvwXTZIoNbPVkyHEPEKwvuAGSm7 ren4basxcWmI0G9UscJs80VwlFTEvDay6SX1xSM9oUAzEx/iFWjIKbmDWiDW2RTjXI2kC8SmJZQt uZ99QEULTaqTy3nzcc4LKoNmg7YRBwm84hlINWaw351UsjMzvfaRmhmpW23TEPx3e7LiI9hSHKO5 l7EB3HHlEeTH7D9TOvt+RXpMw68jfzneecPbgw2cmElVqTc/OwDOaOMY/3cstdwtezfhwmI0ryyc FzUb4eYXt4wFEKzvB2lA/W+FPbi0cW4WxrJsFaoWRBux71lje+Qvc22o3pWfBdlaKIBUMejT11wo 9pM5f0EvibjEmhwVJp50HgNbXNXyN+zzzgyJmkeAUX9rl0snGY9tdXAsue/uBj9xTZRkkZ4Lbk7d JNJlUANpaatVenSV4zzXWFmgzTYKPKYV/9F4fFbuQuExFlnfSCXi3Wacp3lhPxpeAAAoKth2jFhu rmqXPYojXpYHx9KtjwSK/VzZFUl1w3PfffdZnWQ9vr3JCvmeNMk3IlFE1h2QbuF+OQLCuCtohVXw 1Pd18bEpihth3bwWFxKXmQQzXMQ3+sKzPzpM6O51o1hooEuQpKhyNdRv8FPOAeLmikzNvEIrvpWH 255CEigTuPo+CDxeOPfdg0kWetdRv3BdcCX1AzuDzHDP3H0SKw33I7GlLmeOsZK7iL9Xl9U664le 2bSsrUC9ynj6tOSmo61NLek+ZffKFO3Grd1edGpvm6bBkwnDNcivDU6iCupObRwvMVZ7naBBfgD6 pGAcIfIBOcmKYqHV/OCfGEvU5C+lJ7A0ElIzEIsBXqdvpiauZm2hb+XczoU/zP1DGjccIRRc+DcP NFbQvuhe0thKPeZIo3SBIqje8Kd6v3DDpIp5JW3YUe6oW6s/oKCdB/kMXQnvU+fJFW9Su/RmhMOe GDcu4NdnEXyAfLDIPWp54lXxWAxbE2TYg7dG6lbilGTiJFYcVgUHBEFqKHohHVzT5gOIr7yE1cmv uEWSohmD8kz4/iOROmE1qNU3jHqJOaEcHfJxaOK2OG+Du8yxhhwv4i8heYxhULFrnsrPTuhDRWCx gnSgAXTMrjSAwie3UAm6le5q1vi/+DcomdqQmwaiKAfs6afy8Qosp1Iov1vuTr4j28wHDe18GB69 pz6kCuMkaYpDG7GBTTg23szXOOIkMZVgT4FLu9Fuh2sx08ncW+xTaCZJivr4yHp/ZegxVMWpWOWZ MB38Wtcrhe3hr6dRIQuPUZcaYx4fQ+cmKdH1id9GhQykUmzlXOqgbdT+sOGdQJyUu7xrLYuM0eRt gnVpFUNEY3V0vyJirCdeZQcEvkafsIgI+sZtxgF1GViRJfWu/yNMg+3fRVxADGCXDhP+ylGKjkkf veP8Mciv6PKb1fKq3UO3ylFDvk84HuvZvUB53kKlDOX7XQn+M8QOlhAoM3jUB5Q7sdeTGKXdC3+4 yoZ0UfdoBKg6Rmiy3fP7KoEfYJ4wgsP8JmsrT/D6Tdwid3sveks15oXEWHmB/HRzEboi0klG1tdK 8+zdp69Z1DMt0va8eH3BOG6BzGL/2TCTmM+xIg6xSU8FjGfK+hOCcwfk4Sb4orEKr/63Ka/WvdPB FlntpQs24tWC8mcYBXdpx/0vDINOHItUd0FxeQ4i9H4mvrki8l1tRz8Drx5ymu7RbLNAoYPQvyje dWimKhIXAtEh2BrJKAYnH49I6rDxbrYcXQ0GgOk1Lt1A1923Zdx1q9iDeU3IRM8s8eCH+Ai3JVXW diCPrsKKFDcpEU1D2E2MVuEVBssRon4yuK1oIhK2oxD9V0nyddIVyaVWEyx9lyTvR2FFDPBc6dC2 0Alqhx5zBl7hOLpmFnyF9fGksJj1rJyabkdV1Gz3XKtVgnik4QQT9XAXZCJ3ULUv38krUABgLf+u mGnzgNnSlzP27K/Nqxu/P0Zt7AzIvcxcOhuZc6FFABhE56bqVEJaEgZsdnCGej5z7DJ/qp1xAAVm X8OrJybFaFcHATw/Pzo9sPqZsNJdgN8lhhtG4bHTQ6UCnBQ2K4GFKWZQ35wrH6i5LGapfRRe/E2I 5quf4lv3zVS8GTfm97nmrFtk+ZveiSoRPeqXSluYrweOLXG7j/wNsIipSYKPq0DalMR0PLIxY1hQ F3O6PMU1yl1ul1zZSgqxZolFBy1xT6eLIIjJ2OVunhMdIJLYB/mg8wHVoBHFa+PrSPi0YA/pUR4+ pqyGLCYi06V5qvlgKfMW5q2YkzD5H6dYDdMQzaLbNNjyUI2ttYz+/rsx4VJr0Z84gPuygQWBHY/u HVr97LFA43cI+EOGvuKZXfi/xTlWgSVIrNE1psOr22nhhhK7vD/cdVc+PlmuXb3J3nPz575BstHo BJyDCf2EfQL+prxmiqZ5hqw4fOG1uPsEWtKMVKFDsghL7Fu2EC2kvaWWcFFOCcz0cfPKpuGPCUQf /JO/q0U2mJmADeF1tIE+fd1KNtl6uk8MjP4qR11ysxkwnhdVgV3neoOPPGiu1P1EfNfHGoIANd+j nqQwKSvko5TMKwIQfJeO2nigOAv5Dc7/TfRkM7TcQ73pnH1aJn1hf4xiHT4kXroW1OH979KytMzF OgIdpstERqYrwGF+By+yx2K2nij9+D0pP7G0xFnUeI3jjhKBi8/fNrWmrYzxkWhuAbxKI0gYzPLw VacTjFTO+Y7cLf6zXWx5bBQ0UqE3IUZcUIWbd5gvE8Qx8EtF4bG80K8aZ2SonFCW0JT10wPTmazQ xGY7HbBC7lS9vH0MdokfgyPb0RJxlUX+2yuALfDbYXgsMzSE35i0xAEUvhS6TJRRgnTP7FYIHNby oGsZaGfqNCOuUo2VUD3Aw8N6BaRk8vucGsV/51EikMHll2IbW6CO3XMQoirYJahtCKdbhcZeLSbj 63ERSku/n9Gxm2/67kvf10DWIyvU9hOELqYhWaaecZhaaQOVEpmFqLLrVK7zujtCEKu+O0vsFAxt ONpmS2iMDylKgCeSjG9l4Qa7EYjaTe8srLfwN56IJxJ17Wc7JY4peSsD9Hm9FWatd23hx1i8Piig ld+O5ENHeA7jJ62mPXK7AqPzJtCKaTirNl4OZoPxyR+DvvBAPnq6H1xMMI9Ojl8kqGwUvJX6ow1G Q7/QTeWXllGVztKoJ+3Uj2qm2PP5cWG8MA3amJ6ZCOTeks/MHHjjDNjLB8a1TssrUk8C7aGDlArq wLe+Mz8WmnqfvIITIzjwNw8pZxaEi8p79sKNgiQkmMtfNAdopGZ8FkJxfMZC5X18zKSgl/wAJ6OI h9ltEGlMQU7ltlQoyHR3bGGXFhPSbBN9kdfmmxCJoYv1eHEk34CNxYhye0lIKYIPx35pkJPMM0p6 etA5SMoogAG2bDsgUBlan0clk00diTT/Qio3Ya1o95ZJ9CiyGHTbK8KtD988lJ59e1XkU87hQrqf 7zYuIEr1fwUrobPzByQEYdyoAOxjcVhTsD69qz762XLxYxyUOfJqsEZtCYiuc/k0O4iGiXNT6oRm eCgLD2K8Gvk5wQCETOeelErsnYzvrlNOcXV2GBXYkreIxQmURo0IOdQ0gZ5TmiI06b04ybNog9x4 3oQX0Y1Y7ivEYq0kRY2YQ0dBAThjHAEWuwdp/Ql7jXD58xow2V9maTb0rTnDR0wF9XcO26TxJlvH wvopcQvVSj+mOI4b+Coe46Pu9vCTM5i6f3PSRXNeg1DFg2fPf11sBW2sk/giPuOZ3tzm+uiW+RJl VkRGCXjqBL/6t/nLOkvFBS1W/uc9D00NCOsVlLJ5rot531+Ir2WgYna3D3o2r2Zbazt2XsCg+PoI 2CAW/vbVr3IaQhIwx8n5nSzPLJl0IRWD1OkLzAoowivCmYsioJ0hOWYgqf6jpoPQyuePeT0gaUrD YnjXGnV8e96sNEzoN9mjcsXjEPi/KyzV6tTeb0ZyB2D5dk/h5cjV8zzlonGrRdE0zw/RL78OCrQR r0fZ7w3dANzAHYAEBvrW8FY+z9r/lH6AiZX/tKjYW2EeQ8mUJrRBOEaWhyOOqTgHyTJqxhrG/IDm VNcOUWCTlNJ0tKkblLNmSpHlaClW+C3UQOF8QsTibdiN/Dev0n+nnyMBAC77rqG3X8TFHpZ38k9d Bmd/IK6EqxeLvs5S7QB7X0Nws0MKeHJbMdN4XuiJWEGWw2xWqjrm+GZlCFvys1vBWqYLJZk/Qb0J 15+MJsB4Zh+oOMY4SqbOo/dKc3yyDq+tWCysRcyZclQTgirScegV8eQUb7DPVEMzU+vjHmXqXy43 WkIz4WbIlZ8icICTqOwj5zyv0Badn5LKEeA5rfouWELsvtC1hHMLpPskfDTEzv40+s+FBV9klQE+ OOLmRfQdVRIy3OKiJTCz0+S6mQCoulsf5UYThGNqm++Wat14e7CnsnDuPvnGaM0J/x5EtCvXHIu6 HnF/wD188l8/r6unGkXlOp1MzcqioCuePBCnpArdw7KqM3349BYFs/K0wWulMAorC2yapvWSLhxc ohggSeOKQrhvGrV+nt/4bJS20xx2djH4H1+JvXzLr14AIGoXbRR/9KG3XZCBVXauMh6EwZISt3nv ozEm1W1on2VgxQ12RlHVIawjwxbjqu4bphfgP/fkQtqeFQaClJZwNIuWZksSsPD7wHH23OI8bFXF NVOApR/y7vxnynoE0l6D9mLpljnJuqG+Z/G7Je0SQ7ua/oLgS3x90wJlllFIsqW+O9LOZb+MMPE/ yStM+v6lbVJjEK0E5Krvj/6tZrrJ1z+5LRJ/RpfO2u4+/J4w0lphMrJWQpBeiMwVY24lSmJsf4ab XWyVHsSpyDrOPz8pq7LBB6lDENDcGWBgnX6SsEcsFKfYkMITL2bC7r+xJI4n89NMXInK3PFN0PmB iLgR/JfzjLEGcElsneo14XiTUk+30al8DusGw431KBlZ14fVtOBofeUl7wok0rmnTF0Jwj1GZgTS SUCxvGJNdjMfsqOpnoV13OySIFdq3L/Q+HWIU3CAknxQWHIYckuFCZ8AZlj+Owaiu3RWR2LXZi8C iog3+AG5nHurEYVjqvlvcAcWB259TJDfqZxFTho8wCQ+Xi7FNVozDYj8e/l1whFw9utVa4YxRbsZ dPpkaoEEwH1yWB0XZFucLZDGYS24vtKpp3dBuYKDGmQ3nHSgYLzGLovWhnvaRB/ZjdtWi2VzoDmE iKerw4nPYz8SVTOs4GCOhQEOYiRAwdtmN5rMEA9nsa9RXC5kkZsHXCI5By5wivrqxdPUgiT0mi/k yIuoHpfBKjPGk0WRTOXwHv/nefv1UyNDaj2SskTLCT9OZq3ydxAKAi99v93eHuuM21z+sZfopaFj WFBzmY9/BoPVt76/gA/L2uzBSyalVvoAkJhp982mFiOnnnN8l2IUoK4HZLNPjEfrQ4X5Uc5C3eEQ tKemhJ1nNGuVdISzyPDTlV6CyQnCpQmrXX98ALyBElLP2h0xSmem3IvpBXwh3Ng6POCTjm+P3s3r 4kjDeiuCOZmPT9CqIoepjsYUSlBRWrBhmaupvQ05qptxj02YnspZ+gG3vYfgc3ZaivJxJKyOhmEs rsnfJTtRwT8F4jpTKqeCtYuJCXacY/S97cOIgTn1oUZ4cuc3o1tLvdP60d2IJcwWhNQab9SqRTJ+ AvSOWIDmJLESeiDZzLlM7PJIi5xlN1wwknx38B72LgndG48BKtn96H5B/ylk3e/DE2DV8pRMH5oH jbn42nShFqM4Ueen/+xdH88BC6CaBKVZJ4WKzrYjYVfDNV6odnQqdcar4ftEdZDpRMe6O1JXWFGd +EUf+GVbXYQ5hVQOOs4c5ONe7Si8ULs8R4j2LEBZaFTjYWldHqqAPMmptWzkhAlcSLmsn0ve6y8f sBR8h2Rs0bP49i9SyWOgAaru7+A90uFUg3SfmY182BW8Y3hWIZsgdJnNBaBv65Uynett6k9ZIJ78 jl3mfnREy2ZIh4X2/+TXwPCFmnyB7iV2ba8YnDdnuf7FlEn69C1lNohsMzIMCs1J6M6w9iUp83u2 jfRLkYSP9vzK3GXRZ5/9H+SzkcQKdbIZcBSw4IBulxz0r/htvXdweqW14jr51Vy/OGUYMb8yXsJ+ glXLMEOabl8rzKm9ww9o9ElrD7BkXxkEHLCnFaRaPcPqSPhvWyqGbavv+pvKXvHZwPMKsdcYoNR4 BYdS/tr/79UVApJpSKx2thvMJIfq8tbxl5vZq4eCGHQw/gXZV1PClbbKsIycUhD4cAYN9c7vyBji uN307YSpkYtQtFzx/lluYtzYwkgfJfyCzBKkxukQdCb3xHNA4DFl6QJjQUw7DXfc73Pt8Ij7uWW6 smt//OS+2hpZGQwNCpl0HU3jW+/0K9EqPTozn/kOX6smly8vtmTHay8zLq8CLqQtac3ipGjUk9H/ vfvL1ldqIFG5GpJ2zqxxRpvKzX45M6w+Us/w5UAg/CMW9DAZ6GxoxXcFKTqsRH85QogDbdTXhffa U09UAdJupYPqH/vKXkOead1BWSiak1P2wUu4u+Nhj1D1CXmZLWdcw9veN+pJx2IoNCYCQ5+Pxi5Y jC9eIJG97ZNBtqkQNHVHUG43Sdq9L+if8nAnacDEcIi2JgnKub7swRq/dddkXVup6tuWjzlY7zkw 3uA6T8Q4kbXBI/ED+yW1HsionXwOO/7Yw/U3t6SSu0ty6wg7zpg3WaYhUfwhrM4PKMcnW1Bydb3j muSliDUjpqr2TLfZbI6Ax9KjynYjJpUnwGDo9yK41YKonUngGXqUoufQJyRchsOqZAUBbRec+bbc OzcD/94krGS5aSW7j0zavbbCbrGqp9gpi39/dJLqwv7mAGql9OoRB+uAU0TNUb5Hxmpqj4tIkr5Y yxLbB7ybwXxNCYS5m6HVsoNm5KZHTORHCzjH89UKb2ozPYl1t+QhOVUDhDm7BoD+6CUxldSn+Lab 1RZ2M0oORAZbeYWZt250aAss6nvIzmEl24WQ4Je0NQI25rkvIBR+EMYCQkIHVVByPF30dLfiUjgx o8WwzOtG7EjDpMDwi0lU+/9WkSP1PyUytv6Fx0IxnS3DKSEL19cNx0Nk2if4ZPQQaDU08vFsMkor U+4cNFDZggLgacHc+90YCRRAYueW5nHEyqiwgvVS5SrHeMaZju4W7ZTVQ3yi2IMVaCy/9b8w9r33 Ce3a5Ip0BjQMkJebuQ7hZJCEPNUgF/Ei2C8Csm5PAOJrWQPJS/YIxuiN3HODx7DjGLu2IWGGUxeT 6iOiPsmb26UX+LaaF1+DIoHslFH/ECLNZVuVvfa+zbbiUS4tCepJUxgidW+8AUXq+u9eg7H5zJN0 XovSLuwjMTet8o5vvlZ9RUD6LDmbB/6AATgUBDH5gI1avNBXgKdVTs+UV+VcZcR6VZS5Zar4Ggqb MpVjNzO+zCMh4UPIntVjJTl8Gm1cohRfgEH3Xo+rPaAkZ23voiKtp26b4qJDaEJ2W36KD3j0/nQG 4GgQqmdXcdz4Sx1Pi78K8VymeNHZprGn/KiRRW7IYYF4NA19g9I+k+zHr1OUpOToQpdRKTApP5xz L63Q4UzyKG6avy0x2WnwdzcuHWTrdN7rhUm5gw4h6vMuuwOY4w0sXD5uvm2RU7VlaAR/4yF/g8Yv YCT6QFW7kdAsd8skRVghSNjorna33e1ZTMsZV2G3qqYupgj1GQ+HjaqY1UZd8++ZhWK8Hx1wk4Zi wkf4VmwnhNm/dDOAEI4SqfdlvONllVbbx37/d2hfCQLF792ghjgcRkcSyk8FMFM0523NqkwySYtz OL118GJb9N4by5xch3Gy9UNcg8mXni03m5rEkSU7DkOsogQgAiHJiah3FgrHSZUOn5212ag891mV zTrVhZgvKYGVdXrAi7+DB9NzJ5ii6HmT48yQgk9fLnZddI2NZH/XwxY4AJySZK/nRGlkC57gmuNo JZ9gN7qH6O/SqG/lllNPVWJphbu1tzMQGz8e/AH5nvGE6z1AUbVyDj2PSZk+jItTv/BIEaIlGAFs 5rgcvCAVyruA5xpCZ7Qf5Lj1pZcYR6yh1Yxtgt0Zum1DBD1UTVLMsUYkDoWn19D8EkZYHrELWCPL tcjs5ux/Vhj8ix5dqcc3WZVpjFzi2OMrMoAFV7b6lXanR9jq/+WRzH3RL/yN/jjeMHv55MqFcY9E czEwgRJo00Te/prEvEuDsw9NsBQMp7J6c5SD7B1Ios/2081CiWJCiDdDC2rGaWP83WCiy//zzG8j Tec1N/oNtA+XoSSyKeCK/HmxhIdmTLir88+P6t7s73guFG7BSxhlTLuBdbKwmxSVAd0rbOzXMQ1J SZ1J8HYqHjc1wG96V2RfY+fD2ytgMul1tNX9VT2o4/MWixoij/e2QaCSnykpHInTqIsJFEx7Pk5X 0N+tjlTukAhGWXqnOu1R9qBxuDFDM1jRyxH8Mkh7EYNC5srnlySmu2AXdkAUeC3Hwr4lITF2r30f l3lSKSAYeYrPYLtII9WGGQvTNvXvfhy3zMnVIrHLSZl7Y7xtDenffrXn48AdjsBMAacU2hFNJ+Gu Pe2ihn5RSw71TRKngfqGjYnE/6sUd0X1GqX0/wkSiwuhtrrz5fr2ENhPoPzoYp/08FwScAXT2VG7 3tMkA/4c/HvhZazpy5Em2axUP2n0t1kStTMiMz5wCQf87/9B1YELdhuNH15IR23+S7rFinQgmGGE BLDSAX8Wqm1gWZkzYMJMD25Ou46FmbmYdkLIG4DwvR8QWHebCGkowOcTBl0BG7IMaPXcuJhK1/nB wNnvKoV04XorY49kzIdgTQcKHzkP0uE1vbHigdyab5rf01DrecVoFfDZ8N4aNwRJervj1gw36yKu 9VioIpGda/Ir4aTkp0Zs6nv5gp9OwSGfMxHZnBqgc7xFyR2ngkyz2OW6Ra+dkxXEqy9uELIQN2Yn HxfwJJuWyqhPApetK9XV/SnVInp9i2EmjOGmNxOxoKeGcgbwCndmQ4EJuSYnHxFfsFYfCPs5aYjy jyT05bXEIAyz0dzjJpNtq+cptPmuQwKvznuMuAJ7F5U9tRWiPODr1PYia3jNdQFphCGXqhcpSp6g oSgNyjPMTBAGAFOxq+JZbii5jvMa3CwKF+8VNEIsT30XKCOWSmC5Rtv00UJQFTDdd1qwt80NhLge lMImzKm5hcqfXyhZn4PvWtJtTZA7lJWr+nT1KunfDbzJJag8fqsmozIJSPgNskstyCar0+Jk3+LA vVyZh9+Ba/aKwakzRv1/t7d60azIBhp/4mGb8wy/Wsmnet94d4RRW7/CljhklaoesV704AIfHnhS I4xAh/yipxFV6d7q+9lgL96AVBCecNoHC3/yqwGgiWFXpZmiBiJ/k2+TTmWssQ8NzjDG+gFkfFnc l489UHCzoTDEfDOq9/u/lavxSmq5Ln97RElDwZ8ISA+131AdjhleiSIbnzElPa8s9xfXna8lvywl b8zMkCBmjWlDT8dVcRL7JPTBkXtUSPGyZ55uwzAknaRVAbtLIkhoGuMwwiXFs3DykEYhe3J0SrXH FeY4kVwE7xY8cgitR9rGlofnRLV4/cfGf6jF5N9WKw+pvnEiZ5aTDXkvpi6lIe/Wyv5kB2riTeOi Ac/3zeAB2P7enxMKY4l2SNCftw/4k7zUFD4m+bpxfryUCW7a9VaF+1xf0QzExnPh8bgdHyn3h0Il Ds5G3wXjBYMsEu6JUuE/0d05P9jmC26agPor6l/pVCgBUcpiQHm8Z/xE+5eZx2uUYiuHlNW5kag1 fWfmauZO72yI17iy45BdR++gfgl8IrG9B3OTilSSrrL0ccAVocX06uhSQ0Uf89NN9asdiR9SzFW/ E+ImPPuI7uqvXECCsPte2x4tfP1RARW6lHX1Lv9hmOJ2BlcCK+976UKa469LoFo4go6LYQ7/0eAY 3wdsXeWKjbKTG5E8dwZ/r5xMUFdSG9ECGvxNsxjvJ4HxlN5WDou8l7y4SFKtpnUVcPMtgTZcA0gL CfVyqNPUn4pOnOAS0HVMTAp5vc4aCF78wE1bYTuL7CbHQe5sIbj6TMOBv5EbQ0hrFhXnw1xdMZ6Y PxDhRLO86rDmZmMIqfeIHUyWtylijxSkv5qkkT2hrGeAD/7H2CEz6fKTv41YyKAfemXhwXLkRy9c DYm00QW3EXV+0C29BOkjtAk/lkVsYwPyKx6bnb2kbX39G3lo2kvO/h4XK2br2qSmU34i+sfmiX+y gHFhI53ek2grO3e15yzosNL0wDUDbkgQevlN4wDbEWIJyUGZb7Glzh+Hus6neXzTexOQo5xZiSLk 0bljVmdC4q6yyo63lpHRDaXkEct1SUy7tRToHYsr0lA29tcAOxDCtN4SgH4eSrjB4u6E0kYKT3id tWqQitthhGRi9JWublWT8+GiNRpSzXNmPyD1qp+b70Wx4wzPUowB23zm5McenQBXBfzV0qnjjrrh XoV15bFSIfMTx3rdeWHlCRXzPo9V9Beo5Oy3Iu2mFfCO5Z2VXr4ZKhwR9MHUghF1DObFUt3sC4BW 1a2YacGKjhbFx5CiQOqVMy2EAoS61gFlIj0qoQm4/Z4S7TmMhYi5Mff2GgjcInyGn/GE7e+tvqff 2/hxzxHR1akH37j7tD6W8r70VSCukwQU+WeCGz0ctY7WRxRupmN1C8aapddTJXokuy2h76qyEy0+ PoHiaSJ0+aFRpLM1rqcJlaxWfWh/DjOJpd9kP1qn5DhUXm+QOGOD+My9APHeX7jG0lfYk7Rp2KHr z0ULblSe8ZP5g8awXUUEBth7t6x1sqQA4UVjwgX0mPbkjIZ5vqq89EEtbkf6I1odmRZP9tS8ePaV 9JJo8H4skLt19bKl9w5b989t0FtXqteLsOFmUHOUhsxywwoBr1GB+lr86oZjBIGtwzk8k8H+bfTU IbyRvg1aNw0tdkXsEw3nOdODgRLWfRqSJLo9qTeenRAFCG9tC4RnuZyUuNOdmYxYIJ7eVuZyryoy eKgk9dDs7flK616GTYmF4bdshnyjQGkR100DpFJtG4ixHyoA8mDtQ9fdsQShw64gwrxaonl6Bn0i nI6CcrVVwgtfXpM4KbyFmrqMfWj780zjqW2/pSCAMs/A8BhlKN6arfEiGtwWmc/ZJzWKa7iOoJqg 6lK4ZmNJCkGL/kJGMWTgpWCqEMTvoh6SsUe7wufK+MDjPSl0rftG6RacogFWFmJbif4K1YWyJazB WjfpJMdUifDV0E1OuHA1jgOGOxy8HOFaZrrgZuJuGwHKPeG4vtH2OQQLw+aIQsq7OLxDvCpDzGEi ljFD/N4/JRhw0p2pCy2nJJKv4D417QL6Iin2cnHb8FfxDZKr9BtQW9xez+Z1y7Dmvltw/HQnCNnc 12Gc0koy2JwH770lOPuOR0Q4INJIBqO7Y1tSxhqFEsamXEjWjOXaCGLlBVTQ3eBrlRKtycYes3Nk ey1eDY2Wk82G5qS496+nurt9t3zwAk3opvLel0J72jglqZetCWZRMXKDhfgH93ayt5CtNzyhCJLd ASpMFIxCt+GL/7fXu6O4grfss4q69PrC6GuNq5Y+WlsVVQz3VYFgaiLbpGtOoX1t7qMor03JQ37N H0Uh3tz4pKf6rsR7kusUvynn0nuJY+P/SxnXUQ3pLga8qQYJlJr8PAalEUdYHjidyu1zzEVAopBC qp+hQzYKZ8YPsRcJYBp4xNZVlND9SBgzRGewqpcACPeqKXg9PUQohXjt7C5FzQBIqcLu9B/mzX1M k2lWqnMvZ5sJiGrfozi1HaCLnbZPk4l5TbhBQ3jFTjRe9s7KwbuuW7T28O9+3ab+RGHsQg/umLqy VyWWjmfCxMmQgi3n+/+kmyrAI/CB2i/p+WrsrJi7rx/ELHGbdUN9gwKwHu9RcRrtZzE5eGToFgky 0SMdIjbAGSHyb8XKBznLEOfVae5A2m7PgmLKkU68EqfeH4hfehnDea56XuYbRvbpWTY6WukGojgT R9M9EEko0HYuHXqwFfdT9aNTkM0YGDCBZtnC/nJVhacVbJpxzEgt0HX9IDAHvs0nulYsVQe3xjYd FkzXukOybAKHKuZ2AHvrQ0zqcqWgG0IVis97Ml3y2makpaN3zdoMTXTIBDOWvoHK24KDZfq4iCb1 HnH8oj/L1zNArfNHBlfu6YrUdythq0dFhq1dcSoZMSxAAWgRZ3vAUe38H2yNrPNPXEiu/9AtjwJt JBivFz+DkTZLzyfIJfjFo/s+69v80KHnSYkQwjOeRquQG4ubYTnsxup3aUX0Pu+clyz0JCmsprdj JpcixPWfcjXYNMLnZ6aY4EuXYuX3e9B7fI47PxqTuKfqtouLUHaaO7t6ggT1eHJ2e9CpTyFvKdTX w1o9kisIv6obOR6kBXk2a1vPiVgKHHvLQhmsttc1HxV9dAQdfm4CJRt7EBMiXDnw1QAcnj/H/wz8 7Jm0xGofu2SoXjmNbqtcE8nX8aYRXSgJ0eJ0hA7Ed0n3fRPR5/RYtAhVIpsHNMh4qEwZlQ3zWLGE vVphkK2+8QG8iKkYMd1BhfRrAR0ZCpcmxHc1IiUMV1Luqwx81d9Tt+yx5T2pi+I+iQTknkA2x2q2 am5/kCYBp6ECy5MpjWTT+1twFWATai72OU3awQTogdXg3BBGtMrbYI5tGkfxKE2kjV8u1FnSWgCf F+Sad6PK7YXEN0hlVswOgmVjOB8lQfkEg6gagFxE3zIJbQjI6iDodEE4rzRg42z840P6A6AR3ZHy gTxU+nr8L8z6cURemPWeNHE4wqpIMYactojrFtETOkPkIXrsqt6lAS0QMYM4gB9m2wGvHvh7dUmn Mv2KnpxUekK+jANHXb5aA+jZqzrnCngXgXB9E1bzjQwbt/7y+0vOc2x7Qqp6FCYHmq3Ved+LMmvX WIaaTtVGLE/PzsSRdZN1bNm6d4/8pzYpKBzR27hATDvn/y61wLEH08OTRQStXSS6huwhYAN6HUtI StmVcHczGUfNddDF0IkVf170UJ9zrHSGxWypOZu+2aoHRtfB/XcFLXeTzSwA9Hh5mYhSYMqardDG UaGkUk08+dgq92x5iLJhFMpdAKwVzHJCAWLjeAH9H2rleGWHhRPkAjGsjzbYFPdWQLSK4TuBzIIp MpnvOIe+KLX4n9IOjIBGqeoCXVAthbjPEfNJ+evHH2PemWSaf0LuNjUWl6s3uxNWaN8iTj6SRwi/ bwrBuotXYdaV/w/bRzxfgapEq/MXjOM2kUcwU8Ajd2gm2EcvkS2G0Z0PktHoi0/fTURwFXQvuit3 ftByWqdiaTiphmoe228C3UhjCp0KUtZsj00PurPrR6CnA2hk8BxLIcB4BHYZM0sepPn5jpq72kzC ka5K4I4T29E7MOd85N+QFuN/gqUbNDJwk8ftrbxaP5mU61uNbz7aAdilpUafwF3dyLGuyKupHZTS 71Q9a2sviFsI7UgMQEyre0YYulAjLbuCn7h1l/XGXQIXnfksYL3elg9gPTNiWhfG5rZU5bPZUkG/ M7jtm9WJEwABLPut9OBKIqncBtSDqrxYizkkbHQd5a9vNg4nXRPsRNlTqj1oL2LiKEKIDpzlGjPa 4wdV+0a3CuLamkQ/FDfdfbreVhx6stYRcLhLI/JD4VD5SFbhwVZVGHrWgaxZUgYLfjABY1KHMoaH VrJnjGoBaydkgHYz9FxShYjRhOnY55oFXYWfVKU7aMgRS+E9KPIfD96oMxEwKx6pEnsC2IwT2Kfz v/+wMT5cgSEY/oDxQZaLRihNcJ6pRR+BZor4vUkKdqyV++napfQ70cHM+aHkNQFjRcQkkNSp12ki FEq9K6308MP4pkgqiO2qR6+/121DiChCP38BygMUqwILFeqTYcd3Ji/9T1pzQwAUbarCzjAhl1AF CPs/eUvktePWdSi8YYSU5RCaG7PdiU0o/5bI1BPC58zGRVs8unX9WKygPhEIfjuF/RGZY28J9Iiu MVFAY0kEh1gw88gMdU3kvCBZyOoKG02ShXv/FXHAv9H1EFbSx+cISHvoqxuAbxMezv7mpp22l5SR bEmUNdY/MY3S6o8PjDEWm7JJqe8hWF9QkS5kOQ12pMgXFfaJLqiVGiNld/Z8+r24OXh8uBBdcPx+ Xmvdf88ud9R8zbc/osCdXSCX4b7bh4FTL80I1HlfjDNV2DmQ/wxtF+/aVhYkgmq2QuUMzmEKlwn+ r+dCVcXOC6Q1A7Ia77sQibDXwFt74VQOD2O8G9wVQczoBnn925h9oBUZaKyed0QZ0FiWBHMjcljH l4afN3NSeFnNeBUytSTGeOVXsoHLSGP79/8EXxl0EmgYsbQQKf38mapg+XT1niAfKWAUzPXRNqt+ VZNuy21l8vlKCHEEJ7WUj95XbSKxwg9j3NxunUnBYZX+Zpk8Xb2XcWOlDJd6BfcEkIxrmspi860D E3cR2m+TNwt2Sq3OPjLAct4wntk705gmX3gPjm4HFQJsA9KpcViiI+9RZeUewuNRnl+FPPeOZVf5 GgUIYTGw7ZHWdeITZOTMwmSeCNqi6xaozhqpNGmxB8+QLt2kCE/W4yofacRs7ukcjypEYmnW99fp 1j0pxrmgXQaSFkIx4v+4loS+znI+6iPru546kK3us8oB9qdwx9Ifl1qAF1DUZzB3J0hOmaxgLFGJ PgAZ01i34nGRmNK1IJnAwzRqUBpBqwnceUGAMyWLkRj6qDY5niKhZobLz5fQvBwRUN//Xb2vsJ21 ev7s1X+AM0lv7BWhKmrkMeY4hJQIjviiNE/GJWx3baMEKQ4bBwmSDPRyOyATmSyV6Pkxx/YNjckh 1h0TnKptK8hmnpGwQZvxYH3sSsb4xrGX7zYmQu9o9sDI6EAM0NrO0n3007Wl0mhlerCStoOFKauM H+NEN0Lk5sWGgHIGM/FYY2aZHk1wOVHECd/uGIqAgIUF1gFwld8nTBcWOvmljJ183YjHxqLJST3b LgQZ9B3zlmkrUd0Xy/VKo2KNcpjqT96HNQQqV7jYxM3ARnbgnLwc84uM+WoeZ68wl0rNhKgkagZR da4vtCFjoj/3PBLSVnN60MHvH6gJReMI5PrWZR55z1DI2zy2lYEXX6CHsWBmjiwt4W+qzsxiEBCs dVlC42kSCWAfGvz26qOwsPiDc0CuulpYqKNK8LvxFq0ATD2fVHwL7RH2hwZsaZV5hPIAupCa4xXO VfoZ4KC07DMZnrijGuwdFixRxBCt7eVhjMovDCsjANVPT3VkiX4bnkchnnMWosIjDQt53TvWOMF3 /cN5xBPw9bb57AwGK3a47psWD+XtA76U7z+Bjv4PV8ldVDqro4VgMWVcLx7ZG+A8XB4/3Q2kY5sc RItmYjZJGrMEMsSVz2dN74doYVDVDkcDROn4wl1s6UWAr2PLC6M7QdFjxF52KsufYquSnfW4dJxo yMLztGuDzgylYxIbQZ3wUdIJykVlmkbjsh4GjFWQRbAgL/qP45fxVsi8KG0fF4DC1AP9qGlBOKec GOgB4NV5pRzRgqjy9ivoAmyP0i6zSFrFHrkFdGEh3XYkL0sojCyuQ5Gqgv7oBEX3L7wVl8IUMoEG cHxGSFg3P260yKAH8DM+Z0lUvP9E765f2LFogcgMQseEQ5OAVR4xzDoS/pc7I08/AvESdBlqTMWE vAbIhsJl8Qhl9OHzKVG+cSQl8iCbstadNhYULdul/KCmEjIFekJp/djxSUKJ6vCRURAlun51tQDj mU1DrfVJ05ue2V7XrB//pWL/FBD2aqlHk0fExFitIg1GjwqwW8QotBBXiainJQOsVbrVQ2C9qVBa 5tDxCdRTlQ1jZ7Xnoriz0sy9Qo3szUknTCCxEU8cIl5LJrwbhI3vPaxIBI//geJ7PtsCNceaJ4eb dIpboh7jY24rHKqNS4mWlAAziAS20kZ2YM6wd1qrkGIul4tm23GjYGu8Zv1h1bW1gSaR3tZmC226 rK7XPP31+ToOoOa9QeRixiXZqUba5NvCql9gVCmcvukyXCrgkG5fmYGbMLaT/WuUjduPWJg2wIa+ gvRZWaRZxicz//MtSUJQMJCe7CzSM+ibR8IPjyhRlnMU9DJ9M8UDILkcMETgEpCf5q4zFeU4CKfT NwnN1hygglPZAyPFl4NwAHuY+Rh2+DDhz0gQVrQ4dyviJxp3TquDOKo6fXqtkZpgrRAc5gH4KNg+ dfPNIPTcKfoKNeWdLse9FF0/9uhG1rEceaoM6rxPkZl99x9jSZLYaZGKv7EkeHJ9B4y3uDgmVFMd sLKkfcWul0BFv3V93EpKuwvG+nJmk+rvZ/0+0FFpf6i/Kb5gSBxGomHwNRn5rNDx37co5FrgWz7Y 1ns1LNx2IBbBaItjXXYdgkW0/ZvcjheRaHZ4gdfTYUu5cHrKtwD3c4JA93alWla2CbcOCKXG11XW 7QIZwMZKty4lomLoONENF2YwBcBd6imGLYmcVmC+R5rGdoY8eMRsE92hiBHdfLXoRhkduPC6lYVo 91dEReH8IXGoVptmSBJuUUZ72pybMRztdogOKj6vmomHqS7GEFFHLOHhz3KgNi7GXPge13tWC8uS iw5WXNW8ED1YB70GGrF917oXcwtIglqMY+Dk/wPcz/Z/xN0FE9iOfQWOKQzaADNY38Tu89wvYpYv VfidPLQ4TnAF1/+dGwHG2Txm/2/0yxjdhkOIk/stSTzmIAMHmmTo3dHIO9UnYBg3oQczrGT2Lojt ttSZyDE44VbH+zM5+zW9BuNkrd7awqIrFRXQ7xu+wZ9gef1hBlxQSTumAqQ65pL35IbpoNlgvgK/ MVNJ2ITp3Y7KhIUOVtplP6/Tla7ZcDnR0r0y6doLfhc+cQEOBumNskrj8k2etw/uIC3fVi6d/6dO aOwx+xOmml6zsZtgxkJfARuplePWmdyCbosKFcELjA41NoVaC8X/4WqIVprWIGn7nwlXxygjb5yf qtWBu5w4ydDxfdMOftrqlftbT56EGvqfxgBheJZMIwwXY3wh6XCD3e3sM08xS/T4l2omqOGP0vel IqzSkbmzdaTKLyJa1mDN70S9K1ry7acHXbGnJyfOpuHutIm3efFHb6dkGsgTJIosJi26dEphlkIs QsjfpgtxMUouIQ7IIbB38qhYx4Rnac3J3O2sMdlEqFAZE80KsdvmCZdNY0zS0Bp5bNtroV/GO6Ja Kn6KpxTXatnU9hn8v9Pb6xO37vUp6d4hu86snY8IQSp1E3R8nokmuZJPk1QBC6Ggd+KChUz3rr8l ydYo1J5xF7I89lpq9ZDrt+k+NPrmOkw+aI0QueM0jUcTAkgjKTo2Th36E3ipisZxfKz4IJlB5J9f QR70WuMhlt24z3FJp1sIwr66gtZCw+HOy2BtOuhPoHtNHVxBtv+VGT944HN9mchdbLtdgezxF/XR 5R96exN2224LB9fZtMgXFIUQuxBrApZxSY5auCGyfQ35GY7kQhiRget6Pt+gl/fm4MsZ4T9U8BJd Ykgg6zvkc4p9OS+SLLlak9sj84nzDuMi2fiZNhnYUM+xQLf401gMIN2kXpdRlwb2B8Saalf4Tmdb Q89AWPxxInPirOd78eRC1qSk8rxmWRUD55Ba3cVjjoIqWPrdmUwc9ocG2s2EL8XF5IWs8oD+Z/WY m7yq4eLh1Myovm2ZJ1L3ZsH28aGvOMumNOXCrirXF+cF2CUI3rNL5Ox5shTisQUteOW1Xo2OB2NA ZYyLjBkRBd7SclRQkCelvqSRNo+hfT874cQ0YTsXIn7DaKaEeGTSYoqEBml9bJ3IIpOF0QdnBXmt ifH91h5p78Sw4JHHIG0WNq2/oz1COMsMclkb6JQmESGfG8eOX6T/CAJWIwMUoRbxmQHOTIa5Lrxs FwoA+lKkuPr1ga9lMKTvJ0vVxiuwH9xCO7/SD1/tSlcPOuQAsbbP/qiG+thGkSGwIc2QxsSNrIi7 ObFBITtcnz7d4ctlMR9HiON96uerstMlOckLt8AyLrfLMfEjtDGDuqB2xTbvE7mcGfTo0ZJL4iKR 1MrnBAb9upioBrmsjTfmKmTkfYszqINzrvUzAgEnJNsY9R4eEg3HM3zManU1NEb8m+fgBbsn04Hv cANQAK7+HlvBJ+KkqX79UJHkvAcioRiMhZ1JukCyCsLRjKU7uMdivwY5j9HITi3DKQ4zbpLclMmB DXNZM6tw4yYL6yTR8wgP0DFMmLDrlKr05+9HaAkv/i5a/GzDrVmH9a9RXn6njGS8lRP0e0eBh9hb 0w+TIRZm/igLOGbDWjK9HQbEsRSVcup2KM7g39M60P7qeaI0aOfy5yIyOOar7mUjeESAF2glqQri E9uNCWBmW0zTMHhZFmN5tpB83RWbYkyWmBjHcHGhzFe4Sw4WEZLxWeEEdENUy6AFsXyiH6ws7jKP v8APZ1DynEgccbUS8z2E55yW3hqYmlJhbK5gmUARseVjETVw2Nt0K3QjkTHyaq1axfSRq6xbmcI9 FkBESPpTads//8CXTfrp7fGYuCgfPAF6jWt277gfYuVPUUPsCa3ZGpGVp+NRhxrB0O1mHtTsJRmQ w/08l6irIm7qin2fINTvCivj7e4yYKR5YkJi7zcYCfif5A5EGnEvY1Qm5Mq1k3cJp6vsz9g4klBB vQTI/agTMFfCHwiFQHMxYjjvKh+HA4pw2ALMDXbUxWamQCYpDkP5PhNo2PMhthcZAZTSGT5BoAI4 YKIpBxdn0bH32zuimkbG7OdH9DQzGWW9u3If3MLqBIGYt4gXQlwlKsPTCOdfs25MUDDV00y1ccjr MAirjlLjpuHRGWb1JblbF4hG+/KNrLEJX3EbhAXDikDQ/kMXV0FzryURYe5tn3qrVBkUcTtmtQq5 ACCvApafM+eKuK/Wroexjv7/oBfaYXM9RXHWjvFdci+iZw3RAF6SviP2ABSsCyb3PlJ6CJJ1AurF NEsAKFvpvN641mdd1XBWuF71Qfhr9IQ7CvDmIBQ/4FAB/kwTZ5HI7FRGpI87b4xVK/th2GYj9w7Z j0NopijIJ6hvyFdkPdD3EY78jj8y8X4EOEan2f2CriCs+5mxhQ1jwy/Ijs7MKHf1qC56y/7WB73b qpLCrNiG11NZZa0FKzxrc3VSYUey8Pvb5ddtgcFHIqrYkOnvKSqumMDQMHbEdyitg51qUnhg3huU zeBjFQxD0KXawp07TGvbg1kg89CTJ8/rGYTzdQN4Bjwug3YlJYYXfCrdaVo5f67KurCkIDYEtHiS 2GsSbOkyUQDncBc/3138wDT4xWhLfQsW+QnzOuZBGOU4N0M/MrbJfRTUP0j4Lz1VnGv0qgQ5zzNY /9JHzpOekmxiLEUn2m+4nDxN+4H7EjwDocZFvMG+D/1FZcjk3kDXCmrqpWvNmB/BN31uExmbZHuT fZJSi/1xNA6d0Hh1gh4DRXCtdaS2WPMRyUJi5z0vT+mu4HvJ9Eok5lTO1+kEoA3fcdXp/TQ7QxX6 zZOdtd5wdQQeuHAauzlo23bGXk3MrwYR8FhNIWXqDcr2rk/Avx6r6Aqd4HBf6qjrmv6o4V9Yz0S+ 2FKxsMHpou5yvDJB9B3hLKTtl9HByAPpGKgnIpPYVg+xQx8e4+26rwVd7pcykIXofYhANIwNFrgB Y0BucPFTJbt8NEyF7SIqeTBjvf9GGr/you2bChOXF1HE9eao8NMWcM8Sy/zPUMAIWoy4NseaDO2z 9sZualnI5aq15qPTX1n59tfXjZrtCedZNrJD7vz8DC/oeIaQwUFDYTvrpEYKMNwEv3ggnbT5nLXb Do5YvInOXFbbB2YOGycnDCRRHX/VV0Rk3+sw2CbbOEUPutzeuwV3ciSMj4M0isdmih9WlTW4QgXQ OjpJa2AlK2A4FmwpNNOD0iB+Gk3al3Yki1eHf6bQfIB/TQ5u+31tp2MulCFYY4fTz+c8vfbLcsdH y3MtsnA/WnNf2Ip8Oj0kQGX7aDR6/EpqANLUiarmIRcwWnVOf1LQuje3wpVwa9ezz4OXiHujb0U8 5oEXumq8oXRGyWyZHB4vk+qB/9zboRIwsFBM04S1MnS2k+L+lb6VL10GtrT9L6EdGHVdx9DKkzU6 +4Wc1xjHwajHiqfxSw3Qn0apCP1/iEwe+YJ6XSSZYc50ajKYuYpAR7UvVV8QAnvJ9KlqPfydy5uM jckC/7jIAfL4kcU5OdZa2tGJuTFvyRv3nWNB0pgA/lhj95OCsEt4esI2+n5aT11n8yrlhdcgLDk4 Z8649Xpz0k3nl+mcsqqWsvpigBLi9hMCyfegSGFkwUKV5gt1UBAzy/WSubohAzFhnQP1UzX+71GP 5t2Q065tvB4R6RxsPRQTqs69qcR6jqDrBPqAVVxMpI6OPlMvNSeF8BltQsf9M1o899r8Ib8PT0RY dXlUb5tqwBf4esdxv+wNY41gGx+VfmMV9Efh1SWjLYwiiqB16tEEjwJdixIR5UPebTgac3pkzv4O AjSZux8yD0LdJ8TagGsjS5mu0OK2L4p2pD1d4y0B0bReB5v109YPxitvjh8bGl3mCmCTh201hfzv 0HL3ql/TrVmT//UPFu6I7jBm9uX6el6mKHtszETJCKeiNp4TVZNrYGsEnzuBJE7q0XTNlHnWnjko kAMmdnTseR9HMZndtxJWaN4bbCSbJALzvXT6lX0COcKhVV/YR+AIp9tmRjemvlJUTydpdqf3p4AN CjgHLm0GJdDSbkSToHVGqHlD06i2iYaHD2Lput+zt/ukS6UAF2pqRdLjs0qByNEHOc6HtDT6Dw2C mrUbAlePoByfn/bj9zy3dtkiR0TnwI21AtAj7nIRAcZAheJBxz5YTuaKavQjNJN9/Lu4sw9oxKOV BLkDbuVXFHdzQMMx5zqbgqqiRu+b50uQQukrVGjauiCNKWTQ450y/2BNI0XMqAHdXk+QvU9Hl+qD Bd8n687yU28bfA6lQs2V5Q24y7ibKsKX+epWXg56Za2jiIaPVS3aMDtMXmyhkfh2Ua/w0xwS/wsS unVi80iSANICmNXDESn7slUyJ2jp374wXEeivR5+FkkwOkbxZ7iiCshwq90yPgpF6UmpBLRvuTwY q9z6gfckporKjNLRsiBVczBBcQJV6gZpD1fDuDtzW7+0zK0/em+r+/gGhhCH7BoiiKg+BIogU9NP z+sE3uCdcmHmjXqfjIOooh6XzQbzjTAxiRekC+O5Bg8uOrz6DNctj9PrRVipEWWYkJ/3PRvGMMlx plreKU5STsSj98j9fUPeYws4taGayM6awNWOZl8En/T3M26QnM1dXbxVdRh3FwpYP3kHAZqPGOHz lDBR0tn8dvqqesNkCgjEuiTQo8RB5FTzft8b3tZAMNSJT5CNsg2wGWp+9+DwrGqqVkZ6VHbdpDKc gon4UiWHZ43giKcyxjhtRD9cw/8iRMSiJgOZuPy9oQ+keKhgGsaacJFOowP6kgd8OTYPPPkNyOpM fEXj9UbzJ8o/06IEdD8DyalaL+zE10SRoPOBvyl5KwTOzuJwB4dxAWattreRCzAY6cuM5r4U0Oxg XJI8OxwzbfPkr8bM9F5/abU7OKdBnkopttw2RjfbfC2i9lCrtpXKweZ3AhI2SbtMxtC/Z6Rx2Rbv NSNOdqvc9zCNHYc1FrhLXyyzM4j4Nkj0f9Hl5jk9TKSGYHxQTOaifcP+xy6tO8JWFRfjWpXpDvhO ICfN3IUPzZ9jTxir+Gy7T/UTMErG6KBnFlh5JpR84vd3nnXiR2zB5Gf69/HQPOHT4E/FBSidCJnW CxifZEUXWBJlAX3T3mflDr8/QWfKFvf0ks3ivbopO21oGMyc3miAq8t7oLfBBbpMIcfdqtchZPHk 2caqvE7qSu7prF+RGV89r9OXTem1quOR+ASM78z5OLeCYMTFAR0KdWbs5LDDQhmOxOj5blmlARMl R5Y8BgU4PcxFYWgCRdCPA8AOGv9KaAY0EJBWzBiFctMfqGbeHznDuO+T+tYi//Tcw2npLf1k34zu nbW8woZVQuyVYkh9WuAM2mrOQvWiL0aKe6ntCwUJXbMWofE/FrvKRPUUm9Fuv5EezY7rmfL7UsZX lensW1n3vFsUrHMYybIzIUqXhSUUw/5LpDdKJlo3zDJjGUdOzu9svUNH0aL0ly3Db4PvxElQhzcy kh+Lp59fJp00lWgvu7AGjniTkxQwNMi1uydDllcTOsCB+rUGPyYmzxSpRz9uq43P7tSf4yxXAySC yGwVK4urgUJ5/e6rE4F6euGU35ULnrITgjqDfnVRr7L+Kd8ZiTwg4j/SAKt64i7NUOsgQdSP8eM4 ZPEtqWOmanv/RGFRxlVmCH8HbBoW2blCgf+Evwe3VcoGSohITLZ6LzBL5iN8HX3ra0+mpE0CVzN9 lbmEBNUVxvK5HFq3BRKHjRoNimoezb2Q/iS4ERB2ooLUP2C9nhF4Xp84bTPXMctyI9qoIB8n/vMA L3uPG1JKZzeTZzKPb5F5fi9pG8/3yAXxd2ATkb9YLP7DrnNcHxwxAEJlRFBI9pD/twUQpgV/APDs VVKcuIq54QG+BlP9EcU8i2DuCFFbe6sAluiRUAjrbRAGNehQvlZNjp15KhWSSqLnDKcyYV4qhksa 1ySuXcnUnDduhsKYCp2KaQJ+uLpLPlm1hF58KbXPrwS8gBO6imTcqXBCw3TLfjcYw91VZThBQBA/ B8G0KZw/OB2PU7h5VrCMaNuUSC6fiF1dGoHOpNwKkiPZNXKFIPtiLqoW+DBu9gjSvD7biZUwoHp/ LwKdiyxL+d91n1oMrqOQwMWkkRe//bdQRKuL3Ks30u+rtnXWX2+1bH1De+EYtlITa5YvluT9AJfj KZAHL3yR0krZf3kejcnPR6SOB9HmIhcBnX3GjYlsyVaGRFBS7cIxHOIb80kXSF4m3QfmFS16ZLlI gXV9BgsJfMPTRGC4/YsUTg97uzo98ioSJS/l5kySbLuduTdK7hHwA/JP4ltA0U8qtOAtgKEL2m6b wmgW+tIwWyLcDTS4TJ/DGXWfHfEUKCbORAGpDaQFlWAOI4vy+4Ai5kMjKBtjun2RkY5dHZC09u/X Nq1AWFmEjgj1S4Kml5si8ROOmYBvup0fcE1lxBUmLSNmWSCuCI6Gvfc5dTYJ8OLNF74oSYAJzKov 5nCE7+yhb/hr3c7+xvPjWLTjKkMcPITvgOBCqhTyDrEkZScy4j8Dkz46m5DQQ0lbQ0N6A8rjmOOI 0Mku+s4fYliD0OQ3L0nrdrseic1+wtTUOJzhAZuPS+v1sLZY0au62zhmBRjuAnqLko3hsp52oFPD EEEafk5LIpGrAu76qK99RJ8nS3ShIvNCj0cP8F/dFUvq4tHDjNdFDVHW+sy/wZqw0n3e/Wnvl8tw f3WPWgc2QtEjbvDIKvOC34olkGS2wNVmJhbqdNzsp/An3wYNYZbEKIMLxl55qhRqXzXsDAT3IxnT VSs3Dp18Ii+QshUwBZB1qGZx7Y0epCjZEQxH3XWrol9gLvIy8knvKFDHrO4haXqqJMxKjjEgOnMI f+A1hRJdlvV+q7ogUJnfQeYPXXn0Kx/aQUjXEAfHozzqoYB+9ib8NfM2Rh+wLIoNKKJb65U1iMM9 /VnodDvrnPRZTfgVlDIz/s7k1xJGePDt1ngaMsJPh6anHiaBA0QxWNQSLTYFK3IkTajxBS9c7kxb pC2zCCOqEJ1d8bVthfQJ43gNLdHKDQBwZrhEV0g/BAgGva8Le6rsmYgdXMmY2cV/ELDd/Z9agr6j gbH4R5LYLFSIQIjV0ipGv/FGksidLpYR+tXKK+9s5FFOmhF17XsR/UFkz7e/oM+7exUHLkON4Yk2 a3/uzduQtuBJGZZt4wG3awW1xKUST5XoHJozIetq44lrgw5B+iv2k6Cenhhqtn2nNX4DOsEfiRXl hMpUBBbJZr8WifuCdKM7v4LARRdWRqYYrKANwb2j5OtNuDcUeRCqA0uqSTdCcVd2Z4TN3KhizDDm vD0XbLnH/gnSBYNjQPEeJx79JgBTWlR7JumKjiyr83DtTW53gQsfEnPNmiBU+IVQhCIvTFSipbgW D5XsSrGrJAoO6/vnxQG8lLDkBWbmZdlOTboSxg++TXrsVkb4jzRDKRXH7R5at2nnGchSxKAiUCpO e2PopvwrRY4mirpIeqULOrKC3JEIHzXZDQebbynOCOrrCoYO69skl7ys3aU46mAyTChLHsaGL7JP V7sL5cBWMy9WVgXYn4oXDMMlLQpv1Dmxan4DAYlrLSFuDR2bSiG1lvemywpT0R4huOGr2WoLYjxQ pfwgkHyKiyRPWEnPCIFyZA8wWAY53W8FaYbu0U0FMd8SMSIvwNcGp8MP6NCLnlhL4qvyHe46F6Vt mCml8xNSIERJVWk5j4eGAAnKDGm4O/PReVyPNfpg2aPbqtvN5tv4xQ4kzZLi0n2Eu8Xwwnxos2/d 5/Q9q2ZWll+QLfvljUa6xpOpEujrugAMFMlWkEiWzQhGkB+BK70Y2ZS+QGZGiRNyVidKyTYxSVW8 ncAT2No1qXtqQusmp9GnX1E6TC5w6cGZBlAn7ir+9DwHq4Fwnp9ptS1rL1pC43VHZWH4jtzjuQ+2 f2xGWjkm9IvSN2N1NmQ8mNnfxxoAMWZ2TLsWGhP9XoGrCgmfYeoLjbrsGE7J5aUQQXtZ8fUQSd6H XU//DgtNTvQMPglkl1STGsYbNBvnvTNXa1vcGhy1d52Aw+7Toh/rCeaTVFLfzPqgHvi3EFOYKNZN 7WiXo34cGOqTTkaImXKFIl6JdVD/Sj52LhBjb3SJFtNPw1mrDgkykqZNpQzIizfvQ49pcG3F21dE QZAJ7ObsfwcD1DBRVXZi5HHcmaQB3nRAllrrLT4pbl+NRZVuVobEkzmJ3xqMFyUJGyLTdYrdk5pb USf80GZ2lde40+Yq3p396Dww08fYhU519RqilZLGmpMXCvG0pT4nsCuTQQm54E0ju/fDj80mjT3z nyu/lm54uAITRsZEsQ1mmJhBcT0V/wSEAoqPKAVtroZl8+GWf+jlJwrW01b+2/Q/iSMiDRX3dVaU zTwlpHCMRhMFLHQWSOfIP8CKWRPuJ3qs0vZmgtTHrNhN7BcTMRxoBTrSO3+Zf7+/YgTdaF9MfSY3 dslCg0YW5Hatkqv4Og6nvuNpA7c9wz4eLKE2OT7ecAmG8aOFXLBvtMspVRRCbmX9eau0Ip5RjpIF 7SrU3fONJk0ZTO8F7YopXmjiy6GxMkyaSbia+gGbhoFYNu6exCKE9Eoz5ZdNAD6MDNZ4tdbOjzVC Wq4tCRTsGOKR/GvRFxHgiGEliZlqmucfIdSRyYdij/QdHvDXcbphdCqatHAMtEKwT1NvYhfCRLcN MLZHhlfDOMm+BwivMelCG1uN9XdNpAzsDzH8uHwxqhkuoR8vqklTZEXxi+y2NF/I+bIbv7LXr+u7 V4BDsUW5/5qYupCXlG+Xq3rc1XI7GekRmqm+Un/MRzG2Lu9Bte77050uP0zfAMq25QsjeDEkKMm0 EPm+EAEEhyptNRcRXfUIlTgKLFUmm1wY/cZ+5+/3OFqAAWu4C74dKq80D3i39ctQBe85dHebmUTQ 8WU48TXNblG7hwlTv1zxHffBHVGZbOJhMM1WeeQpviDNW3Lg2gXsjdD4A0Q25Yu/1wTMjtX2aegC CLIeqJQF6kDI5iRLDGf+VGf25s4K9r4GWMN71CvVTbHWFS4CZDu/IIVaDZfRrz5Xh4BHpOnAvLoN aY4OdH7RUidd/GNW3cBWrRVDgo6eGd8sngQDkkpv3sx0jOjvv9oi6+luLU2HzSuxqS9uNrxGfSkR Qwea1BIVwLk/XsDDpCrsoyOhm5LYiWZZV/5Sa+BZkvA1MbsmDgacNc3ajIWKEMshN0ecE3O4V6Ei +lsDNE/A848GXt0Wkz3k7zNOhWrBBts3Po0smTexpDTQIfqPPhjcjeUBlkgA0/9+wIPlC99USMpi NuY2YNQpvkQqLwXKu3uFOjqtZS8w2HjJGcw5+iKpNBE+RYvxYk7s/vFExzRHIW3N85n3uoR3xrkj gzQpaCbajIbiFhR4IW7F8ry21kjBHR8QqH8w5xCNMCSe0bZjQhcjzT2LEgSVdCZDme1FLIv6Lkn6 jlWqJ/b/mhLMg28I4KAzvFDRPAHfRBmJ65sZS8ByGbiRaCjuTA1VeTGnlKLrWnxP/y6iTscZFA0v 9sB8IED5KN12qoZHWy27syEX9fTpCmc5T1SYvO1aQLDVzgCNqJVLWN3pwfI9NWRNgavrIO6Nko1o /m4gm/JDudEpT6A8+qCAja7vUaB3ne3krBLx/PMUjLvLfLCfMEMFlDtcVbEv5WaY9AH+kcsVhjSb YMarD6eISHtwUSJDRYcmeYBHk5wWojZ8yZDx0RqO+6p0uIZKkWdgfAhKd/nF1MFmy53H+63vIj/h OnL7dBC1tZGfiNfIF704+KBvYrqzlty4luJ8ZB47E2I6Fe/6FFT0wGMMQqQgW4wLeRvFkeS32cBs ZNhdwhpEHmF3ff/aqNC9H3vxTWP9GPCV3xSOjPVQg1lhIpsG3as4afk2KA+xq/p18WkR+LOmfuuX 91x8wicioBBJQdMOnPp8YL5ri2sRVR7aiTTUcLGcecxqRYZCgvEM6u8iDQjliCez/sTTjnOZmSKd b70IVILz87sTAtWCtn92hYrR3KZGLBjg+ziYuysT5DOz9Cq2YawQCQ0OuVh5xG+hfmc6K921dZkW am312ijG2o/U+9Mxnh0EOrVrNCvAtf3rLCyupV6Nmf8GtaQ0BDuLXqflYoltXmocY/UJ9KmIbJEu I2vcbpuUv+PiFtDLox4VuSE+0USkH/qV6nLh6gw7uIUsdOgNxsUNXqwWVO9OSOB7CzSODFL6M0R2 RhQc2Btb98DoMxm858/soSZQMn82hdejT5PtGfekFcVyDPqVy5gTxCtoJEaSYdbAZsRGsuuQK+XM 11HrBNGOEHlD0bCwCCIKXeo/2v6Psz+Lupf2aj5J3wOCL0mc/2PGbnplwwT5GsMDDfhjNZTgsmdy 5AuDC8P++Es+iF6WdIsKQY3MJw+da4y+ShZ4sfrROwgwZimBRzfJ0edqCqWu5TUfrHKVwOoT9EU+ wWJemafG8ZEoXobbOiWeJ5AP5u5tgPza5osAX3Ub3BVRaBBpQ0aJ3dDpOu/yTPK7wd16e/xQRIQ5 CvUS7AZ/GL0n7jhZRqutIAsAaNCHJbmqwH5laPbUM9+7hT/cSwDFEtm71qxOJh+TydurpyTUcwwZ PijfKk99qmdkn2r9vZA7lS6FPDXRT4HmwtiHZnx4YRA4ntFs/qwDzctl6vBGMRe2GwzUNClpNBvn WHHX0bkJE5CRT3aTYoueEumltVOdY8G0ph0y1ApQ3ZlrfEGmSVrL7ccj++a6/+sya+lYLgV0Nkho YUEbk8nhtUbhBW2muvPgjP5sfnzn9FukVcDwsXpWHYWaep3Kxey4kvsM8+IxHbOkwRdZmLIGRYpC zq2Gp5xqxcxKo42Em1MxDxeb02Fv8588oOz8TuOjej2JnKhKaIAqD42DswylfGFMs7kEdmkftyRg y9TSslouvSSHNX1XL9aRqEu81XeWZNgxpqwJKP5+FrlAbsn193BhZLPygFCG/vW7XvZ35syunwW7 qBnj48ZsaFNDAbuS3g5xrHUU6qqR1Tj9pvY6EIvl35UwsEUjJBTAqpQCaYkYkgmrlhrPhC8h5024 MqYM6T3yE+hpwDYZXr7r3pNpKONo+vn2oo8LlgKu9sltUpe99tat/4PP8ywxHh04baIZ8LHC4u5D g0yETowr0t8sQdNsYbTCOt5f0LmSGsjef0GkcNvIvTNneEB3teWZHjRzqu+l+WxuDnt97MHAqLey 8QdnSUZKjTMqsH1NiGAKaUhCH/wynhlvnY/CobbdFI/z5GMxAmx4ZkBRTOFULRkajChuI3WxNf/0 UFd8lhwGvDVMrmGJwbQ7dXNULp4xmHzaLrC78M7FWmBai2wl5Pe7eSSrRtEm7zHRUTvYG3O3M6iE +lT6Bu7ziBk3Cqb7rN+jNR14FWOenj/94iOUEDWUlmVNDa6vta4Y5kM7ycGFKDx/K6IKaPv7pPTJ V8jGUXfCMtF+yGY6NKlJ21h2Xd2HGLXHVai9kQZQtrS2v6jVSbX8j0EsazAg2d3W89OSBIYp/Spb OHLiXXXrIgPB8MkSRfvbXF6OBJO5C1eQtgahioYdUl0uop3yAqcEqcZ51U6F0K38WvOSpbDKu+MZ C40TYfxlGj4+acf4eOMlmgnpl+mbGYfuA/1/K136AVJzpRjQ5zA5HKRjLUniPg2uMSY5gYasOX2D k6vE6C9lexRINLlFsOf4Ukgy3u2114/btB1rjKOEQdX/KzrTAGLu4fDx6i0wiUqBaVmmaRygWRPZ 3+2exSqXt4DkEjzDoZnzuXo5IqZOmHlxiCLE72JaPxZ8RWg0zUGKlXXrM2aBA+290QfXQGhiwgaQ YkRywsEUFqcPWdR6tRAuXcJx81zxMhaNaaJAay3z/PprJNmOzjVAHB3ChV0InglV0b/INjISkZJD 4i8+fCz9CMm4oxdzeAMWG33/rqSTG9nRDWsaxBSvGLRjJ6rdWNLVKCQ5+F2A7T/kETqCTtahjmfE kmlHn/RfphhY9GimfsMNOUX1bBh4pcKa3SUZ4RL7r9IMc5eAs01FfYOSwuzRMpsCX/QG2kLhhluN okCByyh70LITqPuAJnOhEkMTWGogZhTanb7EjiqKe8KeyCygeZOOt+4vaiA6vSbLhhNciuZLcMjW HMRQVmrl/XPqpTB6OD+0DjmPq6GV4Ie3SAi6t8jClOweKTDHYBNbr/uAuHCU+G6/F+k2RutxkggS LbRGre0rEzuaB9M/i/wSIGw44VQZtsjYHy111VpsvhZJ3+pcM0utfIgS2A0kY4YsVbInTSNV8Y7R YRdLffEOfss42MiXyw9TuvI8u2BmSK7cdvXGl/nWBv33zU80VlYigGWQpTv0e5yHqEZxQ9acf0x/ 1dCQ6hDF06dYY53oBqEkmu3Z/4oUjUomrKGKT7f3V+3iY9ZNQE9HSblhDrJgBVVN+EO6rRvn4Ep7 pf3mVyd5y4XrCs4/19QpFdiCL5ayMQOLHCpXzxvppdcJJt/H0aMO2AdBxGxTQiNrmJvdZsDNbjBH sTNBQ6MUKjkv9GUwhb7QwNUYTh6TKnn/japj9qCW5R+OpYhgLubd5908gTOEqyvYLuA4uIxd0X1B Uo2ayqwCFcMubytqUzxJWGN/Lj3G40HDiSa0mdgljhfkBViaHXlN9kc79D2SxBarAsclFHqh1tA1 wUMFc2bfVkMaPKBruQv0L6ExZv/dwuCjhcExoDVBV0Rpm0j81tFp9WY33orn4if2C7Q4xNAxa7WH P7toDRLh+Ah1UeoVvId5B77yvjzUs8z4KnaEDXwmBVtaUMA7CumM9hdRMCzjhJLjZg6zrhhYdL9k V2TM4tZjZyV0mf5x5bX/DEAo0GdgN8WBA6aH6JrR+CLBRXXMQhljOZOzegsJY6uoaC7wHM3QMf2S AabfPmDOhgvqYAhJgu2eEcgvv8VXi0O4nyHPS3sICtyDEuSVPfqqa+VVx0+cSKDUuhxOXuk7/iAm Y9+i/tY0JO3mEjojzyWufa5xRwawUf6jRdNx8im6HVXOVZdRnPQueMwSij55pyKx/A73NuMEWckX 84LwXtS6BR/vFDye+nnU32E/8SxyTsLjbzfRTk/QGUNUqTlZQ0bZwQP9Po0SnKtkdDps9KSo12lS adLvKVcI6S5BmVb/PrH3X7C1+d720XSCbH4HUhPE0xn2ILN8hurzcX86nlx7234ACiarq9nesu2z DLr5svMQftMctBRgws/0Nb2oL0YeI947Z3btBeJ5RUyGdNiwfkuTzEPybky/2GlO6g9byimi0DhQ kqXRHSTWTkn0A1+KOt+jr6gKs9gwT1fCdgxS5Yo+vFuYEonzv+1E9LLtNHJWX3ucP6GsCVsGZrm2 ciJPk9NI9SvE1v9w4tik4iityWnvspyRxNvFWlqjnK+hi3t5oJJ6Nmrk9n5D40SyQBdmlv1HcpBT DjihxaWCNdLyrjpsAZ2y/Jx9E5il0/2cPa2B/UljjfrZwpJly8U+AOC+8+DH39aCN+g3FiuYg8LI TY/TnNki25O2k8ZNJ7dFoxsspHH10ugdusc6Bnyrjxuy39sStcfHFerlq1TxTF9ejQHkdRwcTYiW JBxws45iNhc79I9ABAA7TgBNK38m8B/AuXhebJbpB0t191B/hGDn6PUzx8dVOUJByjvYqrhEpCbN +kfqBz73eTeOa3r8DxCHkDIz3xuFQNt4TDgrsk4fr709MEnWukbSlirkFK0bed7Ie21P3msIuIJp LtP71rJch+9W2t5ryZDu+ujGGVsU8fh+aWmEwWbkrNW3/oVQXjF0D7cQ/tlon6wbijDizTS3DJVH BW+BKW7meGN7t1dpLVGeK+3/dQboTyG8/rOLzxUCDIWG5+G+9AUBSfkU8g4K9ymZ3Z8bloJus7CA XfPeOyg8jjUCce/aSiSVORRI6sPJi0Rcm2FgGCnij1od8MqTSyYgfqMeeHxvhsIXA+8RKJP5KJ/E DQDMDyGHvtDIxJabGQG2rfnEOWr9rUMVjFRi4KngUrSFkCx8laexw4QnyKcmNqd1RQABNcEHf/J3 jwQ+NlsIqhOMYzu3JD/doD/7+qBOjKdiYp2RU4gnJ8szSuRda3fohAJilLJ9koyzrKWqrfDUt9KF sy/FsiK07O03/wYEcx59+kgB4wAPHJpx8U6FtbB7X8wVc1SKaUGU4ZJC8mMj691wLKraLi+WDjUU M8uKISrACV0R/caWvJPBlegTS759Pt2n4XIKF+XA5SFFBPRgG9LmyQf2UPRxVfRW0fUE0iKJDXvp GcPQbfehCzzIXgF5EtQ6sPlU+/cDDBqYg9hsN5cRRVTuxQAX4nLz+uiDiEvAXzBvOM/H98++1zSl RHBEg0tAny9BBV3I7dx/LMjer16o8ckptk+kbpmb5+IkVZdf1dOZ6SpJ9nmNFbObzfny3Akw0XyZ vvu0CFaNxhgqCFzIyM8WnLt/RXhN1em27pqw4I8a9tpqxoPy/deiAWg9/c3sMaM3NRj3ZL861nL+ fhwKzEMGEBLLX+oGFAxb5XkxwyfP7T5pxUrQWwp72BV54zJihzoU1jb7uzbVKgsc07jcccq362sy 7c+4XWM+H4SFlzeNL3x5/wJwGQRUyJ56Rrs/IAqLPeLgusCCp0gUqL1X/NmhUSIEHBYTjhRIOBfx HAfdQbgRPDh55g08qZqMyrHuCtenoW+zNGSHW58XGJjFDz7o+bAYTNwFWlG/hUwB5GYLgKNakBU8 qdDo+uQok5s8L83djKFLNvjE9tEMEUbBxvUJkO/xm4nt4jFautjqpsRVRmdQ1kubwi0V473mQ8pT ViMr8pKRwcDomByPntww4OjqD4cY91CYwlpDdFMMlnhGRJnHc+6Gju5N49B1fKtB9BoFS66zfzq/ iHI0jL6+nNKywIEbDdn9Kr4gY1dHqPLJ9c3mllNOHg/zoPpV3Vb0A6xAacEb8IA9JeZYNBPSjl8b xp2+CnQn4B2Qt6XIzEzhBcu9GfvGFkjBXHheFePNwAh56v9GMZOT2rFxyJSW3epQhVxg2p3kp33A JBMjL3UQcTD8mj88MOXSiQ3nI+wwZRC98Tgwng5EN9oSpspABQZ7Hww9J4qXrrZLl05uNI9iVUrl mHC2KuCUBC7zZE5EYq4z+CdQBURBh4GPpunRnG7YD9+PQ1+2jNhUcGWxqMajzTBLxPB4LA1Pnj3Z MuDauTT5yOmGOCpdQJZjCsloCEydLr0sOvEtVVYOSRwXuqaH3sHyDoe+HkLtvsWVV5Lbh/g3HLBw FwQ1MiovLT8mFg0ZNjMvTNWxPpSLd/UdhF4GnDOAJzqt2/PUSmBuZkpexWCnVttvhkx6Nqiz741e C2oZGuELyxIoWIesZ9zXi1PQn3ZgsFsBR8azxKTufY3UsVRF8T9E1Vq1k+FysWWXSu4kqMZvlqNN XsKbMjesSHh4HuA0sAurxNjZnBer02+9bE60J75W5g+QSKogYqn0fP9TU/eggi0phtH/E80tpsTD +n+xKJOh/J3wQNJQ7UOQ/CGI0hR1HiiCDYMrbpQ8yJlmsvsen3nsDrPzD4p9wgOapT+rc4aEp+X3 e1yBHM3HzyhRE8ceBsn9zylTTnD+XYm0vlyn27T2FzCOuL6Zo+GmZs0PngOZR+9rjWkShzgEY2Uz J+X807HYjUa77JhL2HkRygjdLXRC7dtP9nW+3wKYZPFo5LTwcMW1w8oCNDL26m8zwnZdCqVI/mg1 OIwS3nOWLGG/H34zBoZQtveHwGTQGdGhe4zlf32avZwq6htWKFn6cZffsjPKb7ro+a37/vKZpwhs Ufqazb8LCmM+8cbwtlaJ5fxImK7ziJQedzPyHdaVCZ4c3KkN3QV9wX03NbyIY/GQzoHNL766lw3q bxz12oYsdeVnUg3NRrw9P0ta+OlYez4U9WxSjILNNAptp9QTq7mhGSFsBrh/NVJMd/a5h2I47jx+ nQ5c/koXcm/wZD+zgReJU1GkSQnrssGNwUtrnn3ggKcZTDCu1BzM+tXzi2//rsI1tWyDH/03pyBw f4M20GWx4UjkVneRGw3WF8WvHSsKU/+B03SwZQbVTA15eVRFfUkHMQArC9dBaFSwxHWgZmMzHz3c TrXbNcKRSGKgp2Go669CHh11HGw62k2k9iNV3ZzR95IPppKZ+hs0kb2RJGxSioAA5sraWpHc1KNJ rmJCkPReBWuQjr8VEC79xbrO93/bEkqOzIVlAnKUZqTbWRjqZFJFOiwF/6EfIT6TSqWVQfFkVmIb x5v4zbC3OJ58y2GQZx/oZzBB3GnG+zKCxsGk0DvyTAv6YW+wWuOscI/g7K7gq2UO3sUe0gqGDmBD uuWC9Wuv9dntd44AU0zRj6H7knVsfdw7kxOr9taT3pKBeS/6zrrT2PqnRN5YPj1zNEpEatvuPXhJ oiulrKJhD58RDKAkMP/kRt7s6cGscUJV3O5BusThzsp2iipA7wXONAovR/vYggFGy4DE49817RBt 6OXeCDTT0ZRhECQftxQhRfFf5TDw4eVor3XpVIetI2jXeA0Tf5Vfswp4ZxWVmL6Mx0nNWOL9ua9K 85uH4gLEgKyHJbD53OXhSTQ18qGX4HVpuM1opb1Dtal9pZBY94w9NFB+MrtK3GFXKw8UQX0Hl4Ul EKAXA2LhzlNHP9p+0GHeTPs9TkejkjMuygXKFAomMkgH1dtmj0cdb+YMqNUsoNcoWv2+nGwRwbux IhTqYeGpk/N2M1twvI581PTWWp+ZYoG4YUieJju9X4xMxgPHRCajcjTDB8AuiaTJFWc1WmObbX0k 0hvJHFn5ddbBGDEgiQccM5vFfw0a9sh5v3rZmdwsVrLOvnXy6woBPwD+HPxKmF2z+wlW2wsjteca mGuWnX57CpWmfj6ohaQLW08L/PCOFGmtOeajWr9iH3SywhwDBTCADoyTbVLLqEoBcw1DVR0la8+4 oYytU1metMlUVl2r9AfDuahHqcscKMeYcT2l8d/bAWTxPsbo9xORP+NlhXtnaGXwodeWTwBl2BKS G/kukMh/GVm/Di3v/OJStp6puCH2aAXhUlPt4uPpjdtc5EWcrzLQaguTdRHCZm1v9y1HdUtPZyax 1gJoj2eO6ufZgFRGcckFOag2TEQBTfAVChjk0S8M1LEDdrp56FQkz8hQSwXpJKxjkhCAKRoQPGI9 r5PfsbqmZoiQutD6fcvoZVK8lfB+7CzdC8l8D4c5h44dwKQIEPgnLEux8w7m4zJSdi4NUGLHQDKw g5T8eyEl1ku7P2A8Ngdz08cpCMPv2pNIOrj4xiylHMdZ944gubRkQCvrxsLOJmurKUPBkWovaglr crO9a43Lnfj+vEYdnDCw5aAXtj1Qs7h2tidSZJGsyVv1K1+TOYGSYUCBupsxVmkWBCamrth5Hq6D IviPHjM8Zq1z3Vurdugo1k3ysVX8tid2Nmmzs8uPlRGALJQXi3DnjGridT6drnHHmnzBQZN78NzX oPNVRJw8R6qlgJkl/s5+CMI7N7pELjIWrj1OsdoDjpi37EqTAEngj/mbbw6pJ2TANFfd7JF75tm4 nnchBW14/+wC1pVGKZierVbuSU+6PmMdkp2hl5DTw285JX2zCm/nSXItiqDqBlaD4/ujKBQ5gBD4 WGH73WoTKYWl/LMOe8Z405A0EtqZfq2hE40SCjzx9xgO7+JO84GLx9BEhOtFoiubHG23zDX9LFl2 I2n4BesFToq6xjF6OSwHv2/nxRS4OAW23coSnY/OrGQOltQMAaUUYPKWo93HzLnNkiUzisfoFGoX ACrNFTAKGTqd+1TIWjfw39PeXHXV21G1gJdkejkR/uwy4ZzCXmrEobhcKYmC5Vnr5LyID3xIAMAo XBBOWD4rK34POMLQ+HHuRWZEmkS1pgOf97e07fGwAyy13xLRU62gbST7yu+N0Ya5O86pOoP4mqnF UkOY9pjYQtaNH2Dlb8AMkO5s19PYN0D7PijPCG/SUgGJs3baPgW5kXXdfaIgX1AtvkYOErVXi0ZF Sg+IQuo4Up1Eq9GRNPriZjIsfjPc2NX9ksV1sgzfHKVF7gSVB5ZjYZwBWv6fYpOiiOEBANKvVtYC OziKvXlSG9/TnzIbkr6+2xU+jUlLhpNgVyuxhZrTsRM5ZrXQUpBdfPQ6Latz0h6UQHH9tDNpAbtv i7yAFIozKTgwcjzZqfqICX/Lopj1cw97LpsLkpEI2wJfvn9l3YBLb9tD6fQsv9463A/tU7R1x+Kg efYLGdAGgi/3CH8qJ0tP6+vjgXFAsCSa7hm5aOo2XvmSuKeI369ad1xFQa1aO20VNC+YuepiU40T LE6Fgr4vdqohRUVVtdfy/mzxYOvvRkqjf0FjoU5UhPeuE4PYSCmdQP3Kb2Jq81PYIMSOxIZ44tM4 FWk+CJnpalhe9AsQOXVkZxeybVu27dKuLnNJq1U8MCD2uN8NvZROf1CwDrutZnQO8qgiiIzlaQNv 4ARNVB/1l1WWG2dbzbpvq7K7S+GnyZi4o/ftQstw0MS6SpF/M0caeLL64wpNUCOJSTstlnaa5hEC FyKDGGsDBBWm2J8yE9QlWU6DB9G/M0nIsWj2hXonL2d87WcI7Z/ibOefmfKV5aYQiw1g95yX97Bk Qm+x/V7n9kq9ykMqmNHFBv1z6tuaBrCJdMcru44XbZkYYmtKMzWG7fYrmKl0dOCUeN1p9GyAf5NL kma31+a42wZ3s6jSJi3rfzo9/f+dPqMSsQJQ0wwf0PyJh0rBP6t9AC1P8xHlFJ9rajQJf04Esr+Q fCmBSJmRVuusdU9yT0a/Nc/chcMnCiF4Ia4wRTIIadqNF0rQGibdc4Kgx8woclr4FbLnrYL2p67k I74No5lZEPIxbIYFNsfN6CU3c3I8a5eCoeDHdfaOsfFFujMiG9ygDINlWFWV1+sEyQ7t1ACIoCgd l0XWICgoHVGtKDXNNQgurV2dWB4b1XRDuXdliCACnz6jRBlGOm96fQ9VN1Zw032zMozP1ol5yLgH SiNODqqAOnTcANsZtZ8/XJM+6f/0XvYA1iy0I5G2KxBci6UDz3KJ9OQ6KVg6xnau0dzYXdxpa7uo ABSiXyP4qW7Zfz4YWpQUehAP3wvVaTgo8e5gE0AIZ/cE1BnCk9+1Yqh0L5cnQ2dsJVDsC01Rlx+8 KCVZ8YVxmk2W9AQTnZZjEMFgPFengmIwVa6rnOViLQenqEznAnAZSuNd13OslDtw+66ZItSHPzmk 9vdXQKy1lhdHZloJkkTslC7I79P2sQi2eKN5L3UOhqYkf7dYzQtoRy8oa+FB6bR30qwyXcTDfjNC axHQJeYA9YkEOdvOF21b757cUugd3Mgc11o5ICteBN6+cpvEFSvMCuK6wBTLmc5/aDB+bi/IUWg8 d9U2ROcJpqjN1bmiEgmFHshntMo8H5IgUEO+tPAtIpDLRGUmPbGUqdQyAie7qleoThn70/OHPGZA BYbUu/0qpJoKLanPlpDL1n4/OcF7ZyIyGIHAtmsg2t+Ohu8r/vYJdUcAvDgiOLGKEql4LEjQylZB 5kAPC4uvAxwB4oCYuLIB7kmJuithEhYOXbGeRABEcjlRMKJzdpau1I6EpoENYtXTg3ghUQHbJ4Qx d5bs4cN6bXWDpuGZcBYh0H/hJo02g954a6TcTTlGjY7RS4UbIIFkrtEyQOddIl2ClZHrnIfpbGhj kTvN6PUUwFSBmVUvrW5vcW1ETMW5P4tb0R27UJ2aQgqnOWtzMpW5E6JTPnedcwtUo8FdcAz2Jp8U W2jifhCsifrgQBB3tpLDADYxSYVAuLHntFThPKiVP50fG5yWsGFkrbdwkSoPcF5v8098psN5o7ab bptqBCnLt3TKdHCGwPKGCraUpVtHjiIl75PhCoqDvlh2b3qJJ3Xn+KJFqJQhHf4w4R6qG6TfL7gA BIwZwMcW87jGkvbvWj8jG6Dulo5ZsBB6w7bLJXB8/+dpPqTIPGPBZ01hl4iyE8pSK4MUcUr0pQkx q9F7hM+c8K6ltHebNDhHnqvUwynACbOxjsMm4CT+IG9s7WQRO2R7rv607IRqqXHI/Xaw8RSOduMO wF/gvJG0ANXFR1CAVP0gB529x6RSIJe9GEkCd/yPCGSH+DaWbkNjGB9dwNayYKC7rid/6G9ahh+e BB52idjFM1GmA41/qlVb7w7l92ZZ5X8n4owHfnUIcD9UOrUN7p5EFj+nPXWVz84dVis+ngV05zgz Nii6eagwUSmbBP432bFI8cRJO91IJdt09k0AEYRTxnSKv4rxR6ug0lDpdpJ9UsegppcU4NsPlpWR w2LBomt/NdXGnPFg4tHNhORqQAt6UF5OxR1zboSxkTm6ognNjqiAxNpCXS408FsmQZghrE92BARw Z3t+mLiR/epcDbaGQ6p9eybO1O2UsHC36dBN0h2DsAlN9vmlrk6XQobHFI9EYJn7HPji5CiF/7Nt FCd6O+UpYVwiFFbH/icOOPrALKxFhkq5OHcHXm3bNJlRjDfafSvY7tCuAu8wV5N7k0MN2zN0AkAj GgW1KJ9YrrKkRUpTlxFOfX3xM1j+3lPxkwW1llycvshxlWCpyEejlkDy06dpYPl5phmZ4Z2Rwdxh rGRwZUOh457S6FBdEJmYhUo+ooBYntY+IEGMVUZZrS4M0TqtDOISCKqSnSLOa5nIrdNjy4B6cxPv G/5ZmZhWDI8JhdEo3BouEMV9i8ODcrq4SPUeAkikC1cbL7xmgqLBBzNxrWQIcRPK4b9vRW4yShHT +x4u1X8Xg5zuBGTwzVJ95BZcV+guUeAhleasHreQCAuR2Etqpo16vZRHpRZF5b6cd5N3HHuGv/ZL NvejKM4a6oUq+amJbMEEAmWtMSJVESKfbsUeMIzViJf8/VYZ3VF0ZfYTB9es8r7X5arDtO8ywmkZ CjVy09rOcR5QsQl/W3Dp29nidfE9ZF6SORUIJIRBuQTGBe6miNPu4ZtZhTeaKbQUtx/gkmHS/VTU gavYScEJQ+XE7NC/1y9rKX2kXZdbO/s8Uoj/HQ5v66KZG+gOib2vRbEdf61oAHBIYeix802wrffP SPKHwD1QC6Ori/8whvA+xMmuc/KoUh+0s+InqCwNdUDGVmGFw1KacohKbiivai4SdZ0v7rCp0rtJ ribanztMHRDx2BN6BRR5n26xU3blhTN0NV/tnzB25DQ9LhVTCXeiwuoZASPjf7SY+NSnMQoJiyuu NlOkUBUTxH9YGe5NdibwTRqg2oW9nMer+1O+MJSeyt20n2djc0PTNRY019tR/nRyPjjV+fmWQIV/ V3jKOJ1kyovGheND5ovTr1FYHDzcyBQAn9I42ZvhivsW+CEdLPMAZYE/I1bXlL9PqMQhcYkuThN/ nPF4G+fngxTW9MLQJeSAZ3KF89ge2xwlLKWso5EYVTjaroFaMwrwguHoeS1d/K0Yeb2m3Q0eJNCq nlsjNktr3cIqhhGEXSPAGJaxizh+deR0Ye/qD1Ai7ybnCiDLUx0sXnpeNdq5gvkt+lONJSXe9t1D Zn+ECSTagpMqHz3zGzoOCpUvlp7ovYiiwF0My4Ygrm1+mrLlgjbOQYa76RIZ+Y4omLaRd0T1tm79 kpQWMYYJ3Lh3IK0esgm3C4KB4LGagzVKPJg83BR++1zhcLaxhvZY4jZnDrdvGlGCwKFNy6ZnryV2 ih/+9vwIYJFLzoSeJOOetH6Wh+IqQraoOqOV3furQG8ohkBzUU9ozavw2FyjCRgZ6RTvOh69OpU0 3X+6Fu7spB4v4bIo/0oY1vjfJ8XejkpydyoDj1m3uTzo87q5XHt/Nqp48rQG1Xy1fas54TVckdC7 AEEPeGTSrHsjkzZ0/E7p3DjV/O4AbOX2TbkMBE+7chjda6nz026eTW6TfR1Oktdo/v91kcX4+/65 76AJk/53hPibOMtPpn4YSyDctgg/0Tc7EdK9fUglocggkyHXz+k9xk2OT8qHYs9OcZ/vyWzqt4GN sZXmse10KlwdQZPvT9hudOLrets1oj+Fryesz8jg4b+8wXBCimvDg11IpFhxsJ3x0tPTrR7BwQSf W+QBKp4pokPv8guHWSgnxgZ19Qx0m6LUPa8jhhPsoKHpW3gF2WgNYeXW0jy06ejCCaAUkSFc/fD4 6FB1dP3F5JXqr1CCfz+CctP0Yjeesb1N/hkfLRyIpdM/yaKJ4AcA1kiuCUzOHlEp7T/UOPE7ZxTb ZZhOvIRL+70zkTKE1fYAZ+mXw8T4mKVpurKpTkegyeAFldtiRGsIK+AwfBZOn9VKy+dLlcDRZh5F vrfgLhTcR/hNakZdSfti3jZf7AnHcOqOAxd1SrUHpfe2JP7Gd8v8OYKM5bcWTOFKrrn5BJzQIKZp zwKKMunB5Xp2j1MXGitnNNbjHVrxos3oYNmfr/APmwNCn2q1EHM/Hj3JU9b40gJQu0yu3cb6cBNO CEq/uKKo6Mmjo6MPjKqDwdYljVZIkZfq+AC2GoI9Iau6Ow9PLxyP50Jrlxt6I8VUFWu018tj7yWZ 0ramVskBy5RONEj7Ut8ZxUHDdthyV153zgBzQGiziBmc/flzE7YSnFqiBmLo/mYalyEpAmeMp30i k0viAV9HoROjVnbJNHNWXFxe2LrrLvMTjDkkJtWBfgCPEYeubdtCc/hiwEwo2aMGdHbomyjke1h+ 8Ee4QiJVxtHPg9DrlIqKcxOco0l9xegPTpTeIHD+KLv90Pv9oSRMQkqshWxrg89+zOpIuu5pzmNJ EsahyelsA6smtCzugplqea7qcTKQEclbrLKakvsZIoK9vi9jMdQhoH3TcsPrOb8J4beLkoSbgP5y 9RdjA7uBa6+SQqzJ2ox7RN6xc2IEojZSC3nQV8LDpDVxywOy8tXaRGIiCHoBedgVLubiga39YUCQ NT0q0R3H6+vKA1m3H+s5V5NmMzpxa9Fb50ZRq+i97II3r3lppki6ioAfugvM3ZtxOnbzIduTurkU UQ0Vl0ue3gpkoODGSATHchHwNfi5+Re4X6FiqeE4HEDh3D/N4VwQs+6G4YrL/7x+HtIiOkEjcLcI kGYcLI/VCF9dnhVMXuQloRvqomwZZ4TZHP96QMzrM7tV1/q/2xxYthFmGvn0dIQV8tULrqvl61Pm RmlIzXD0hGCf8xuq8tMOadENgLrcPPVHUcMs5qAQlMdthJMxThts5/IcGVPFSmb6ldqKyd8FoyAm /30sgJYyhNyVb8JS6/U9IxSKvQbNm2eRuHcnqCggzWNj05gz1KRpg/Q9YIT1p3HYpK8rju2ik21x pgOVDkmHtdV7BkaM47hqilYnTHRuUeou++LfZoZIO7fcUyzMU2F6NzUb3aFu2tFaYWrrAv2s+kSr BxlQPzQ8Pd8vRGwGXrXSelcgx+68y9fPycUunwhvCSHhnULHruO5OxKuBHkzgZWDVTHKOCCR6woI HXCQYcky3sEH2vQKY3ueqYwOaeLCt44a6beMN+2AD2TDLBQD3ktDDt1InHZsU7HXjkFCTintNBWV Nm7Y+xGakZDC8ags5BWhaOQM6kwgrfFtACN+8bBPPmnbU0oFyCyH2cGGaWq1C2LlEOK4uVGQjqRN Apu/Czk59XOmxpuSxqi6eAkpu7OjMYlSgM0EBu9PAFfejen3WZOXrvqPC8uUNdDlbYGS2NQ+yfeT FUA/SwL8EmOeFt43ylQc+auvS8pIhiEvXP2p9rWA9piwe25mmooA94CLugwyjKNLfmQvUeDsFfTO NC5KZQoH7H3dUDMwYBjYAn/qogFWe4IqUApUXe+9xWUlvtLsdJfnD3v1DKTjY4iyFRu/wE0aieSW dC1mOrKx7cvBnXt+cw2sIIJ/2O864Ghnu3tQDAIeMlD1gymIGTdJtvgxGPGPklGmU3micU+jXPDh w2iCMYpR+e/IQzJsGiQHrDslk7K0MZlwRJz6CCq/Hld3Ja3d8UxTMQvz073a66JhhkM48GTPzXOL IZ/7onoGujchWnKZ8BbXqA/a5EipcwIU5J1rAhEncTAPKWuzAIh+79F1eZI0gY9WniHaSy1cWkBh 7/jCpfjgYOlu+ZyyfjKGRxph79LsQuQ9y8NfJK54mMQwBolpZDbfVJA8F9NJXGmExbazdXojvrkh imx0IkOF1zVwj0p6wm3D0aw0qIJmhiyaJbpHluu+SwnUcbPHyNhhD+uE/uPtgndppS1B/pZUMrBC gHQrFB/yM2WgyRPUL1YmHxAJghUFc01pvtsMxAkCa6/uwAEQzN3/dKQmVB7k1Bkq3BwB0cInYgCJ qMeGnHd5lGyK/d2I9vZwW45yl7Jb9cKiIqNc2RAU1as5J3PgSE0T9K81GvJ0TLR5WwFFifAMcNcL 9xlGpNazxJZ6TagGzkE0nCJFBPBBIeA/i45ef/6CgtujkiI4jgLzoidbFdB4yn8ckMtmQqwAAeNK /dCPVnAAacJ3SmIQGmhDl1vW055mJoJnbir6UFCyAdxIAyDvqysgkI/rqOFiqWPlwfsBc60kDxSl R7R7IBLrHHRGeZc98mYFsWU1cbJngiSGjqP8Y+Zjkn2OCwVRc8jgycaHPZPf+Azd615ray8tQ9rT hnvSi8r1E+9mFZzens63Wn3cjTYj3wRaZGxHOFh33eMiuL5nH93jF1hZLsEFDWFJUOtJNW4PkhlT iIQTjEtfUbmgmLj8NUbaoN2+EAO2hURo2FIDcOpH3eLP0QDi7Af/SA9Fh3bULJcgQ4RB9nqIMyIc BMldfOL1wHSAJRZc3tRAraBK22TE0v4i0nx72fgMlYXUJhKg7I+xdUVAdcB25AMvYzgidhQM46Ap 6qMgOkOkJ5Hoyb2m668+FMCnEyiJMu5TznP4apOGQu+k0skjt5pdi94NxYhKEKL6aOsVotL9WIwU 2vfDFeK9b+tIx/mLCMwfU3cF/Z6wh8LopS416N8BAzZzDFMvoSoxezMDQaGzWgsdjr1UEX63cEiV sP7KyBGljATW09d7cnYXt1ei7v+oSBMks4MWbuX29jrFkrxj0i8HReum3WDLoxhbrFtt5gp1uvB+ QrQyyUjI6BjJPvHRm7Ezb/mwz1dvRtMOOVLp6GX9HQNMG5TSQVpZ05o41/sPu2KhRLGaOAJ2RR8r Xr/TQqviCSWp86w0n+6XlBq8vFfG/eymHymRUz9xvPQugfVq48vCd7+135rFDOfBTW0krjD+WeYd Hu0BaYXREHE9lKa4juPnxWh56qexNrELjtT2ncUHzqBc3DkAkh3z1Ng2OjACMMnLIRkPSfG293Ax RXmelf51Wz8tmZFc9iR6+w5yX0eNcCt4Zi7YWEW/zRg4HK5NB7KJPYT6PEg5R0a+5F4/DePHcdAk Vik+bEssdbX2rY/ycAwfk3x07ppptAtC/H7VQD2dqhQm6yvKhQhVSWWU2uI+ZVpxnxp+UQkK5PNS 1wv6H7tYSE66Z7nSODQ01AUkkkXJmJx6PPgeWDwgAqtZOrwcjx1g43dWBjUR37OPFuX56slXrtLu TnkKVtiKb6Kzz8kEgruVHvvk5E3wlyz7IguNZhyWiLd9PWzFhmY7zGOjtGWH/9u1YytyrJgu8MwO bzJPQzvKYtVCiVZX6WVD5NCgPsjD2bCGpmN1MLoBrhSBt6w/x6ASZUXZGPzUePmDBk8F9cC+1zAz mgKOWDirc+OK1xJYhdiUbcDaq3ArrijWf0qTxBJTGi/nFCsqj9JFdMwZNZn/Iv3BsZmUddSmGgR3 1v162Vbenhp2M9bt2WuhFGRZCYCRbCdC51vnRtIWWLy9HGEBHQKdPOfs0fyAnPNt2sGj6dyfg67o 4ZxKw9iflbw6yi0ALWuFm5KftYCgtCuU29tKEkTwJ+lsjyOwZ+tq8JvYwOXMs2g527/30vFuxwT2 k1irOMOv0b2IEAQo6JJ3hBcOOTQbjQfHUHZEWdTgPTFHzqbZDO/bIKSeV6QypDakgA7MZMMeLiNj HlZQJtGODZGlPj+5j8GpElhtWH5djHvR8Bez5PyoHG0Y0B3qdWCgGHf2GWNwG6AVTjnOpl/oydyi o0pidqlqRaViswN1UsUvKj7O3s0uHJipF6DYeX4Exp6cvz4DtZ9A6MSXPvhFckmAGscGs0y/W/Xj 9+J7wg5Q0enEbef6pju06pxWdngk8o3BN0A/A/6lD2bXFQF5q8HaJ1bEthpm3w2spaJQUpLgFrmP qw9y/f8YXlqoKKHXajTiU3pOFC3WAjST0TmO+jBcef3s0tFypwsztnYeOyxOrX6/mk3acYLB1kNA 3C7vY31HLTO6O2yI2/FFcKsG8qByqGplic3cnEz+D6OXlC74uK7olUHt/G3StYHioPRrs3ipQ6aG 05siLkZZO2bX0ZB+eXNTXYzip8iO3sUzFMHX6m6tmLQKx1EVoyXledlce7Wm3lxZ2guixXlpTHwK uPKr4Gf2cjdsgG6PLzwC+WVI9PzZe5gTi7Y2XXnOXdjlPTODVQRV5nZ0LtZbLyipPDSQ+YQjtdf+ t8QTHz6Qb2hYFaHF8/Wk5U8qYxO9AY1wojop5R3UVlsZv/0z6qcPaCzr585CdEJxPTfEVc/uA6hG VDJVIpjLP/8y0/Jgn7k8CNmr9VfSIEzqfi6xtv/xbSo4P35MXXQ+OuKNyfLel3HvVqk2BBIStQzq CYTKFyNp+oNTwxT6/rv8+zOagXtMfVyCahP53aH4vRM47xFV3AptZzY5jTT+xkJOXh5ibaGHFHeo ykbABXuff962Q+6rtDT8WPy+JWtDLRMSZbZblr3/vEvTNHiYrSgeDM3PCCM4ldFCtpIhvxowWvJW lG5fmQOWPENsffvyHMHfkf1IsP1tdh6tQ6zGMz/8uIvcM8j3lYhjSJJg/jWw0GZ4Tf3wCzYOiWxk iCxsHbkuE87aeecwMMMpDFZVcrrC3Sdt4URI5VRLwb8zs539CXpUYhI+A78iZpAO7W6/TV06lHuh IjuDMlF1kyE5o/6pK15sf8cqTL9KjtFaAXWpgdWrRZnoPkWc5Fnaf5210L2f0PJtujRZu+TYFAEg V3e3xeO7LE4zNPMPEfcSBfr/fO4aqDMASxaDWC4L8/aYjfyO5neTxJk2rQuSw5ne+RLczDcfcJbv JkID/uSapNlIBhhFof96YdXzN3ehpVZBMQXVr+y1yR/n3YvUpzeebO/ga3/4326dfhWSlXqQJ0D1 xeBUBoRkP8qrWtoN4i3X+4fp1NkNJBJcmtf1lPwOQsSTKL6pNVaAIBzxq1lkP9E6uyxt9alj3hgQ Y4zaULxVSRHHlwutgnE7BqS6EPHG3gHsulbk9QCBTiO7u/E7uBgRpke7C1uYkBS/VZPXGbvY0v7b EcouWD5gUTFrNYm3OnIa7N2Wkp9sW4MPXBYintsyUvMh3OH/fAEaKMaKKrKw1F88PV0ouoVfC17+ nGkMjMOsvUr/O20MFd/mWQEpYWhvCUvJEmq44kkpCV6HiBmaIHlCb3oeEJO+zjnjG52UhoMB8Dzd ynVF7Qsoj+ZOxYktJBpwxIavmcckUqO38vQLXR5lDKWa2KCkfb0grifjDOZ2HjVAx9hgfXhaMjJ3 X4gLWz9wSOkEOwDi3hy038Soc3hoAyoJcuBv+1U8r9vkknRebvuaymZUQ35zdL5aCCoz3m4Y/Rdo +0aoTbG+ItofW0cexnfh1POgv/L3/PJhZOUbAot5WrUbedU0SI7o9jmVEKNvX95uW+6f9U5Bnv4N UzUCNGV+mqQrZA/fgcYkHzgsHdqUbuU5YqvO4lN3D1R32Jf1dm5r3J9W0NFFU/kEZH6RNnrQGi6c iPfK8ocBWya3NU7hI264nZrfMdR4en/cHxeRLs8YmdTOBElQAwOqA78UkzgxgjLYZ23MHgFatX8Z VtHOWCsZ8q0Icb7ZExSWW8H27TolneI46fYFK7P/GBjsH7QMVLRQnrqx9sSPbDjWdJrFr7gz0Feq AZQ0aydGyq/f1m5wPvBsKffjIDVtVKpMltaoJp4J8Qx/opNOJG+xYInzpRWtmZSimPyZzwOFig7v HOQ1sW4FNM/kiiXmk+Z/1QbUC13uVhCf2xLhUX1fdZQ9H6aJGiTd3lo0WU7OXiquMUmuCcmw7Xur BXm4TCTUfqxMu8JUAMrCMxZXJyvgcnHorQwLxH+na7vMRKKbj/RtFb71Yh2VJ70Kl/Bdj3FwO1tF f36wwdqNlnOPk2h7LV1gChE07741RhW8n/jlN+F8sY3z318p84Nswpb5pOpVGRkwVE3tUVEL5MT8 V0gUC10g6uWlhHkBezl+zJ8z9Wk9dLCv5t7TzPcswvUtZoGzOSdjOS4LNxJdFHs9iWstDGW1mKyq eh/cV3NNOf3lglHZtR0EUDaias8vkgz2GyXWDAk4u2sgnKNPanhTQKPEw0Po5XYsr4CEGcymQDC3 q+TM9Jw9YPIUFrgIkJfShEPZapgtYWP7fngl2xK8IdC/footTR2qaZvhIQtquhJbpzWpwnyvrYtz QOgQWpaFMfbN/w3JrR7oLBv5vTi2B3pv0i4FK1zP/5hnWX0ODq8+4wE36EUfQwSI3mLW+uN+Tao0 52R81lzHqdNHlUws3VPse2Ggvk1qgq++jw5vOFBNCQfQK0Tswye8SKZ3WmG70itAdCkqHx08ReEJ 4kiQOHhEEil5p/+U5rAGMIms2zEN+lCGH4m8ATyWc704XvVEdLhmcWXbOa1KRHh7uzbqIsUFKhux QunbTKZOLABmQ8sBH4vOjiEEZF+OIFDtS+sVi3bgOZOLK5cbpIHb1BpNjY2d6CNuK78qkleJxR6C UlSQPL+OpKIW7rmULJmcH8KQaU+uR8+Ie1NvkiHKj4cR7skHhTc+nhNEYI1cS4c+o20PPyyWDTVT hlRR5+0O9pTaIGUWqk7PUWcIKmtPMdnG4LaiUPNpb7QUPf6cqgMR5SOSSpa5Tmx/mc7JoLPtcDGF /OBGo8hjiUtH7QhL6QHkH4q/DwrsWTGrtnE8jGpDNXe3r3SjlxFDfry6JNdadVDtNkgz4SWeGFuk MUWPOZX/nf1gJJBYpT72f1sZ+9v/loQpTJ+7/5UNnqZLCfoBc6coyzXr2xKUz05IiMcEJLlZPSm1 X6T3MMKBPyw1gJ3JSkkm9dqTFgr0p6xSD8NN6TaLzIzk961M4iEZkOvu8BQkqfm4loTSFpoZGW8y 2LoEn1SuecjahEYgEleNr2KiJoyLYKWPkqo6aCxhAEWMkluOW0oz0oDprnBGTk/7PHOB/C18KVsG aJ1FxQqbgVUmBmQ5L6hiIjii87026QnLWErHuTPi+5by7zw69qo/LmTkdEmTI8dj6v9fVMfDSnzh UYrNLVgGMI9QBIYHeEca9rrsqMseg7JbiWiuaK2cV7f3rl/rCBDPHBG2HeuSEU5hHem6B0MEOu2y OIQ3LS1Tx4i4usoPUs52m6kYTW9d2Jud6rfNMNha6JLs71DaBTa3rkMqh8NhpkLQZCFv3YzgotsQ aruz8wJoz/xcjpNZHFXzmhuB8IUqV/970/ivOJjwJecjwoAuAle6hvpijaLopJu3GhF3HSOcp+mB 9LeIijmrDNoMOeMb0jTRI5IzJ1fSL9t3kQPrrmDpFBlv73JrXYansMMRPNp+HSqyYtfc9XTxoU33 C038HwY+V8TXTTuSqATrf7QS9b3Af++zkxn78RJKBFQUm5QmZFyockd2ZlxdyyS1lGhNGaihicHu BCLmVk1cv/OdoBqG4iAaRFq1KdVBmc7S0+mUKIgzjg2xvCIns23kbAhl8sRL9IYYMNmgRxeNMmem PtqyYOoc9hCQesfxUXGr4tfUVA6eIUyiXylUFpr9N9htdWGuyH/CMqm1QghU4c4S0PDxOOzRcFJl cl8kDl47BHlf3mcE988BtDcgTvdyiq4hkLlvA7VtSEtY8R2zCzQKbJT/CarINXvc/ZZIIjdoj3wD A5q9xflSD5NFRGtFInxMsV72zJFflR7PWysQBnEvE3ng54/D+akAK+6KT4gIDYm54qVO0HA0wLgb 69UZsNmzO2Qh5KaVkUZhc9fz0c2rOhv5QVONwX9lMplmUcfzB1Oyy8XWJyszFkdDATvw4tWHah8h /LBpZ3E8FJvqCUpz6iIgyDElmguRBMIj5Z4oDo/IMB/19GEQLAPh40dkwEx5iCtstn5OkH4yPqft 2OUZZwn7/PY+cLlhN3aP1RTqgp8M8m30DwT2eM6ap+B/1w51t155VhwgBIVhdf9bER4TIldy5yu4 pRZwwmGJfLgZe92FwubO0KLJcF7mwRL5fwrDYs6AtmFspbPPaYVsxjpsNy7nPfxzjb/HltNmbNro fNmUNCV6vaM4075+0mL756qheLvzDyopQKvGj4r4DtLqruwdnPO/B2OSnRHP0R01dWTTtosp7bR7 xdvCAce89AHBJ4nzO7HWllLeUVjS9PgDGJB6POCQRbqYnTQCzAvwZNoYpvakgY7kjbJEVU9RZf/J jzRqRB6PM+PJ9jhxjUL+YWdwoG+CnbLbDOmwQPl2/9kXwAvtOGgIJnfQxwRYfutTZW8YPfCem4cm tseOR/XrNZj9wMdMMx9qEpJkwRhYojnNVh4BvDAl+/HZtgxAtvffowSZklhASKh/xynQfuM55kjY xJyRuj8bm2ZcduUy2ntxhPirX1FmdX3GnTqzIyM+wf0399NxIfn0D4F8vKPHdjIckATqJeziRmas X/MwMCBVYO+G2m5qRmFLPBFPaCDhjUWvYwT/qExYhAuKtjTDhAH7O0JWS7ZdUm14LA8jki1PC+vl j7XYgS9t/B2VUi3iIew1wneR5TlfstAE52Fycgw+8nt59Z4ehG7aJmto1yqSP1D+KFBbFcTw3IgM ucChZtkg6uzLiRZlBZD2MBaPEhPuMHQABE2YmiRnUgdG06MmQNTZ7eCNo1bykyMIMyLD2CVFU1y7 wmz8idvpJoKYMLGpqfXBa+Gf72xOq1T1O90xZil3gepPzCed5JcqOrUd92KAYE1Nr1Yn1DfGkSY1 +CrgEl+NxhgOmIzzko0LHP27yGOoDSIQTn6OvAYKcxZHrdwAFO/DNDBTAg3stoNjoBsTi+YZ//hU RYBq/qnTbQfl7o5wrV4x3LrQm24qH10Cr1Qs7vObLXU24tMwMBlk2As0z69Heph66PEhZZK/Fyrh mpQTvVzWBgtBgbTU9AyckggQRfSsLS4KvbAzTLfZmzA9cx23lDKd9TKDhkpc3kKOIXUJ0OzeA1u3 XtLCQpk9RLKrnaEzofOWCS4OCel5KRPSltRRouTXcx7ob3fLb+tVv182ODUygyGm+dCitpkIoA5+ UBmcsUxvkR4iDAAwkXVodlHyPGLUDHTqBOO09W1OCSSQTg7REJzAbX6igOuA3GA8HsV253D4YyIb LSBiXsfSdbKReUy0Rd/vk8zjhNmVOBabq/ZdeFFKFMZhzt+4EVL1rHLIbcFfdyLIPVWBsiRgVC2q 18U88UMAglO4G+ha+i39pehLHdgTxZeefDjRkMK3A/jBJxW8tlQRxaVWpMpnOiwezuevRc4lr0PG etHi0Ird6h80UzGJ+qXPeZtVg/je/z220JgqU/W6+RauzD679TnBoS/t3qsbKcOvdMlHTzyPV+20 OQRkrw1LPE9FRor6iobH6W5ugIDSHHpfIThPMDAjASALs6A3eWrey6uEXDwgfTOKLQp2E1ltPM9e tV3hishotUKQuRYTedSF84d+Hxv7Yw1gYT3xQgaF01OvNydb366liG2JOiv8KqyclAkp/ybXjEtv hfpGlKTr+GTtuVz4QhcjlhDFAv3M/O6QwKa8EPDYsa5tAVE1Cjytz1sTw70QcX0cR1MfFQxbyeaQ HGS8pR9sQVpPsERanBOqQDSFAg0MzbosYmhnsU9Hc+haKG8q5gXtoGKbI7pZhB6yQfVRANB/0eOC 7FOkIb2k3b+tQm5JoSRaIRvFYhLIPbFnAbdRIMW7Angjlay9gU72ervcXDhWi7iyInLNFSnUGJbU JSuw7dxivLJzw1YdjARyqhLNdJcZXr1ARBtxa5tw3pob6kFsDU5Yd5TVC5ZNnXuwQ3egf0uQJ9wU 90dSH/rhj5xFHgbHtBdTWKzDrE1ZpjPQ1JHsT3fvHJkzGiBkLtXmMU41YN2jHEwG/nIQ0gXHhdbw 8/d6SE1CNGCwG9yBHqgVt6TM9IZsL9bOAhQCwlmwSZdM/w9PFI17sE6zCAcLWP+9EWYmnHv24tm5 FJHOYO0HLvnJw3eSLvmiK9d/YM17lEKsktXca4L97sRu7eojV0955SWC9Mxmu+slAjmdNB5NJgh7 BaZ1rlZfgZGS+wAfv3I731bjfMBgBEhjazELCQI3OE010OeYD4M7MjUqSXdJ5ptgiIOAfW6ZAaQE vMPr+PsHbX35TwFEgOo/nRSA1ReVos4rED1eysqhfxlY9MA278IHWyDSYIGu9QedrgHXBW2EnvGp ze/tCVw/usJG4S/Zn3Z+Qs0JBp2B0qwNE+9eyucaDaLN/+DYilQMOysM93338UMcUrOnIxT/6upE 2q6maiqmE+4IvuntK4WhRB3X49F09Ja4TOPORXv53AZHw8cxdWqBUHAWhpw2SeM1UsgPmB7+HRm3 tXnAwkFAwoAFVoo2h+2XqtBqAZdLku6MNhoec9CDsCh9+IoW5cWTy73RJRUNhqIZoy8fFvwSvpmE D+KR4YbF1RDHWEUDvH0HyL065w790XgmAPzlC6RI+IP0PJQ/LGZd//qLSvc5zdyHyYxosoKlixug 4iSWPutjmAXYX330T5Va8g/4dYAPswAo1SRsnFampLuU4zp7kHzZHlg8+NWp2qXHA2fCJ0srStZE QylrWaT5w42wuVt+jrG+LZroGEADT2jMc23tbWnXeYbXM+icG6o9HpMlp/flxNPV0Igl+Hh33nLT nrI+Fg1jW1jfq1M2biH91Qpon7+eVaTY3yny3HYAYS9sJmSf9XjM+QDi+4ZQkcN7E+tQH35U0QA/ vWx2YwbBHB1+NkiFV5FYMnIyTVnQKjWAK/1SP3XMqOZ43fHyLBMVVxshvSmmqiahgP9cWKyA6Qa1 oUF3AG/8Cp/cuauaiagwrq4tSvwZA6hLW9dgCJ8c1sW6jIEjE84HIAnkJsF0b7PDeY9XObm3/nRY sOpbQNwjWLzd5gC/H6Ji+zCrA/JFkNBM82U1+3B936GGwiFT7DFdGoNZ0+lfP3a7WMpC2sxl1fLG d0tbAs2zpMXSkD1hbp0RwLMCz7yudjDlzK23xlsGAPB8EMcNW7LbPGJnx922nmTPoE1gjTBEKfVZ HsOzhu1z+CcFHIl7Byc2WG5EW6QrvJeA0ZndpMfKGw4jozOneuZEE4STnyhxedy1ZMl+Jdwu2Kg8 nndHrmfYDGDyg1weyfCH3W8C5PHVfWOVNcCDt7eMoCDGJURZt/IFyQN2ZXBTCnsXxB6NKpERNxkJ U7U/8rphODZrFN8l+wYzzn5vV+OAh2ATHkXdbZdEhKddXK/6VbASM+vVgofLkWIMy4obvWDmBjLY DsJiRi0TxXKql/WHFIS9375wief09tU37Beb4cSndZ6TnF81eVyZAayaQtgNwuhRbiKaMQUEl1ZV JSY5tU5TP00+Dcsf01MKTPcYskY0Jsd4WKk63fv6ECERpaxBVadQAAV8OjQYwfhpq3K5lB4JT24n gyMASQcWqQ0a+yEUZTD+Lgan51P7v/zRXHDTkDI8+6/Gm29Y8dQaNkDZfzVlFNidwNwHGoRFRhSe 5YQUX4pZbjtsxCykMm6mqUwBOxE1yknXYu2GNdcHNA3oa8kIl+C3dE4aa2AfsmbtRG1JsCgOAt1f UA1y78wf2lOwppwcMosyzpDYOYK2a+hJk3oT7sSKFHEv354TNWK2wGsSwPpCoHrjfjsRyGtJTWYe ahI7iEd08Kf9VhLjzpun0+rOyr8CUYFI4bvQFO1544Rdd1xALaNU8RCAzTjZXrS31c7QOWT/HoK7 7OhZU/IgTLy/km6NBh+CITuDfrCXQPSET7pfy0Vjx3Zippxuq0ohiEqbB9Z6gecdhd+Hyke11w/r 3iHVzQBiRrsKEExhGJbHjqlImwTfqxSeFlPmIcfEYvCD3rC7Uzs1m1g4s1+6fXQCdiKjv6iWyHh3 4DH9vZzwXhPH6IPz8bqvM1yzbHLpCW1tSULK28778l28d/TATLe3Hth+crD9Kqx95zFiVByaMclT f8Z8VpQd6Po8jyx/Wy64Z0MTRa5lHdIwT+TYGXtozoyZEioH20yFjzh8FmPh6OtlZ4CbZSWpkHsv aWqN1oZw4PlapEmtpsM2fzKgJZJ1cjajw0wOvL5U4rDPtU+jM2wLYCSXvXqsNtrU1tx7+CJMvayY xzDlwBhDmhkDJa3YygyLr/vEpeA0dz02vulbdhr06T33O20cOja9vyyO5+vAfoCGdT4Xkj56Rfzq cebtJJs+vhKxdBI3A8K38lgBJjPaB+EKFtikn+Fpz3D3MyxpfZQpEtbOsNjCeDbm9MjmNwAo8BIJ Upv7qe33GNgVP9FhBYrKnnVPR6tu5sFCBFjUzttaZh9D7YUUUZiZI7OPBczDHRfs1BnegxGv7ZSF VUdOKzQeNM4zn1j/Q9P9jVyWBmbJMcOoEzMluF1oypQQ03Eh3Gz88PYh20HFkTzUF7/XNpMayKr2 onurVTIT6PRCvASfNx2k6EmcD7iItnUX2E2SSAM9wsDLkaqBw6VLw525btmRG/MkzEBLO7Bibp98 aWCVv21V+tLColN7jVeAfxNs11FJUolw9F8iUkdBTlCCpaMvsYMDL+fmeQeu1c+EMzptF0yT8+Pt 2gkNxeA6W7CuswLzvkDuIv8w1kBwbRE0Wzu/NqlR88oVwswvAY5xi82pNyKEr0T9V0WlJtayyIyu gSGccZ8Euwr6B9o9Qyf7DH+yiB0/qwg++ALGUaBfIZpbtT4liK5HB67KIV/KOjl1CAb+pat472dP 8OvJ9Dz8QfE8UTktW+SkJSlEuj+U+TVN8Wey6wN2k8nrBVyYPQH7q5B+J2O5HAy9mYAcckOfAP/k 34Ue3+83OTKAQJobpbcFld7cctyi7dJxUpSSfPRzw3D0taj9p9EmPwBuUq4DWtL2UyvpG3rEU9c6 h6uMzIqlkM4gJPE9dDFML3IOdtq97hbiUFmqgvl4zlcR8Q5yC9u/pLVeZLbMfxy5X6UUWg2bOw5B X/WE/5dtgyTsOBdkvBY/HMI3hGuUo/gxwm8Cbjg7NgZ6JKgA9HFxc1fe1peaMezP3E7/f0ainhpP GtaaXUruJWKBsU085ApDwAirf3oGBk3dlMkR6tQNo2wn7wpP0XF5CsdIRVzrUp/DCo+id1r5MPqs y6ERK0RfR9hGpJcEoan4CE6Rs8YtJMGHsOZmFuIynx2kP7cqb46HR/4e8QRqNCEft1WSA3FsNfDm 48LG9xlBbMCk+4VQfwai6pSmiD5nhhmUedEGFVvAWNUAIUk/kGdQt6p1jlOWSP7QchQuXtJ3BFJG iAP6+EfA5d4X4U638MaVZkieSVXPD6xDHOFsugW/QF1cuWB7isiDkZ6t7EmSie0YRHyo2BG50zCk FsVsDkDYuIRvCnXwUAny8mEQ3TYrIlBOvuux/xJmWUQZw53hQ8tqFVCVI2IxfqCzE+OoHXZTkT8a 2WTgDH93fX1NaiMKDv4OiFwZx9HyU7xxuFSnOTEH0WJsrNbH+9+oDzZ6dmBAw5xanx17dQBvjLrz qhlBicDjEKHBNQtD5Yk6BMpPFG3Mkwxo/SaoED02SaMyPyQsqFcY5x8SAG6lDhJfJygOSW/ba03f Y+BrPI5kBFLIe+q4h6TenzD/sq/0YqzQC015EsFB/AgPOeDqC5e3fgdUax2nlIxxOCf3pMPEB+Qb AI8IcExFY2JwkJU2DP7MYJjKiR5kFKULMmz57KucEs0dQ+rF0rx4tI+QN3tO3Fq4x5b3vXWwtYMv dz50GHM/zG0PG8w8VbzUW/Xl3WCECJweESovKcg+o3gBbdNGXqsFhLLdL6MgJ43qKZgJTlgUIhad 44u/JzE5549T78V/2aTd5tp7etKEV81N3uL+NmJV8N22nrFdMw/cNOtbqp40Oiz7dfuRYpjUmgSW 9SbkIr8+2b/WqcPtSgK6Sso/CCT687c7rq+Um676eAvsR8Ykfzkab74lAhl9md7JuqOfdzx95bIh 18nFZ32koZTprW7kmqchxMG2GrgU4DBnNKnTgucbiaSw8tq29APF4Ys9IiTQ8OFom2r8mgNr/uz4 SuRHH9SQGnKKfg3Ojjpm2m3HcnTvB/JY4pjyoHREsL5EUw5dlhOff1lXmazJ1OwDUZu1nlV7R4jJ 523OVQ3qZcxulNosEavCRrUoze9R/1JDN0NvO/O8tjGvcQHpTL9ggBKtRVGZmd3JcdOLSIsg1YrK O/aMC5dZdhCPqf909KQfeM8Z6/BySQOvWskPZ3Py1UkYd7M0tvzoWIdZeqehzcSbgCP80sTnbwTg As2sERpb1ODbrqLeTdK56yKdtnxkbOAiNgUzFZH75mcL1A1MJUaGffDg/PMv08c7fiwtcX8Mjov3 /nw6wv2sU3vyycnWL8R/vgXbBPGVkxqBWPPLKlzZyQHmdKbhRCyX+CE3uafBOJq1x3O5eM6OZRB2 ZLDUXApn4qOqZj3m/uvQQm7FfSWkoYeauUKtoQXM40xwgLlhyOc2+fsQqCUeQ7vRtL6O//8vcpFA a2DHZnQQCSy8hmx1RHKgGXcIzrf1gq3cdMb9uGorPba8MA6snIomwe2M+HAGCLaX+F+fxc6oHMOe NfeMuQZW5CQQeWpTNyQD80ktcE8ygNA7tibtW42WiFIGSQSPnlCmpDoIQZ/ro5QkVdqwG33Pv95g 78SoM1ueJs8Tw9/Dz0YuMuV+nhDPQdejl/NPkDcp3GyO8gJNYNYmDVWbVJ2OzA0R32yDsL0NNjG5 Blw2MU8Dt2acxg/OmyVjAhs6W0pwhElZ01F50TQNACLhZ/8aLajRm10TgI1qM7xJN2AEMOHXM2cW 53EN2UbcCRhPK+bYAC24782XWCrC6Tr0d+Q6G9bemxzd5ln0Uh8TTOMgk7d2xM6pQ3rAfG1JKziX l9N3EwHvMYADRGEbX0oRd51ZKD19Xl0ueoiKCVGoU6DhfRwROO4qzPSd8cYmfQSOy7PpdT6PUVRU yeMTdAfGUiymhPxGMQ8QN2Lkk/6p9xBI6EPEFYx9l8rWZUAqYw4thZ2IqhK7d03pSd3kEEZdIEQv jp2lFaYFOMMi9PI+VvC4/EUOe9Pa+JiBARRVI7Ut/zqFDWcuzdNQvuttahz6xmt9AFVQy/tQodJ0 lzQkVOaBliQrrtWjB59oBD+agvVjBFUEJo3YiUkiPsrajkot6UDjinyqVDyl8e+IaXy1LlcliTPt ZivPySvoa40/oqr1cGPItTbSRMUnkt1rO8Mr8FbR+KqQNUKJWb3x6A7MWeiEpsjkCFX10XOmFsP2 1zYV8MmIxAKeMLxeHDZY6tEOVJusFI35jMomfuqUhXXuho1fBQ8Ju3rGb0Gup7sW6JBp9UEPoW2f PqD4pJ5HG4wdkJuLy1LbB6j1QJTyj9K/OWNZeF3kxTlg3gnFvV1At8s6RJlN5y5GXjLyebhrHSkT llCaXjkdHJLN6x6WKtsEARtDNcsRzzsRjFVITXY3BqkubcawmIq1UgVqcnrvt7K6xJWJuuq7cZmj Hd7fDZ/UAkb7YeaCVj4QZo8REngIdq9aIltN7mxs4Rnek0gMApQZMm5ZX7xao/03DOx49jDOW9zx ydOZw4KMG1r8qA4dBlw0MLugYOY90wevTLHQPjXX0DPKFcePa85m4ZmwSb4uSKnHCE1i+GX5GfQp n2LeJ9IWYiEQ0D59ruHAYFoERKiKHkGxQEEvt5QikIXrSHUqTPjTLBFQoS7mqklHiOLMX66zInAQ eKjU7LeuB6OTmv2t2IMLGRqB0plngWIY9UuEL+QMItHQMh5JcU6+jrnE7DZkm49IftEhGtNb0fi/ uo+Gsp7oNal1Evhjbq8phNzg8w1Kwt0diKXGGoAI3NDD0z5ZGMz/d99f8woynEReGk7eh/bsWt0T zxLC7qzsTKnConMeXTwJrnfsgTXDbMK+cUSvFAYmRgcJm9OasuCk1Sw32yskTJJe5pUW+lY0GgJL tU0AKWkYog5aJhDUY3nSVGphHZUA2mMCDWJGOMAo+nwWL84Xumhuxv/1V2wP6BgfHBVRqJFso4iY qB+NC+2rdqOhznGK96ma6VfB2XdqjJO1p5UYJBQ7srXZT7YBBiNRMQ4QwDI+Qnc+n0cs1OWfnUyQ uUCsZL2qtwkDvjAlhZUfGCClchkrgKV2QzRQu9coDs896mbiq3HLqhCJ6i5RV59KIMXXfBBl8MJI EbFzfJNpyOjivnj0ucCDyHXtRyDV3I9FbrZJY6JgIqAYbx8MzBbrRs/TMuteHV/ompGBIdUxL7u/ CLcsWM9E7BVpGa+tQHFyt7zsx0Tlmm5k9AKkjz7P9wjDKV9blUXglZK/6jLQOcoI6mzcso4mDqmJ aT3tGD7Mz6OxiFFhOjV4owL3/o9biYHHLqAk3ysXny9YMWunp88lFGYJ3Xwo80tA6878/qyMCIL/ /R67cR8CD6UFO6g6Cj26vAmbh1er3nowU+I5F1KmEcD9S9DmLMXEok9g9sCYf2A/xwtZ3LbcS/BP i70DDJmWvTjh0w97K9JvNgw68302rVDN/Flv6oJrT7iQFMmJHyHwLJ2BkOagdsmggbR0yBRodwMm kRaOy1p/H1SVIzyO6oBSJKbByx2avLycId0SEfEAtP+6zS9Kdv0gGTkO1It+xDUgh22AWeV4I0Au HUffzaPOyVxZpbAKIv6ww6Gma4S12a2Jda+vpvoFvN5H/pWF70eB9vIAOz0aDD79RGQJDcYp+tYH m0tbyjm+pmD9dLJCT0KvuczxQ5UZQqVhj9Gi583f+gMoIFgLESKuJABUrAzaAziJG49HXSSOAHYH TjhYNmEAagrTZBgp7QTSl2Tzt8yySOz8u8OkE22psAltTmx6L5/wgVDUoyHzcdCGyQ75mdK0xnBy XQWR+ZximTaF9tOLEMvAiwX75unxY8FVEE88cpJI0C69SaAe5j5NqPOvc9MlU7Z8F7HulpUfdMiT M0tVl8G76Y5u/JB4X1+6WnOjzMArPIALGgYjhyZFzPPvEj7V7CrbY2WWz9JuJFNMUGd8w8n7Fr96 dQEvf9GwXcTSPEuZlHe4r0+uKlb5PFXg84nXVlwx43ojsnnNmtggs3YCqHZcjoyxBGZ06ni6UmZg KrB8f2IXo+p6myfMgtUo2NjSel5Gekh8C+bDvA2M3XIwHEoeEuy2E6ZBl2motUUUjoHtmahLKmcf cGan2g/sL5PaexGmtXx/X/bLpIPnRAKk3YwXDutBU8mP4ux7QT1M0jFK+lacdZ+LRUVrysc8dEap rexqQb11ivqC1Q7OJI70FeFs7yG00hrWNgmJ0sIQOlx/9fNPcnFvZvHGp17vYkqVsQe38fOapkOl yKwraE4oFqQn39HnyPQe/+rQCmBGF6Jf7EmW2ImBOtp5RmvZ0GS5pxLnH+tnu1MuDEvpkZkFUtq2 j/hzYaEVj3J88o3ggWHv+RYvsyyBYWAiuC902lLih75YXEvN3PUtcf9IMWAXKD/X87M++ZqFMVLU C+kBjvqSFBymwcA+8z2LBqkNBj1ZK1tGSuWVKEvNwbLo58ytK2ZFPWjbw5xjVOBx3t0X+cp+BUdt iqY3Cx3cP+U83CTl3dHYlD0qyasiCXaydjJKCMll2C7MABQ9AnC9ag+PZlVscPyWaVLYzYej1hqn dX85ws3IE1ac2tdCltvaglUNxMAmliZ1LaRWzbmbul5cMAs+2/b3RLkLb6eA+FtfMEd3Kn7h4aMD 7spjhHjI6hsY2QFAysXq8R53htlkf9UtaNO1qlZelz7usoB6JQNpcpZH2qUeRt1prvLHMqrpYDlZ DZTyLcvRbUjXGjBQ3GnkaPladsHfnUW+ArNIKxVkgx8OXa2KvEHuBczDCHsum8rIZ6q7P3vwPUpx SpqDglsrIk4FunsujGG0DMZ0IrjEmFc2LYUV5gce7okQ0Pg+JIpezhimYImABeSt74l+MFiE+o1t uH7sht/CizO7cb5NLFTiTr5rjwidVnJQ/kiMYuED+XTLgyA7Ngn4+2aNiYsze+SlrRsVoMDMn+Fn 6wCE60Rk3vzM7Xv2rmRYl7x2bE0b87OfCDExqiedLp3G6mPTxQEeK30i7eaOxPAz9j/XQV8Xfvtf imB3GRecn4zjaWZ+KcMInOl5+toKIuEL7c3JQskw02jKRzDx/GXGuvSs/fneDLLQDOGFZTJ4N4qD RFH1idKpQiw2NWRCdsaVu6xLVdLtAmO4PVJGA7ZaPnudsah5gTqYoJMVQ64k6/wPmoKqb6PocyfZ x8F8s1AEf6fmPSq0jWNlmninlVJ4WhOdokvrJS3GMeIHcKgs5fHR7B7lykbq1wS/zp23v4J7IEWo 63rXHJuGyi8BzKuNoSS5hEwvWU4nlCfEQCx4BOEhbU8Fe3E7EttrUeWxwNB4Lbk2QUp1f3cNstzM zey3pEe+kOYk5YCOVQTS0dCp6C68u8SwC7XYC9RAmc2XfH1G6dMVLZAfPhTmTOoafrpKpjsH2JFi 3mYeryC/FbtO2co7Jm5ckvLeOjs34n7z7PoyHAfo1Z6Oq5NIOINyK5/cQLkfXRpjBbMeoskswkWv x2eM2NLWR+vdVOEnB+O9K5xNZvei0Iy+M60FW6Qzk/Dk2nho67HKl7mV2r18rvcFE0mNAs1Lw1nj RWI3p2SqWQrYloZ/jctcYjFBbka2VaKgEk70Q2gASKUFus/j4KgbvwL11sgCkAg5kyfIV/2N34GU pNCqyDmfshI5enPgy4VBpS8pvhO81b/PK669aG3z9aFNLaoV7z0aPNsBQ2c0TnACkbXdJ35zycdm 4WKppiAoqo7M3t0lskRdK4paj7YH5/1QSu0wxAYHTIz0fxbh/mx7mNV93DhuFGdE7u9QEvJtBk1E aimiom3DbzAbr+bnOTQH3O7wHwpyE4mKaCt6spABSvA2H1p3YbEprKm9c2J11gVAlS5DyNjwJRkk MENBtg2YaAkVaXdH4fSkS7/tceYbuTlag6aFV68+Vmdm7wSscyEbD84b6qNxuL0o9DJMv/+bADEc 7TKjB9O8o8PzFrNP0kO9pjfNa92bYNDSHZcEh4PaPEwRnCqRW6g4RWHJkts5XjBvsyTXNN1QbFm4 6Cowi2pKC3MpfCGPX0a9QO7Di6QiYFdZy9xLx5AJ8ELG20c/ta/w+OachoIYYf8BU9f4TE2T2PIp VRjMe2fvktXhgcjHhEuNwhQT+vbayA1gR2LqKnHy+yJe5MGCRTOBxkBlkBnacxHVXyUNeiu3ilMs Hm6drmvjPX0apRlBbB3mxmDu/lid8IUMhgMTAIiv84586zNhOHGISQvjhRxVSffrrO54cPflQ5Pu hhSd7uFEF7nQB1tkwT9l2Ygfb1GAcuvehCOutfjiIiDApfDpk9QUzFvmZm9A7GyZ9+xhrG5Fwrlt rkPcsRDyGK5GzhcrhQSC3lVEFZiQ6T7DUVHc7LRelZKaUu19XTK3Ci89XrRJog01CBAVPRr1CfBp rdekHMZzdGYWYrojJMeUk14Xa7+OcTHBy2RB2V8XjC2T/90iMYWlDo41l1x5k2yIxcHZO2mgv4fh 5NY5Vw7i5kkjTLV5cFaThg28imXl4SvMOosQvmjx2kkvrW92eFBXkr6XKm9z+KrXi1w8pTJvudvr uFCe+eIvVctfkiRvH6kshv37tqzH5IXDypVYdE9lLyDctBZhHMPI1GsZP54tqmQJL3Y4V2IkJ0j1 QeyF1d3D3ASIj1fsdhI3YEVPTDCO+UZroqMYATTscan2ny60FOue0vJIg5JmptahaTWe7cpHc6z4 GZqHiySrsUEuRQUTxUtBbugAtJyHhPivu8LuNKqjRYXByAyxDIUZ56Ozr1tWzl6+I/Su5TrpQvkt DKTaOt9Dfo6hPqdkAC4rPPHMpW0miLIIxMHQreSmFqTz7HqzAUD/JZDIYu30cy8OSSIaRPc+UioW REKJfA1/Upg4zTxd2xNT71hpvx3YbIGD9m5pDT66UuqaoTXVvzed3onIf7xX5K7OjagnwOZXVvdA 2KV3bUcFUyRstClFWX7N5aibtQ/fr2I8Sc6p68QdtSK5DUTXtm/KaswHso3GmJOGX9d0EVU3O2Qa 5wsC6EMDTQ81dIc4stwZwVV9sWGwNes45/MAKSzFyDTy03sMMb85VCrBhEol3oy+9ylwLex0nhm9 UnJ2dZwEdW4i19xHJf5uZ2Dy2vxm2unIo0JVz6W4DcajRi9mGTTFNIaG5EepCb6rCgP8cXwqMbJ8 8sDMgjwXm3UY0VDkHs6cNaJmOnsGaSeCdOiwpmT1Ct9i1cIrgALSwG9x6NHBSqDc9NyCTupLKorP jgX1pH6ju7495AgPy7ZEphxYKL9EV1O88JegHpa5J4HNNowypQrFyvLG3lduqsTkE1av/c1fezv8 kux0Oy51gGYysxyPuuppVpwgsfP5NxfgboKmcIlAFVMT62xHmSJRBTVOaqfIEAmtPwxxTzrskY8x zhMnOuHwcSEI5myauJJW5Z1dKgjjYfljccvKg/A2D1E+U7OZSK17CBpJUhaRcMr917ZBC9DeVs4I gOoeZj2uV/KTki0rvajG4g3J8CpLkyuxjiMZqfIaDxuonA/VXFZrxymXFLk0yNn/VDKk3BSNES6v IsryeX27cX6jwLgDadBJeTovXlRAker2zWdvCuj9QlWZi3t0942W3xhysiGpyniRqkiH8OOKkTeI UVVtg5B+XD2mzGe4fDmVtNG54VdWK1Ht2iteqNd/g30/k6JUYFD2ugpRNnrGKJLOJLqn6ug8M6UU pUJw1RzhUFYTKL9or6+Wm2qwOxAKtuMs1XMyqXH1VFrQ+vw7yft+yLuXvk4yycdCBNBmKxjpQEYA 6V8RgKUi57Lvu5MilGEp8bzNw+la0EfLmQtDOF7rp2xp/MIvTT/qxSscP1LgNAQwpopMjpl5xsO2 eQWxHWefNKbifp8hxGKIXi/Ymysfbcygl0UCgD2OEsklhq27Sh3AgIoC9PJz3GdohFveKffvWJBa KtZ/TYv4ffCQgjeMzdHmi9MwHlV5Rz4xC8QPuPnJTTK8gO6jvyQyJ/1urZe2X9Kmht/0DKn/a22W pO8tovnDr47lePZ8kEp9JGI5di2WLyn3WnnvGFH5ujLxN5w/h53slQ5HK1HVqGJyi1iBIaYttfoQ g0k9J+FUU2rIc2GOdedd8QJV8Ifh9QY5ypGVCvL4WM0AgHwPJIQ5KFdrbACDTBx9beP6NQvtz3gG l+vo5ZRe8dGPr1le1R2CHGLkUmH86o1DyfvPq79m6dexZ0iU6N06KG0nvjTxbHqhWgy9qFTRuR7y kcac/G7KqZHGHV12NgWUev2YGgyqYM1j62kWx5ux/oSSNtg9aiNZ9mT3rhDLj8cNFPA3b9Vt3lZF fIAOqqcYJ4wg5HtUEEIgNPcUsuOQMERniFcPZfNKzHNIOUmTU/dWModNYMkbO6MpQ9twLfi0IXUt fU/Mk3r3L1m8EvkDEJNNn8JcSQIju8uFDafWBFFnj7VGZ1EwIa/HWcGF5Ve/iXdTGR8qrz95S5GU 6FupobUY3TQvYsd/csxSeIx4KHnznWeOeZsX2B7qZr/eXHLZwmE9yx/yDreiMRXTdbobBk1/eBzA iwFPpNtSfgM6B5/zYIJ/FtOnWYmh8A3cEsNM/+Y0/NECxNr79Cq81eWgeOLTGE7U0olhXYSSmcG7 R1kC5tcniWZH65c/GyA3NVAU0ZD3MJ7Q4ydZ1kXLeLeTbxxOhKep5UMG1rgfCuL9WfX2OZwoJIox lZGlZS0JFPNPuuSgDwGyGWJeRSEUa6jcV0SUueTQgE9kKzFGB0ZDcMcGgrc3u43Yd9MRGpA6YyXc /Om1USXVymdE543L6QOUeV25ZQlTt4Ym5TJNQqzZ9EsI8UMNGYVfpMtnGrq4DqKuJcIVTZowbQG4 /h1Xm8BT77KyzmigEF3AMZpIqToL8ITXHRuOz9hO/giUqu60Pjsdw9Y7qy80+r6ELmb7RMy4BOJz SyCsYn9xAUKerr33fC2RQaA4nixY+JZlKgZCau8D8G9NIAdC4lxixQnbTHGixVIkhwW0GN5jGq2J g+BhaWY85rUrL9UCP/n5/7y8jnTr9OINvgTFQ3afHyOiWB+VrFRoVSWvwfB70+hz7KxFbXHCNYEN PDMYtC7c862ku6JD6av+6BShHXq2Vh2QohwWmJS5RU9ImvvWDBT+P4qUTNSyIPyIKszC0WBLFkHd inTOzsOxeJyeeby2fdoo2CIluqxx5IsPB81jFqEsxwadbMotZl+9SOdLpxDHVeCvoA9Id0FdR/Gq uYRC7F9qMQIq0okEOO3Od3W9bP1HpHwWV9s+Xeo2G7963SqAePT3Klzfte8JYutcV0PtOxpm2/EP 8Vf9iTiPSlR6OlHqmB+ZqYSwHUKoafa7cPLMm+URC9BamLK1ihg1DVh3Us4wsIoqFK7DKVl0DKA1 I77kkoxWbUtyScP16UmQTwyGjX2o/tsjsx1MOiK1lpSRyOdd2pdcXO5gb0drd5zp8tsoR8YjPYay wqRit7uklYlcqm1cncMZEpwKyYqgXOOh9fZXfsHKHWuMfuhpPzEAOj4lJ0JWtzfvIs8l00DoyTEc Hv6nldru4iQXZY/4Wxtv87PSIreYhZiOjXgjk/RAvSJgS8wsaseqkI/AqdBsy1prPGqjEL9Sq3c7 +YcRlWBstq/FoJaSSyAEagBqE7AUWdniuMKi3I+yy1ugd3n6JZ0v+SasmAbdMM6JlCaoFqpJHx+W 2BBa7f214v221VfT2uyTVDm3P+KUmevvM2D3g/v8B6FcccbeufNDztZ35IhFYnfFYNUH3NRA/m2C QpmYOnfSted1tbXApC4rvxbOYaQgQnxxlKCXzCq597mqkc/UTppjrKf3jG3tHd1QPoyM57JV4i4T iaUEPgid7VTye1f7UkTEDaHocqPY/CA8LTBFzuzThESbbIGUInM10icyizZYfQM1+/gq5XeRwrf0 61MQU4YBOcf5EPBkEnub+atz+DzILLkkhl9liz83UKXmFHG/iN8Bl06cRFQXfi+uHcHcbvxpx1pB IPEfEX1z2i3Hmijisvnf+S1aAhAskw5aRDJ+i6uCRujSY9TOe3+8OebtUlmPS9LOfyJQ9QzoAfam EVsEzDXZc5ONakkw5i3yDZsQAcwGr8ehcKVHFqY/2VWbFpA8YUcHLMFcW1C4VbNn5rRwJUaKoTeP Z6j2HzZ9l1q5PjKU5K3RFWAJuGElrrgnhVlBjrKTCw0p37z2Lx4m23kWzR/oMHZiB7DHcXgaKvY4 z96B1WGD2GxFqluK8WEQGhAri8b5NEbD/y0U0WoA3zL7jVAlxf2HUmmWkrnKR1tDSow+HJhhapjb hkXtSYAZarW/YyfouG8A6je/q46VUarfMavmQhfaCE4BI6YvVAE++7N3+QdtZvv/Bhls5dSmjhZn 33q/CDJl0XL3UQPQ53+QItGO/46Wy4LxqweBueNlqu+mJDZDGEP3JL4EWsw+vyz6JfsSM8+M8gUP z/mhbPooqAGjJlsRFsTDaLz2ITyvnjln4JiSacMvxAn1hDdM0eYQ0XdHqrUafrJXbmDGRbsfmC5x w7CM2Emk5qQLaA5WIwXD1CmAic6Mzw2lkkP9EAIHiRp2SVxkd4dbzKH8SXmoYfOgVsHHgHg8oDf2 e8DXPlYw89Qo7ScGRWD+jUQMxL40V9hRdeGJKQfUwZbCiIiK6hAzMc91Z7chmOy2PBWrALKilfnr rqOtHCJEta6phgVJd1oISZCcFR2ZBCWqUIqG5y2mMCtJKMCopo6qXYZnePsDk1XTx0NXvgTTWwRt cRcG3KIT/4gTwgS0oDq2JQQhOEgyQrOupUAgo3i5m9VlxdOapkUa9abz/rY3jkSTC1ikwSpDsVi6 4cH02VcJNbVGQZYUoDymTGFihGwVSI8hFwI/kMT8muX9mfaktk+gQXYi0LWMkrYGM00bNERpkvZY KTgH9SW/AHkc+EhvqumNI1QoS36pZ5thMU80wUz1FObJqZXhBIk5zen6+Q6yurSosmXnH+j62rps fMbs0T13zpjFGqZf/nObuYDhS2LH3CKnB1ar8INR03G+4pPmOdNKb0hsEIFQjlmLsBHZWloa3v+f EFSV0BA+x5P4hCzyJX6ey4OzqH6kv6s0rxLl0Y5gIKS1O/HGuI4ShbjW41Bcn+Lb8Aytx+rRbt7X Wwke5R6ShjrF1WdEdba4nRBr2AT72plFffo4ZtEP8JNUNuv+ThwyCRA+kVvS5EQHRxBAR0AaPiT2 zhqf6WeuC86F35oijf2xil4CUjc85ixKuaNgwavgwW+5zxV4RkQ2LVyX+LTCpwCW02cHZifITFTg yN6n0Gp6cLEfsb9mQjMyZh91EF7lEHP0xf/pS1FD9e1JADReblg7vp3CI+9f9hrSpBo4VQNPHxYM Vu3upxHS8dSUNfuEKOzB7JiGTcxpmysVa3TSz4Oq7AGceRekGi+41IBu6gTbjsrs0jp2CRJIfotm lqT8BpIOM2sRTVpMWjko8y9f/Qp8dwrXr9Jn16Nz3klyuZJwWQWafupNyWFBT8J+LlnQpYf2HkLI nEq4bH8E6wxdc4e+XMh8TpFRJe4I+/un7DtM8aYGRIxCFOo5kV2cBJdSOQEho8aksPSeCMEYUKo9 1z9L17zAnxftyZYpF6Dp5l33Qai8LNEhJAcQOlDOX/1Xb7+GKJ7Zg5iri9W6P5lyuXudxXRAw/pq G44NXa63HE1t3/XXXhsj9Vc1I2SIM7TwFSItESW1bZ5GkZCuzhnQzOju6WnC2sKWTAFV/JFE5XuT tmekQHLOEwbuJvT/PYjTQ4m/9BRZekfwDMSvibOlcjWwFmBEaYOI1wERp52sSlegIMKx/kwe8v9s CtRGiAgVFmeMwIUkEntABGGy6V7pYjEKFlLtvnS4aLjRo3L6ajFyj59O6VVZK3G+N0H/Wp7YHzAk ZL1EQG2+cpw0RA1y442cmvqtAuL49s23Ko2MO20CCm9ZVX1WJ3VkKwOtqIjrurV+VoPkEreIka/P nD87/41cba0Knld0ss32pM/hHHjs3hMOTsZusbRd/9WQ5lNcxp9ktdyZKJYoIkCYo+lKACDXfoMT lMuuHCNq97OF6lFrrjh5BtEmflL2OKC+pCLwi+UqiO7R9yw44yE8ATs8fpCDL5gSLKXqfN0IhhZB kAKe/KZnrgeA6ddXilgTyWxkiFg0pjlMCPufZH8I2sD22KWmWY8sNnyQFFpwS/I+wbR1BQ1zdsYq Pgg6dZ9FNSQU8uaEKIDk7s+ryLl0LJcst/e+CnETIsrS5JJh9e4Nx701JWOJ9S64wyRsu/H2/pzJ 3IAFJjiIc7qY6WhOlWCGHWAeWWA5sKrYAKPGSjHd22M5baRjD64Ri3vjI4ZsYp2dVcCzpoIvQClL aASjz92CNLvJUEwiwa+8IGwXrmmtyrdO/OX2o4k1lOZULTUL3Khn10MWkC7gg13MCvbMTkOTc9zx rPPLpG8uEx4E2kR5qSaAH0pzaTjRTBJywosdci6FjVaZuLuuydJ3gReh/SI6kw+Uggc490cAbLJ1 mhSWVqJSmu2T+JTIWDtjAinxx83KYKgHmfcCE8N7UitDzNHLgRb3pHcgc413jk/zAhjLBEzpT26V r5qN1DqQHq9uRIpdRK569CtDfXFgfa8ApdPQxSlaXsTBJuGA0JhVN1eOBanl7dWIgJB9CtH9L6NU zwR/L4ZudnSiJImRWFyN57LaGucKaLYiD0Q33weZqrgQJzbZ2+Xpnqp/mi3wfakrKMmglDgZLtRC WR18tLx3mF91bkr/2fX/Mc/eDNsLeFQHMc1atrFVX8IyT0aI4vSd7h6dxd8IcuLavqWrBUeHDMgf +G/bYS+qTKGUR1mEbVebH/Ibu0JlMtJHYMeywkpXrWvHiCeMbbYoOZlC1e+piLBUuBeMvjaBVmyP wQSINzcfhrDLCXRuwjZALoLCSJYrQz80cU/wFx0OSRj30TB2TLjzC1CDn8XgF1Gwbq+NULMVieCS HDPq1FLpGfW0rHElX0z0O5PBhbWabhwYFPgIyWGQvyppq9dagGZjtmcrCYVNTteaXAFdbaOUeckR JnFeqNFczhGWTIlMPtr+jCtJIUloLvCXhvc3G8B/PSNqEQ6PldaJJefwdnljwtBLKefvAFjJUvGM L3okIVrSJhsnll8xWwDtxkSZbKHtqInzUBN1XMjqSSWZNCj3u9WLA2S6ykXDpo2n/1n+R/S3ema7 otQVx6QPWXybIa9zrgHub7kF4ahpZLTnpE3yacVClPpAVG64ArK6kXiOAwH4FgIiZ/cLzWoBlR9S ClVxQA5o0gJzDdUPKoCbFPSHTMQMirbFXA092Lblx1G1Wzt2/kBAaIMIzIMhFFkHgx9/STbTCSev qPdxC8b1dL6jyOGePPwbbmAokeHWE+APrtHZqV415J16365/EcM2lq3gs9TycXCqSa41UXvqlMnw r92ZC/QIiuf8WURnl7uKAIjJ/nRuaVNB7+81uCnRiyOx2pvGeoh44FIrFG9GY+ZAK8xtMhoXa0uG Ix1ovyZwj3S0mqd75KtIBAP34m/DW7q59NihsWjbsEKHZKOSD4OHJXScnQJDZUs9sjNzoDVbUCqT 8AR/n0RhkdCwSrCem2PhzF2KRUYDMK+AXkSKMbwbXrLqjutLz+p3SgSUqSD3+2VNeTsNMGwQ4aQ2 odMw1nVNr54bYhnLccWw66naSKLMBASfYtJdbmwTKHY/rlRonipUwpG1Lr2QYW6la+o6hG+dFLGL OkNW0rB56e+FqoJgNLIHsiIf/FTDkFk48cd0/PJQsEQCHCUt2IB5XeeNUPaSGkbXUwV6iktdHHu7 L/DQ0iO1V1fjLRsI4XZvj06dQ7A6b5ZfvlrBKb9h6Tz7hIFcfMc2Ndx6l0xmxS/keHp2yTnJqPnH g67gXkP/1EGD4ptpA/NUL/gLIlMQhe4GaNTRIRFp6AkGdWJkRV3SHXlIw++4jGC1+iGi3TJgTxLC p2/bsuPBhjAMIE73m7+Jnt/B1m4BsBP7oRhn2sRE4zhXCW3QA7I0NFCbrh340a/SCZZ8raHrXIuj 8DKxi7jPsWJHh0xQ+2tux/kGbKsiAgnuywaugQ4187DJc4U5Tp5GUliGmPuxyOl1Iof0ll/2uE9b Eyik2r6FGXnXvxA1HD7ZGt/JDMh2Nmj1Vi/IxOmfGH3tlC868ZzEaOKVC5sRS4ZAVz8CshuLhHpN fB0P65w3IstVsdHlx9+MKtvuV8Th6cq9cODAyWu1ag6xh3ByxUBPeuq8rKEms2cwikidy1+220dl iHNEdbB9n7ExKiZwvg6ndQPg1PashQeDV4cbuqIiUOTb6UfVffe0+qnVLvRwogG24fciI6Oxs1K9 cz+hiFCxFAt2BpyOavaHvGWrXTwHhLEKuXpCMqLMYyn1noaURcnzvcce4WuNwDebZkpZy1JJxMCO 1+M7p1rdle5bpwN0pkpmvZHqYl403zggnp0m34LPtnllFGnbFMUMngmHj9G1mbnr1NAUhcxR3Bnp a4OJToHKGd/EP/dGh2RJ9uRSUG4oQQehP4HmDm342GZ8vipo7OIyXJgHVJgnvDV720643I3d1IZH +Zd77x4gnF+M9fUHzqF2PQkJ9Uaep133Ie+OvfZlfrZ9iXZZfJFehzss2ApO7T36G8+uHtVuP0cY zEBJ8EIPhC+ytDjnTI0gM2k7prkdXaDjowkJr0/u+RVCWB4SUJ2bd6P2NZcU0dx112pkkSX/wHhm L6wwgAGehiSCB1eUUtiUl25/nwKWccbgEYrsUUtZeVTe5A5C5wSU4Ys990oqktXrxmgrs+kVlhc1 GJ4zIF1wtabCV4BALjhN8OnefsIiN8rLmSsjgT2ff73oXrIRb474S641PtAkBvz4Acd/yt6EbuFh GcNX5r8jv4MQJs/cZ8wBrFuuWxLj1GN/QMQdRQD5rt65tmIuW7OU+eAtmey3DnaIWmRJe+rP9hht WYZJ8iHHMsx4IwPwvgyMGihESiLnVUOmC3fdTCflzco09VnQ+SMUWIuGvVNgOCuVV2jT3cMGg7Er N0Acj4gejD/cdH5itZvEyxDiJNTuzlmMy4PwHj7AAas1GdIjbITJUFzgbKUZ9wgbAsK55LXjn4/x DJoIKd2aWlABvjbz2WbVgomOBBsZgcFiD35aRj/t4jwlqcf7IaGmI6BN0V+DiNGRsgTLGsC9eltB lPoYHQkuvq8MfjvUfIMoaBVtcOLsgVAW631aJrA1nUOMuA9lqVJfk110nw3DDoVa5f1l9SPDv0vn evYRCh9Fi67noS8qaEAg0BaO3Vk9fEXisquk2y0yOjaaTErwsAQ+YR1q79gJ/bI6f4U0c+DVqdjW ER9Xny2YZ4UOYnw7MLmphUJfzkXzf3NTMiGeecISHfYfqWbFkK7TKa2HFSp0Q1HyhgiNbuwn8sGw kcJalkJxwrrqlzDgSC09VVAgGy7ghxD4SJniXpFa37YVZZkN1G9oZdJc3RDwoo3t6707DaWaTZI7 otnrQSeCH0Upq1uaYqMaSppp1nxr+tqY8gkZAAsdgeTB42UOobWHVk/q0i2XlECT38T0Wf+8hoB9 3vvYsvLdBzmMNG7HLiirfXZQtZI8CKoUwM8BXTzQTb9BPacsAmxVNxQJAgbjNx7WKvj1cBZ/i1d2 7+DsOfx4cQrtinMJsx9pmtUMAIrWrfuI4JUf73wOdF7coqBgUMo0UgL+kN+Wp+NW+FEidsEs7Ge8 AVlMPUBZvabo9di6uffh53Fnwjd8OHqWs+4WYz3Js4PXILjN0hxA/idg9PPnxvRF1c73L/3ev0Tr b1IdGnoWqNdQyKZ0k8kQfu/VFaHkKuGfGp/n996t8xxj3sNE+SaVd+9/hfIkDE6n+gz7UrwHSVpP LHqVCSp45UcZvMOUs97y7ZW7svupKHDDOmQWKHn0/0WGpPRSoQr3D5Lrsd9kOHxetDm5JVtN9cQC BLrYgGat8QqILn0DHPSqfDbRO6iDdLqvXwBJjg1t0SG/wX4ErzY2Ru8K+Tj3HQZ8bL4PG5JyaYHL NXgtNlOFO46so0SnHvyBzJGbZYd98Z5QrdwzGSxvlmNVMa/bN3IlKyvzwdN45c7rHGvE5zQa7F4y U/3lmSkUPkN8hCXyzwarFO16Q2puKmr5mOLbUP9Bnpez2nbfkH/utF7kJafPBBXTrb3lOwuTZpWi eu1OM5TVzqD9H+N9cHDoaHD9UODyzpGldYIwlcJE+A993JWZsVYqc0vP6sg8kkqXiIqIYTiY3lNr uwNsEpZAt205Hho+ogSHMGmRpw+IPpbomFJ1rdkBweWUVgbfm8NJcjx2bTOiR4kK0I4hN+7mcz1Y 14hVLSlVzhm5q1iZwvhRvFAGnVh1zq/WBqBaf+bXnbd6f9uprB2o38IOx3KpTtyL9tIF2fvN0MdX wr2un+H+sVOlvoNNItmn+gRov4utpWEjHVSLiQTwgG7z1SprXqnPkRxKAt2Ta68AOLIf7OIXIiSl Ru8LQeEvskIkMAcu7T/+lFejs1dYglZqYDRwO/22b5ea2tMySUnyMO6TuFk/cWd+yBI7Ja6IMssr cMpZMvaGBI186bQmc3PIP5CDKy7wgf5HbhFOfdyJ6fAjCnaCyYAYqw6laBolOFlmagvJX74Lw/qi FEaV8ypHuPfb22Qaagiw9R7Z7sC2g+uYBJY3aVt0yAvizQIV+fYoKUvVQZ4sePtbK+53qKFCB3e1 G7JGdiY0OZZLl60Mo0oMYb92w+JjavoEHRwAWY4/wCktsaj0aVEC7avPG7jsF1FO7lnZB+Oo1U2/ 1Lt4D+TdW2pOO/jyaDgbDP3ijNnLQ0zJPlaNvLXsL/VMIu+Ne/Tw9K9WioxlvjRPR6fMQyny4Sd4 Nq6latOXuW0vIDTuTpQFaibb1D0i3PGG5xg/D3QqvmauB+2VVsrarSKqNlT9TxLr8wMkN6XrJRra cYgb3kWpn8FgoYMhY15EGTZRKCUTEKo1yOe1Q04Hzui3GMoobyi3OHHn7MXXqz/+s3q+yKoI+/0C r7HjSXPu1EHXNJFaKfP8NgQn+ujfDvvoHbPUBnwYwM4JQ2Bfqg6796acTsCwHBKi2OPDN6zNv3Ny 8Hzj/PyyTaAd9zDKuXg7cge0lJn3PCkED1k1PC+s9/fdl9x3U9NaZzS5GjdIAvmhf5zDlgjLvKIB Kx8sSYzhz4AUa+lgfuz9AMeNRcS2J4MbbqAoXS4UNp68w8tpiHwf3iTMtWE3ba+Js5OcKGf9FJYC MFYmlabXb3niiI7cWqOjQP4KNIalnvV+XurluU87LkqSnLjgKcSM3KqEvH/39MGSuCdeUSNqh/fH /Ut2EyuLs+79XuNzp0hYZPpvZFQlpFDwtr0dMM5pHn9L9PTTfeTPaSsJAR5yBwIiEFVrTnTDV8pX +GsovRjcG+tnYhwt07SJmeNwaQfTHnNiFc4rwnxhk8vKnRc8CkNjZMgOtvfBwUv22iAv+dzBYlF4 psi/xiE58mPigV4vgynont6OLfk4zKpRUamMwWWZ2ISnDtnAQSpjiz7ify4mJoqlU4mJ5eVZJcFY kBE/Aa6DVkZ+ngMccgMWrqao70ziSDQ4onvNfj/qt9uO0ge5cx+x2H6Tki/NO5UYoDA+G2OWmrXb 0vEcLFa+yzURca+XMH+45B7av2JadlqBsw1UOvy051tHz6hoCExXcCAIg57frF37kjp118kRGvpg SVH7pqNKJSHuO7pZe9DrC6puoTAGj/Op3iklOtn4n1YC261pcrUPaooYM6xncMnam3BXAmFVLQaX dh2SZ/jb6RL2hVhW5X5oH1KCaILbKFGA8M5y40so8cgZK1RvL9ol4dO0noKh4gjvr/JYGzxayOIb 5mBx64kxokG9NBFcirT3ZRZNyBcQNUv6GgFxqe/yEOouPWjYfP/wdvmBtfkReKShy6CkVG/8o0/u 3lWmnurHV0859hHDllmASqpUyr88oiYaSedz0hOi9qekMmtRBAnSgevjs2NGlqxgwCR3NKiiyoZo tUbuO6E6P8rcU9Fsni5idiD3PlfvZ3c3RBrX9ajcjLCYkUswjxjrgc7KhErCtothCuCywYgA/5xS pn7MQPQSBZNhBHWyxsksq0G84zVdykSIjoRDLnp+pYH5hj/t/pJMPhEMXu/mI+pXRh9OQI2mkD3q QaYpSszh1w68ZmgtrUstgIhDWoDedEJ4aVXKermBZ1UUrSSQiFEyj/OnWyPKu1IfT0LVO0n8zTyI YOz+jH32pVoXrEIwSCsWH5OYtlQEZ75zPHEGfo1wUqcURPEy8xjMqx7gTG6Qic8zXQUcGl5Het0U AeyOxiUqQduBvUSs9p0WkzHXY8mpFteBkg1uD1giLIb6HBW5LnVt4fKccLfdtBqqPUJ0w79rlmIl gG7yRJ74oE9QAyIzdjBl7DTJHRU5GvmFxgasTprSE5vGS+5jJ8jbdw6fBmwdLn8yi0u6KFAY4vPo C1jfDeJHz7MO9kpakDuY52WFLiJWr6RmwEK+cWLclXSQeGs5Xb9gamZzvxfMS5c2+ndz33E8SsCZ 8bhszk2AZjQNErJsYOZIE/bPBKT2zalpsSX9vzqijDURVYO0vI/rN1m/kVp5jXztI3f54D2CikCL KJF32BFYCYfOtLxoN/M2btZ3Zy7QlwO/MfjQQiEg/JedVQdCOxfEncHm0ohuJstL9ueAgFzgLv0R CyNd1sf4K8RvgLtpOIeCZeeeTP3z7cRYLOMKad/pb//sq649UNh6ZdcprSU5BzcosxBqf3EeJQqC A3tweOtSYg6rWKw6crMRN6KOvqQdZNsZQ0BltnnhAp4pP3hp6XH4I5r22GGR3grsONmA+87wDYI7 dUP/5X7xKqsomwJXdh1Ah63sjCWeyYD2WPJmEuNTa/vw3AnHPax2GFsqydTR76YNHVFJrZirk+IC 4WBHZ4m6QV42NpFHtXyajwr+jqnXSwLrwTk2SzFnwcWKNEtgvoEAdjOz+rGkWajrdadicPo8er/7 FLKTzqz3ias5KrYwdDYdHfFPJpt8Ebos+XrJiMRK3xvflR/CKSBlV5kiJAENooOeSFmE6PD95G3/ aXV8VeBY3aYGUy+JfUq+P03gLzbnktSzpHps1Rhs6dcGGlQnoRbJhqMJ220yankQ7x3iJ2Wf1wi6 zDAfZDXSEU4OtefCmxOiOmLGkn5m4r+juKRf3vtJhXnzSBCO96XUPkNMYyeaovTvc9TmFrR5Y0nS 3JCzw44RcE3prp9T5CokAkK9/a0cEfYXFL8sQGYYmROrNxcoA5D3ig5SljsHMkIeTvTNZ78rXzLO 1qT78mKuq9zOZ3yyvItpSKk+NUzr8nsLtzghayJ3OgbZNM2ehpyPT92A3RfMOL4u5R3X2CydAElE 7tGpg3ttHNkQaX67HuY8sSIqqqqABA1iz2xYWlYsnJ/Yv87Qzt2UHHTlWXiGkxJJZhKz9iJDXPEv PjUj9Y/dMc2NqrL9JsDPT6Y2aGzNjlm9FxEzMBpRmIaZNfTHMvcI7VWxp6nroxzEAlmq8EtR4NE8 6rfAbgtB5rlwtVFPPWh+iaO3HtFM4NLYCL2Ge7meBLxXxa+92yr4Oz+sj/GoqxsEP6I0ZjPD9MO/ MjqPBhjwkReg0WKJ6peCZgRa+DsIuTLqo9AnBS+ISXmeJwPyU9hSlM4f2mpuLSqFXOPaGYgIVR7N A59vBUhWbTBfyKAC1pD/ZGa16pwwxw8erN6i3zn0buzgTdvcSLcLjfPBZibSk1/MmkD/maVIy9K7 uJtTe6dKptqcH2GrfxzyQ/gVL+zP6n3lbYu+yuQ5GxpeIuwFNcbObtfGwjXNOxeCTiUrQL3rPTnB uNZPM0DunDvOSzK4vTynzI8Q2c9cEuJxP1h5LAnJf8pjmZf8r0bsM+LGq5rNNnKqQWr1oCsjvF2I mkm5TVxT29ihQGCpHwRNDrzr2cenPyHqJOj7hit3uqUc59J5s4zMkKGHdbf+C+MbQeXqvl0Dc8Ke sWvSFLPR1TL70/fB/Y05YXDJkBxau6Qd2glshFgaJKimHH3JKlWtsH26kth9dkrXk3+nrsWrwp/1 EgQujhquez2ls1wHTAfGyG0FIVfDtp6CeLENzYRVyruJi3roXDe25X3Xw1pHW129ejPxvlgFu4Mq FZ21ONbqJ3xN9nHYyApXPQCM4QtDDUzFQjbz2N6ZrCb6PA7KhPeInZKCVeqayKpNdCwmv0UTG6jt trE3Dy1o7Gs7KsVCCC+0WS6l0gl4Cze/K0JuCtpfwrhUUjdJaA/1m9ML1pf7XCmIpr+OSFCrMqIG LcQkWo5pmOxMbepc7++Oq2p4aTrjxHuZN0iAAs1x0otbCxTkmtP6nRvmLgUhAwmoZXL1hI9/+sK+ rAJ9jnHncImnPYqwvrTOs8P2CP2nd7j0RI3Y2PH1GQZUN6KNKC29O/sZfTNsgB40kVMrnitE2/lm mnkZ2g2ch7jGFmWUQIMtPDSrgqeJNgoPpMWD6Zqe997qxyZOC+gX+Pe+zbv/iUduVpWmP+YkfHCV Hj6XTYBdXpqNH2mjs2SHhhBRgj5PP4XEosELGtZclBE6viHddCwA2DiOiztceRU5jOF2qMspJY7l vHYpZW3AT6QHfh/uHElSoYAsw+q1+2LllqkpRO4a8h1jc/GeiWGNL5pt/2ZI9zwprq9tLIYYElg7 9EwYil8V+OsAMYeTee3TbdM1uihRLZXn/0wNVwIlrB7YKRXzsLk/E0p35PTwVvRzhcdtRGXeZ/IV VEsyKmY+X7credNBr1lw6HG7PHMMCaD5jD5bXdXG0nFHvCfqNYskui0a/JIHEl0oY/vI8huQnEwF wIp7D6xOkAZneRdcHaxXDvPRNZAT+Yapss4MLBOORJUtYp2GCI6PuTW5jwpvHwWHb8btgGxwiwOb I/umF5ixRTHg6sWNnEV5Gwv1Zwhpp3Oae134IQbPXaRhlXjyi+afA6Ab2gcVssIOdGWJkrT3jzaC IXiqrYpgHsj4+Pj+nwJsfyOvQRkTmEh7LzKxRCZyCs7eSvBmpUSsHwQJ3YiNWUb+qk23Y+FHa1Uw RxuzmkSAwVWAm3zJtc1dHbxT3XzXNt5hx0H+byMq+V87Spx4oWekkWnabxh0E3PPnnGvXhEZVMMR bNOKfAYR/glKIBiKe+F6B5vyIX2J8gbs12KFREQ6JlGk4MjAp/gJuFhacWSF2/nwLo9TPy6cFeYc hyzmKnjR/sid56dYPp1Ajd0AwzArxN3fFUfdN11uhaFrrXreZAWJqhFBpMnnlXDFba41XMYdHsk6 V+No+vC1/kuyJZwk2Vbaf0+pBv/RyegmYyZHLyRKKn+rV9NLLw3KZMdvukDYJ2ONpS+gBx5F9xtc o6DqQA8wq00UyGTk84nm7iqgC9vkRGjwvjMIwYeaR/BsqlaKajgDGt2L7GqgIFZxObJPxcqd8kVh KLRl9n3SNagYsvxjgBX7rq7UIw8gDJRRbrK6vEYO4/7lUmNmYoe55tdvDgTDpMslMxMACsueGdN0 GAV+5dbAypoT3JCT9a9vgApHNK84BymlR0GwjKvH4JLaXbnOPxElzFU06bHXmMpCoCMKQeiZAMva V6b/n1f38h4UElj/M1RKSH7U+INqiAgobvEsKNOTrWDFxqkpk/Ov2k8mAh02CPQRuvd4pmazqv5k puYHfc/suaWwNddFKt4Y+FLN1+LzlepH4sMRQn2LeAGOWXnqNZQ2bZ/U4WJMMtZjK4AJqCxdk8b0 4vKq4YfaWawuJLufp9iFBJuCVXgy9dg7MRITvCHIGMOmoBEnwDS1QMluV8R7DuTA6w33kOKmMCZK 8YODjRz2qvcCFmUf00xKqdd/FVY+01DoVIZgEpq/svae11X2GGQXFbOFfghOmZstuwxtUb+G9fUT Hh2h9c7zJcOkZPycToFSVRC/iTAUpy/TBb4fnEPnVi7/N7SO3PbNN2tMKmWoDkaOjNoRxC27vQ5a nQ8wqwbXwYVNjgjs2axiau0sVPxfyRDiMcGS2lIM0rLneX/FrYgvDwvTVq2cs4bFwPh7IQo044jJ UR6apcfhFdFq9mr/y16RBBVJaK9646nKXl6S4+enxPsq2EOCFNlpW/snbLoQhUyhrbDkZ1r7QPdt HxZPPH6v2eWStZi0MFVceicAvYJywUNIh9/myqqVAyLU75GvMZMHZAFduZfiW2L9QYdP6uL+AQ7k 9JvEGf5tPrClTqMbw6hTINdanX1WdHaoudNzcOqZRYHmklrhqgO0XfqVEwg54k9mLPIhH4zwBzWU wcCfIZR7Y55qKGfKgjQTLlLqwoDaH3bLpII4wew7A4YVlhy9S+H8xAIZcSdrMfISttdS0ZQLrGyh OUH7N8MZplOyrBnHSCK4mfQK/bhwKqYSQAtCmP7fRhmmiV6kvx/hjIyhsQ80HpnA7zFZ6ndvawTO ot+WI4MK8n26RAT4khrgV1oEAhNrsXDwf4xqi+kaXgwkTSTJcwgnUcwWLGizXXZ2omeuBFguiR1j M/JnzdmI8LvrNeuJPtNHKXBJEbCHpxwtlGtrkjUuU40MHOdAbYvxYgPECvIOEj2s7FzN5fxbNAAd tz+kkAlqED6l/DM/ZQbuLk/oSx1dqPxr3YKmnRma24z6zsU0EkkD79v8v+pDM5ohqOuojCRJjWMY cddcoJ8PWKeODvO54+qy8nsUzkBPpDsJjCjo3HzcHecMJnb/Xwv2jtkPOEOL7DNVVlHP0rubxp8o Bj3HA2sPLnOIuDlI541nHYVm++iJYBVXaik8pdHEv0McOATy7GhdE2weDlS+oHaBVktdVDc0knsg TShDMRPAzkeeuwJQXGnx+kNlS+SS/QWrPG92Bb8CF7fSODuIwFqtrjWCId8TVXSiK5cv35oScnAl q6oqya4iAbYhf8jGld1pe3Ee6EZHBdmgCPXakAXNDGJyvbOi4qd+scVlocLVhpeJZ57JzEknSF39 XAU/muUEyGDZA3vSBS6rwtm2eLXKI3bjQr4twdo9z4msvObaUso8gvzV319HpLRa6AE2krWAwc/2 bZV8fxv9X8wcxydwc9c8XKnDX/9Wl4zRAFI3SNFwHaw3/6L1di/x2dsefIQbH9rv1fFOkNY+K4LZ qvf8Q0PBo3HaQhhFQ/dBER1TdqvKsET6nEmb78B1yyI+0fmHSv7hixP/bmibHzXDWLqvvKaEkSUf 8sO5k4rx6kUtTOQMv2snBI9avRg1LulXjHqPqCK9G3K65RWrRO4baTzdRBSGJXexQcmenriWsgqs NdG7meCrI2GsZcH0QPOd8UxiXAUGumPZS0/emb1ezaVIQgQ84SJoLkU2FQKsKnzIo2GoK3YQ2lOv /OqFJOfmYKIZIo1X00EJpYfBHkAloQpt8c7GkyMX9J7YqCY3tQAPE6224/VypxglsoYqighh99es ytrRJAnIbc7Yg70efHLu704qRY7X54ULhBuf4U5AlId7FWg2B05SUY9GNNfGyblFicjIw3skXphe 7Sba58XcKxyLLD8GInVo6OgABsQwqLx89HHMD63wwE7LpY7HBXD9PiOf1WpTbjMLoZilqHZ2vY+b ZwSxNIL0RTMLcP9o23fWVwagAgVylaTTMKtcfy6hpExuKGPWcTgIYgUuo8KbXjJl3fJoF5ex/tX+ 5cDsUbmAzgJqYpq6hGsIb5QQdOiysWYfhRdXl/FV0VhHom4/ncgFdORZcs+5ywnxmVOpJwuCo/AS mPEyxGQu5PThVSwIZ0MfAyJiwE733EFegSbblcRMFc0c8RfczV8mzmnt+ML1K+4sJ7EZg3riE32Y 1Lssk43GaT5lJL/ctUK9JD3DZgcTWW9Q60qHgE6IoTcoSHT/W+7usHG6tb+mxwVjGTjc6Ubq6kV9 qrRbtGwgetVw3Kicsfh7kmRPLdbqcuC0zNuv3iazP9A2Fm6IMpr88LsGDclInGOpd3c0LzMVileG wixgoWmTVK7hpywJB/9b4nXBed2FN9l6r4mEfB13e+q+bDMUUxdklvebSIuFC2yG+IGxhcA97YeI ehcrRs7Ji50XI6yhboFr0DLhnixJlyOtCA70W0bCVXnStutL+NVVr/QcfD24k16d2JoaYpf/zg49 HFeSzgdgvnj8VG42adPbRLMuWbKHV7o3btuOFZ3sOigu53f+UtQNjnLSkVOjKjtvelQgu7c8ESWT s6M9hH/olodSmCtsnAGVB7h06ji79ru++3KEqf5TZRavBA6e3bw2NCSa6EmMFa8VdJ1xkmb/bwUV JdJLS1sd5Qjhb553luMhGZVyRx32ONLsFbqnncM3BVT/eAgfhMw319o8SHd3x/ikVCQ49KM4xYA+ cVrYU/9H4HNPmC+I5A3iyYAroiFZ5DJw3nQ1RhZ4iXmJ8M37NKibQ6ATQGx8upze9z6GSkSzDAE6 nayMjBZQr3N9lzbOpAHTUrljS4+cNTBZnvST05dtY9J+KM3DfEPwl7j8B/5kr9lLi5Y333sMVBbm fxygIdcUncnmDrOMkV7D/E7B5pT9PnhkIEQ2JOcC3ibYkecc31KUPlqELN6F6DJkHi0Lomx/7Qrg +khsB9miWfu4V4ZjwJx4JNy+CC474OaRWEFypIpiA0erhtq+pCzOQDqV/Nht3wA0wUuNvLryl1/v /P+WY8bupPdQME7eYlbi6iDOS9z61A5IFOqYMl1REK6AREQbcILilfMJGzVndmBWMWa8zC/MCLQJ OYsRISRFx/1grf2r4He5ISxnMiSlK6iKK0xQ/Qcu31nQjp517dAlfLy+hU3j+kog2FXyGB3YDMjr Q70MS7m9QNOpTZ8SsshMFutUIugUpvSal0hSsuIJC3YPBNKQqE7zkyW/sdgxe5mAmoIgD+MGD88n Dr4TNVvyVoqKELFx6M3RY5u83b9Cs6CwQ1oe7Oss0MMr0zvLZEm0NN02RcSsIERPaL4ZgEa2XF5y fVYd5/v6fhBEm5lZq9tDeo8unumd+2+0+VtWB/iZEAgQb7oZaQDk9QB7zt0SjkbBtd297taDSJBf EFqwd6q3nJyW7R7i51dt2uK0ePntzjC7QamTqeGEPnvoK1Rxt9uQ3Ez+xJ0LsN6qLpEv+K9NTKtc p7n6ZVe4PiknNMkRPQqSFAPZCsTmPsDg8xw3gRDiyLKVQzO/s2GolK8pk2sn/NsDsfczIzGnZvKX 1kVzFtIQP5W7cgInVvJgerYsV/KSIbJxUSzOW/Y+CyNy7hyHUX1SOdqz931Uyl0KveFK8j+guvAx zz6hjiPmt8LkjJRVxep7K4YnvdRqSm6P4I3qKMOC3jiyoU7EypYZ5QVZHFPCddsLsH5NHuxpGH3Y T3Ef2sFEVN1XNI2g8I3ouvEEHxSKD0/7NJwWekZ1hdNQvWXCzrQoQ3PqoNbx1vw16xR4mKQ9pO+I dciV9NUbvkM8ssN8IoBg3F7H8j3nHRetBxVObsSY0TE7DaaTOQMDkzW5sOiK+XYHsW9unZfCaWO8 nTFxe1mqddRrK2C3t3cc1vYxW36Ih6ZDmd2JrQ4tfLaTa2tZ9xx+Pe+O+nsM8L0W7yBEIhC3+D99 kFYgLrBIbYBsYSlvNpvKsN8rtZxBFd0D63ilhAIQgBYf35QfGr9fj47NQawsIAbK6TPaecK2nG0X 2uodcNR8xT8zNGRcIi9IhhgvAIRh5XFYw0PmR/CFRhyQHrUw076MBP74kzVr26j+Pb3MqGgMe8uo RVK3ktDh05TxJSH7Sq67Fx2xyTA20suLjIjeDSlpM4IXoAEha5yq+O+urwtxVBY7r/SlmoC9THZ8 VKnTu98ekORWuU84za9S84p2FgOlJmpoLIHtenqsiVPNyrzJ5aaw2nRzoEn/lhVc363w3D0w/WY/ IQeUDXxQZv6oMudvO5bk9i+yUahXtQfnCHEz52Ir5a6ojWXBlOOW1Nklu8I+T+5U/y6KD4jtaqj+ xFYJPdaZ4OYEjtAvy1Jwdz46sl5XBf64KuJBT5S7WwQO0hcUUrFZNraSkuzpjgiA8aWypJ9qsaRr ztKfaIYfxw1zVC5UHAsBe1+AfOSjSWD5nr7Fun3qFUxCIoqj/6L0nN7Lr0hoMJY+MjAtJBiKYiUA 94u0NYqXduK8h6hu0f9AtQZ7iHd25ekeFy2C7CBOys7U6R5KylinyRf7dLNWhTpZILCbj9jgdVey y3Ye3Z0nrrU8S9VHWqme4HEsjLgbR2nDW1s8rMhHM09sSXchEoVbV2zZXbl5CqdcnUIGTneRiws8 abhygy7l2131nZh4u2lQWHAdTyFVwwJ+IAGdkROF2+tSL3yjNixaqncOU6FUqyoF/s4rsoprKKz8 jprGWLZzytlBwD1HU5NEXwRBLssVihkr5SubPih9PpNTgmlRSVKcmCGfJ+A3px6IaRajtx3ayot+ CC+CZGa5TVmCdcYco46NiLSjKlJly7ZXilpVTxeO74iUr/WH9eKUOIZfsX+acF4X23cey+ke4hHn og3oYj7BxKhu0/7/1AXHUmiUIvVjVEHDnVNxXtct+UNJM+03PGf6eDwgEF8hFPJedu3S1tdsEnah wWAwMym85jLUbAHXV/Gbh7kxLP6c16q4cXOOv2SCcLSTP1vpp3jRC4Suee02YGzSe3EcQrmOvq8o gXzk7YqaT7jl4UMp4qhUjUGSQlXTwfgO25Dfk4RULYuVqSH1iBjpfg8UAYLs3OO8y772y2PArcRU 5k48UbWk7Qu3rEqDuo4PZv+k3f3OJTZghmL2WkDEzibK/E7lCZfM9NIqtQrdY+vQgc3khw5tUStK 85ma/15q1fiBGcsHxW8aW+xVCVqqsAtgMe93xPqw+p64YH+fI4aqiDscRO3RlkGTH6ND68wPCX7M cSLkwzUFhaY5oG3XTXNSJrBcXRbvYvpHeXYNVm5GR2F1LVYShPJKirVs9eCWW1p3ZXClksOSkQUg VPjbx5zXQK+KFV0qAjHXPWpEhGDIY8xb8DQ93HezV7l3AY8YGiw6C4FJcl85fWrduPxhRuEd5x96 7fPGv3Z+L917WCz0CYS7fDgRrT2mQ69sdfpOiDC3ZwnFu5TPBGkgv1sUOs4ZkVCtD2l2LzhLSZm3 8Nw83Z2UFXoUVnG4ACGGCgPJozeH5J2W+G/YN9IkCKlzxXKhHjFe+V7JG0ldDiBtGQ3xdyWL0bLn xKlylnxncLXgITRR4ECtMjBcBqNTIrkZv5rffJEBklIOPf4mzhQsUnNZE/kPHIIFlaYtOMFo6pD9 IN6eRfoZ3KuuQzIufdiA8V/82Yt14Vg78O+fY8BxObytKM8wt15Aq+zxNbeonnbfwW1O2lZ/7Ik9 h64atc/0wluRcc9qqEqOc0f97M9Ij2A0wpAZkKrMoWcR+yD3RV51CIHkAgpghZVnQ6m3d/OFIBo7 /t2NAw/Mnw6pB+HVxOPQ7aQb3TQxKEIa2HB7GHm9/VS8gNQCDd1rxZWPRN0C2SakMBwRsrNF4PJZ UjmWGi19l4vdOpC2eD7k89i6hl4hcoD1jwl6uh+d1JZV4n3fQVUmpO/c/MD7GQuw9QM4R5aE9IXF /pLJzTz2T2b0Oi1jeo42VBZ/x2Bx3MC1opI5zS+RvmYhtg56pKHhlaab2G5GwCU6oGgkG/LHiJ2j KHjKW7gsQteq0nnWaM2PvG51nq8LRJHIIeaJB1fpux2x5cPfQM9P7Hg3B6VsQkxlzhCiLAP9AgTW 2UcFjUuuPpYLBdKgV7OUjTWdwciFAk5HGdHD0lPgzMiRAaytE/vZTcxz76zqui6o2DuvckHF4Ijw w6P9LdMp5AD2YQhmvBq2NcpGum1iyUpNrjvFNKEHb5rI5L7pnPTuGudmudHm0vHE5rGaVXOY6Y+1 XkUNZEU+VyLCJj4OyicnC4D766LKDPGic+l3WB4jd1IoPfv+sPq2R0/074xupFmJfWNr05OHlofK qmCYNB2uI6J8z9gLuCZrNHI5U7rqCG2zl2I9WFP4YS6Nu+ct/ooGiSxsrWNYJhpB+MNzyzp6tP51 +wAK7tpicHwh8ZujXMIUMiVkCGqTGYK//64tASva8YJGyyk8J5JhzEEJw+jGEWWIM4KnMwoWabfd Om0qdCVv+fIEY+En79exJisEKmYWQ0xCGx9JzotjzDxlsMqCzF/+Q9QMaRTGIBKoq2B0ssy1qrsf ev4NR6VbShLc0m0YI2OKyciM6uS3jskGnw9mgM+Tm18h4QdwLUM924jlacmDkSelMjOcOXbIpZO3 ONb5o3lxCQuQfjd4EvNp0UmlmEa0pfKYELyqeZ9SjP3f26Xc97ktv5+pn6nfM0Tttm1GZcw/zcNn 0F+vKwvHNWTA2Bku9iwBISm7zxqUXHpf1nESpwmLxYO29lHgFpnkBaD6JtszKvi+MoOH/cRSmUFg 3aI/6EwEA4pU3jViWXdTvOlcZ+mJB4TXKh5FC15iN+KxpTmVgL/5njJH84gNL9zGgqJZR3D1yp5y Oldvx3kFY1opbx8N6ItxPzJsjCDYK+4T3to7L9f2lorPZQ9ljNmrKvn8eFHo9FElHofI50jUDoF/ 9UR4eO9pI6lhHmy03T4efoUchdFWZsu4hnb2JT57xyiUAtadd6r6KJpSqQV04XG/5EkxRBHmX9N1 t0+UmjG1EOGtHlqUkpP9Z1NrNoxPsJCxB2q1VfaoRYUhWIS6OGnICHqQZHeGCRim99xTelHO9oiL R2y+EcsrMOYPD5RooyoE6w9jed/9oVIOXXi4dvP4j4iMQtavDJevulC4AMBGKef8qNSk5EOewa8Q Xl9R4gK52SOvSdZ9FXRS7ZX7YDCCpcUdrBW7T2DvnivGCmvSLgJ0kdUT2/3OU8SUcbnc7IM0wvcj eCumxkuXI27PjMwVQr0mZOwf+tarAM6yAFvN2yRVjcFTKIhnLvVFTJFk7yPV2KBVJlv1Ly5CajFB /liSREWkmjTyuRqMJ7d5dp4iqGNB2klHa73MgoHftw4Oo/pHEbcX4u0a6DOECiTTkvqhcEoR0O6S AOxwWwEtGFBIL+lJQ12RYY0EKqMC3XB15NB5WtO9pj5ttjQHevL+Kx/DwNCU6g+CYN0DrhAphJEi 5bFoOQVp8FM2KSRcdjYArmr3xICnnGjs2RylRNzyHKHG+TcQhgzWg0xVeXN42uc6P2VJGM+OrQhs 7DTSwL8gAgPWlGxFD+FQ3rJeTqFQ8bQ3yxNB0fcFJcbRdlKlFu7TLEbygli/4faZC5jRQ10RG9Uf H328dikgbxEiL3tDOaYsdHxmRzdvp/fL24h47klcsIhDJs7GPtluXQ9d74LrDBVOzK4n7rZu6uV8 5ICCWKH4/GUT+PsvaTkWchR/0PideqjHq2Z6KfQte44HghHTevKjo7G4fvZjZxMZpRl7t92pXSmC k6qiYluor7qjWPqdvoKCuCdcGxMsh6oX7W8HW+hVeE1F4PM4EEl9OPuTtJOI9VfRqhvQBTrIhqPQ eOTTiuU1Rjg6BXK/IPS7kMcQzB203yY273qqe1S3u2inJufMLRZw+9u7I2ejpCTf+XC6wJ/MPRDP VgNqOwWxqv5FD9Dz2Awp8PNvYHWIDoih/AV/1vTlQ/Dna/elKQnmwTYw5SImgc5/Yifxmj0yASud 1OAh1r0vvwfys7IIHtt8cioVz+TL81WAjIFh3FMg7d2fUIhg60jVivaUaGz+/U78q6KCX1V4Gy7N JlatKh76j0qQHFyE1dWYtCs4Aj5HqLBXvsBqziUeEs77eKUNMqwOy96G4fI0MuWLNNf32xPKS6Ty ycW5SECIl4UqEcn16IMVop+cXQ3eTvxMDjzQw6U/nSIxRxpdNyMQBlmiw53khihwPvkbmXGIMJrL 3/l7vcAJ5M2vgUlf4H0IqxJAxN+MZRPs9tpOby9Os3Q0kNCjKWUDelaW2ZK24UIzDB18swjozGXM cI3WsEHjf1MUSngBxi2n+8brE56s1QRjpRbUT1bcNO6J+KY9KZ/H0sJx0yTnomsIbuQNwaixmzh2 5sKAeDGoNymxAudXJCwAzIeONWWhyQ8P7OJcCB0GxRwqLJ9W+c0EIWODFmwZd9frB5tyE8ebcClc xxT1UA1moTLVXKHblV8O1iiVBdimRtBq2M7RljJ0Q2y9LHcLK1X8KxvPoI+OK8NPg7eDaEuL0+4k BzcC6SRnVcO6URGcctXEhku5sSqjZDNzfH4mtQxkInHFulZiq1xhvCbZd9MHCNko3xgF3JBAP7Xd 63FjZa80wIC5syUYhJ62pyNhlPUfWcRogixCopoikabv7qUe4lyRCUgE0ESi5OJozn4i1av9MP8u y3hMtWv43dwZqmf8Pd0VhH2+3nepBrkFvym4/TEq3WtF6KIHKa7oMIz/nG0aToShGoHcFNVInemL 12bf/gH44qcQAlcKDeiZVgw+pIMya8iBr/WB4ZPy3Kq0L+7wL/xYBih7N+rubtWcdj8IZrFe7sBW TPWEn3Veh6dxF7DbB2wGBKNbuzsSWhzai95/8riRbDveuAd8YcPrb8VpaIA65hSd6yOdUs9gT2LI M3CyCZaytlHpkx3UmGoB4vuY+CEVwtk5oFQH4yNdbX42w7GqS6TW4URlLDIxR6na6M+3wrZ2/0qd kZ/wuKzP774VIanfzHImrfH3dAsnPNrdK22BQsb9i/w4orPDo8TwodaU+6H/RhDmlXcgOFTsyAxY 9NIvCGuyzBymbju7nznduUhSkjC+kjOypnuqNm/NwpN0R2Ey1upKkKypyRCC+APedW/jHeDNI/Ug mIKUEupaSxkyV1WYkM4XBJnZ4LVwF/ARlUEn5bbNXQyJ3IVEzzyMwgmtBYPM9X7mpIy0AxsFhLup eVezbF5YOFx4fk2qPtZOA/UE/cJT+6Kayg9Rgp/2JNRqUe3Vz7eQ/VAd+t2Yzz1Oigb/LpexvU/s /cf7guyiZe9TVT3kP1ar6dhiYEF9EfbJFlhoATWafmu0XpsBMPVfF4SJMcX4VjNbgG1RTZvvVmb5 jaYff2T+2lZzOXvKA66R3twLnUAyNO5vVtLC2xPkKX8dF4FLiHkWvsyL6f9TBgXqt7MyHCrM41GA pTDCbYFTNYa7x4ad4KtjUjFVhyx6uskBzfvXQPiLGOu8Zv8/EelG1b6YlmDTR/4ohbaRTmgBMLsa kW3KACJzkcv9kh5QqzDIC9hDFlGR8Maf7mcwFzjbY7FRm0PFYXPXMzaKnQCNRrTKqZPPLfPa3n19 1QA2e36KstPkE3Vqi0o045MihYi7kWkw08er4UyFhMoOQe3NXRHGDW2XfAFRjMo4VIU4TMNVUIry RKqRY97Yk0zvDrfGlazvuSK/yYVw8EbnyZGkZFMwz4dk+U2oLO7StN6IjUPjickP8/DX8qRxQl0g zCpCrrlFjb+20aa+jXnLO+EFN7t1HvV7vYKjL6r9nBoHL0P86oyGQIK0V7N8pkkZaOFoSSHuyrOi O1QtRnmPDPc/3WKx3dfFh2R1jr2XZMEXr1SwVEo9u7DHa4oRvrEiCUcFH3FOthUfeBEPTD+4gAWo /b5onoBJujU8msug2Ntln942ZC2gbleHzQU3RhQpElp01rBozkLYLBlt9ClP3g73blTaLsijAjNc 8gEe/CEgGFE+wPEGf3ctTaZC6KzR3KQGQO0g9SM9ffzgfgCe+alFJaKeDQoVwm1NC8uLMtzgoxNa 5uWq4owUY2KkDNtTZFewF3dJCr4rV6ZSC2nsZQhUJ2NoGaJdoGsTyDimyipmwk6j9EgKZ8/iXqt5 xI8hRuvZQFx6WeG997shAe/a4CT7EWiKl6Fes1vLtsU4cocFsVacxr9nL5zaAvm7x74/WzzzJfty KcIaXFHxNLjfJ/vx8joUpSQBc3uqqzDCdgrvJiRg5mYdo8nji1nWclsGJTXnDHkw/u++tjoUXDFK Xjey2ipkdcxGspIFhbTf21/P32d6WQySDWk1hh3BBhiLD3gcEYKGV6Rf803g3FSm05TXjmKdDfYh umsPgSuRkDPv7MAunxcIqihhFzb6hnrldaIlkYH7btxlthR/WQBC97WAWWJLQi9SwQhC71xVYCZ1 sUeenm9VhVmw8i0uOE3CR3ON5lvdrT1mBSEUI6LN44Noe26xOYOFmOcaOnATXnBeSxMqpNzx1j8y JS7gpslkHnGna2KSTDE/zqXAq+hgmVwWTqp25SOiX1iyyM4JvZZ0VQ65sOyH8oypiZBlkZtc7csm AlxYs17B0dXSM3FO3PhNY4Tdt4CEEqcu174EVWlS+rS9WVHXpJi8OkaaTwZZSB+appX50fX9617w Qf/QrGhO8xoWeTljQkQroqMWqVbBLx0M3Dn9OWc/UXHXHYOhm2BoWgAr7OlpqUJDf6+mSt+cy3cA vieTP1SfjzsTuOgvQkBz80PdvlEU+t3JjzG6ERRyazvitxgAy48ucONg9BrhS5YW2QOjzEXmMfV6 hcrPHsD0ZIZLoPZpwA8AyPgP4E+3w/4H52Oif61jQlTZfQnen85zMj5YQHTvZxq9IaJZ2+M3HJzO vmVa11o74MK5mxpz3aStlnWgAtxWIDwmdf+SNAKU+4/2VJtcVLm+hnR4lnz71YwjCnM7f94gfOBr 7NDAVpBaOFS+JqqspizYA00ralRio/Bfxx9plKW1EX8fFmBTT0XcMED5C2/jkUlE6A4LQN6UPbe6 NjJqJm5H0D9yP2rXP5RhLHUF97Cf/LHjjMDPScN2if6w6CnC1TXemvF68gBUxrT71NhhVlzwXf+Q zSr/9GkBwnjyQk5mw42du35JvjBpk5Fc1Rv2VROkNylTF3XBjI7gT6xqrEUysuHbSfhPhpKkuBYC Yejvjel5g2m/j4wwtS/u5yCW3kpUTTzIfK7tGWPRKUQ+gmr7Tk6dPkhL7xIYvTBR6BF6YZ3x07lF Sh36NQia0K9ZcfJeSFh0B7R+qucvSvDCaENh2WKMqaVVE5CFsr87x/bEqznuiAOqx7gXmvmlHmYS Q82rpPvqh7wPsXoHMOS78rllpsVPSE0G9o7/TPoblfqgkk4GMKBkToJy7/A1k+k3RJ/ujY2CSLm3 AcuFxpZ7SQOLXv52R0c00MwAyrDac1bAbIkRIqCd+GbjA6K435m8ho0F+PkkE5iLHRHhJnAmUrQi KUvBesxWrvrPbFCD/vjp3j1Pe4WfLwun/6YrLmQHHMZMFJBvEEaFGmzgOJsThbGv/8sVi1Pc7L1L gzW4b6CDvStSSRcLav/ZRvcnBj5A9Cfk/JRP8hxU1XfBiklC7qRRbedzYdagYPjdFy5zWHiDBUs0 0/fzdNnnbSoMD83y/TORIoJpy6G5o6n9AoystHtb7+BgVmYd20x82FDYII3qbr7X7iqJP3nVFkA2 X+i4Ivu97GeB+sF7tUEoUIZLrBO/Bm2rcudr+ExQd2hY9HQgIID3m1x5oKQSytE+y8o27DSZkvBn EgGOkbWblWmeXZoBdf070cc1VsHNfCZ2B3S57Ro2NRkjG7/4sz9DbBxqF7GnYh3xQPfRdaZAt2R3 +Ty25AG42K2kvGngIrJU+NsX8qYbKSFX5ZBi98/KhHVSPthL70uv658n6dpe1xg0n+HVPcCydBNs Pc32TZ836dfQ2bCWctUtxo6E5AXJH8keiflgiPjfqdp24gwH2w1S0G69QeX2uKiqvyAR3GgK1/et Fqz41lh7O8DoBS5P6F9NME9nL9Xr0WVCJf4uv58JIAkBfeNihckOdr9/ih+5vZnZtN1NkM4Rw449 EKb6TKmEOyKVeIrF4Cv492QcXydePs2xt6p3lj6Ndi8kE8jWfZCQyRRmiqzgFLQig4OYZ44uOlRS QT5X/KOYa2bTG4ghT4YNL8jT3QWPFIEYherAMdvtHTfLEhOwT+6pyqaknOadq7xvbBNebIkH3yEB R7eKpGg9AfHhDJFEZIQGSdiHa61F44ftofLJL6q2rXyS6xRMlOvgq61Tildw+lC8EbBkLjppuslf 8wlHttrRte0D6ZveuneWQTc6+GDMTSXp+OHSFlW83bOs9XvLWCzIfk14hEDbgDaV2kAz6WGjmidX RXoe6PwFukG2kuYr89JUbpNrlN9wsf2CLNm5CRGZKeAqbFO44MSydItY56jFIDbfq5haC7nkeEsN MeJgQkWw6CDIaU3+qHkmRvBnTpoWhJJkRJVT6kdjLdij8Dzeqm9MSSbbA+8dWmSbWloQ8E658UzN M+ct3rim2h/2GB/JAYmKm31kInOZES3rThxvUQZJ4m7/WkiCjIQxJCqv7msOe3HeDRV2+Qde5nZY F3gvhbg8GiFmzppTkJX0Suy7tmgSEfgklCcqxtsR16K9vk7vzl/QA3K+v9ZJ1zez/rWcR+fwJnUH hX8dsiwgQm6Ez2khjep0TJJdnwwkW0u19JMeuLALhjkF/cdjpeEwerpGyfYHENV6ZDKkC6tCnh2T r1sPWr0G6PPWjcmXPwGsGzxk3zdfLt2UFmsKWRwv68e9B81FPu1DmKj6PbPH7zxQuwYYz0OaZnrI 89ZjX/f/2jqWHr/EIM17lVSGPytMsQwsmfM+bQ1ETxbdA9CgigypmnnYfRoBFZhJrT8UqnAsWbjZ 6nqKlP5zJnK69v41uuZS6VZBcg5iaC+YRobYrpehZ1ltsd+g0JSeUZoyDLX+Lhus2QKnMYCsBUBe 7v/XUysJQsDgSLG9JPV2UWd77wuxVxMxVXDizx5pQ40IPoDGtS/Dx0ZtCyIASwZ+G2KGwDkIkUpf 9Th5pXYLjq2swW6+zpwsA9W6uUiaJUZ8nJ7rI7VpFF+GI2kAn6cJQ8RQV70poMPdjiI5gFheIB10 unPeaTHwl4OMFOKBodgzKRuNRbAq+AuALEMnTZuurp3jtWTED9fpllA1sEVlI447Mi9MgaB/JoXK GwZxpyrM2DgkU2wnJEWCjVlKncn1pMPDPpLke2elh0GJuyHZceL7l/9u/8d3tyR9UC8yR4Jy7p27 8IjCidvyDbu/XVVC1tjWbwOgbD0pz2CVznTDnpjbgH29RjAzXCj+7OZOdsoOX1Da88thEwmkp9ZB fc+66ekYsaa8O8asX1RLIeufKNbC/YCPYQeyo0u35XqxYw2kNLZhfwNkO5EPU82/TJMqi4/hrBl8 6sAMrxFQhyr/SodirDSNQp6aLwv2bb5AmIuN7stSCjJSJtZwSoUyLXGzqPBnTpU/9Dv4fgy+KfZR 1Ymmqx0cf1WGuqtqX7c5ZU/oSJIVUBXybbF1UMwht12DiKv6TuMas50Dhtg5mOzWxOWrSMolcLO1 NH6e/wPV2Dz1mBTQLUrzE/4AeBJnA0lRUmIlaJGfsKnSGWkdDagUsAIdeMDzSgK7UjVoqvIiGGYR KGq1OR0w+eRQJAyAVpj4m9yR7f8av/4vEDTeZTv1bridNb9UXDhBZOSvS3bdwwapgkiHPIpMmryF efiwIwIyNUTsWFMAACfeWbFjavnUHOAhnO1jOKFP4vC/l30c9Uj4kBBhY1I5YiAZPSdJ/U9g4FiB H03bVYzx4yYUtrkAIrPT9QkRQ1Ba4tBQiJCgB/uCLfUJ+bYHfWnVpvp+NSlH4+Nw+wI2fAx9LIwf 5RPyY6nuxTqlSq/XqaCjAVgB68k7wz3LmvLxVq/qhLNrK8XsWpWLxGulKNN3DOqWLjwJAx3h0qOI /Glhj11mXZ6DviJbIWURvNqHG5RPpLUVNrPGoidLJEGdWPJZQ5OYUauiTTWi7pqiAVFd9PJEs4FM VrHxbif1MybQcpx9ql8RENh16xoMU222eL1Az5rbcWbf9CHRCjhLMe8i8aYsLjetHe4UtEFL64l1 00+inHyj7NcEFnZZuhPm6O/7emZJLUCatCdcfk7DcQU2CUatUh1xzmcqiPfULK6JyIQqJoqoxQQb lbTyVdXVxOC7c+XZQjRZ2dpIaNOIQwY3brjWxuAzkJDxKjEx4R4TEy4dHTtOfQd413IRXMCKEI5f C4i4fJ2dbahIPCcORNY4Fix7mfu3IXDXVfVRm52k2ZbEStPpGTmincrWl6p0droRmTPbkM6voMke jygyLxg7PwLj2hNE4nvSGVwZ1iJbLDhxkZ47PMySFEbyj7KBaKMnDvnSiIcLo06dmdOJpmo3SI40 RW046Du2+sIbvk3fQ5UAJieG+YnZXQ6T47nH5qJga8C5UkduitHW31xf21/yV5O0dwL3PNw7vYY2 NXeK1vTGhPOKouQsf6lNJS92XiwZcKoX8EoQ45EqSmQF9uuc8mw68GnlaCNVXi3C/BEdP1I6yQXQ x3QnXik13BKS+lJEgkwLv+ji6x2G3tWYPTCbPNu4qtigzFmubuBl8XQqGPNi94yIGotwPlD1Z//R pLdmGt3cFa9ftxm/UHlHcnW/dwHp7gtJkolZ6D0MtesDqka74J7PeGXMaeDNFAyutjwykVV6Osx1 uuQQDM9TNaQt7aO4IINkkEAej7hK9zoqvxdXUQ4Qc9wzj296BBwor3fb51wjNgLPIG3YrUSd9MSx FOZCu0QVgAPVlyXZjk53hD0U2B9wJxHJqKDlv5fveHdCSXLgP3zAPohOeB5NBNyQjwVp1xAKvKD9 zEoZIyLi6eO5aE/4tJU/BGQr0mQ+Q8OvfOYxdASVaqGM4hRS8VUhFSBfgUDLrVFiN0f4ww5JuIgc oLX3KbpAtyqnjIp0mnkDqMRpsshTRrJcZYwjac/x5m7DO6Ng7hDGiXhOTmhweUOyQab5AusJa0R4 YwXOsEmIgrHXxzrGksK9IRVYYnBQ725T56ynj4li0W7QdN7mAVgPFlYZZOLjOxwuEoAcjH1m+x4X mF7OcWNd2VJMbKqofgIgzPZmmvm/HPfJOFb73LOJoeCri+GvoMg8DbRaBVarC/0n0M0uXdKWcKhL WSiAlSBhSkk+O6/PsKDiLUPgEz+EL9sh9wsUVT8EQAdjM52z6mDIF8NCe1fLjP9JPtG7js94s1Sf 3wzYg4V0dteiixvsqj1giK/qQqH6fGx7pTX6BGK13BLq5FPjCTkJcYPf9aMlzVx3SKhPegvrj9IQ YXNbaUtUs6IbC8BEW04xrdAvtrpsGYlZoaTmbezqlQqBQM027NWq+3SWbXIcQFWAt1Ud+k1hf+md gqnt10F5s7fvm5C6DkpU1BLBy6Va7zvPxosez1bq+q4OIphHWS9uOWdev5Lx9sEM/KfVMUo8S5EM GjmOtApnAMG/bfTDCyB5qXdaum+l7P05ojlPNWwb/SD8C4nOJnfYT0adMxcSkthNQxdwYhSBvxB1 SAz0WvmKAkHz0o2Su4jPMhWGDlsTp0VbjCfsC3MnaJ+OyKwsK5wHeoNSSSEleNJWdALtmSvF5iHb uaZzv0qMuEULiMFr4oRR6NWDG/d4mpf2gzv3ayaSRnUpAuw6mRc/0fqpPmeJcuBS9a8sVOpXJ8uN ECEmvMP+8eSXYCpFWswqqxHj8WAs2lsfASXJpSqpHZNGbSnHqICHC0ogfj/7CI+Db4uUdeBuuMUK VvzrqE5h2pH/vF22ulJZomBLX1PyZTr19aAN48cG3CK9Rdauoz8u4Z8hFOOSVm5NhX2FrV2D5mkO Ejw/iVfjYofMBUt5SzTSYvFygF6m8XF3E5LM5sPupBhnx0evkj70dSzVpi8nfRlHzSw0102jpGIr dF4CKIAuvP2Fh4ekudV/C56keVU3LUmjU7GACZ0YiXBbiIhLqpfXI+bMI8w1r5ALZQ+er0CEgRsU z3sXOXGyOVRJ8AKDCzXtmE/Jq0IMdBFlcZbZwI58ZjxStni21fM5Q5d4rCID/h6derlkuJkYe/pI +B85svrUPyPLYLa9w9JBM1Doc34kUnNf9by0DYjIVTj9boLXWeSvhwTMW389ghOcAdmLaZnsuoC7 laJp+hFGO5MpSuzhaFj6+zTUtStLhZFsxvocgRo0wiaIeGG1sM9Oi2mn/gI5dO2r7zcV2QVBvOV4 BkeUBI8X4mrxwqE5vXxqD4zekhMI5/c37gZHM/DEwK3XovsiOzU3rIQCUyVIp5GOxS4sSLoRdw2F WyN/SxNy0hiv6GPUurDjZ7oWHv6K/r0cwEc8Fs45EKkLcKMj/10l4SXTrLRg2dQamP09kJRY3dJ1 d1WY423fLdtfQDMK2qbRyforhNCr9UqUcfZq46pLRDO2DyWUoE2bLzSTHpWMjglNFFdfkCwIY52H qNCQMmRxIoxHnDD+jzZpO0szqVIVWRlgoqbGQcA8UDw7IzvGF415QxcNVa9a/HUBhIS9vtDaSMWH eKe9Nql1OBuCLqdaNbeD/+L+PAy3RxeIh53PFHJSAA8Qg0jNTmNsDr3tj+uJYX0ZuwXWITHa0P6G XDCE+HUvUaKs6iNpuOqbmFX89WCtjo8dsYXH+SW0uLVxZokyIq8Tdqv4anhCeH49y6bNB6rhx8ku 11mFfYn2Wrqws6gOUbbEcQ/UIbFHwchr9AbJHTaXxPK/z7cRSWjss4QYNHK03MnuYhgh0pk/fokZ 8HPIngpl3+EJiRh8LgmXBsV7lor/KBi0uNhNqfnRW9a4XGFs5SS+KN7fUbmNp+Rz5F2wEzX62vNt AqAoPBHP34msEAurvsUYVLMycYMBqlQHal6zFWp2DlC2ZKylQVZlKfPTiovNeiHpDhvsgipizkP2 Jd/fxJTJf8YKfjRYZA2syDJeC916lvJwVu09q6qYRFWnBN5Qp/539kGrPYAPd9mrUsLoYirPenrz L1RGgl42OmUBTaVR+Iu+KaTilSZ9IfPnnLs24kZ6xc9XgmNsu4tV15hQcT/2auooDaPMrum5R2nz 5216R+/WC3JyR4xlGWTf+lgTPbRpR+UqX+cl7GjzMHHXs7dEpiEF5XbPDC83+3zldKHdFkd5ClQp PLCGQdjejj5E3DFMGFTp+m/rh3PZMOOK0G2Z814Cx6zdTCO3YGTCGZ6CemlOc+E78ZAsxW0p2n2h NNcDVgp0tul59N1scxpgwiCLQVQCOgATOGdMdBGNjDe5T7e3hv5fzu4vUoDYY8rOxICMbqxmC0OC J3Jyq6JZc8nc8Wmh6V8e/yu7Hs6qa7IAk7WavFq/dePfazHMHIXk4BgiLffCb3zQy+DWjpylui59 zE8piIPcsXoPYWmbW65Ixi3YuiZmka81mCbzOHftmHXIjJvBDorK0rkW/PeotOoAH3RuWRlzDW5n TceObJdjvdlgfutuaUxL9lx13/0vmnnWko9zy6ySRuCTvyuZHOjBddt0kRot1+qTAeaM6VaAQUYB l7/A4twoqvfPmHwB9u3QLFrYJ4br48M3VS4jXHK4ziIFcoP4AThcwozlXZv5klba74EQ2NGd1ZUL qC+i1slv+5Q+UrIFNHj3TH6+z/6Xff3kWXC87g4vbif84gclsQ5q5MANN1yOpukzNLhhjwAHh76p v8rtUUFRhLjbRa9eiTFNceoag8v0v3svp4LDVBwblSPVWQg4ADxkcEtZYb5aWPv/NyPpV9ICW50e j/jen4TdR7B9kXFCw8IsPDHDMvB6vASxZ7F161a3kPmHhnXi4tHPMDHGOaR5uyE/BudgYw61MUJG Wlerd1vclr722KVZ5zBmDBac/PBE2k13ZecyzAgox2y2dQt3KgZJ7CgafnE+G0Gyqpeaz3nJ7DAd mo7NY5LlVcbhF4c9elOcKwCpF1qnVahI7thax+51r4YZWGas0oZjhjejd4xlDH4e6Z1B0OZ/gShj pBA9XVZkczPTGIZsLo7gALHLtRp1nqFJw2y38YkS5OXdtc+IMcAxVGFvNHnf98q3viCUlQaawxYx apmXzEr4L+2ezOgSr1WF0OP0WiGJDRvUib2OSxE68u3kvqmA2uO/Yrj8YsHr0fbkUWfpyXTlFcJv YQnYBs6K/kb4iz6IiKOaXL0G1ktWpB9wW9m7fdRjpUHMc9KtfBbSHF/wYUDG9QlECZH4TVFqdTBm oyJPF043GtdjQ3oTmo2fqvty6hKeY17TFbj9GN9J24o1e7Fq82zpxaDal6NKUjqu9F2ILW6blnHY igi91hM9A0RAvc5lBR90SGnUsjad4wHLvkq6G/1G6CJVM89hyPJhP6Wu22WxACuCGgLip870iFIP sFhPd2x1j/AVPtKjN/KnBmHRnVilC4t7zznEoRQ8587mAo//Vjfm01Ni1FrtaOhJkggC36C0ud+l x0jebFQYxyksMBNkCamm2l6mVEUzxf/Xa69U1uBV+7oSV7PMBOgL51Ay4st+lUXbbenKDUmAacNM q++FLFcqSwdHez99dAx9SI8gIkURKKpInH1ZrCB8E9PsnJkNZSGXXOpr64kDnZ+dMN5o+2exoB4M 04e95UpvNQmPctOwrOcTi8Wg3T40Wu7cqInrGI8b8UHhmgvUtxOuJh6VvV3yjWcqOhd/bPuaKseJ IMXePGiJhg5iZbTix/CU6q0YSRXJqG4RwKhGonV34m1PWPjupxnEkBt2fG6WsHPQU/3sC+xP3YvR 9X1eoaCjQC7s6mrAeiRXjO9hXEf6hRT47v5BLD/yIG7x3Jx77KItgeFeIS65mLcJ7rNKEN1AhGno hU2lex2h5mhqi8w0BSQakfuD8zW4qiYPO4c6FQj4PNPFRUtMxjhPRNEbOxJMVPFmn207cO8X8SHr cqXanxqSrCo17YRV8hEC/FdtmXOzeXAQYV7S7JWu0UNaxep2RkZgA3p5N+QKV3w/JjRGfPr5yOJf oJQWgGL1B16P529/+JO24erHwhuE2CE0OGAPwusyCfHxQZdkFsS90iXHF7jf8mueeWIESP5tAgtN eIWx8KWFrXRIzmJhfyz9uVLHUgAGxv1XysI/SZ7h5Xnx766YmxUDIDH7DTbjEc6cmd09I28tJRtw QiCgLUENeg/3rd+X2UqWxoD7dDQVR0UA+RDkpdnVbT8/vp49YHz8UXOOhLWFMH7FNjQDUhkPn5j0 FKSrugvFyzrJ+E5eW9UlDT88BZ8jHF+21eXTqhOBxjRSJvwwB1/i1WylYgkVN1JCMxZc3VO8/Tmc NFs8RSO/p6SNU2Mgg/kqy4xgY2P9FZWM8jVzJMOgUFWSzP9CB4aUyHhRHCe2JrUziIA05T151uRB MImgbyUBMM/cUn3z352mS63yFQYeqmz1fPpfTbZtDh50QdvO27yXerEr8GL8KuMv2fr/9MHbrxWM j5sTnm2MYnVwyj8IOqltXWfaVpjOgNO+QtruZIHJ1wngPq6NnmJ2txU+lj5Gm0PVTwsQQ2mcBCV1 QHwEzVXsHSOCRII+41UX33aorYGcGaLCiMDXhxuAC18G3c4rYfwulHIHZ2YtstjtDWIN3a4A8EqW Cn4hsWQENaQVOjxmCU5DRVY9438PBGONkglGrq8Fqu9f3oTAdok2fbgXBrOOED6mgDxX6zxuEzm7 dYyVF1jQjlbT31Hx7ISyWzPXUKlaNxCFhBgjxF0tqaCfH4oQuS9VZDHUGwqNALKZsKKrI6Zrisvf vISbG224c5yYQgGHrrRCfH9OaOlyXLC90OrjVFNJXeZzF5RV+s3sWEtMBT5vhGmB3Ll9MNYQIL5m mo1Aikq1fh6qnlF4A9zyU2Dz8UInrrDe+5CjNYAXRO8Zj73rai+EHvD85FZPb/IQ5p7yu1sFkn22 fux32fYfwtcy5wFVd9GlLzah/htq093jFYPG3dXNHYy834G0tt++dX6BHulh3kqiXfMZbWZfvYbW kXtZm2063oTOatRNwk14w7kKLrj9eXCAyzxp2BkpcM8CK0r1EKv4+7FTqtusF0/1zoLsQ7qxn387 fRFGaQXpnRbVwRZRP+9I46M35jBXxSSnnlDX6Go+r2/6HKvIjo3TrkVcTvfYyPX33PANX1Fbwb4b 85NDRsvX5uwFdKTmJgJdl37D45BlRKtxK5OlQ8dHt9mnfrIaKgat7j1OVKe1moxT0o3CZyq6EVs9 MDu88ukfZZugYV1FKxCVzebLDSwzZofLVkFIqDwn0ycyVbSdkwmgtPZ9s5aXpiM8X5trX6FYYcn8 jaNDm5g3LXBegh3rNwdwhmijNqM6BvoQTpv6qwISAuObV6JoVVZl7+yC/t4eqRdGfKFKLBlpOxE/ 5X/JnmwPcd5gjheP9HEDumKj18ZOAeamiFU+iaPiwoiI38geMVhR2dZT1pzJC5tjUkScc5lHgoHQ H2K0YIEItbH7yc7Jc/b2FanBJpmFMXdT4VSdGnbDdr0GZHGhKfRuWmnM9dPGy9DuQiUomWFXHcve 9miBawPA4yI9o+mT4dSSLceAoESMQZyOUzT8UgCF3HgIQCQJX+lmkzJLIk8jPhymTd3lOgERrfYL DndirYN6kgJjIy8T3d4wy35drZdXZRB3wsQETZTII9zz0pI+OL30cCDgycuzNpCHhfftg/WTgk2e qdVM5W7fmNWxU/Q9GkZ55QAApkMY0ilJolfKGdQwPoTBA6TxkDkQjR4ieKhokCzsuxjvhOsEU1x5 1MkohhmQEYgvKh2pOjLiP3sw/FhBlIWRMD97Z6uKQt+yOWUAOTVSI9nibZ4VkL41k90Dj3i4lF7D zyTcsAFdOkBZeM9CnQ7xljQmjNzGio39VsNFGYxVYo6DZlFHavwXfCrqnL2mJmmh02p7iIdpflwq DWw7qyhlYaeqxKtg/XhPnppDhgNJNOCnHa+JtqknqNlf1wPj2Rocn43oX9qVGSLnrf341LJgLD92 RKVs3wy6mIXBgb9/1PVpiSrrWB8ZkZxjTtx3SA5NHA5SOZBcNBcs7dG+pGgIydVrl6DfijGz48FD 7+ZTgBpQ3BrMLJxisIZ0ZI2FQT3dRjsVLBEEoYVMHUZ85eAYnxXFVQcYZdOrMITDlLFPKCf0TzHB 3gKCXkqawvaJD1Ep0Eq7Dqwjwf4eLLCX1T0T0lOtkuWHMSKfVpfTGPAnVyPudFEGFQuXgLqjVAw8 BZeW8JI7Ob7/RR5iwozbLSfQTvPvYTxKE9YgefVo5j1GEV7fIqaA24KVpWZzyHue16kDs80jXjeQ dg6j8YX+OEd44n0NZby63GqJDssE0g6iqt1h3ygObRJkr7LVldHtwaIhwUOGq0IRCqI1xUNHyzV1 r+3tKXUpvpsc4ZXJtD3ldNufDomojGGW5OrYpgnkH/EV/Xl0hiD/1kCmMBGQ2sSYQ4uQPDabrOC9 h5G3+qXO5dvfNacaA7fGTyE4Yex7wYRXhNHEVQBTklEUIHIOM5Trv5FMNI0nP06ddU7n6JjoENtA 0qCRxZtrxNF9k6m7Dahp5w4gj3/NYT2s62GxxdClFyW87DWVItuPQSMlienJLZWI8gliDYkZcNUB zd6F2gSGx7OMwwTYs0AYBIfopphwf8MDTEQAxYjlMfNfUsqnQKHspeOECEwmtSSf5gVK6+33+8zS /WkELgeQzACYMxIGOfyCY+YzV8XRO4eMVOaZxQcnC9Y5wvjyZPMntkcU2XCY+5l22ow/DgCqzQSb JgNf5Hi33GoU86lyqqXsjiycCMqyQobMCwrKjb7b1yvb4kJmxuSnrdBNfeJ6tE471OHiyqArzntF ANBscsNPuq1WXbbcZTzFb3R2qethSeJPpQ29MVYY2BG1FipiBqL0/b9CYcYs3WBFic+IH8+mp60K zY7K4AdG7LaajxWO71VnpHnbRPevh0s7YdprANcSl5OmBbatSlASMXZFmXwlkzo1yZcMQGL5sTso tdrXdspfDFwVAxfzIw/hQUGzWpQiIyHDmS6BQWdRAy9YMve0gWHncPYGs5kOirpBa4FvooucRNYy mPe3+UlpEptkXpV3JFTbIjq5Mt26FrzUSYSEcHn/228fe+4gBBsOuQb6g0YCDmWVBgwX9VPNvUlK Cx/1gzKvW+Tq4pPPFQNHtAIdHNNCoUNM/C091RLWIA0hX7FRURYj3ZIffUNBMEXidp7/kh4ZFNRO CEQBKlM86ehdlh78w8E3dte/N3Uf+c1XDlPXaUkdjUgtXJ0/JS9gqv0CSg07OTlvl7nDP39GF1gF 433thIbDFcrO42ISf1+uj9iGIFaKBJKf40tFSB7E7AuPCscw5s1iK3dS1S/CuAwYWhxhXkOVOm7e Tzre99yMceFfasIOhXzS66/TTtcrrUCXJyhcaEh0+aeQ10rmJ9w9bHoS0YPlQpnRkbivkVvxYNA1 4CedZdqehh0JPa7ScBBKFtd8tg4bO1T1oC7mn1m/1JK9ndgprnMmOCFD7w2LYD/HwZUcGJM3r3W3 qE+k36vNlfLLEOoqyfMhhsgINPUhA73XJvpaYgWGju9o1teA/FzSSL9J3doArJ8XX6PsGn1/AHwL 3Y+YnFuP9kkglPt7loC+2XrTzKI8nnay1ltrnJcUIFB3e7Qf71w9IQ0Co7EeEeqa0gQpT0YjGKgp zgiwC55b4Yrmw5dmgidhX1ILTDhf2gja5H722M0B58qOfP04FX09p9htXqlCYHDnbXBCMGeahtEC 6i+U9Oq0fCIz1ykVUvd6GhO86nUbQYwGvrV6RTW7RcTSbyg/mtIwDs+dwaOG4jBtDrMi/fDdY/mL Nuz6smIWKCHvo+EUo508pAF59NeRnUrC3BturbwwmmRHhIFUFtAqnkqkAPjUJR4sh7rG5ktsePSc 0a11MpTf7a8CB+8OMe6m6ko5RMJ9Y80EhpkJ5ko1C2R4v4PTbYbnk62pN1NlIBXFdJP15IhxGJvA KT9YjYxDx83CJeVmWA/doySZ6G21WFM5AVsx6479zKPK+cR8tTAKZPQAKKY7kwFXQt8zbyj3u8X3 k/cvbNHOSO383XvJEduDDSIzG2GPCxbLk6dKE82CRfFzrHcYxR/ekd6kUqXmldwcJoFCzw4RyPvn C2n/f784yn4vc0P2V7lZuLxSuY0gqi6tj1M7Ja7ZaiZjjhXoWtXopvhgUfvgLA4t38jCi9nyKzrE HXJwr+q3sQOHY8s37JZb/luxifzzk9GVtDX2kbcHFw2QGOWX1tNLe8cA5Ge182XRGVDipJb96/Jj omko6iFV0zW6zaQQ38CJQfzSeloIEEvnJXVPsXHge5A3hvGIywGJ/g/buyfYw0MV7t9fCx8mztq4 McSCoV7bPUfL3+TWzBQL/rwzbfYL1w8HAWnRbEhTFHSMTsAvgmxpKqg2ENlq3kOb4LqEpgGmbZSF kSx7zzvtkvkFDLKMQQ/VDUJmaQR33okna2azmSZq0FfbwN1Oa2lJzwJJoL79RMndHDZx08d2Fdye hf8Xl1F2gK5fUjL+mxkhultIqjX6VXOs/qMwvQXBuADNhFDzR1E6PBLX+jgo+kNeAIdnCb4LbvSM Hjc5RwO+R2dF5u2YGXRa9bG5TN/jKVStYqdtaFrV8Qit/zhND8Pc0OD8IPaabdR8vLx82CGpayki kIpf5Cg4ZYjO2JRAghItGFC/qeIEq3H4Z7v3T0yHm26RrxbZlpqWbOFEqBPxTI5zIK/9QpOzjnMM yLvWhc6/GLgsvKVQp8x1ZkupK8ZKnu6APaMlCZACiVH84S6LrhtDrPVtIOkQFlRQG7vzw+87MS/d WZhee8fSrf8LMmpUdyBowA9aH2cSD8HmW1Tk52iJBxFY9mH7rO4Tb19+pzEyFE82P+qIVOVXlcIS zt4oH7Y/O1WZu7JhbBs4SZf0K+gKXfTsLUVM+3jArhsu5fN7qpPXyXcD3PxkMbHnhxU0PN4wu+Pm FrE4c1KtZzivjIOrzURF9lTDPl/Hby6sJ/ng14W0E2/b5kv3H4osv4Y4d9a6fZo1EeFvAXaI5JuW bS0HHWABkHda7RuJsnGUk0Xnw6dqmo8SBNIF6UNf5gFtraxn1oJqYImW8b2JaSVL8B/lTm8rfLtS LIjE0csjMh4Pfg7ntVGCsPvR/CNyxLqCoYF5dWJfUF2t2fu1hDjgOxUEKzKr6ZFhpyesSIgivOEZ jK8EuE7vZwvQ1MQSI4spB+FDmv5ojbpE/Vtzia9hnu8/x3+4xhGp47U733gvO2c4wFyDYD0sojBN u4hpTMUbthI8ooK3YbGM1KptQf22uMCw9+NOsybJjCvP5OY4xJRt3kBk7uHVSJSWQsXZm1EoKus0 wfH10+XAlzCgaXm1kJ3Ztjj5Xdv15DHmYPDt3qCtongnX6Sc+GwP+3WpwpEUvZH0ceS/3/+4pIQZ rbUe9wzK2quP+C/IW91UkY40OZKVo8hwjCosHSi5wA2UMFfz2hrjgNF/K712jMszWGBw+S15khRF tBBHJ2Al3mBJ9QTmwzqaKh0JjTUCV9SqziRzFpJDcHHlyNH+Vu12xr0V9QIlDYVqEbOTGYhPJAN3 ZY94rO43bATYjIuDyw1mXTy2cxso7QhhG4En4btxSp3PeQMTB+3EfbJsCFfigFomWX7UPLG1M6FO ky6cg/VG3WYAGbn+vUJDIEmwkvAMePnejFmRFkJhyhkiYTHJ3R52zP1KZhWZBhqECpx5cEnnZIME lgPI9z0MoSRTBAmlJouAYU+ccOsF1LMtxBvx/ySHwrP4kPp8Tcj/4q3agPQvvl+S8+CtpMfeRktm HuOU+lUIucwbCqdRDdOwTXUvL39eb4sHwX00LGcIPBY+Zqz4JlrP5Im3ElxcIt0xgCnlqxeow6K4 POl/413o4iKm+24O4Y5BaRNpucOG5fs8ZMG6RYV0A6hcBQ3KS8meq/Hara5BIGo6mz14YIWu7xpM 6XVK2hV59JTNOyLzsjfWDnFghZrMK+86QlktNAgfuV6ADvmMy6Et8Su2QAbhVYfklMLBCnhykc3G v0mJUZrOOrNVOKIZJbh+xRHH7H7xwvlhN748kVMNsWeRGTSAMgtkkkh1jOpp3iIn8Uqw6j4wwzcj fxnmv+QbDTXwd0PLaQJ247z0OIWGR9MtIZc7KzHfIy9vSrbXJHp+rpwjVGYW+ePjd2jdFmMqIOZo jmBMjtT9ugwy2gDPxT6EOaOtTpZeUBXWX0xxhGGNoqylXA+7i5Hxce/fRuVmBJBYQi0q4QM4YFXw lVrf2iI/OD2700rOH0uiN1pUMsSX8tHL7PnfIbmq/4JZ9XAEEQbGSveYz4xhpPNnlRutwuV34Ilh 9v8YDVzi1Txs+PzJ3KuPiZAAJAG0raaQSniQGrXlhUIgY7GhSAGWKEpiHxw3FcF96i4I61K/9gbP 6a9XYUrINFwg6MGb7orDwm0X2RGZJkOyXzyqfIN59fCijRHuf/YpBMUI6COLZiPjvVt90lntehK4 fq0+Hzbnx0VDo6rKoSWKgl7OQnnNQ+6yCW0V3CUoC1WhH6AgBvi1gc/xE0z++6kwxVZKXdbv0dY8 tf4D5G9YTxvyG7W9V5LPYpoJTuB1CYigyPlYsJqFlPK8Y6id2g4Il9E5dKmEBvKBM0mrBbb5aL+V UwgseRnHb/gWZv23C4W/VxvH0xPVdPSvwMgddaPpTkHns/RtLUf31iG6LbK8uYVPDoQI3vplexsQ ME4UK5SmOjvrc5jy8+oDpcvT4Vrwh5vcFr0JuZFf5x0MJIXslxGLh4TeJmA6p4nf5TMRwyhWOMqD uJEKjjo+uB6zhRlQIf+8DT5rCN1puGyRaWlJz7thtk87lirkZV317LHZahoq6YqUvVh46PoQSUKJ 3TN0wmDC/ABR98zASVHnuBeYq55/1j4rK7UiqWs5i5sClp1VoyOXWNe08kxGq/fUs5vAkvV5OBST iChvHJNy4VGBU4Z90RyotmXNHG7OFyautbnJAoraKxg39P+shHf9BJ2RjOjm22HPnvYOzrix8xMd r0kwTgnWo0gkZxgSfoHyBx5EHx4rVao/YsBqziLOVNy7+ZXzRjGw6I6++SK7+6hkIea4+0o0LfBM Uw6SdQNYUCU2i/iI+gy071JO/ipojB0wSiDswuFphQYP8F6JBP4MZ7oAO0YtjrXD715XsZ/ZHQ87 ZIOC+oygDCzKselQdv+kKEU5zenKv4+/6PoGa4ct4QWgCg1IjQF/lcbxNYuGB1k+BVrIxNeITYt1 Mf/rwFmCMFXs8QDAZYvZclG4i6SdHpAJkHKB03Z680YhTtk8/4PahdbDtG3BJIWsImTJ1N6iKKa3 ekTTEG3nJNEbhYn8w3DJ9jUkFBnFcO66z3cpo/DC1IXscczH7ILnVopg9TtV7jtfhTlZkcrzW3Uc 5tbA/phX4Y6wNBvdhBKDANabMc0uUjsIexwfy+pXc0qBHVJOk2lf7gFiXETZ/m9v+N7okBdvTu7m ofxmAKATtoBACcMnNo2UJrfz2GBSxpjVqvxRZthe6en6pKWjvQxT0P81/u06BMzf6UNQuYaEwgt6 27pB7hDT0vt6S7DoU4vI8YtQfAkJddmMgad13AW6YjfOLbQL6AmK4cPRPelT1UVQ+ajjafwLCBnR tvmJl0Jl8B3Z6NJ8VMI1Sobie2whPMYvYemen/nDsNVzUZ35/BzTKIpjOHPRLyrOHT9agTdhO7mF LkGYjHlw7395+6hQUlqcnGmdeVASRsmOCoVL3OUAr4cT7COcncC4tfFddc+V9bGpSgZ2qQ98T5FK yFBMEYWPqy4A6OEdQSKoe3NWaq/rQukhVh7EMXxg6V+hYbMA/t+f5roQcNiTw4zoClRPQW8d9xI2 D7+sxelL0ZSQZMiraNYRsA2oJOBKeiwEDiJeVUc+xCZJWTblS8b0qZWkD4sk+iVPaUkLvI8mlQzk QgsaTeeH6MMuW0WI920kz6mKXK/3vEZ917c7Fr+akpxFpcpfZDGoftQtA8bUiSuldtS9biExn61A 7aVqjrMF1vp8G6oHRKfB257HWsLKrjIoXk6viNVgc7GyTrbJuvw3coQXdcUyUWosBKXcZiooiSFO XcUorjzVnsAM3MYXISFeip/1oCg2nJNnyZTMNMEvrbS5x0IAWYQDtVJtT7cyPpjzw7miUBEIbP7b tH9cHmS7IabUtBuA4fskfmtvU5LUzjzylP3Xel5xm7JWb2Kk0rfoYIUzpb/RGX3hLyUxnjRz8QTB 12Dn9kJ5mlXox6RPi0za88WGDYlnllnoWGKWTElLlAijYxG8MxwfvrTqQvfQFPcn/BmlDlmKWD6j W71wtMxKZZzDJXj8JFfxzTQgHTNAt1dyNKJspfApahg71ZZlshTEm0DCI93t7X3hqQXpxciv1B7Z BBiZsLl4ilykx1uwRDc4SGkZTaa2GMOK7pyoFiHd0jGL35zDNF9ayOmduzDKC2VGh2A+hw/difNr UGm9J1Cy8hfRT3eTN1iWbKrr3XDcsNnb2nKunqPXgO9O95D5A9bcLyGPk8Pe9Q2hIaC+/mNyUSVC qGJ7pnnYkAaZYfrCXstO40xHGej5xZckBu3bGHYUMWXuyP+ARH6CojcW4UUJmQuo1NUqTz7e8E4n HK/fz1Es8AILj9+Bm8q1ZlIAy2XJ+1Wv5uD5bQxGjzKekgunaxhMpIzd00xVtUYYnsR4TLxaR+2M m4W0pDtT/++yTPCpDLmiD9jK3tjjy0PaO2KCbsiGkMT2oaOHGOImUodmzI+U6K6hmZpO86AQmmSK F6q1csfCNZ0iLFvIQaSalEVyEilOSAfV6e+c12KyE6jbYDILI785Gfne89WzK9Hun01sK0CZsrOM 8t02/VYol33sUHehtM9s57tuI9mBOy1650i2CsyEnn9CgyZnpGC9RTbznB/+dFzJs9sb6VINwSk8 44tDwb9vB4lPoV6TJ5dkaFNg03nQRrXzh3zNyBZvsOagH+Cd6i+XcJMegDNjGKLg6rYCJoiB7D9W AECCiPd6PAOLlgKr7n9Xz/4dYmcHBUHo5NKl1nRpZtwtnaBoL8Gsnbv68zpIa41X1QXTbSJZpeZs yZJB5zxaKK6WoBYQynpLFrnWxgYW/oTTQyGlBhuerRo9pGIHxZTdKQE1MvR7g0v7KcHQyd2VW/y6 pqlq8YU1gdhgUvJqwaeFT1AUV2BSs7EQvlixswmp00hFf4LK7b1/FrU4JAc26v05G132lZA2PfXD bmzt16jakq2ppBoyFTcB9LKLASipWebLxysTPoF95QtamtCLH8W5vRo/zDAz6XcMhrMx9FhjS2DG hgi6RvMxsZbtSOXGJRgOHC/TRUx6GF10lUmoxUYAsYCkHM2lqWwUz7AAbaML/kKLM1PGov6LuDy+ ZxKw90i9Ws/gjSlZDHnOEt2S6Le7zj+exuA3VRj/2x0MTc5mlpfbttFp2Lv+5WQ9RI750/eLXjC/ HiHX72Y20UpZR7bDk4tgxj3Npnc3tKlAHmHm1qdFAyz4tTEXiqV6uwfX+KJQxiHLGxNIhg7104Pi NEQVfd6wnmr8vGlRI8uaOCuvJphRWPTUaK7AcHfZ6faOK/lXVgyU613ecgIABaguivwzxRsr76gx 1kNzdUJz+5w8OmNpdqDxIS6m2zAlKY6VAu9c/jnous5RE6praoMoYyMXATzD/ifd+ZubDSHsTE0p AKDCWoTQLAfh2ZQuRxByVzfkCwvH284+v+7k186KGwHd7Fsvy8C2d17rccU+4BpzenroftgDO6sl UiAq60tk+rQ/yAVUsJ24U2J/JrVy4xqaDdqbT/KU4s5e5ebU/DPNeY26e0BVxr1vl/QitojD7J5J tOne8PcecKJra8X/1c1/PCjZKvcW8cpVKg/X5d9aA6o8WzF90WjT06ewWVlwkwZymiQmEi8sXeBA q3TJGMdS0w5ExZgjWOHGxEU2F21Gy5WrdXqjIwkCvaIr4xK+iXi9R2rDLyM6+iEArZGZMZnQzyKU RPmQI0FlJuCjjQUdNv3OTTtrPnBltP3bKuuXeyMj4w8kOWZ3zlUatZ+wWTUYiex/FctoqpTv3xjM zgJbIAK4C+bkt80/nf1scZicmatM34JoXhSyYo3cX9ag6zZqRMJ4Zw87jOUEb2ddwstETeHGfL5M lPf6USvrSe51OxYsi2UdOKJqFkVegem8YT4OGDYEgt4RFq0c8amVD2zuotXf8K0jrjX0wOWSYwY+ VeWa000mmxRlUh1nhD2+HtQkz4w8nAGzhR6vURzGchc4ZFadyF3a2IMVWQWBmz7DwYxZOAHIKcGB hGm/11GXJxdB5WmS5XdIlDFXIxcXwGCzYFQ3bHwy2Jsm4yttv7z/GHj+fXpBXYiIxiUMCdJcDeuk EYHLm5fUz4uglp0LcRvo7hbesDZbwOW4YC6TUfaG++w1bcBeNajXFOYtR3bzCRV835SLsQxIuiHA OjrUg+b6Vzs5oJoLnoRyGF862LrAES+v2ndi/eGfODtRk84q6PFiicrjtmJMW5ix7Pyf0eO2mgqk kaYO12/JAUNKoT8mranBpc+jl6j/XS9bOoRudGe+1t1nYpGSh9VWhpMKMXH1cWoGVjhykcyC1tvY WB0SBqh2uB+GtZacoo5vCYRe4/URLsrx8dq0ivj1G+zS8E4Vs78aPIBvjAaLjDkm5GeOzW14AFFq IHX3Lf8gKi+3SqCQ9PAOazKSmF4IEbo6OqcBSX0162NQoT+iT5MwhIEw2M3M5NMV2ggEExvcx/5f TRkYE8o= `protect end_protected
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_if_statement_GNWHMBR6GA is generic ( use_else_output : natural := 0; bwr : natural := 0; use_else_input : natural := 0; signed : natural := 0; HDLTYPE : string := "STD_LOGIC_VECTOR"; if_expression : string := "((a>zero) and (a<b)) or (a=c)"; number_inputs : integer := 3; width : natural := 24); port( true : out std_logic; a : in std_logic_vector(23 downto 0); b : in std_logic_vector(23 downto 0); c : in std_logic_vector(23 downto 0)); end entity; architecture rtl of alt_dspbuilder_if_statement_GNWHMBR6GA is signal result : std_logic; constant zero : STD_LOGIC_VECTOR(23 DOWNTO 0) := (others=>'0'); constant one : STD_LOGIC_VECTOR(23 DOWNTO 0) := (0 => '1', others => '0'); function myFunc ( Value: boolean ) return std_logic is variable func_result : std_logic; begin if (Value) then func_result := '1'; else func_result := '0'; end if; return func_result; end; function myFunc ( Value: std_logic ) return std_logic is begin return Value; end; Begin -- DSP Builder Block - Simulink Block "IfStatement" result <= myFunc(((a>zero) and (a<b)) or (a=c)) ; true <= result; end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_if_statement_GNWHMBR6GA is generic ( use_else_output : natural := 0; bwr : natural := 0; use_else_input : natural := 0; signed : natural := 0; HDLTYPE : string := "STD_LOGIC_VECTOR"; if_expression : string := "((a>zero) and (a<b)) or (a=c)"; number_inputs : integer := 3; width : natural := 24); port( true : out std_logic; a : in std_logic_vector(23 downto 0); b : in std_logic_vector(23 downto 0); c : in std_logic_vector(23 downto 0)); end entity; architecture rtl of alt_dspbuilder_if_statement_GNWHMBR6GA is signal result : std_logic; constant zero : STD_LOGIC_VECTOR(23 DOWNTO 0) := (others=>'0'); constant one : STD_LOGIC_VECTOR(23 DOWNTO 0) := (0 => '1', others => '0'); function myFunc ( Value: boolean ) return std_logic is variable func_result : std_logic; begin if (Value) then func_result := '1'; else func_result := '0'; end if; return func_result; end; function myFunc ( Value: std_logic ) return std_logic is begin return Value; end; Begin -- DSP Builder Block - Simulink Block "IfStatement" result <= myFunc(((a>zero) and (a<b)) or (a=c)) ; true <= result; end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_if_statement_GNWHMBR6GA is generic ( use_else_output : natural := 0; bwr : natural := 0; use_else_input : natural := 0; signed : natural := 0; HDLTYPE : string := "STD_LOGIC_VECTOR"; if_expression : string := "((a>zero) and (a<b)) or (a=c)"; number_inputs : integer := 3; width : natural := 24); port( true : out std_logic; a : in std_logic_vector(23 downto 0); b : in std_logic_vector(23 downto 0); c : in std_logic_vector(23 downto 0)); end entity; architecture rtl of alt_dspbuilder_if_statement_GNWHMBR6GA is signal result : std_logic; constant zero : STD_LOGIC_VECTOR(23 DOWNTO 0) := (others=>'0'); constant one : STD_LOGIC_VECTOR(23 DOWNTO 0) := (0 => '1', others => '0'); function myFunc ( Value: boolean ) return std_logic is variable func_result : std_logic; begin if (Value) then func_result := '1'; else func_result := '0'; end if; return func_result; end; function myFunc ( Value: std_logic ) return std_logic is begin return Value; end; Begin -- DSP Builder Block - Simulink Block "IfStatement" result <= myFunc(((a>zero) and (a<b)) or (a=c)) ; true <= result; end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_if_statement_GNWHMBR6GA is generic ( use_else_output : natural := 0; bwr : natural := 0; use_else_input : natural := 0; signed : natural := 0; HDLTYPE : string := "STD_LOGIC_VECTOR"; if_expression : string := "((a>zero) and (a<b)) or (a=c)"; number_inputs : integer := 3; width : natural := 24); port( true : out std_logic; a : in std_logic_vector(23 downto 0); b : in std_logic_vector(23 downto 0); c : in std_logic_vector(23 downto 0)); end entity; architecture rtl of alt_dspbuilder_if_statement_GNWHMBR6GA is signal result : std_logic; constant zero : STD_LOGIC_VECTOR(23 DOWNTO 0) := (others=>'0'); constant one : STD_LOGIC_VECTOR(23 DOWNTO 0) := (0 => '1', others => '0'); function myFunc ( Value: boolean ) return std_logic is variable func_result : std_logic; begin if (Value) then func_result := '1'; else func_result := '0'; end if; return func_result; end; function myFunc ( Value: std_logic ) return std_logic is begin return Value; end; Begin -- DSP Builder Block - Simulink Block "IfStatement" result <= myFunc(((a>zero) and (a<b)) or (a=c)) ; true <= result; end architecture;
entity block2 is end entity; architecture test of block2 is signal x, y : integer; begin b1: block is generic ( g1 : integer ); generic map ( g1 => 5 ); port ( i : in integer; o : out integer ); port map ( i => x, o => y ); begin o <= i + g1; end block; stim: process is begin x <= 1; wait for 1 ns; assert y = 6; x <= 10; wait for 1 ns; assert y = 15; wait; end process; end architecture;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block la25uVBWzC1l+JHhEL6c+Ts7V7z+YIo/kFZc/YoRuvF5aU3MaKWYyPlXeCJ89353nfhHkbdLXV4F nVVuxP9FyQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block nFg9pYQINwZA2M/ydTMPKxrCQrNDHt3RlR7cY6SpFxjoLHLbXhL1bAq6n1q9+Q2qjz6EazfBZ93K U0YpNGqnr+nqc2C5nb40zW2yQvfS4Rbw8mxQ73/lihHzruwr8kDVsMtt0iBxWrTieJOIk8/NeR2G +o/W4ndnrUAG/PfyIlA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block xuoFtB1eDGz1GlFErAZaPAS93bvbE5a9X5zV34MxLp8Ua9ky7RLKZwzWPuhW7tLpYh2ua4RSttmk dPsfayFAITrAU/dQAVWx4DUGO5t03cUgdN7ppPZLi5GX/MA95IxftPz2MIzhpH84Js1AO4luqQQc 5QxN9DH7mAIM0GVXwc0f48uoH19eD0/e7ciNMenZDiYHLFC4ACagzRoMnKlK4ZA6RSc1NIW6GfKa 8M1WYwzf0B7d/GeCgTpBG0FjkWha1+PPC3tNpTIzfUCoG9hESZTSV5bYv92St+WQ6sNnwG37tVqa iLDinljZPypL6xhmjimXUoCa+m62LGDkqdf4vQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block P0dE5V9VUBP3x9gSL+lY/6kDeo+z3sDkMBilzcCbklSLv8Zh2uayd3oG98fq3BDs4Zyl2NIOR+4/ c3oQeFRXzhjxpRyK4NBrcY5gN80k3yvSH2WYV0Ha2x+39Jj8yQO/MunO8ZTCspwLwcHXnNHZNsHb SEAg+QS9ELaciwfw5D8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block t3we8GV+pUi+tUpE/sSsfp+bLGtsUa2GACR/MBRAx8Rup76KD0FtZguzgORfNW3U/RRXivPYEwx2 YnVAkNK5JSRAyAcNUOh1nwJNd4vOCmRpVk4XeDn644n3Wza8R6vE4ZHxwvABYxMsztUi1tBqdTX+ 5G7mxdIbl8hLmHjOn9mWgfRtY1ZgsgeotbJfe2JmJP+lSUzhj6TeOhpeosvrxGHDW+OoVI8+21dZ rSaYKHGuWyyHJi/k2LWmFCszrm7zzz3izU7DalILlpEYm85eTWmehGHj/pJE4Boq4iEfeuZjagdl fMfJN7hju7whxsbPHrjRb1nqFZnUndHNTjLyvA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5184) `protect data_block 2hUzsBKKef+bDBf3jkL2Gx+BzRzHYqVbyIo6nIHQDrqRm8IOShRPRptfn0tIq7maZ/zVkOWEhE6E 8psQfcX0R7jREKV4cRPCaW830fPaMTZ+GcwNG5kEGhhMeBfPTgLv7n3kaVP9FTNssB5XQU/c0PpA X+WpwO6JEdtbJ/SoItPEa0A4P9wgUm+p3sqtElPvHnvqrZ+YwD34vuZPqSKwd61KFdg8YP4QIbdu c6UopFZO9ZMMmr8joqNg1CpRj50T9N35h0KcEl67sdfGAB3MMuOHE6IY2milOyGMpNT44RPO64R6 fcVPeQVezCysH1FkvAohWrr8qcVuV7UYDmkMy7cGkjkFhOMWMysfVsWuEmRfNqIhx9Rc9LoVs3pW 58EhruXWoW2y3rOgVmDVP11+D0MnTN8Bh6GLstrZWYlMx/YrlLA3pohNGUPW4bCfl1i001y7WyrK FrKljE9HGL2U5R639hgLhiXQT0N8UiNk4nmlmFxOzzvNo3F3EJkr/mAndphG7zaCPeRM4P42My3E W9Dd0+kXpnv4o8NQhONBE/xamxaXzhS1kjGCIjIf+Gv1YOqgk4+wI6InbChkdXKDLDTbFckjgn2B P/f0ZRZXFacWyOLQ1hxpzPQcJXBGBdptGi+FgKd1SxficGls6+ZlMCgcc9IBwcJbGlGECh8Mn+8j SJWTUMflzh4fEmHuBj4Msjh6FvhZDOrOabGES7twB0IfVbF8xUqjRJif38252IcyLVV3AIpKFWyt mSv1Czy3HdHAzyd7kp8IrNMZZgmPukReLxvlhjyAQK7Z5cmlD3FuyizF2Fp4xb0CUo1F8w2zjL1V z6TF2sTawT0U6d6Nm44uMHADLl0rYcVL+KjnaslZ9yjv26hm7hkCUun0eKmqtfbml8uCztw6xqJU UrPB0tilYm1KkB6BuUlJcqJ7p2d00xcHsfiZivo3AWM/7ZdU8tKtl0VXAZTFdD1JiO0gdmIgQak5 2qoJwH+RBnqEny5cSK0cZ6xJUyz0H/UAWndOH4gSi6HtQ0Dhb/cJbrH5krT780RMZ4VAf0Dys2zt jf0wpMGkiAqZ9nr5uSC9L4Z4cgz1ws9xRPlmcM83m9yGzYCR14jV+yNz4d8hlpDg29P88vON3Zxw xP6ai40ImZLXQh0k8PIHVKYQ76IXbWfx5wknGL/ASwIq4AEf/tdoYNF5fOTajFL9Qq2RHzqHp88y 9tx86I+HjpG7GAv/5hhM4aQlcmhlINNjdlM+TqrkZhml18DZBvIkUL1m+9kw1HWPz8tBWDa2qfE0 Uv62sJ0szljtSOtmjsaJJnWmxJL5xpQhWKp45MKbtXZfrmXukaIBoSh2jraqLSkmbsdmCbGwh9o6 L3cfeRpYY7FJ6JfWSI/Hga8x+Jl/GCmuRFNBDSoGs+usST2st+M4Nel9sQkc/ZSFd4zuMcw2tG/l pL3FSMZTS+hvXAezC5I0Eq8XXkKpLsZGjpXafqW8Aih3tQkm3qQKO7HlM7cpL8i08Z1aSG6tWipC iOyLb7TmiZezNpWZH+TIOOjB1cyuQ4ZBCp4PHw8b8mRJCL+os1iQniGk35PeXmdKBr5Hf9eKSgfG lOxd/bGIdaxUyV2Sw2AJ8zPbJCeQKqoMyOMrnyqI/RnlwphVGACe/VVk/jTgcpTYBG0tNC8ESfjI h6eKGK++dhtA9zBokk5EiF2zdNUu+S5nEu0HYujSBEekWGTZo23UE3UaVRFvaQ8mV9xqUJuoYOmC kHpMgt1prk7Scy/hvVRRqYj5grOJ5kx53h1ezi9yiFrrP0UyZavAXyvGkCyFTHpC7lL1hd7K5diN BM1wd7JVZF2R7ul+WKC/HRoiReH3bjmq+6MGN68PwksiGKu8iM0roSORsdx67nhuyina0Q7bKVVt 9/ehyEarPMyJk4uoPqTpSATOImmfqlaZfq5zSqHP17PNrFt6ZS9DnMFVTb+tGcQzSVkwovPvalSz XQ+y5c7lDyMnFVeJ7X6FW6tYkrUpj2JY/35g5h9UI8tfS3RMUii/xCsvJJ7WQaWK4lRdAG30OKBS kNEG0KzKz9q+J05YUV7evIKfjCcwEKK9mjdwLACgf1Nrw37dyVwE8gPd6OclVhqP/Z2vlqpkuiKr myLb8VdpjD+2gaVyaEt5+ubmyhvM5Wfyq+g6kBt5hz7MXhWZFi6F3RzBD8yl9FzqYaFXdM0oPzmk z1QwnExXlhvpMUYYilNDpaFxQFa4v62gVnm4dtHD5xlHpKaWd+RiXvAkjXlLJg3uDtuHO9P173XZ px278cygCmle8kI/ijy9OTksEIZDXYNfhpUcC1oqxQjUhYXnICdmNOhr5oN6FsWRCETqsOSPB1Ye a5A1JBEoPpfTrAY8LLM1YnztraNfc6BexcyBzIGsbPJB5CKZir85GiI5NXk39wrvz1gEKquCrli2 x2lZ+G+eXH/aitYjPKmdh7Sz+kv66cppKQL9uqIkvNT4ShHBhFBujeMDxxSksQ949XGqPewgb3HU PM2Kp67oV5y8PADScIRjYYbNTrBE01TVhvVl82eHfDcyjigAPaC6y0q62nNq32xEDthLQuriKwSM N+i2dKugMkNZ7HUtQg/G8ASJ85Iv92TlwxgZR9l/35q8vA/v62znIpOacYa6hQT/D6F8agfM1ORV qwe1z6hUQ1J3sKVfD/Fbwd80XVTO4Wufr48l/7rO20M1VD8/TWuAaumP8zDw1QmLaILbYJ1MCqIW USTTZVm5U+nDl79BzToaJ2BBrViWdHG+B0GyLV4F1nyiQMXpHZHGzJ2+vpc4lfRrwA06bl3sNlmt XGTGpgqEgV6zNUHxsFAAgAYWI6vcKj1pM1FmfdjgnQPe2Un7fK+RvKdXS5iYSHdIeH/dhI+noyL/ Nq5fQ01OH00Unzgh6JmbVmHp+J8whdBnxhgSdXqKw8aBugpWwgi2HpcPGxvbwLZNHjSe3tybGKHH ENiIgtiMJYpr2uvor70ylPrbR7TcaxqrmBtxS+JFZOJbYKokuoZEFinSPY3sXsh3gCPk4hZ4k8XR O2HMRqK+MOmqj8nVPe6myLauajPmA+ExJIPi1Q377gKp3wccimN9ZNEVg7DgDY5pTYRIdkNhQ9yC +y5Ql5q04GQXooIeYGvhzRd+p0W63UV5ygHTW4wCDcWHEKaPtV2VeuTqQF4ieixEioTbHrOfeWIP Ore3/35BnKnbz9t6KScE7804EckWmkEy8P1rX7zXB/+7H9TnjLJm129AG+Vruw/I/V/AMIt2JH+P sL+ID7qqT6GiaUayXogY68X7k1fi/Gvt2v05BMQAiAbblyENiFc1hTzgmhabn79fRX8Qs/sPM5DP czhlZaQnTjpwmvwEq+nG+B6dJijFITMcaxHJ5PkI29ZcGHrF59Ld75PYiUoQnvv/IE/EhjK6q0CO XUT5JjvIvFH5EtWPsTU0wwXQZ5EPv9JgybrMcL2pXrTMb2DrABHNwHcF531iMXS4H35GU9ZWFz4v HvEjT3O40VGkrPfufF2FjNfCuorVe8qbzKzCtaUYCyi/ymirlpfVcx+qwV0LtGHmxL7YolgC4w6O B6yEE61LhXU484FIeq0jYOHl8Nv+COXGautmTv9zt1ac2g+0DTmhKi+WZYTmLPrC06Jj9ZMyA5OY jOoFbyEfJQ1LLLIwUl+jAqvQIXbcH29GTl5JXs0znX6hcKtKoX0P3D+YmAUcYIFIuBagF2WYNbDR iKV+fx8cTQDSfyM+F8iuMCqI8hmka+bRClGEOrnZt1r4EriF4G3s0hGDSxxis/SqtxxPdwgwe0Ew euW8ZzeSiWdgZ/ewflC5cikid7SVwBh8DS2hsvQxRuXchAvEn/4U/ewKZRqSP7iqei0Y5zYguAu3 qG8KpBb80s9qYZsTR5o7ertWfonIb+wF3Hgg5Z3OvBAu/mZjokIBDt37aCE+AN1hFevdTlfDLDJM 1XXqmrM5ZEn+7aAzH4reIPWhmJy31XmvKofmQs6SgV60XHxCF3K99Zty6yZ7Vo05BkO3JBcFjdBs BLs/xx3Go9s5s7drEfaNkWhwlNePOC5WtjSRf2xYn4vQXCtUdj2pI4DlsWfRN/nn/b4jnkHdAgrZ /BG9TQL1J0ynrRxLJqDFeH5S1TBQFTg96cZu9YdHKMIUxHipVovTX+HZJN7bztMNvZz1YONPtCy7 8Dp7PB/COJe9pBgD4NGVFXihJorwxVL+qHNUY1yIlma9vOKBMci3BHxtpGOFtQmaNe+0NwcGXii+ PwDvnuLuKXSGyXoh5dNjCQIONODmiCUvH5jlZuPLAG5UeG8d4cUv7W1J5DixX9lrWQg4hZli4xy/ +P68KGvzjNeeSygxaZWMGLwk/6OcVUoGnl+B3xRpdBhebEoj9fMipIB4Q9Er301ZwuTf25qDhNal jaw5xHsKHmhv8PjaF4n6VP3jGS9LnF5W4C+kcWlzvR6FDBFKRjdbajkF21OMbv2ysUtChIlFmGS7 GZwx5oT211HtZpvVD30s6uj3wftlFWLU2nDS01gd2wIRLuLeRmXXDjEhRL6p2VqfWcGNr0Q6WvW8 sCXQU/KtRxNzrB+Kp9aL+I8P7bFraNXb3oFImIOiCEKGM6TZq2QzgRNLN8bmlZNMRfJE42tO0D9r cGsrsb+g3XFN7UY3SjHyLvsoNHSMPxXUEQKyclqzogeSBkRzBpKA2cI8Q5Kj9F6xgkbrsNnd1Ybp He4FmQCwEpoFJ2WUDu/Hr1IADVVkO2GeqavLupkLeafMY9ELvfZSN8Udj65TvGNYapCGLK3ePIG8 pJVEBqkv4BUxduaZReuc4UfFicHutcWHnaO1JsM8BwKtE4xxh4Xw/1eLLMR9ysHaKo89RkfyD/AH Fal7XZsuyHbA4frW5PkwJrvnPDRDTkmSeqzhbJbAl9e147KTv49ZC1fXfR7Eo+tDI5GH9mfXAMux OzCeXB/RGHQKeyQDXK6Mlix6cqAQsRuSgil0lTkK5Rcrz1kQbr2ihGaLBQ2q0/jwWT5dYzRG737D zr6f9nD+Ow8404T+v4ouXpv6lYUc3QPPv3H04roLzc048FVBUTw3YkUGeiOsMA3LQyx/IF9/H7+3 CLJXYmOYI6GYdzgBxBfEf9CPC124BifF/7DbOG1t/z05x7k0TrCRNjT17C9gjWvkXuOMOTP8tfPT 9as3XdKU9D0ggaFtdIFmzI6gYLAPGYo61OoE++MuiWHMmz3f3+PzA1SVjLIJaSJ/Ey98vlWXtGsV 6MWjspPzQqqglUq9II0FDftltDrqHATbyrv214EZYpe7sbq07U8pkT4vNNet3iKaoq18Tmbtg7Dj P5ZKEwTk+/gKnxpH7tK7h5W/1vnHe3oTUr/NCVHaLAwRZdYpSnrf/Xu3WC6Q9paP8SpsnXTk2Bun bPWkF74eDJs1CeM57VdkuZk8nqvvmH4h3NkkClGLopDd42zsTXvLrIEAPrFLLIIvJDEVEAJ13Wic BuWt7l6S3sh/+JIwHduRpb13gQw1PIpKwuCOSid5W+r+/H7X8f8UnqfVDzH71PEd0wZb5xEqKF/Y gB1ksDadfp5KEXzUgNKGIVUd0MruRuBocw97NZ6EiyEYFXeHYDTWnDzzfTplD7SSTLLPcQM+X16P cJXNmVDrd5ftB9u+O3Bl1Ev41cfSaJDfG8qK2qgRmvDRi46y/Sd8RiAFzRoB010Zem3YsU0Pjf2g +lStoL75lMndcR3g79AU8XyuJ/lYHhSOTytVk3wT6xqYj793L7vd9Tfc1vThb67w8pHfn92P4NGF FZZAPIM0nqT6bXhLITkSdRKIgv6cKSRjB2xywh4bHTL3wZ4b0C/u1Fq7s3ETPdGAP1mXx15SvtkJ u2wyquRjJmOeyrLbcVtTWybmiWgRgDfMF3s1U9ln/Z4U1e2bO09bc9RrOIJY5XRAdDoXRSGYVl1H mHmtAE9KQGpUd59wETD8wpAbCS5gAO1CrWZM3BKj1NEUdZq6amp9uz1Xohl1JUW69WDl3T+VyDfM T6JmTLlDLS6krtCaQBA7NTa0r6VwgdBks3ai+q6xEv3So4Y0sXoeBbuBu7jSUD26LGg5u5UVR/vM aIG0AWBi3tlHIuUAs1yvo3+qzBg295XroOqcVH9iWIALKjN+bg9WLO14tegCcXoaEfj7avKMjlo7 OfH4sEzw5Pm1epfOYQaTs0BYciG4VxmDrXIDZZX5NiDtnkyCQWTDFG3JNLTArKzGkkKF8ppXbhMu ELxWw96X7y1KJoX6F/n1cIg1M0vuF2aSwKGQc3t/FlaUat05CCsbcM10IyLGMR2SxZmPAe+I8WIF p/ITh9BO6Ddwf81omEf/Pbe7Izzi28eLOtcTgLJBrFJrC/vUMzfOs4mqLBxprDPNCppbhQ+lpiNo Sf1xUZOzUgQSHsbaIqYxbT5u+uyNw7nMbzc7LVezhf/JBXqaJ4lruq5MV4pnqQHlLOM4sH4Pfwu6 Eq6kH8hZcvTcxaWeX5wCUR8g1sLXN4s0PWfYCgNEBY3lF2JSNyxN2lNC4ehC5n5XWLGkjCjZTTUQ Q3JjmZjIaBeKl1KA5J64f0adkEmWVzxO6GyvX7ld6dJpxVEQPV3scprajzAfAVChIFDKxWEs10P8 /GiDmWVPg0SMffGjwMd5RZlPeH7DNPJ0vVtfQqSOm4b89P8snpogverY7tw9fwm4pVfkfqt6y+z1 7r1kZU0EDIX1u5YAy4Acr2bztvsdixhS7pIgnCpk7Y8Ve9g8iMJsF/OqBF56qNgKAasoXoA+P8vX GFGKhoAsVCYvqVv02pZtiMZEgtqxnLn7bnVhYEh9dN1ditFles/MJrkOWvrjlbMKSuyVs+totOT8 ddQzLFp5XfEdDZFLsd1fBkE8EBP8N0hXbGCr/icv2oer2FZ9QOG1cflfwOkU27Ky CHIcn6kb `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block la25uVBWzC1l+JHhEL6c+Ts7V7z+YIo/kFZc/YoRuvF5aU3MaKWYyPlXeCJ89353nfhHkbdLXV4F nVVuxP9FyQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block nFg9pYQINwZA2M/ydTMPKxrCQrNDHt3RlR7cY6SpFxjoLHLbXhL1bAq6n1q9+Q2qjz6EazfBZ93K U0YpNGqnr+nqc2C5nb40zW2yQvfS4Rbw8mxQ73/lihHzruwr8kDVsMtt0iBxWrTieJOIk8/NeR2G +o/W4ndnrUAG/PfyIlA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block xuoFtB1eDGz1GlFErAZaPAS93bvbE5a9X5zV34MxLp8Ua9ky7RLKZwzWPuhW7tLpYh2ua4RSttmk dPsfayFAITrAU/dQAVWx4DUGO5t03cUgdN7ppPZLi5GX/MA95IxftPz2MIzhpH84Js1AO4luqQQc 5QxN9DH7mAIM0GVXwc0f48uoH19eD0/e7ciNMenZDiYHLFC4ACagzRoMnKlK4ZA6RSc1NIW6GfKa 8M1WYwzf0B7d/GeCgTpBG0FjkWha1+PPC3tNpTIzfUCoG9hESZTSV5bYv92St+WQ6sNnwG37tVqa iLDinljZPypL6xhmjimXUoCa+m62LGDkqdf4vQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block P0dE5V9VUBP3x9gSL+lY/6kDeo+z3sDkMBilzcCbklSLv8Zh2uayd3oG98fq3BDs4Zyl2NIOR+4/ c3oQeFRXzhjxpRyK4NBrcY5gN80k3yvSH2WYV0Ha2x+39Jj8yQO/MunO8ZTCspwLwcHXnNHZNsHb SEAg+QS9ELaciwfw5D8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block t3we8GV+pUi+tUpE/sSsfp+bLGtsUa2GACR/MBRAx8Rup76KD0FtZguzgORfNW3U/RRXivPYEwx2 YnVAkNK5JSRAyAcNUOh1nwJNd4vOCmRpVk4XeDn644n3Wza8R6vE4ZHxwvABYxMsztUi1tBqdTX+ 5G7mxdIbl8hLmHjOn9mWgfRtY1ZgsgeotbJfe2JmJP+lSUzhj6TeOhpeosvrxGHDW+OoVI8+21dZ rSaYKHGuWyyHJi/k2LWmFCszrm7zzz3izU7DalILlpEYm85eTWmehGHj/pJE4Boq4iEfeuZjagdl fMfJN7hju7whxsbPHrjRb1nqFZnUndHNTjLyvA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5184) `protect data_block 2hUzsBKKef+bDBf3jkL2Gx+BzRzHYqVbyIo6nIHQDrqRm8IOShRPRptfn0tIq7maZ/zVkOWEhE6E 8psQfcX0R7jREKV4cRPCaW830fPaMTZ+GcwNG5kEGhhMeBfPTgLv7n3kaVP9FTNssB5XQU/c0PpA X+WpwO6JEdtbJ/SoItPEa0A4P9wgUm+p3sqtElPvHnvqrZ+YwD34vuZPqSKwd61KFdg8YP4QIbdu c6UopFZO9ZMMmr8joqNg1CpRj50T9N35h0KcEl67sdfGAB3MMuOHE6IY2milOyGMpNT44RPO64R6 fcVPeQVezCysH1FkvAohWrr8qcVuV7UYDmkMy7cGkjkFhOMWMysfVsWuEmRfNqIhx9Rc9LoVs3pW 58EhruXWoW2y3rOgVmDVP11+D0MnTN8Bh6GLstrZWYlMx/YrlLA3pohNGUPW4bCfl1i001y7WyrK FrKljE9HGL2U5R639hgLhiXQT0N8UiNk4nmlmFxOzzvNo3F3EJkr/mAndphG7zaCPeRM4P42My3E W9Dd0+kXpnv4o8NQhONBE/xamxaXzhS1kjGCIjIf+Gv1YOqgk4+wI6InbChkdXKDLDTbFckjgn2B P/f0ZRZXFacWyOLQ1hxpzPQcJXBGBdptGi+FgKd1SxficGls6+ZlMCgcc9IBwcJbGlGECh8Mn+8j SJWTUMflzh4fEmHuBj4Msjh6FvhZDOrOabGES7twB0IfVbF8xUqjRJif38252IcyLVV3AIpKFWyt mSv1Czy3HdHAzyd7kp8IrNMZZgmPukReLxvlhjyAQK7Z5cmlD3FuyizF2Fp4xb0CUo1F8w2zjL1V z6TF2sTawT0U6d6Nm44uMHADLl0rYcVL+KjnaslZ9yjv26hm7hkCUun0eKmqtfbml8uCztw6xqJU UrPB0tilYm1KkB6BuUlJcqJ7p2d00xcHsfiZivo3AWM/7ZdU8tKtl0VXAZTFdD1JiO0gdmIgQak5 2qoJwH+RBnqEny5cSK0cZ6xJUyz0H/UAWndOH4gSi6HtQ0Dhb/cJbrH5krT780RMZ4VAf0Dys2zt jf0wpMGkiAqZ9nr5uSC9L4Z4cgz1ws9xRPlmcM83m9yGzYCR14jV+yNz4d8hlpDg29P88vON3Zxw xP6ai40ImZLXQh0k8PIHVKYQ76IXbWfx5wknGL/ASwIq4AEf/tdoYNF5fOTajFL9Qq2RHzqHp88y 9tx86I+HjpG7GAv/5hhM4aQlcmhlINNjdlM+TqrkZhml18DZBvIkUL1m+9kw1HWPz8tBWDa2qfE0 Uv62sJ0szljtSOtmjsaJJnWmxJL5xpQhWKp45MKbtXZfrmXukaIBoSh2jraqLSkmbsdmCbGwh9o6 L3cfeRpYY7FJ6JfWSI/Hga8x+Jl/GCmuRFNBDSoGs+usST2st+M4Nel9sQkc/ZSFd4zuMcw2tG/l pL3FSMZTS+hvXAezC5I0Eq8XXkKpLsZGjpXafqW8Aih3tQkm3qQKO7HlM7cpL8i08Z1aSG6tWipC iOyLb7TmiZezNpWZH+TIOOjB1cyuQ4ZBCp4PHw8b8mRJCL+os1iQniGk35PeXmdKBr5Hf9eKSgfG lOxd/bGIdaxUyV2Sw2AJ8zPbJCeQKqoMyOMrnyqI/RnlwphVGACe/VVk/jTgcpTYBG0tNC8ESfjI h6eKGK++dhtA9zBokk5EiF2zdNUu+S5nEu0HYujSBEekWGTZo23UE3UaVRFvaQ8mV9xqUJuoYOmC kHpMgt1prk7Scy/hvVRRqYj5grOJ5kx53h1ezi9yiFrrP0UyZavAXyvGkCyFTHpC7lL1hd7K5diN BM1wd7JVZF2R7ul+WKC/HRoiReH3bjmq+6MGN68PwksiGKu8iM0roSORsdx67nhuyina0Q7bKVVt 9/ehyEarPMyJk4uoPqTpSATOImmfqlaZfq5zSqHP17PNrFt6ZS9DnMFVTb+tGcQzSVkwovPvalSz XQ+y5c7lDyMnFVeJ7X6FW6tYkrUpj2JY/35g5h9UI8tfS3RMUii/xCsvJJ7WQaWK4lRdAG30OKBS kNEG0KzKz9q+J05YUV7evIKfjCcwEKK9mjdwLACgf1Nrw37dyVwE8gPd6OclVhqP/Z2vlqpkuiKr myLb8VdpjD+2gaVyaEt5+ubmyhvM5Wfyq+g6kBt5hz7MXhWZFi6F3RzBD8yl9FzqYaFXdM0oPzmk z1QwnExXlhvpMUYYilNDpaFxQFa4v62gVnm4dtHD5xlHpKaWd+RiXvAkjXlLJg3uDtuHO9P173XZ px278cygCmle8kI/ijy9OTksEIZDXYNfhpUcC1oqxQjUhYXnICdmNOhr5oN6FsWRCETqsOSPB1Ye a5A1JBEoPpfTrAY8LLM1YnztraNfc6BexcyBzIGsbPJB5CKZir85GiI5NXk39wrvz1gEKquCrli2 x2lZ+G+eXH/aitYjPKmdh7Sz+kv66cppKQL9uqIkvNT4ShHBhFBujeMDxxSksQ949XGqPewgb3HU PM2Kp67oV5y8PADScIRjYYbNTrBE01TVhvVl82eHfDcyjigAPaC6y0q62nNq32xEDthLQuriKwSM N+i2dKugMkNZ7HUtQg/G8ASJ85Iv92TlwxgZR9l/35q8vA/v62znIpOacYa6hQT/D6F8agfM1ORV qwe1z6hUQ1J3sKVfD/Fbwd80XVTO4Wufr48l/7rO20M1VD8/TWuAaumP8zDw1QmLaILbYJ1MCqIW USTTZVm5U+nDl79BzToaJ2BBrViWdHG+B0GyLV4F1nyiQMXpHZHGzJ2+vpc4lfRrwA06bl3sNlmt XGTGpgqEgV6zNUHxsFAAgAYWI6vcKj1pM1FmfdjgnQPe2Un7fK+RvKdXS5iYSHdIeH/dhI+noyL/ Nq5fQ01OH00Unzgh6JmbVmHp+J8whdBnxhgSdXqKw8aBugpWwgi2HpcPGxvbwLZNHjSe3tybGKHH ENiIgtiMJYpr2uvor70ylPrbR7TcaxqrmBtxS+JFZOJbYKokuoZEFinSPY3sXsh3gCPk4hZ4k8XR O2HMRqK+MOmqj8nVPe6myLauajPmA+ExJIPi1Q377gKp3wccimN9ZNEVg7DgDY5pTYRIdkNhQ9yC +y5Ql5q04GQXooIeYGvhzRd+p0W63UV5ygHTW4wCDcWHEKaPtV2VeuTqQF4ieixEioTbHrOfeWIP Ore3/35BnKnbz9t6KScE7804EckWmkEy8P1rX7zXB/+7H9TnjLJm129AG+Vruw/I/V/AMIt2JH+P sL+ID7qqT6GiaUayXogY68X7k1fi/Gvt2v05BMQAiAbblyENiFc1hTzgmhabn79fRX8Qs/sPM5DP czhlZaQnTjpwmvwEq+nG+B6dJijFITMcaxHJ5PkI29ZcGHrF59Ld75PYiUoQnvv/IE/EhjK6q0CO XUT5JjvIvFH5EtWPsTU0wwXQZ5EPv9JgybrMcL2pXrTMb2DrABHNwHcF531iMXS4H35GU9ZWFz4v HvEjT3O40VGkrPfufF2FjNfCuorVe8qbzKzCtaUYCyi/ymirlpfVcx+qwV0LtGHmxL7YolgC4w6O B6yEE61LhXU484FIeq0jYOHl8Nv+COXGautmTv9zt1ac2g+0DTmhKi+WZYTmLPrC06Jj9ZMyA5OY jOoFbyEfJQ1LLLIwUl+jAqvQIXbcH29GTl5JXs0znX6hcKtKoX0P3D+YmAUcYIFIuBagF2WYNbDR iKV+fx8cTQDSfyM+F8iuMCqI8hmka+bRClGEOrnZt1r4EriF4G3s0hGDSxxis/SqtxxPdwgwe0Ew euW8ZzeSiWdgZ/ewflC5cikid7SVwBh8DS2hsvQxRuXchAvEn/4U/ewKZRqSP7iqei0Y5zYguAu3 qG8KpBb80s9qYZsTR5o7ertWfonIb+wF3Hgg5Z3OvBAu/mZjokIBDt37aCE+AN1hFevdTlfDLDJM 1XXqmrM5ZEn+7aAzH4reIPWhmJy31XmvKofmQs6SgV60XHxCF3K99Zty6yZ7Vo05BkO3JBcFjdBs BLs/xx3Go9s5s7drEfaNkWhwlNePOC5WtjSRf2xYn4vQXCtUdj2pI4DlsWfRN/nn/b4jnkHdAgrZ /BG9TQL1J0ynrRxLJqDFeH5S1TBQFTg96cZu9YdHKMIUxHipVovTX+HZJN7bztMNvZz1YONPtCy7 8Dp7PB/COJe9pBgD4NGVFXihJorwxVL+qHNUY1yIlma9vOKBMci3BHxtpGOFtQmaNe+0NwcGXii+ PwDvnuLuKXSGyXoh5dNjCQIONODmiCUvH5jlZuPLAG5UeG8d4cUv7W1J5DixX9lrWQg4hZli4xy/ +P68KGvzjNeeSygxaZWMGLwk/6OcVUoGnl+B3xRpdBhebEoj9fMipIB4Q9Er301ZwuTf25qDhNal jaw5xHsKHmhv8PjaF4n6VP3jGS9LnF5W4C+kcWlzvR6FDBFKRjdbajkF21OMbv2ysUtChIlFmGS7 GZwx5oT211HtZpvVD30s6uj3wftlFWLU2nDS01gd2wIRLuLeRmXXDjEhRL6p2VqfWcGNr0Q6WvW8 sCXQU/KtRxNzrB+Kp9aL+I8P7bFraNXb3oFImIOiCEKGM6TZq2QzgRNLN8bmlZNMRfJE42tO0D9r cGsrsb+g3XFN7UY3SjHyLvsoNHSMPxXUEQKyclqzogeSBkRzBpKA2cI8Q5Kj9F6xgkbrsNnd1Ybp He4FmQCwEpoFJ2WUDu/Hr1IADVVkO2GeqavLupkLeafMY9ELvfZSN8Udj65TvGNYapCGLK3ePIG8 pJVEBqkv4BUxduaZReuc4UfFicHutcWHnaO1JsM8BwKtE4xxh4Xw/1eLLMR9ysHaKo89RkfyD/AH Fal7XZsuyHbA4frW5PkwJrvnPDRDTkmSeqzhbJbAl9e147KTv49ZC1fXfR7Eo+tDI5GH9mfXAMux OzCeXB/RGHQKeyQDXK6Mlix6cqAQsRuSgil0lTkK5Rcrz1kQbr2ihGaLBQ2q0/jwWT5dYzRG737D zr6f9nD+Ow8404T+v4ouXpv6lYUc3QPPv3H04roLzc048FVBUTw3YkUGeiOsMA3LQyx/IF9/H7+3 CLJXYmOYI6GYdzgBxBfEf9CPC124BifF/7DbOG1t/z05x7k0TrCRNjT17C9gjWvkXuOMOTP8tfPT 9as3XdKU9D0ggaFtdIFmzI6gYLAPGYo61OoE++MuiWHMmz3f3+PzA1SVjLIJaSJ/Ey98vlWXtGsV 6MWjspPzQqqglUq9II0FDftltDrqHATbyrv214EZYpe7sbq07U8pkT4vNNet3iKaoq18Tmbtg7Dj P5ZKEwTk+/gKnxpH7tK7h5W/1vnHe3oTUr/NCVHaLAwRZdYpSnrf/Xu3WC6Q9paP8SpsnXTk2Bun bPWkF74eDJs1CeM57VdkuZk8nqvvmH4h3NkkClGLopDd42zsTXvLrIEAPrFLLIIvJDEVEAJ13Wic BuWt7l6S3sh/+JIwHduRpb13gQw1PIpKwuCOSid5W+r+/H7X8f8UnqfVDzH71PEd0wZb5xEqKF/Y gB1ksDadfp5KEXzUgNKGIVUd0MruRuBocw97NZ6EiyEYFXeHYDTWnDzzfTplD7SSTLLPcQM+X16P cJXNmVDrd5ftB9u+O3Bl1Ev41cfSaJDfG8qK2qgRmvDRi46y/Sd8RiAFzRoB010Zem3YsU0Pjf2g +lStoL75lMndcR3g79AU8XyuJ/lYHhSOTytVk3wT6xqYj793L7vd9Tfc1vThb67w8pHfn92P4NGF FZZAPIM0nqT6bXhLITkSdRKIgv6cKSRjB2xywh4bHTL3wZ4b0C/u1Fq7s3ETPdGAP1mXx15SvtkJ u2wyquRjJmOeyrLbcVtTWybmiWgRgDfMF3s1U9ln/Z4U1e2bO09bc9RrOIJY5XRAdDoXRSGYVl1H mHmtAE9KQGpUd59wETD8wpAbCS5gAO1CrWZM3BKj1NEUdZq6amp9uz1Xohl1JUW69WDl3T+VyDfM T6JmTLlDLS6krtCaQBA7NTa0r6VwgdBks3ai+q6xEv3So4Y0sXoeBbuBu7jSUD26LGg5u5UVR/vM aIG0AWBi3tlHIuUAs1yvo3+qzBg295XroOqcVH9iWIALKjN+bg9WLO14tegCcXoaEfj7avKMjlo7 OfH4sEzw5Pm1epfOYQaTs0BYciG4VxmDrXIDZZX5NiDtnkyCQWTDFG3JNLTArKzGkkKF8ppXbhMu ELxWw96X7y1KJoX6F/n1cIg1M0vuF2aSwKGQc3t/FlaUat05CCsbcM10IyLGMR2SxZmPAe+I8WIF p/ITh9BO6Ddwf81omEf/Pbe7Izzi28eLOtcTgLJBrFJrC/vUMzfOs4mqLBxprDPNCppbhQ+lpiNo Sf1xUZOzUgQSHsbaIqYxbT5u+uyNw7nMbzc7LVezhf/JBXqaJ4lruq5MV4pnqQHlLOM4sH4Pfwu6 Eq6kH8hZcvTcxaWeX5wCUR8g1sLXN4s0PWfYCgNEBY3lF2JSNyxN2lNC4ehC5n5XWLGkjCjZTTUQ Q3JjmZjIaBeKl1KA5J64f0adkEmWVzxO6GyvX7ld6dJpxVEQPV3scprajzAfAVChIFDKxWEs10P8 /GiDmWVPg0SMffGjwMd5RZlPeH7DNPJ0vVtfQqSOm4b89P8snpogverY7tw9fwm4pVfkfqt6y+z1 7r1kZU0EDIX1u5YAy4Acr2bztvsdixhS7pIgnCpk7Y8Ve9g8iMJsF/OqBF56qNgKAasoXoA+P8vX GFGKhoAsVCYvqVv02pZtiMZEgtqxnLn7bnVhYEh9dN1ditFles/MJrkOWvrjlbMKSuyVs+totOT8 ddQzLFp5XfEdDZFLsd1fBkE8EBP8N0hXbGCr/icv2oer2FZ9QOG1cflfwOkU27Ky CHIcn6kb `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block la25uVBWzC1l+JHhEL6c+Ts7V7z+YIo/kFZc/YoRuvF5aU3MaKWYyPlXeCJ89353nfhHkbdLXV4F nVVuxP9FyQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block nFg9pYQINwZA2M/ydTMPKxrCQrNDHt3RlR7cY6SpFxjoLHLbXhL1bAq6n1q9+Q2qjz6EazfBZ93K U0YpNGqnr+nqc2C5nb40zW2yQvfS4Rbw8mxQ73/lihHzruwr8kDVsMtt0iBxWrTieJOIk8/NeR2G +o/W4ndnrUAG/PfyIlA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block xuoFtB1eDGz1GlFErAZaPAS93bvbE5a9X5zV34MxLp8Ua9ky7RLKZwzWPuhW7tLpYh2ua4RSttmk dPsfayFAITrAU/dQAVWx4DUGO5t03cUgdN7ppPZLi5GX/MA95IxftPz2MIzhpH84Js1AO4luqQQc 5QxN9DH7mAIM0GVXwc0f48uoH19eD0/e7ciNMenZDiYHLFC4ACagzRoMnKlK4ZA6RSc1NIW6GfKa 8M1WYwzf0B7d/GeCgTpBG0FjkWha1+PPC3tNpTIzfUCoG9hESZTSV5bYv92St+WQ6sNnwG37tVqa iLDinljZPypL6xhmjimXUoCa+m62LGDkqdf4vQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block P0dE5V9VUBP3x9gSL+lY/6kDeo+z3sDkMBilzcCbklSLv8Zh2uayd3oG98fq3BDs4Zyl2NIOR+4/ c3oQeFRXzhjxpRyK4NBrcY5gN80k3yvSH2WYV0Ha2x+39Jj8yQO/MunO8ZTCspwLwcHXnNHZNsHb SEAg+QS9ELaciwfw5D8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block t3we8GV+pUi+tUpE/sSsfp+bLGtsUa2GACR/MBRAx8Rup76KD0FtZguzgORfNW3U/RRXivPYEwx2 YnVAkNK5JSRAyAcNUOh1nwJNd4vOCmRpVk4XeDn644n3Wza8R6vE4ZHxwvABYxMsztUi1tBqdTX+ 5G7mxdIbl8hLmHjOn9mWgfRtY1ZgsgeotbJfe2JmJP+lSUzhj6TeOhpeosvrxGHDW+OoVI8+21dZ rSaYKHGuWyyHJi/k2LWmFCszrm7zzz3izU7DalILlpEYm85eTWmehGHj/pJE4Boq4iEfeuZjagdl fMfJN7hju7whxsbPHrjRb1nqFZnUndHNTjLyvA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5184) `protect data_block 2hUzsBKKef+bDBf3jkL2Gx+BzRzHYqVbyIo6nIHQDrqRm8IOShRPRptfn0tIq7maZ/zVkOWEhE6E 8psQfcX0R7jREKV4cRPCaW830fPaMTZ+GcwNG5kEGhhMeBfPTgLv7n3kaVP9FTNssB5XQU/c0PpA X+WpwO6JEdtbJ/SoItPEa0A4P9wgUm+p3sqtElPvHnvqrZ+YwD34vuZPqSKwd61KFdg8YP4QIbdu c6UopFZO9ZMMmr8joqNg1CpRj50T9N35h0KcEl67sdfGAB3MMuOHE6IY2milOyGMpNT44RPO64R6 fcVPeQVezCysH1FkvAohWrr8qcVuV7UYDmkMy7cGkjkFhOMWMysfVsWuEmRfNqIhx9Rc9LoVs3pW 58EhruXWoW2y3rOgVmDVP11+D0MnTN8Bh6GLstrZWYlMx/YrlLA3pohNGUPW4bCfl1i001y7WyrK FrKljE9HGL2U5R639hgLhiXQT0N8UiNk4nmlmFxOzzvNo3F3EJkr/mAndphG7zaCPeRM4P42My3E W9Dd0+kXpnv4o8NQhONBE/xamxaXzhS1kjGCIjIf+Gv1YOqgk4+wI6InbChkdXKDLDTbFckjgn2B P/f0ZRZXFacWyOLQ1hxpzPQcJXBGBdptGi+FgKd1SxficGls6+ZlMCgcc9IBwcJbGlGECh8Mn+8j SJWTUMflzh4fEmHuBj4Msjh6FvhZDOrOabGES7twB0IfVbF8xUqjRJif38252IcyLVV3AIpKFWyt mSv1Czy3HdHAzyd7kp8IrNMZZgmPukReLxvlhjyAQK7Z5cmlD3FuyizF2Fp4xb0CUo1F8w2zjL1V z6TF2sTawT0U6d6Nm44uMHADLl0rYcVL+KjnaslZ9yjv26hm7hkCUun0eKmqtfbml8uCztw6xqJU UrPB0tilYm1KkB6BuUlJcqJ7p2d00xcHsfiZivo3AWM/7ZdU8tKtl0VXAZTFdD1JiO0gdmIgQak5 2qoJwH+RBnqEny5cSK0cZ6xJUyz0H/UAWndOH4gSi6HtQ0Dhb/cJbrH5krT780RMZ4VAf0Dys2zt jf0wpMGkiAqZ9nr5uSC9L4Z4cgz1ws9xRPlmcM83m9yGzYCR14jV+yNz4d8hlpDg29P88vON3Zxw xP6ai40ImZLXQh0k8PIHVKYQ76IXbWfx5wknGL/ASwIq4AEf/tdoYNF5fOTajFL9Qq2RHzqHp88y 9tx86I+HjpG7GAv/5hhM4aQlcmhlINNjdlM+TqrkZhml18DZBvIkUL1m+9kw1HWPz8tBWDa2qfE0 Uv62sJ0szljtSOtmjsaJJnWmxJL5xpQhWKp45MKbtXZfrmXukaIBoSh2jraqLSkmbsdmCbGwh9o6 L3cfeRpYY7FJ6JfWSI/Hga8x+Jl/GCmuRFNBDSoGs+usST2st+M4Nel9sQkc/ZSFd4zuMcw2tG/l pL3FSMZTS+hvXAezC5I0Eq8XXkKpLsZGjpXafqW8Aih3tQkm3qQKO7HlM7cpL8i08Z1aSG6tWipC iOyLb7TmiZezNpWZH+TIOOjB1cyuQ4ZBCp4PHw8b8mRJCL+os1iQniGk35PeXmdKBr5Hf9eKSgfG lOxd/bGIdaxUyV2Sw2AJ8zPbJCeQKqoMyOMrnyqI/RnlwphVGACe/VVk/jTgcpTYBG0tNC8ESfjI h6eKGK++dhtA9zBokk5EiF2zdNUu+S5nEu0HYujSBEekWGTZo23UE3UaVRFvaQ8mV9xqUJuoYOmC kHpMgt1prk7Scy/hvVRRqYj5grOJ5kx53h1ezi9yiFrrP0UyZavAXyvGkCyFTHpC7lL1hd7K5diN BM1wd7JVZF2R7ul+WKC/HRoiReH3bjmq+6MGN68PwksiGKu8iM0roSORsdx67nhuyina0Q7bKVVt 9/ehyEarPMyJk4uoPqTpSATOImmfqlaZfq5zSqHP17PNrFt6ZS9DnMFVTb+tGcQzSVkwovPvalSz XQ+y5c7lDyMnFVeJ7X6FW6tYkrUpj2JY/35g5h9UI8tfS3RMUii/xCsvJJ7WQaWK4lRdAG30OKBS kNEG0KzKz9q+J05YUV7evIKfjCcwEKK9mjdwLACgf1Nrw37dyVwE8gPd6OclVhqP/Z2vlqpkuiKr myLb8VdpjD+2gaVyaEt5+ubmyhvM5Wfyq+g6kBt5hz7MXhWZFi6F3RzBD8yl9FzqYaFXdM0oPzmk z1QwnExXlhvpMUYYilNDpaFxQFa4v62gVnm4dtHD5xlHpKaWd+RiXvAkjXlLJg3uDtuHO9P173XZ px278cygCmle8kI/ijy9OTksEIZDXYNfhpUcC1oqxQjUhYXnICdmNOhr5oN6FsWRCETqsOSPB1Ye a5A1JBEoPpfTrAY8LLM1YnztraNfc6BexcyBzIGsbPJB5CKZir85GiI5NXk39wrvz1gEKquCrli2 x2lZ+G+eXH/aitYjPKmdh7Sz+kv66cppKQL9uqIkvNT4ShHBhFBujeMDxxSksQ949XGqPewgb3HU PM2Kp67oV5y8PADScIRjYYbNTrBE01TVhvVl82eHfDcyjigAPaC6y0q62nNq32xEDthLQuriKwSM N+i2dKugMkNZ7HUtQg/G8ASJ85Iv92TlwxgZR9l/35q8vA/v62znIpOacYa6hQT/D6F8agfM1ORV qwe1z6hUQ1J3sKVfD/Fbwd80XVTO4Wufr48l/7rO20M1VD8/TWuAaumP8zDw1QmLaILbYJ1MCqIW USTTZVm5U+nDl79BzToaJ2BBrViWdHG+B0GyLV4F1nyiQMXpHZHGzJ2+vpc4lfRrwA06bl3sNlmt XGTGpgqEgV6zNUHxsFAAgAYWI6vcKj1pM1FmfdjgnQPe2Un7fK+RvKdXS5iYSHdIeH/dhI+noyL/ Nq5fQ01OH00Unzgh6JmbVmHp+J8whdBnxhgSdXqKw8aBugpWwgi2HpcPGxvbwLZNHjSe3tybGKHH ENiIgtiMJYpr2uvor70ylPrbR7TcaxqrmBtxS+JFZOJbYKokuoZEFinSPY3sXsh3gCPk4hZ4k8XR O2HMRqK+MOmqj8nVPe6myLauajPmA+ExJIPi1Q377gKp3wccimN9ZNEVg7DgDY5pTYRIdkNhQ9yC +y5Ql5q04GQXooIeYGvhzRd+p0W63UV5ygHTW4wCDcWHEKaPtV2VeuTqQF4ieixEioTbHrOfeWIP Ore3/35BnKnbz9t6KScE7804EckWmkEy8P1rX7zXB/+7H9TnjLJm129AG+Vruw/I/V/AMIt2JH+P sL+ID7qqT6GiaUayXogY68X7k1fi/Gvt2v05BMQAiAbblyENiFc1hTzgmhabn79fRX8Qs/sPM5DP czhlZaQnTjpwmvwEq+nG+B6dJijFITMcaxHJ5PkI29ZcGHrF59Ld75PYiUoQnvv/IE/EhjK6q0CO XUT5JjvIvFH5EtWPsTU0wwXQZ5EPv9JgybrMcL2pXrTMb2DrABHNwHcF531iMXS4H35GU9ZWFz4v HvEjT3O40VGkrPfufF2FjNfCuorVe8qbzKzCtaUYCyi/ymirlpfVcx+qwV0LtGHmxL7YolgC4w6O B6yEE61LhXU484FIeq0jYOHl8Nv+COXGautmTv9zt1ac2g+0DTmhKi+WZYTmLPrC06Jj9ZMyA5OY jOoFbyEfJQ1LLLIwUl+jAqvQIXbcH29GTl5JXs0znX6hcKtKoX0P3D+YmAUcYIFIuBagF2WYNbDR iKV+fx8cTQDSfyM+F8iuMCqI8hmka+bRClGEOrnZt1r4EriF4G3s0hGDSxxis/SqtxxPdwgwe0Ew euW8ZzeSiWdgZ/ewflC5cikid7SVwBh8DS2hsvQxRuXchAvEn/4U/ewKZRqSP7iqei0Y5zYguAu3 qG8KpBb80s9qYZsTR5o7ertWfonIb+wF3Hgg5Z3OvBAu/mZjokIBDt37aCE+AN1hFevdTlfDLDJM 1XXqmrM5ZEn+7aAzH4reIPWhmJy31XmvKofmQs6SgV60XHxCF3K99Zty6yZ7Vo05BkO3JBcFjdBs BLs/xx3Go9s5s7drEfaNkWhwlNePOC5WtjSRf2xYn4vQXCtUdj2pI4DlsWfRN/nn/b4jnkHdAgrZ /BG9TQL1J0ynrRxLJqDFeH5S1TBQFTg96cZu9YdHKMIUxHipVovTX+HZJN7bztMNvZz1YONPtCy7 8Dp7PB/COJe9pBgD4NGVFXihJorwxVL+qHNUY1yIlma9vOKBMci3BHxtpGOFtQmaNe+0NwcGXii+ PwDvnuLuKXSGyXoh5dNjCQIONODmiCUvH5jlZuPLAG5UeG8d4cUv7W1J5DixX9lrWQg4hZli4xy/ +P68KGvzjNeeSygxaZWMGLwk/6OcVUoGnl+B3xRpdBhebEoj9fMipIB4Q9Er301ZwuTf25qDhNal jaw5xHsKHmhv8PjaF4n6VP3jGS9LnF5W4C+kcWlzvR6FDBFKRjdbajkF21OMbv2ysUtChIlFmGS7 GZwx5oT211HtZpvVD30s6uj3wftlFWLU2nDS01gd2wIRLuLeRmXXDjEhRL6p2VqfWcGNr0Q6WvW8 sCXQU/KtRxNzrB+Kp9aL+I8P7bFraNXb3oFImIOiCEKGM6TZq2QzgRNLN8bmlZNMRfJE42tO0D9r cGsrsb+g3XFN7UY3SjHyLvsoNHSMPxXUEQKyclqzogeSBkRzBpKA2cI8Q5Kj9F6xgkbrsNnd1Ybp He4FmQCwEpoFJ2WUDu/Hr1IADVVkO2GeqavLupkLeafMY9ELvfZSN8Udj65TvGNYapCGLK3ePIG8 pJVEBqkv4BUxduaZReuc4UfFicHutcWHnaO1JsM8BwKtE4xxh4Xw/1eLLMR9ysHaKo89RkfyD/AH Fal7XZsuyHbA4frW5PkwJrvnPDRDTkmSeqzhbJbAl9e147KTv49ZC1fXfR7Eo+tDI5GH9mfXAMux OzCeXB/RGHQKeyQDXK6Mlix6cqAQsRuSgil0lTkK5Rcrz1kQbr2ihGaLBQ2q0/jwWT5dYzRG737D zr6f9nD+Ow8404T+v4ouXpv6lYUc3QPPv3H04roLzc048FVBUTw3YkUGeiOsMA3LQyx/IF9/H7+3 CLJXYmOYI6GYdzgBxBfEf9CPC124BifF/7DbOG1t/z05x7k0TrCRNjT17C9gjWvkXuOMOTP8tfPT 9as3XdKU9D0ggaFtdIFmzI6gYLAPGYo61OoE++MuiWHMmz3f3+PzA1SVjLIJaSJ/Ey98vlWXtGsV 6MWjspPzQqqglUq9II0FDftltDrqHATbyrv214EZYpe7sbq07U8pkT4vNNet3iKaoq18Tmbtg7Dj P5ZKEwTk+/gKnxpH7tK7h5W/1vnHe3oTUr/NCVHaLAwRZdYpSnrf/Xu3WC6Q9paP8SpsnXTk2Bun bPWkF74eDJs1CeM57VdkuZk8nqvvmH4h3NkkClGLopDd42zsTXvLrIEAPrFLLIIvJDEVEAJ13Wic BuWt7l6S3sh/+JIwHduRpb13gQw1PIpKwuCOSid5W+r+/H7X8f8UnqfVDzH71PEd0wZb5xEqKF/Y gB1ksDadfp5KEXzUgNKGIVUd0MruRuBocw97NZ6EiyEYFXeHYDTWnDzzfTplD7SSTLLPcQM+X16P cJXNmVDrd5ftB9u+O3Bl1Ev41cfSaJDfG8qK2qgRmvDRi46y/Sd8RiAFzRoB010Zem3YsU0Pjf2g +lStoL75lMndcR3g79AU8XyuJ/lYHhSOTytVk3wT6xqYj793L7vd9Tfc1vThb67w8pHfn92P4NGF FZZAPIM0nqT6bXhLITkSdRKIgv6cKSRjB2xywh4bHTL3wZ4b0C/u1Fq7s3ETPdGAP1mXx15SvtkJ u2wyquRjJmOeyrLbcVtTWybmiWgRgDfMF3s1U9ln/Z4U1e2bO09bc9RrOIJY5XRAdDoXRSGYVl1H mHmtAE9KQGpUd59wETD8wpAbCS5gAO1CrWZM3BKj1NEUdZq6amp9uz1Xohl1JUW69WDl3T+VyDfM T6JmTLlDLS6krtCaQBA7NTa0r6VwgdBks3ai+q6xEv3So4Y0sXoeBbuBu7jSUD26LGg5u5UVR/vM aIG0AWBi3tlHIuUAs1yvo3+qzBg295XroOqcVH9iWIALKjN+bg9WLO14tegCcXoaEfj7avKMjlo7 OfH4sEzw5Pm1epfOYQaTs0BYciG4VxmDrXIDZZX5NiDtnkyCQWTDFG3JNLTArKzGkkKF8ppXbhMu ELxWw96X7y1KJoX6F/n1cIg1M0vuF2aSwKGQc3t/FlaUat05CCsbcM10IyLGMR2SxZmPAe+I8WIF p/ITh9BO6Ddwf81omEf/Pbe7Izzi28eLOtcTgLJBrFJrC/vUMzfOs4mqLBxprDPNCppbhQ+lpiNo Sf1xUZOzUgQSHsbaIqYxbT5u+uyNw7nMbzc7LVezhf/JBXqaJ4lruq5MV4pnqQHlLOM4sH4Pfwu6 Eq6kH8hZcvTcxaWeX5wCUR8g1sLXN4s0PWfYCgNEBY3lF2JSNyxN2lNC4ehC5n5XWLGkjCjZTTUQ Q3JjmZjIaBeKl1KA5J64f0adkEmWVzxO6GyvX7ld6dJpxVEQPV3scprajzAfAVChIFDKxWEs10P8 /GiDmWVPg0SMffGjwMd5RZlPeH7DNPJ0vVtfQqSOm4b89P8snpogverY7tw9fwm4pVfkfqt6y+z1 7r1kZU0EDIX1u5YAy4Acr2bztvsdixhS7pIgnCpk7Y8Ve9g8iMJsF/OqBF56qNgKAasoXoA+P8vX GFGKhoAsVCYvqVv02pZtiMZEgtqxnLn7bnVhYEh9dN1ditFles/MJrkOWvrjlbMKSuyVs+totOT8 ddQzLFp5XfEdDZFLsd1fBkE8EBP8N0hXbGCr/icv2oer2FZ9QOG1cflfwOkU27Ky CHIcn6kb `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block la25uVBWzC1l+JHhEL6c+Ts7V7z+YIo/kFZc/YoRuvF5aU3MaKWYyPlXeCJ89353nfhHkbdLXV4F nVVuxP9FyQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block nFg9pYQINwZA2M/ydTMPKxrCQrNDHt3RlR7cY6SpFxjoLHLbXhL1bAq6n1q9+Q2qjz6EazfBZ93K U0YpNGqnr+nqc2C5nb40zW2yQvfS4Rbw8mxQ73/lihHzruwr8kDVsMtt0iBxWrTieJOIk8/NeR2G +o/W4ndnrUAG/PfyIlA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block xuoFtB1eDGz1GlFErAZaPAS93bvbE5a9X5zV34MxLp8Ua9ky7RLKZwzWPuhW7tLpYh2ua4RSttmk dPsfayFAITrAU/dQAVWx4DUGO5t03cUgdN7ppPZLi5GX/MA95IxftPz2MIzhpH84Js1AO4luqQQc 5QxN9DH7mAIM0GVXwc0f48uoH19eD0/e7ciNMenZDiYHLFC4ACagzRoMnKlK4ZA6RSc1NIW6GfKa 8M1WYwzf0B7d/GeCgTpBG0FjkWha1+PPC3tNpTIzfUCoG9hESZTSV5bYv92St+WQ6sNnwG37tVqa iLDinljZPypL6xhmjimXUoCa+m62LGDkqdf4vQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block P0dE5V9VUBP3x9gSL+lY/6kDeo+z3sDkMBilzcCbklSLv8Zh2uayd3oG98fq3BDs4Zyl2NIOR+4/ c3oQeFRXzhjxpRyK4NBrcY5gN80k3yvSH2WYV0Ha2x+39Jj8yQO/MunO8ZTCspwLwcHXnNHZNsHb SEAg+QS9ELaciwfw5D8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block t3we8GV+pUi+tUpE/sSsfp+bLGtsUa2GACR/MBRAx8Rup76KD0FtZguzgORfNW3U/RRXivPYEwx2 YnVAkNK5JSRAyAcNUOh1nwJNd4vOCmRpVk4XeDn644n3Wza8R6vE4ZHxwvABYxMsztUi1tBqdTX+ 5G7mxdIbl8hLmHjOn9mWgfRtY1ZgsgeotbJfe2JmJP+lSUzhj6TeOhpeosvrxGHDW+OoVI8+21dZ rSaYKHGuWyyHJi/k2LWmFCszrm7zzz3izU7DalILlpEYm85eTWmehGHj/pJE4Boq4iEfeuZjagdl fMfJN7hju7whxsbPHrjRb1nqFZnUndHNTjLyvA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5184) `protect data_block 2hUzsBKKef+bDBf3jkL2Gx+BzRzHYqVbyIo6nIHQDrqRm8IOShRPRptfn0tIq7maZ/zVkOWEhE6E 8psQfcX0R7jREKV4cRPCaW830fPaMTZ+GcwNG5kEGhhMeBfPTgLv7n3kaVP9FTNssB5XQU/c0PpA X+WpwO6JEdtbJ/SoItPEa0A4P9wgUm+p3sqtElPvHnvqrZ+YwD34vuZPqSKwd61KFdg8YP4QIbdu c6UopFZO9ZMMmr8joqNg1CpRj50T9N35h0KcEl67sdfGAB3MMuOHE6IY2milOyGMpNT44RPO64R6 fcVPeQVezCysH1FkvAohWrr8qcVuV7UYDmkMy7cGkjkFhOMWMysfVsWuEmRfNqIhx9Rc9LoVs3pW 58EhruXWoW2y3rOgVmDVP11+D0MnTN8Bh6GLstrZWYlMx/YrlLA3pohNGUPW4bCfl1i001y7WyrK FrKljE9HGL2U5R639hgLhiXQT0N8UiNk4nmlmFxOzzvNo3F3EJkr/mAndphG7zaCPeRM4P42My3E W9Dd0+kXpnv4o8NQhONBE/xamxaXzhS1kjGCIjIf+Gv1YOqgk4+wI6InbChkdXKDLDTbFckjgn2B P/f0ZRZXFacWyOLQ1hxpzPQcJXBGBdptGi+FgKd1SxficGls6+ZlMCgcc9IBwcJbGlGECh8Mn+8j SJWTUMflzh4fEmHuBj4Msjh6FvhZDOrOabGES7twB0IfVbF8xUqjRJif38252IcyLVV3AIpKFWyt mSv1Czy3HdHAzyd7kp8IrNMZZgmPukReLxvlhjyAQK7Z5cmlD3FuyizF2Fp4xb0CUo1F8w2zjL1V z6TF2sTawT0U6d6Nm44uMHADLl0rYcVL+KjnaslZ9yjv26hm7hkCUun0eKmqtfbml8uCztw6xqJU UrPB0tilYm1KkB6BuUlJcqJ7p2d00xcHsfiZivo3AWM/7ZdU8tKtl0VXAZTFdD1JiO0gdmIgQak5 2qoJwH+RBnqEny5cSK0cZ6xJUyz0H/UAWndOH4gSi6HtQ0Dhb/cJbrH5krT780RMZ4VAf0Dys2zt jf0wpMGkiAqZ9nr5uSC9L4Z4cgz1ws9xRPlmcM83m9yGzYCR14jV+yNz4d8hlpDg29P88vON3Zxw xP6ai40ImZLXQh0k8PIHVKYQ76IXbWfx5wknGL/ASwIq4AEf/tdoYNF5fOTajFL9Qq2RHzqHp88y 9tx86I+HjpG7GAv/5hhM4aQlcmhlINNjdlM+TqrkZhml18DZBvIkUL1m+9kw1HWPz8tBWDa2qfE0 Uv62sJ0szljtSOtmjsaJJnWmxJL5xpQhWKp45MKbtXZfrmXukaIBoSh2jraqLSkmbsdmCbGwh9o6 L3cfeRpYY7FJ6JfWSI/Hga8x+Jl/GCmuRFNBDSoGs+usST2st+M4Nel9sQkc/ZSFd4zuMcw2tG/l pL3FSMZTS+hvXAezC5I0Eq8XXkKpLsZGjpXafqW8Aih3tQkm3qQKO7HlM7cpL8i08Z1aSG6tWipC iOyLb7TmiZezNpWZH+TIOOjB1cyuQ4ZBCp4PHw8b8mRJCL+os1iQniGk35PeXmdKBr5Hf9eKSgfG lOxd/bGIdaxUyV2Sw2AJ8zPbJCeQKqoMyOMrnyqI/RnlwphVGACe/VVk/jTgcpTYBG0tNC8ESfjI h6eKGK++dhtA9zBokk5EiF2zdNUu+S5nEu0HYujSBEekWGTZo23UE3UaVRFvaQ8mV9xqUJuoYOmC kHpMgt1prk7Scy/hvVRRqYj5grOJ5kx53h1ezi9yiFrrP0UyZavAXyvGkCyFTHpC7lL1hd7K5diN BM1wd7JVZF2R7ul+WKC/HRoiReH3bjmq+6MGN68PwksiGKu8iM0roSORsdx67nhuyina0Q7bKVVt 9/ehyEarPMyJk4uoPqTpSATOImmfqlaZfq5zSqHP17PNrFt6ZS9DnMFVTb+tGcQzSVkwovPvalSz XQ+y5c7lDyMnFVeJ7X6FW6tYkrUpj2JY/35g5h9UI8tfS3RMUii/xCsvJJ7WQaWK4lRdAG30OKBS kNEG0KzKz9q+J05YUV7evIKfjCcwEKK9mjdwLACgf1Nrw37dyVwE8gPd6OclVhqP/Z2vlqpkuiKr myLb8VdpjD+2gaVyaEt5+ubmyhvM5Wfyq+g6kBt5hz7MXhWZFi6F3RzBD8yl9FzqYaFXdM0oPzmk z1QwnExXlhvpMUYYilNDpaFxQFa4v62gVnm4dtHD5xlHpKaWd+RiXvAkjXlLJg3uDtuHO9P173XZ px278cygCmle8kI/ijy9OTksEIZDXYNfhpUcC1oqxQjUhYXnICdmNOhr5oN6FsWRCETqsOSPB1Ye a5A1JBEoPpfTrAY8LLM1YnztraNfc6BexcyBzIGsbPJB5CKZir85GiI5NXk39wrvz1gEKquCrli2 x2lZ+G+eXH/aitYjPKmdh7Sz+kv66cppKQL9uqIkvNT4ShHBhFBujeMDxxSksQ949XGqPewgb3HU PM2Kp67oV5y8PADScIRjYYbNTrBE01TVhvVl82eHfDcyjigAPaC6y0q62nNq32xEDthLQuriKwSM N+i2dKugMkNZ7HUtQg/G8ASJ85Iv92TlwxgZR9l/35q8vA/v62znIpOacYa6hQT/D6F8agfM1ORV qwe1z6hUQ1J3sKVfD/Fbwd80XVTO4Wufr48l/7rO20M1VD8/TWuAaumP8zDw1QmLaILbYJ1MCqIW USTTZVm5U+nDl79BzToaJ2BBrViWdHG+B0GyLV4F1nyiQMXpHZHGzJ2+vpc4lfRrwA06bl3sNlmt XGTGpgqEgV6zNUHxsFAAgAYWI6vcKj1pM1FmfdjgnQPe2Un7fK+RvKdXS5iYSHdIeH/dhI+noyL/ Nq5fQ01OH00Unzgh6JmbVmHp+J8whdBnxhgSdXqKw8aBugpWwgi2HpcPGxvbwLZNHjSe3tybGKHH ENiIgtiMJYpr2uvor70ylPrbR7TcaxqrmBtxS+JFZOJbYKokuoZEFinSPY3sXsh3gCPk4hZ4k8XR O2HMRqK+MOmqj8nVPe6myLauajPmA+ExJIPi1Q377gKp3wccimN9ZNEVg7DgDY5pTYRIdkNhQ9yC +y5Ql5q04GQXooIeYGvhzRd+p0W63UV5ygHTW4wCDcWHEKaPtV2VeuTqQF4ieixEioTbHrOfeWIP Ore3/35BnKnbz9t6KScE7804EckWmkEy8P1rX7zXB/+7H9TnjLJm129AG+Vruw/I/V/AMIt2JH+P sL+ID7qqT6GiaUayXogY68X7k1fi/Gvt2v05BMQAiAbblyENiFc1hTzgmhabn79fRX8Qs/sPM5DP czhlZaQnTjpwmvwEq+nG+B6dJijFITMcaxHJ5PkI29ZcGHrF59Ld75PYiUoQnvv/IE/EhjK6q0CO XUT5JjvIvFH5EtWPsTU0wwXQZ5EPv9JgybrMcL2pXrTMb2DrABHNwHcF531iMXS4H35GU9ZWFz4v HvEjT3O40VGkrPfufF2FjNfCuorVe8qbzKzCtaUYCyi/ymirlpfVcx+qwV0LtGHmxL7YolgC4w6O B6yEE61LhXU484FIeq0jYOHl8Nv+COXGautmTv9zt1ac2g+0DTmhKi+WZYTmLPrC06Jj9ZMyA5OY jOoFbyEfJQ1LLLIwUl+jAqvQIXbcH29GTl5JXs0znX6hcKtKoX0P3D+YmAUcYIFIuBagF2WYNbDR iKV+fx8cTQDSfyM+F8iuMCqI8hmka+bRClGEOrnZt1r4EriF4G3s0hGDSxxis/SqtxxPdwgwe0Ew euW8ZzeSiWdgZ/ewflC5cikid7SVwBh8DS2hsvQxRuXchAvEn/4U/ewKZRqSP7iqei0Y5zYguAu3 qG8KpBb80s9qYZsTR5o7ertWfonIb+wF3Hgg5Z3OvBAu/mZjokIBDt37aCE+AN1hFevdTlfDLDJM 1XXqmrM5ZEn+7aAzH4reIPWhmJy31XmvKofmQs6SgV60XHxCF3K99Zty6yZ7Vo05BkO3JBcFjdBs BLs/xx3Go9s5s7drEfaNkWhwlNePOC5WtjSRf2xYn4vQXCtUdj2pI4DlsWfRN/nn/b4jnkHdAgrZ /BG9TQL1J0ynrRxLJqDFeH5S1TBQFTg96cZu9YdHKMIUxHipVovTX+HZJN7bztMNvZz1YONPtCy7 8Dp7PB/COJe9pBgD4NGVFXihJorwxVL+qHNUY1yIlma9vOKBMci3BHxtpGOFtQmaNe+0NwcGXii+ PwDvnuLuKXSGyXoh5dNjCQIONODmiCUvH5jlZuPLAG5UeG8d4cUv7W1J5DixX9lrWQg4hZli4xy/ +P68KGvzjNeeSygxaZWMGLwk/6OcVUoGnl+B3xRpdBhebEoj9fMipIB4Q9Er301ZwuTf25qDhNal jaw5xHsKHmhv8PjaF4n6VP3jGS9LnF5W4C+kcWlzvR6FDBFKRjdbajkF21OMbv2ysUtChIlFmGS7 GZwx5oT211HtZpvVD30s6uj3wftlFWLU2nDS01gd2wIRLuLeRmXXDjEhRL6p2VqfWcGNr0Q6WvW8 sCXQU/KtRxNzrB+Kp9aL+I8P7bFraNXb3oFImIOiCEKGM6TZq2QzgRNLN8bmlZNMRfJE42tO0D9r cGsrsb+g3XFN7UY3SjHyLvsoNHSMPxXUEQKyclqzogeSBkRzBpKA2cI8Q5Kj9F6xgkbrsNnd1Ybp He4FmQCwEpoFJ2WUDu/Hr1IADVVkO2GeqavLupkLeafMY9ELvfZSN8Udj65TvGNYapCGLK3ePIG8 pJVEBqkv4BUxduaZReuc4UfFicHutcWHnaO1JsM8BwKtE4xxh4Xw/1eLLMR9ysHaKo89RkfyD/AH Fal7XZsuyHbA4frW5PkwJrvnPDRDTkmSeqzhbJbAl9e147KTv49ZC1fXfR7Eo+tDI5GH9mfXAMux OzCeXB/RGHQKeyQDXK6Mlix6cqAQsRuSgil0lTkK5Rcrz1kQbr2ihGaLBQ2q0/jwWT5dYzRG737D zr6f9nD+Ow8404T+v4ouXpv6lYUc3QPPv3H04roLzc048FVBUTw3YkUGeiOsMA3LQyx/IF9/H7+3 CLJXYmOYI6GYdzgBxBfEf9CPC124BifF/7DbOG1t/z05x7k0TrCRNjT17C9gjWvkXuOMOTP8tfPT 9as3XdKU9D0ggaFtdIFmzI6gYLAPGYo61OoE++MuiWHMmz3f3+PzA1SVjLIJaSJ/Ey98vlWXtGsV 6MWjspPzQqqglUq9II0FDftltDrqHATbyrv214EZYpe7sbq07U8pkT4vNNet3iKaoq18Tmbtg7Dj P5ZKEwTk+/gKnxpH7tK7h5W/1vnHe3oTUr/NCVHaLAwRZdYpSnrf/Xu3WC6Q9paP8SpsnXTk2Bun bPWkF74eDJs1CeM57VdkuZk8nqvvmH4h3NkkClGLopDd42zsTXvLrIEAPrFLLIIvJDEVEAJ13Wic BuWt7l6S3sh/+JIwHduRpb13gQw1PIpKwuCOSid5W+r+/H7X8f8UnqfVDzH71PEd0wZb5xEqKF/Y gB1ksDadfp5KEXzUgNKGIVUd0MruRuBocw97NZ6EiyEYFXeHYDTWnDzzfTplD7SSTLLPcQM+X16P cJXNmVDrd5ftB9u+O3Bl1Ev41cfSaJDfG8qK2qgRmvDRi46y/Sd8RiAFzRoB010Zem3YsU0Pjf2g +lStoL75lMndcR3g79AU8XyuJ/lYHhSOTytVk3wT6xqYj793L7vd9Tfc1vThb67w8pHfn92P4NGF FZZAPIM0nqT6bXhLITkSdRKIgv6cKSRjB2xywh4bHTL3wZ4b0C/u1Fq7s3ETPdGAP1mXx15SvtkJ u2wyquRjJmOeyrLbcVtTWybmiWgRgDfMF3s1U9ln/Z4U1e2bO09bc9RrOIJY5XRAdDoXRSGYVl1H mHmtAE9KQGpUd59wETD8wpAbCS5gAO1CrWZM3BKj1NEUdZq6amp9uz1Xohl1JUW69WDl3T+VyDfM T6JmTLlDLS6krtCaQBA7NTa0r6VwgdBks3ai+q6xEv3So4Y0sXoeBbuBu7jSUD26LGg5u5UVR/vM aIG0AWBi3tlHIuUAs1yvo3+qzBg295XroOqcVH9iWIALKjN+bg9WLO14tegCcXoaEfj7avKMjlo7 OfH4sEzw5Pm1epfOYQaTs0BYciG4VxmDrXIDZZX5NiDtnkyCQWTDFG3JNLTArKzGkkKF8ppXbhMu ELxWw96X7y1KJoX6F/n1cIg1M0vuF2aSwKGQc3t/FlaUat05CCsbcM10IyLGMR2SxZmPAe+I8WIF p/ITh9BO6Ddwf81omEf/Pbe7Izzi28eLOtcTgLJBrFJrC/vUMzfOs4mqLBxprDPNCppbhQ+lpiNo Sf1xUZOzUgQSHsbaIqYxbT5u+uyNw7nMbzc7LVezhf/JBXqaJ4lruq5MV4pnqQHlLOM4sH4Pfwu6 Eq6kH8hZcvTcxaWeX5wCUR8g1sLXN4s0PWfYCgNEBY3lF2JSNyxN2lNC4ehC5n5XWLGkjCjZTTUQ Q3JjmZjIaBeKl1KA5J64f0adkEmWVzxO6GyvX7ld6dJpxVEQPV3scprajzAfAVChIFDKxWEs10P8 /GiDmWVPg0SMffGjwMd5RZlPeH7DNPJ0vVtfQqSOm4b89P8snpogverY7tw9fwm4pVfkfqt6y+z1 7r1kZU0EDIX1u5YAy4Acr2bztvsdixhS7pIgnCpk7Y8Ve9g8iMJsF/OqBF56qNgKAasoXoA+P8vX GFGKhoAsVCYvqVv02pZtiMZEgtqxnLn7bnVhYEh9dN1ditFles/MJrkOWvrjlbMKSuyVs+totOT8 ddQzLFp5XfEdDZFLsd1fBkE8EBP8N0hXbGCr/icv2oer2FZ9QOG1cflfwOkU27Ky CHIcn6kb `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block la25uVBWzC1l+JHhEL6c+Ts7V7z+YIo/kFZc/YoRuvF5aU3MaKWYyPlXeCJ89353nfhHkbdLXV4F nVVuxP9FyQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block nFg9pYQINwZA2M/ydTMPKxrCQrNDHt3RlR7cY6SpFxjoLHLbXhL1bAq6n1q9+Q2qjz6EazfBZ93K U0YpNGqnr+nqc2C5nb40zW2yQvfS4Rbw8mxQ73/lihHzruwr8kDVsMtt0iBxWrTieJOIk8/NeR2G +o/W4ndnrUAG/PfyIlA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block xuoFtB1eDGz1GlFErAZaPAS93bvbE5a9X5zV34MxLp8Ua9ky7RLKZwzWPuhW7tLpYh2ua4RSttmk dPsfayFAITrAU/dQAVWx4DUGO5t03cUgdN7ppPZLi5GX/MA95IxftPz2MIzhpH84Js1AO4luqQQc 5QxN9DH7mAIM0GVXwc0f48uoH19eD0/e7ciNMenZDiYHLFC4ACagzRoMnKlK4ZA6RSc1NIW6GfKa 8M1WYwzf0B7d/GeCgTpBG0FjkWha1+PPC3tNpTIzfUCoG9hESZTSV5bYv92St+WQ6sNnwG37tVqa iLDinljZPypL6xhmjimXUoCa+m62LGDkqdf4vQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block P0dE5V9VUBP3x9gSL+lY/6kDeo+z3sDkMBilzcCbklSLv8Zh2uayd3oG98fq3BDs4Zyl2NIOR+4/ c3oQeFRXzhjxpRyK4NBrcY5gN80k3yvSH2WYV0Ha2x+39Jj8yQO/MunO8ZTCspwLwcHXnNHZNsHb SEAg+QS9ELaciwfw5D8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block t3we8GV+pUi+tUpE/sSsfp+bLGtsUa2GACR/MBRAx8Rup76KD0FtZguzgORfNW3U/RRXivPYEwx2 YnVAkNK5JSRAyAcNUOh1nwJNd4vOCmRpVk4XeDn644n3Wza8R6vE4ZHxwvABYxMsztUi1tBqdTX+ 5G7mxdIbl8hLmHjOn9mWgfRtY1ZgsgeotbJfe2JmJP+lSUzhj6TeOhpeosvrxGHDW+OoVI8+21dZ rSaYKHGuWyyHJi/k2LWmFCszrm7zzz3izU7DalILlpEYm85eTWmehGHj/pJE4Boq4iEfeuZjagdl fMfJN7hju7whxsbPHrjRb1nqFZnUndHNTjLyvA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5184) `protect data_block 2hUzsBKKef+bDBf3jkL2Gx+BzRzHYqVbyIo6nIHQDrqRm8IOShRPRptfn0tIq7maZ/zVkOWEhE6E 8psQfcX0R7jREKV4cRPCaW830fPaMTZ+GcwNG5kEGhhMeBfPTgLv7n3kaVP9FTNssB5XQU/c0PpA X+WpwO6JEdtbJ/SoItPEa0A4P9wgUm+p3sqtElPvHnvqrZ+YwD34vuZPqSKwd61KFdg8YP4QIbdu c6UopFZO9ZMMmr8joqNg1CpRj50T9N35h0KcEl67sdfGAB3MMuOHE6IY2milOyGMpNT44RPO64R6 fcVPeQVezCysH1FkvAohWrr8qcVuV7UYDmkMy7cGkjkFhOMWMysfVsWuEmRfNqIhx9Rc9LoVs3pW 58EhruXWoW2y3rOgVmDVP11+D0MnTN8Bh6GLstrZWYlMx/YrlLA3pohNGUPW4bCfl1i001y7WyrK FrKljE9HGL2U5R639hgLhiXQT0N8UiNk4nmlmFxOzzvNo3F3EJkr/mAndphG7zaCPeRM4P42My3E W9Dd0+kXpnv4o8NQhONBE/xamxaXzhS1kjGCIjIf+Gv1YOqgk4+wI6InbChkdXKDLDTbFckjgn2B P/f0ZRZXFacWyOLQ1hxpzPQcJXBGBdptGi+FgKd1SxficGls6+ZlMCgcc9IBwcJbGlGECh8Mn+8j SJWTUMflzh4fEmHuBj4Msjh6FvhZDOrOabGES7twB0IfVbF8xUqjRJif38252IcyLVV3AIpKFWyt mSv1Czy3HdHAzyd7kp8IrNMZZgmPukReLxvlhjyAQK7Z5cmlD3FuyizF2Fp4xb0CUo1F8w2zjL1V z6TF2sTawT0U6d6Nm44uMHADLl0rYcVL+KjnaslZ9yjv26hm7hkCUun0eKmqtfbml8uCztw6xqJU UrPB0tilYm1KkB6BuUlJcqJ7p2d00xcHsfiZivo3AWM/7ZdU8tKtl0VXAZTFdD1JiO0gdmIgQak5 2qoJwH+RBnqEny5cSK0cZ6xJUyz0H/UAWndOH4gSi6HtQ0Dhb/cJbrH5krT780RMZ4VAf0Dys2zt jf0wpMGkiAqZ9nr5uSC9L4Z4cgz1ws9xRPlmcM83m9yGzYCR14jV+yNz4d8hlpDg29P88vON3Zxw xP6ai40ImZLXQh0k8PIHVKYQ76IXbWfx5wknGL/ASwIq4AEf/tdoYNF5fOTajFL9Qq2RHzqHp88y 9tx86I+HjpG7GAv/5hhM4aQlcmhlINNjdlM+TqrkZhml18DZBvIkUL1m+9kw1HWPz8tBWDa2qfE0 Uv62sJ0szljtSOtmjsaJJnWmxJL5xpQhWKp45MKbtXZfrmXukaIBoSh2jraqLSkmbsdmCbGwh9o6 L3cfeRpYY7FJ6JfWSI/Hga8x+Jl/GCmuRFNBDSoGs+usST2st+M4Nel9sQkc/ZSFd4zuMcw2tG/l pL3FSMZTS+hvXAezC5I0Eq8XXkKpLsZGjpXafqW8Aih3tQkm3qQKO7HlM7cpL8i08Z1aSG6tWipC iOyLb7TmiZezNpWZH+TIOOjB1cyuQ4ZBCp4PHw8b8mRJCL+os1iQniGk35PeXmdKBr5Hf9eKSgfG lOxd/bGIdaxUyV2Sw2AJ8zPbJCeQKqoMyOMrnyqI/RnlwphVGACe/VVk/jTgcpTYBG0tNC8ESfjI h6eKGK++dhtA9zBokk5EiF2zdNUu+S5nEu0HYujSBEekWGTZo23UE3UaVRFvaQ8mV9xqUJuoYOmC kHpMgt1prk7Scy/hvVRRqYj5grOJ5kx53h1ezi9yiFrrP0UyZavAXyvGkCyFTHpC7lL1hd7K5diN BM1wd7JVZF2R7ul+WKC/HRoiReH3bjmq+6MGN68PwksiGKu8iM0roSORsdx67nhuyina0Q7bKVVt 9/ehyEarPMyJk4uoPqTpSATOImmfqlaZfq5zSqHP17PNrFt6ZS9DnMFVTb+tGcQzSVkwovPvalSz XQ+y5c7lDyMnFVeJ7X6FW6tYkrUpj2JY/35g5h9UI8tfS3RMUii/xCsvJJ7WQaWK4lRdAG30OKBS kNEG0KzKz9q+J05YUV7evIKfjCcwEKK9mjdwLACgf1Nrw37dyVwE8gPd6OclVhqP/Z2vlqpkuiKr myLb8VdpjD+2gaVyaEt5+ubmyhvM5Wfyq+g6kBt5hz7MXhWZFi6F3RzBD8yl9FzqYaFXdM0oPzmk z1QwnExXlhvpMUYYilNDpaFxQFa4v62gVnm4dtHD5xlHpKaWd+RiXvAkjXlLJg3uDtuHO9P173XZ px278cygCmle8kI/ijy9OTksEIZDXYNfhpUcC1oqxQjUhYXnICdmNOhr5oN6FsWRCETqsOSPB1Ye a5A1JBEoPpfTrAY8LLM1YnztraNfc6BexcyBzIGsbPJB5CKZir85GiI5NXk39wrvz1gEKquCrli2 x2lZ+G+eXH/aitYjPKmdh7Sz+kv66cppKQL9uqIkvNT4ShHBhFBujeMDxxSksQ949XGqPewgb3HU PM2Kp67oV5y8PADScIRjYYbNTrBE01TVhvVl82eHfDcyjigAPaC6y0q62nNq32xEDthLQuriKwSM N+i2dKugMkNZ7HUtQg/G8ASJ85Iv92TlwxgZR9l/35q8vA/v62znIpOacYa6hQT/D6F8agfM1ORV qwe1z6hUQ1J3sKVfD/Fbwd80XVTO4Wufr48l/7rO20M1VD8/TWuAaumP8zDw1QmLaILbYJ1MCqIW USTTZVm5U+nDl79BzToaJ2BBrViWdHG+B0GyLV4F1nyiQMXpHZHGzJ2+vpc4lfRrwA06bl3sNlmt XGTGpgqEgV6zNUHxsFAAgAYWI6vcKj1pM1FmfdjgnQPe2Un7fK+RvKdXS5iYSHdIeH/dhI+noyL/ Nq5fQ01OH00Unzgh6JmbVmHp+J8whdBnxhgSdXqKw8aBugpWwgi2HpcPGxvbwLZNHjSe3tybGKHH ENiIgtiMJYpr2uvor70ylPrbR7TcaxqrmBtxS+JFZOJbYKokuoZEFinSPY3sXsh3gCPk4hZ4k8XR O2HMRqK+MOmqj8nVPe6myLauajPmA+ExJIPi1Q377gKp3wccimN9ZNEVg7DgDY5pTYRIdkNhQ9yC +y5Ql5q04GQXooIeYGvhzRd+p0W63UV5ygHTW4wCDcWHEKaPtV2VeuTqQF4ieixEioTbHrOfeWIP Ore3/35BnKnbz9t6KScE7804EckWmkEy8P1rX7zXB/+7H9TnjLJm129AG+Vruw/I/V/AMIt2JH+P sL+ID7qqT6GiaUayXogY68X7k1fi/Gvt2v05BMQAiAbblyENiFc1hTzgmhabn79fRX8Qs/sPM5DP czhlZaQnTjpwmvwEq+nG+B6dJijFITMcaxHJ5PkI29ZcGHrF59Ld75PYiUoQnvv/IE/EhjK6q0CO XUT5JjvIvFH5EtWPsTU0wwXQZ5EPv9JgybrMcL2pXrTMb2DrABHNwHcF531iMXS4H35GU9ZWFz4v HvEjT3O40VGkrPfufF2FjNfCuorVe8qbzKzCtaUYCyi/ymirlpfVcx+qwV0LtGHmxL7YolgC4w6O B6yEE61LhXU484FIeq0jYOHl8Nv+COXGautmTv9zt1ac2g+0DTmhKi+WZYTmLPrC06Jj9ZMyA5OY jOoFbyEfJQ1LLLIwUl+jAqvQIXbcH29GTl5JXs0znX6hcKtKoX0P3D+YmAUcYIFIuBagF2WYNbDR iKV+fx8cTQDSfyM+F8iuMCqI8hmka+bRClGEOrnZt1r4EriF4G3s0hGDSxxis/SqtxxPdwgwe0Ew euW8ZzeSiWdgZ/ewflC5cikid7SVwBh8DS2hsvQxRuXchAvEn/4U/ewKZRqSP7iqei0Y5zYguAu3 qG8KpBb80s9qYZsTR5o7ertWfonIb+wF3Hgg5Z3OvBAu/mZjokIBDt37aCE+AN1hFevdTlfDLDJM 1XXqmrM5ZEn+7aAzH4reIPWhmJy31XmvKofmQs6SgV60XHxCF3K99Zty6yZ7Vo05BkO3JBcFjdBs BLs/xx3Go9s5s7drEfaNkWhwlNePOC5WtjSRf2xYn4vQXCtUdj2pI4DlsWfRN/nn/b4jnkHdAgrZ /BG9TQL1J0ynrRxLJqDFeH5S1TBQFTg96cZu9YdHKMIUxHipVovTX+HZJN7bztMNvZz1YONPtCy7 8Dp7PB/COJe9pBgD4NGVFXihJorwxVL+qHNUY1yIlma9vOKBMci3BHxtpGOFtQmaNe+0NwcGXii+ PwDvnuLuKXSGyXoh5dNjCQIONODmiCUvH5jlZuPLAG5UeG8d4cUv7W1J5DixX9lrWQg4hZli4xy/ +P68KGvzjNeeSygxaZWMGLwk/6OcVUoGnl+B3xRpdBhebEoj9fMipIB4Q9Er301ZwuTf25qDhNal jaw5xHsKHmhv8PjaF4n6VP3jGS9LnF5W4C+kcWlzvR6FDBFKRjdbajkF21OMbv2ysUtChIlFmGS7 GZwx5oT211HtZpvVD30s6uj3wftlFWLU2nDS01gd2wIRLuLeRmXXDjEhRL6p2VqfWcGNr0Q6WvW8 sCXQU/KtRxNzrB+Kp9aL+I8P7bFraNXb3oFImIOiCEKGM6TZq2QzgRNLN8bmlZNMRfJE42tO0D9r cGsrsb+g3XFN7UY3SjHyLvsoNHSMPxXUEQKyclqzogeSBkRzBpKA2cI8Q5Kj9F6xgkbrsNnd1Ybp He4FmQCwEpoFJ2WUDu/Hr1IADVVkO2GeqavLupkLeafMY9ELvfZSN8Udj65TvGNYapCGLK3ePIG8 pJVEBqkv4BUxduaZReuc4UfFicHutcWHnaO1JsM8BwKtE4xxh4Xw/1eLLMR9ysHaKo89RkfyD/AH Fal7XZsuyHbA4frW5PkwJrvnPDRDTkmSeqzhbJbAl9e147KTv49ZC1fXfR7Eo+tDI5GH9mfXAMux OzCeXB/RGHQKeyQDXK6Mlix6cqAQsRuSgil0lTkK5Rcrz1kQbr2ihGaLBQ2q0/jwWT5dYzRG737D zr6f9nD+Ow8404T+v4ouXpv6lYUc3QPPv3H04roLzc048FVBUTw3YkUGeiOsMA3LQyx/IF9/H7+3 CLJXYmOYI6GYdzgBxBfEf9CPC124BifF/7DbOG1t/z05x7k0TrCRNjT17C9gjWvkXuOMOTP8tfPT 9as3XdKU9D0ggaFtdIFmzI6gYLAPGYo61OoE++MuiWHMmz3f3+PzA1SVjLIJaSJ/Ey98vlWXtGsV 6MWjspPzQqqglUq9II0FDftltDrqHATbyrv214EZYpe7sbq07U8pkT4vNNet3iKaoq18Tmbtg7Dj P5ZKEwTk+/gKnxpH7tK7h5W/1vnHe3oTUr/NCVHaLAwRZdYpSnrf/Xu3WC6Q9paP8SpsnXTk2Bun bPWkF74eDJs1CeM57VdkuZk8nqvvmH4h3NkkClGLopDd42zsTXvLrIEAPrFLLIIvJDEVEAJ13Wic BuWt7l6S3sh/+JIwHduRpb13gQw1PIpKwuCOSid5W+r+/H7X8f8UnqfVDzH71PEd0wZb5xEqKF/Y gB1ksDadfp5KEXzUgNKGIVUd0MruRuBocw97NZ6EiyEYFXeHYDTWnDzzfTplD7SSTLLPcQM+X16P cJXNmVDrd5ftB9u+O3Bl1Ev41cfSaJDfG8qK2qgRmvDRi46y/Sd8RiAFzRoB010Zem3YsU0Pjf2g +lStoL75lMndcR3g79AU8XyuJ/lYHhSOTytVk3wT6xqYj793L7vd9Tfc1vThb67w8pHfn92P4NGF FZZAPIM0nqT6bXhLITkSdRKIgv6cKSRjB2xywh4bHTL3wZ4b0C/u1Fq7s3ETPdGAP1mXx15SvtkJ u2wyquRjJmOeyrLbcVtTWybmiWgRgDfMF3s1U9ln/Z4U1e2bO09bc9RrOIJY5XRAdDoXRSGYVl1H mHmtAE9KQGpUd59wETD8wpAbCS5gAO1CrWZM3BKj1NEUdZq6amp9uz1Xohl1JUW69WDl3T+VyDfM T6JmTLlDLS6krtCaQBA7NTa0r6VwgdBks3ai+q6xEv3So4Y0sXoeBbuBu7jSUD26LGg5u5UVR/vM aIG0AWBi3tlHIuUAs1yvo3+qzBg295XroOqcVH9iWIALKjN+bg9WLO14tegCcXoaEfj7avKMjlo7 OfH4sEzw5Pm1epfOYQaTs0BYciG4VxmDrXIDZZX5NiDtnkyCQWTDFG3JNLTArKzGkkKF8ppXbhMu ELxWw96X7y1KJoX6F/n1cIg1M0vuF2aSwKGQc3t/FlaUat05CCsbcM10IyLGMR2SxZmPAe+I8WIF p/ITh9BO6Ddwf81omEf/Pbe7Izzi28eLOtcTgLJBrFJrC/vUMzfOs4mqLBxprDPNCppbhQ+lpiNo Sf1xUZOzUgQSHsbaIqYxbT5u+uyNw7nMbzc7LVezhf/JBXqaJ4lruq5MV4pnqQHlLOM4sH4Pfwu6 Eq6kH8hZcvTcxaWeX5wCUR8g1sLXN4s0PWfYCgNEBY3lF2JSNyxN2lNC4ehC5n5XWLGkjCjZTTUQ Q3JjmZjIaBeKl1KA5J64f0adkEmWVzxO6GyvX7ld6dJpxVEQPV3scprajzAfAVChIFDKxWEs10P8 /GiDmWVPg0SMffGjwMd5RZlPeH7DNPJ0vVtfQqSOm4b89P8snpogverY7tw9fwm4pVfkfqt6y+z1 7r1kZU0EDIX1u5YAy4Acr2bztvsdixhS7pIgnCpk7Y8Ve9g8iMJsF/OqBF56qNgKAasoXoA+P8vX GFGKhoAsVCYvqVv02pZtiMZEgtqxnLn7bnVhYEh9dN1ditFles/MJrkOWvrjlbMKSuyVs+totOT8 ddQzLFp5XfEdDZFLsd1fBkE8EBP8N0hXbGCr/icv2oer2FZ9QOG1cflfwOkU27Ky CHIcn6kb `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block la25uVBWzC1l+JHhEL6c+Ts7V7z+YIo/kFZc/YoRuvF5aU3MaKWYyPlXeCJ89353nfhHkbdLXV4F nVVuxP9FyQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block nFg9pYQINwZA2M/ydTMPKxrCQrNDHt3RlR7cY6SpFxjoLHLbXhL1bAq6n1q9+Q2qjz6EazfBZ93K U0YpNGqnr+nqc2C5nb40zW2yQvfS4Rbw8mxQ73/lihHzruwr8kDVsMtt0iBxWrTieJOIk8/NeR2G +o/W4ndnrUAG/PfyIlA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block xuoFtB1eDGz1GlFErAZaPAS93bvbE5a9X5zV34MxLp8Ua9ky7RLKZwzWPuhW7tLpYh2ua4RSttmk dPsfayFAITrAU/dQAVWx4DUGO5t03cUgdN7ppPZLi5GX/MA95IxftPz2MIzhpH84Js1AO4luqQQc 5QxN9DH7mAIM0GVXwc0f48uoH19eD0/e7ciNMenZDiYHLFC4ACagzRoMnKlK4ZA6RSc1NIW6GfKa 8M1WYwzf0B7d/GeCgTpBG0FjkWha1+PPC3tNpTIzfUCoG9hESZTSV5bYv92St+WQ6sNnwG37tVqa iLDinljZPypL6xhmjimXUoCa+m62LGDkqdf4vQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block P0dE5V9VUBP3x9gSL+lY/6kDeo+z3sDkMBilzcCbklSLv8Zh2uayd3oG98fq3BDs4Zyl2NIOR+4/ c3oQeFRXzhjxpRyK4NBrcY5gN80k3yvSH2WYV0Ha2x+39Jj8yQO/MunO8ZTCspwLwcHXnNHZNsHb SEAg+QS9ELaciwfw5D8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block t3we8GV+pUi+tUpE/sSsfp+bLGtsUa2GACR/MBRAx8Rup76KD0FtZguzgORfNW3U/RRXivPYEwx2 YnVAkNK5JSRAyAcNUOh1nwJNd4vOCmRpVk4XeDn644n3Wza8R6vE4ZHxwvABYxMsztUi1tBqdTX+ 5G7mxdIbl8hLmHjOn9mWgfRtY1ZgsgeotbJfe2JmJP+lSUzhj6TeOhpeosvrxGHDW+OoVI8+21dZ rSaYKHGuWyyHJi/k2LWmFCszrm7zzz3izU7DalILlpEYm85eTWmehGHj/pJE4Boq4iEfeuZjagdl fMfJN7hju7whxsbPHrjRb1nqFZnUndHNTjLyvA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5184) `protect data_block 2hUzsBKKef+bDBf3jkL2Gx+BzRzHYqVbyIo6nIHQDrqRm8IOShRPRptfn0tIq7maZ/zVkOWEhE6E 8psQfcX0R7jREKV4cRPCaW830fPaMTZ+GcwNG5kEGhhMeBfPTgLv7n3kaVP9FTNssB5XQU/c0PpA X+WpwO6JEdtbJ/SoItPEa0A4P9wgUm+p3sqtElPvHnvqrZ+YwD34vuZPqSKwd61KFdg8YP4QIbdu c6UopFZO9ZMMmr8joqNg1CpRj50T9N35h0KcEl67sdfGAB3MMuOHE6IY2milOyGMpNT44RPO64R6 fcVPeQVezCysH1FkvAohWrr8qcVuV7UYDmkMy7cGkjkFhOMWMysfVsWuEmRfNqIhx9Rc9LoVs3pW 58EhruXWoW2y3rOgVmDVP11+D0MnTN8Bh6GLstrZWYlMx/YrlLA3pohNGUPW4bCfl1i001y7WyrK FrKljE9HGL2U5R639hgLhiXQT0N8UiNk4nmlmFxOzzvNo3F3EJkr/mAndphG7zaCPeRM4P42My3E W9Dd0+kXpnv4o8NQhONBE/xamxaXzhS1kjGCIjIf+Gv1YOqgk4+wI6InbChkdXKDLDTbFckjgn2B P/f0ZRZXFacWyOLQ1hxpzPQcJXBGBdptGi+FgKd1SxficGls6+ZlMCgcc9IBwcJbGlGECh8Mn+8j SJWTUMflzh4fEmHuBj4Msjh6FvhZDOrOabGES7twB0IfVbF8xUqjRJif38252IcyLVV3AIpKFWyt mSv1Czy3HdHAzyd7kp8IrNMZZgmPukReLxvlhjyAQK7Z5cmlD3FuyizF2Fp4xb0CUo1F8w2zjL1V z6TF2sTawT0U6d6Nm44uMHADLl0rYcVL+KjnaslZ9yjv26hm7hkCUun0eKmqtfbml8uCztw6xqJU UrPB0tilYm1KkB6BuUlJcqJ7p2d00xcHsfiZivo3AWM/7ZdU8tKtl0VXAZTFdD1JiO0gdmIgQak5 2qoJwH+RBnqEny5cSK0cZ6xJUyz0H/UAWndOH4gSi6HtQ0Dhb/cJbrH5krT780RMZ4VAf0Dys2zt jf0wpMGkiAqZ9nr5uSC9L4Z4cgz1ws9xRPlmcM83m9yGzYCR14jV+yNz4d8hlpDg29P88vON3Zxw xP6ai40ImZLXQh0k8PIHVKYQ76IXbWfx5wknGL/ASwIq4AEf/tdoYNF5fOTajFL9Qq2RHzqHp88y 9tx86I+HjpG7GAv/5hhM4aQlcmhlINNjdlM+TqrkZhml18DZBvIkUL1m+9kw1HWPz8tBWDa2qfE0 Uv62sJ0szljtSOtmjsaJJnWmxJL5xpQhWKp45MKbtXZfrmXukaIBoSh2jraqLSkmbsdmCbGwh9o6 L3cfeRpYY7FJ6JfWSI/Hga8x+Jl/GCmuRFNBDSoGs+usST2st+M4Nel9sQkc/ZSFd4zuMcw2tG/l pL3FSMZTS+hvXAezC5I0Eq8XXkKpLsZGjpXafqW8Aih3tQkm3qQKO7HlM7cpL8i08Z1aSG6tWipC iOyLb7TmiZezNpWZH+TIOOjB1cyuQ4ZBCp4PHw8b8mRJCL+os1iQniGk35PeXmdKBr5Hf9eKSgfG lOxd/bGIdaxUyV2Sw2AJ8zPbJCeQKqoMyOMrnyqI/RnlwphVGACe/VVk/jTgcpTYBG0tNC8ESfjI h6eKGK++dhtA9zBokk5EiF2zdNUu+S5nEu0HYujSBEekWGTZo23UE3UaVRFvaQ8mV9xqUJuoYOmC kHpMgt1prk7Scy/hvVRRqYj5grOJ5kx53h1ezi9yiFrrP0UyZavAXyvGkCyFTHpC7lL1hd7K5diN BM1wd7JVZF2R7ul+WKC/HRoiReH3bjmq+6MGN68PwksiGKu8iM0roSORsdx67nhuyina0Q7bKVVt 9/ehyEarPMyJk4uoPqTpSATOImmfqlaZfq5zSqHP17PNrFt6ZS9DnMFVTb+tGcQzSVkwovPvalSz XQ+y5c7lDyMnFVeJ7X6FW6tYkrUpj2JY/35g5h9UI8tfS3RMUii/xCsvJJ7WQaWK4lRdAG30OKBS kNEG0KzKz9q+J05YUV7evIKfjCcwEKK9mjdwLACgf1Nrw37dyVwE8gPd6OclVhqP/Z2vlqpkuiKr myLb8VdpjD+2gaVyaEt5+ubmyhvM5Wfyq+g6kBt5hz7MXhWZFi6F3RzBD8yl9FzqYaFXdM0oPzmk z1QwnExXlhvpMUYYilNDpaFxQFa4v62gVnm4dtHD5xlHpKaWd+RiXvAkjXlLJg3uDtuHO9P173XZ px278cygCmle8kI/ijy9OTksEIZDXYNfhpUcC1oqxQjUhYXnICdmNOhr5oN6FsWRCETqsOSPB1Ye a5A1JBEoPpfTrAY8LLM1YnztraNfc6BexcyBzIGsbPJB5CKZir85GiI5NXk39wrvz1gEKquCrli2 x2lZ+G+eXH/aitYjPKmdh7Sz+kv66cppKQL9uqIkvNT4ShHBhFBujeMDxxSksQ949XGqPewgb3HU PM2Kp67oV5y8PADScIRjYYbNTrBE01TVhvVl82eHfDcyjigAPaC6y0q62nNq32xEDthLQuriKwSM N+i2dKugMkNZ7HUtQg/G8ASJ85Iv92TlwxgZR9l/35q8vA/v62znIpOacYa6hQT/D6F8agfM1ORV qwe1z6hUQ1J3sKVfD/Fbwd80XVTO4Wufr48l/7rO20M1VD8/TWuAaumP8zDw1QmLaILbYJ1MCqIW USTTZVm5U+nDl79BzToaJ2BBrViWdHG+B0GyLV4F1nyiQMXpHZHGzJ2+vpc4lfRrwA06bl3sNlmt XGTGpgqEgV6zNUHxsFAAgAYWI6vcKj1pM1FmfdjgnQPe2Un7fK+RvKdXS5iYSHdIeH/dhI+noyL/ Nq5fQ01OH00Unzgh6JmbVmHp+J8whdBnxhgSdXqKw8aBugpWwgi2HpcPGxvbwLZNHjSe3tybGKHH ENiIgtiMJYpr2uvor70ylPrbR7TcaxqrmBtxS+JFZOJbYKokuoZEFinSPY3sXsh3gCPk4hZ4k8XR O2HMRqK+MOmqj8nVPe6myLauajPmA+ExJIPi1Q377gKp3wccimN9ZNEVg7DgDY5pTYRIdkNhQ9yC +y5Ql5q04GQXooIeYGvhzRd+p0W63UV5ygHTW4wCDcWHEKaPtV2VeuTqQF4ieixEioTbHrOfeWIP Ore3/35BnKnbz9t6KScE7804EckWmkEy8P1rX7zXB/+7H9TnjLJm129AG+Vruw/I/V/AMIt2JH+P sL+ID7qqT6GiaUayXogY68X7k1fi/Gvt2v05BMQAiAbblyENiFc1hTzgmhabn79fRX8Qs/sPM5DP czhlZaQnTjpwmvwEq+nG+B6dJijFITMcaxHJ5PkI29ZcGHrF59Ld75PYiUoQnvv/IE/EhjK6q0CO XUT5JjvIvFH5EtWPsTU0wwXQZ5EPv9JgybrMcL2pXrTMb2DrABHNwHcF531iMXS4H35GU9ZWFz4v HvEjT3O40VGkrPfufF2FjNfCuorVe8qbzKzCtaUYCyi/ymirlpfVcx+qwV0LtGHmxL7YolgC4w6O B6yEE61LhXU484FIeq0jYOHl8Nv+COXGautmTv9zt1ac2g+0DTmhKi+WZYTmLPrC06Jj9ZMyA5OY jOoFbyEfJQ1LLLIwUl+jAqvQIXbcH29GTl5JXs0znX6hcKtKoX0P3D+YmAUcYIFIuBagF2WYNbDR iKV+fx8cTQDSfyM+F8iuMCqI8hmka+bRClGEOrnZt1r4EriF4G3s0hGDSxxis/SqtxxPdwgwe0Ew euW8ZzeSiWdgZ/ewflC5cikid7SVwBh8DS2hsvQxRuXchAvEn/4U/ewKZRqSP7iqei0Y5zYguAu3 qG8KpBb80s9qYZsTR5o7ertWfonIb+wF3Hgg5Z3OvBAu/mZjokIBDt37aCE+AN1hFevdTlfDLDJM 1XXqmrM5ZEn+7aAzH4reIPWhmJy31XmvKofmQs6SgV60XHxCF3K99Zty6yZ7Vo05BkO3JBcFjdBs BLs/xx3Go9s5s7drEfaNkWhwlNePOC5WtjSRf2xYn4vQXCtUdj2pI4DlsWfRN/nn/b4jnkHdAgrZ /BG9TQL1J0ynrRxLJqDFeH5S1TBQFTg96cZu9YdHKMIUxHipVovTX+HZJN7bztMNvZz1YONPtCy7 8Dp7PB/COJe9pBgD4NGVFXihJorwxVL+qHNUY1yIlma9vOKBMci3BHxtpGOFtQmaNe+0NwcGXii+ PwDvnuLuKXSGyXoh5dNjCQIONODmiCUvH5jlZuPLAG5UeG8d4cUv7W1J5DixX9lrWQg4hZli4xy/ +P68KGvzjNeeSygxaZWMGLwk/6OcVUoGnl+B3xRpdBhebEoj9fMipIB4Q9Er301ZwuTf25qDhNal jaw5xHsKHmhv8PjaF4n6VP3jGS9LnF5W4C+kcWlzvR6FDBFKRjdbajkF21OMbv2ysUtChIlFmGS7 GZwx5oT211HtZpvVD30s6uj3wftlFWLU2nDS01gd2wIRLuLeRmXXDjEhRL6p2VqfWcGNr0Q6WvW8 sCXQU/KtRxNzrB+Kp9aL+I8P7bFraNXb3oFImIOiCEKGM6TZq2QzgRNLN8bmlZNMRfJE42tO0D9r cGsrsb+g3XFN7UY3SjHyLvsoNHSMPxXUEQKyclqzogeSBkRzBpKA2cI8Q5Kj9F6xgkbrsNnd1Ybp He4FmQCwEpoFJ2WUDu/Hr1IADVVkO2GeqavLupkLeafMY9ELvfZSN8Udj65TvGNYapCGLK3ePIG8 pJVEBqkv4BUxduaZReuc4UfFicHutcWHnaO1JsM8BwKtE4xxh4Xw/1eLLMR9ysHaKo89RkfyD/AH Fal7XZsuyHbA4frW5PkwJrvnPDRDTkmSeqzhbJbAl9e147KTv49ZC1fXfR7Eo+tDI5GH9mfXAMux OzCeXB/RGHQKeyQDXK6Mlix6cqAQsRuSgil0lTkK5Rcrz1kQbr2ihGaLBQ2q0/jwWT5dYzRG737D zr6f9nD+Ow8404T+v4ouXpv6lYUc3QPPv3H04roLzc048FVBUTw3YkUGeiOsMA3LQyx/IF9/H7+3 CLJXYmOYI6GYdzgBxBfEf9CPC124BifF/7DbOG1t/z05x7k0TrCRNjT17C9gjWvkXuOMOTP8tfPT 9as3XdKU9D0ggaFtdIFmzI6gYLAPGYo61OoE++MuiWHMmz3f3+PzA1SVjLIJaSJ/Ey98vlWXtGsV 6MWjspPzQqqglUq9II0FDftltDrqHATbyrv214EZYpe7sbq07U8pkT4vNNet3iKaoq18Tmbtg7Dj P5ZKEwTk+/gKnxpH7tK7h5W/1vnHe3oTUr/NCVHaLAwRZdYpSnrf/Xu3WC6Q9paP8SpsnXTk2Bun bPWkF74eDJs1CeM57VdkuZk8nqvvmH4h3NkkClGLopDd42zsTXvLrIEAPrFLLIIvJDEVEAJ13Wic BuWt7l6S3sh/+JIwHduRpb13gQw1PIpKwuCOSid5W+r+/H7X8f8UnqfVDzH71PEd0wZb5xEqKF/Y gB1ksDadfp5KEXzUgNKGIVUd0MruRuBocw97NZ6EiyEYFXeHYDTWnDzzfTplD7SSTLLPcQM+X16P cJXNmVDrd5ftB9u+O3Bl1Ev41cfSaJDfG8qK2qgRmvDRi46y/Sd8RiAFzRoB010Zem3YsU0Pjf2g +lStoL75lMndcR3g79AU8XyuJ/lYHhSOTytVk3wT6xqYj793L7vd9Tfc1vThb67w8pHfn92P4NGF FZZAPIM0nqT6bXhLITkSdRKIgv6cKSRjB2xywh4bHTL3wZ4b0C/u1Fq7s3ETPdGAP1mXx15SvtkJ u2wyquRjJmOeyrLbcVtTWybmiWgRgDfMF3s1U9ln/Z4U1e2bO09bc9RrOIJY5XRAdDoXRSGYVl1H mHmtAE9KQGpUd59wETD8wpAbCS5gAO1CrWZM3BKj1NEUdZq6amp9uz1Xohl1JUW69WDl3T+VyDfM T6JmTLlDLS6krtCaQBA7NTa0r6VwgdBks3ai+q6xEv3So4Y0sXoeBbuBu7jSUD26LGg5u5UVR/vM aIG0AWBi3tlHIuUAs1yvo3+qzBg295XroOqcVH9iWIALKjN+bg9WLO14tegCcXoaEfj7avKMjlo7 OfH4sEzw5Pm1epfOYQaTs0BYciG4VxmDrXIDZZX5NiDtnkyCQWTDFG3JNLTArKzGkkKF8ppXbhMu ELxWw96X7y1KJoX6F/n1cIg1M0vuF2aSwKGQc3t/FlaUat05CCsbcM10IyLGMR2SxZmPAe+I8WIF p/ITh9BO6Ddwf81omEf/Pbe7Izzi28eLOtcTgLJBrFJrC/vUMzfOs4mqLBxprDPNCppbhQ+lpiNo Sf1xUZOzUgQSHsbaIqYxbT5u+uyNw7nMbzc7LVezhf/JBXqaJ4lruq5MV4pnqQHlLOM4sH4Pfwu6 Eq6kH8hZcvTcxaWeX5wCUR8g1sLXN4s0PWfYCgNEBY3lF2JSNyxN2lNC4ehC5n5XWLGkjCjZTTUQ Q3JjmZjIaBeKl1KA5J64f0adkEmWVzxO6GyvX7ld6dJpxVEQPV3scprajzAfAVChIFDKxWEs10P8 /GiDmWVPg0SMffGjwMd5RZlPeH7DNPJ0vVtfQqSOm4b89P8snpogverY7tw9fwm4pVfkfqt6y+z1 7r1kZU0EDIX1u5YAy4Acr2bztvsdixhS7pIgnCpk7Y8Ve9g8iMJsF/OqBF56qNgKAasoXoA+P8vX GFGKhoAsVCYvqVv02pZtiMZEgtqxnLn7bnVhYEh9dN1ditFles/MJrkOWvrjlbMKSuyVs+totOT8 ddQzLFp5XfEdDZFLsd1fBkE8EBP8N0hXbGCr/icv2oer2FZ9QOG1cflfwOkU27Ky CHIcn6kb `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block la25uVBWzC1l+JHhEL6c+Ts7V7z+YIo/kFZc/YoRuvF5aU3MaKWYyPlXeCJ89353nfhHkbdLXV4F nVVuxP9FyQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block nFg9pYQINwZA2M/ydTMPKxrCQrNDHt3RlR7cY6SpFxjoLHLbXhL1bAq6n1q9+Q2qjz6EazfBZ93K U0YpNGqnr+nqc2C5nb40zW2yQvfS4Rbw8mxQ73/lihHzruwr8kDVsMtt0iBxWrTieJOIk8/NeR2G +o/W4ndnrUAG/PfyIlA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block xuoFtB1eDGz1GlFErAZaPAS93bvbE5a9X5zV34MxLp8Ua9ky7RLKZwzWPuhW7tLpYh2ua4RSttmk dPsfayFAITrAU/dQAVWx4DUGO5t03cUgdN7ppPZLi5GX/MA95IxftPz2MIzhpH84Js1AO4luqQQc 5QxN9DH7mAIM0GVXwc0f48uoH19eD0/e7ciNMenZDiYHLFC4ACagzRoMnKlK4ZA6RSc1NIW6GfKa 8M1WYwzf0B7d/GeCgTpBG0FjkWha1+PPC3tNpTIzfUCoG9hESZTSV5bYv92St+WQ6sNnwG37tVqa iLDinljZPypL6xhmjimXUoCa+m62LGDkqdf4vQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block P0dE5V9VUBP3x9gSL+lY/6kDeo+z3sDkMBilzcCbklSLv8Zh2uayd3oG98fq3BDs4Zyl2NIOR+4/ c3oQeFRXzhjxpRyK4NBrcY5gN80k3yvSH2WYV0Ha2x+39Jj8yQO/MunO8ZTCspwLwcHXnNHZNsHb SEAg+QS9ELaciwfw5D8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block t3we8GV+pUi+tUpE/sSsfp+bLGtsUa2GACR/MBRAx8Rup76KD0FtZguzgORfNW3U/RRXivPYEwx2 YnVAkNK5JSRAyAcNUOh1nwJNd4vOCmRpVk4XeDn644n3Wza8R6vE4ZHxwvABYxMsztUi1tBqdTX+ 5G7mxdIbl8hLmHjOn9mWgfRtY1ZgsgeotbJfe2JmJP+lSUzhj6TeOhpeosvrxGHDW+OoVI8+21dZ rSaYKHGuWyyHJi/k2LWmFCszrm7zzz3izU7DalILlpEYm85eTWmehGHj/pJE4Boq4iEfeuZjagdl fMfJN7hju7whxsbPHrjRb1nqFZnUndHNTjLyvA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5184) `protect data_block 2hUzsBKKef+bDBf3jkL2Gx+BzRzHYqVbyIo6nIHQDrqRm8IOShRPRptfn0tIq7maZ/zVkOWEhE6E 8psQfcX0R7jREKV4cRPCaW830fPaMTZ+GcwNG5kEGhhMeBfPTgLv7n3kaVP9FTNssB5XQU/c0PpA X+WpwO6JEdtbJ/SoItPEa0A4P9wgUm+p3sqtElPvHnvqrZ+YwD34vuZPqSKwd61KFdg8YP4QIbdu c6UopFZO9ZMMmr8joqNg1CpRj50T9N35h0KcEl67sdfGAB3MMuOHE6IY2milOyGMpNT44RPO64R6 fcVPeQVezCysH1FkvAohWrr8qcVuV7UYDmkMy7cGkjkFhOMWMysfVsWuEmRfNqIhx9Rc9LoVs3pW 58EhruXWoW2y3rOgVmDVP11+D0MnTN8Bh6GLstrZWYlMx/YrlLA3pohNGUPW4bCfl1i001y7WyrK FrKljE9HGL2U5R639hgLhiXQT0N8UiNk4nmlmFxOzzvNo3F3EJkr/mAndphG7zaCPeRM4P42My3E W9Dd0+kXpnv4o8NQhONBE/xamxaXzhS1kjGCIjIf+Gv1YOqgk4+wI6InbChkdXKDLDTbFckjgn2B P/f0ZRZXFacWyOLQ1hxpzPQcJXBGBdptGi+FgKd1SxficGls6+ZlMCgcc9IBwcJbGlGECh8Mn+8j SJWTUMflzh4fEmHuBj4Msjh6FvhZDOrOabGES7twB0IfVbF8xUqjRJif38252IcyLVV3AIpKFWyt mSv1Czy3HdHAzyd7kp8IrNMZZgmPukReLxvlhjyAQK7Z5cmlD3FuyizF2Fp4xb0CUo1F8w2zjL1V z6TF2sTawT0U6d6Nm44uMHADLl0rYcVL+KjnaslZ9yjv26hm7hkCUun0eKmqtfbml8uCztw6xqJU UrPB0tilYm1KkB6BuUlJcqJ7p2d00xcHsfiZivo3AWM/7ZdU8tKtl0VXAZTFdD1JiO0gdmIgQak5 2qoJwH+RBnqEny5cSK0cZ6xJUyz0H/UAWndOH4gSi6HtQ0Dhb/cJbrH5krT780RMZ4VAf0Dys2zt jf0wpMGkiAqZ9nr5uSC9L4Z4cgz1ws9xRPlmcM83m9yGzYCR14jV+yNz4d8hlpDg29P88vON3Zxw xP6ai40ImZLXQh0k8PIHVKYQ76IXbWfx5wknGL/ASwIq4AEf/tdoYNF5fOTajFL9Qq2RHzqHp88y 9tx86I+HjpG7GAv/5hhM4aQlcmhlINNjdlM+TqrkZhml18DZBvIkUL1m+9kw1HWPz8tBWDa2qfE0 Uv62sJ0szljtSOtmjsaJJnWmxJL5xpQhWKp45MKbtXZfrmXukaIBoSh2jraqLSkmbsdmCbGwh9o6 L3cfeRpYY7FJ6JfWSI/Hga8x+Jl/GCmuRFNBDSoGs+usST2st+M4Nel9sQkc/ZSFd4zuMcw2tG/l pL3FSMZTS+hvXAezC5I0Eq8XXkKpLsZGjpXafqW8Aih3tQkm3qQKO7HlM7cpL8i08Z1aSG6tWipC iOyLb7TmiZezNpWZH+TIOOjB1cyuQ4ZBCp4PHw8b8mRJCL+os1iQniGk35PeXmdKBr5Hf9eKSgfG lOxd/bGIdaxUyV2Sw2AJ8zPbJCeQKqoMyOMrnyqI/RnlwphVGACe/VVk/jTgcpTYBG0tNC8ESfjI h6eKGK++dhtA9zBokk5EiF2zdNUu+S5nEu0HYujSBEekWGTZo23UE3UaVRFvaQ8mV9xqUJuoYOmC kHpMgt1prk7Scy/hvVRRqYj5grOJ5kx53h1ezi9yiFrrP0UyZavAXyvGkCyFTHpC7lL1hd7K5diN BM1wd7JVZF2R7ul+WKC/HRoiReH3bjmq+6MGN68PwksiGKu8iM0roSORsdx67nhuyina0Q7bKVVt 9/ehyEarPMyJk4uoPqTpSATOImmfqlaZfq5zSqHP17PNrFt6ZS9DnMFVTb+tGcQzSVkwovPvalSz XQ+y5c7lDyMnFVeJ7X6FW6tYkrUpj2JY/35g5h9UI8tfS3RMUii/xCsvJJ7WQaWK4lRdAG30OKBS kNEG0KzKz9q+J05YUV7evIKfjCcwEKK9mjdwLACgf1Nrw37dyVwE8gPd6OclVhqP/Z2vlqpkuiKr myLb8VdpjD+2gaVyaEt5+ubmyhvM5Wfyq+g6kBt5hz7MXhWZFi6F3RzBD8yl9FzqYaFXdM0oPzmk z1QwnExXlhvpMUYYilNDpaFxQFa4v62gVnm4dtHD5xlHpKaWd+RiXvAkjXlLJg3uDtuHO9P173XZ px278cygCmle8kI/ijy9OTksEIZDXYNfhpUcC1oqxQjUhYXnICdmNOhr5oN6FsWRCETqsOSPB1Ye a5A1JBEoPpfTrAY8LLM1YnztraNfc6BexcyBzIGsbPJB5CKZir85GiI5NXk39wrvz1gEKquCrli2 x2lZ+G+eXH/aitYjPKmdh7Sz+kv66cppKQL9uqIkvNT4ShHBhFBujeMDxxSksQ949XGqPewgb3HU PM2Kp67oV5y8PADScIRjYYbNTrBE01TVhvVl82eHfDcyjigAPaC6y0q62nNq32xEDthLQuriKwSM N+i2dKugMkNZ7HUtQg/G8ASJ85Iv92TlwxgZR9l/35q8vA/v62znIpOacYa6hQT/D6F8agfM1ORV qwe1z6hUQ1J3sKVfD/Fbwd80XVTO4Wufr48l/7rO20M1VD8/TWuAaumP8zDw1QmLaILbYJ1MCqIW USTTZVm5U+nDl79BzToaJ2BBrViWdHG+B0GyLV4F1nyiQMXpHZHGzJ2+vpc4lfRrwA06bl3sNlmt XGTGpgqEgV6zNUHxsFAAgAYWI6vcKj1pM1FmfdjgnQPe2Un7fK+RvKdXS5iYSHdIeH/dhI+noyL/ Nq5fQ01OH00Unzgh6JmbVmHp+J8whdBnxhgSdXqKw8aBugpWwgi2HpcPGxvbwLZNHjSe3tybGKHH ENiIgtiMJYpr2uvor70ylPrbR7TcaxqrmBtxS+JFZOJbYKokuoZEFinSPY3sXsh3gCPk4hZ4k8XR O2HMRqK+MOmqj8nVPe6myLauajPmA+ExJIPi1Q377gKp3wccimN9ZNEVg7DgDY5pTYRIdkNhQ9yC +y5Ql5q04GQXooIeYGvhzRd+p0W63UV5ygHTW4wCDcWHEKaPtV2VeuTqQF4ieixEioTbHrOfeWIP Ore3/35BnKnbz9t6KScE7804EckWmkEy8P1rX7zXB/+7H9TnjLJm129AG+Vruw/I/V/AMIt2JH+P sL+ID7qqT6GiaUayXogY68X7k1fi/Gvt2v05BMQAiAbblyENiFc1hTzgmhabn79fRX8Qs/sPM5DP czhlZaQnTjpwmvwEq+nG+B6dJijFITMcaxHJ5PkI29ZcGHrF59Ld75PYiUoQnvv/IE/EhjK6q0CO XUT5JjvIvFH5EtWPsTU0wwXQZ5EPv9JgybrMcL2pXrTMb2DrABHNwHcF531iMXS4H35GU9ZWFz4v HvEjT3O40VGkrPfufF2FjNfCuorVe8qbzKzCtaUYCyi/ymirlpfVcx+qwV0LtGHmxL7YolgC4w6O B6yEE61LhXU484FIeq0jYOHl8Nv+COXGautmTv9zt1ac2g+0DTmhKi+WZYTmLPrC06Jj9ZMyA5OY jOoFbyEfJQ1LLLIwUl+jAqvQIXbcH29GTl5JXs0znX6hcKtKoX0P3D+YmAUcYIFIuBagF2WYNbDR iKV+fx8cTQDSfyM+F8iuMCqI8hmka+bRClGEOrnZt1r4EriF4G3s0hGDSxxis/SqtxxPdwgwe0Ew euW8ZzeSiWdgZ/ewflC5cikid7SVwBh8DS2hsvQxRuXchAvEn/4U/ewKZRqSP7iqei0Y5zYguAu3 qG8KpBb80s9qYZsTR5o7ertWfonIb+wF3Hgg5Z3OvBAu/mZjokIBDt37aCE+AN1hFevdTlfDLDJM 1XXqmrM5ZEn+7aAzH4reIPWhmJy31XmvKofmQs6SgV60XHxCF3K99Zty6yZ7Vo05BkO3JBcFjdBs BLs/xx3Go9s5s7drEfaNkWhwlNePOC5WtjSRf2xYn4vQXCtUdj2pI4DlsWfRN/nn/b4jnkHdAgrZ /BG9TQL1J0ynrRxLJqDFeH5S1TBQFTg96cZu9YdHKMIUxHipVovTX+HZJN7bztMNvZz1YONPtCy7 8Dp7PB/COJe9pBgD4NGVFXihJorwxVL+qHNUY1yIlma9vOKBMci3BHxtpGOFtQmaNe+0NwcGXii+ PwDvnuLuKXSGyXoh5dNjCQIONODmiCUvH5jlZuPLAG5UeG8d4cUv7W1J5DixX9lrWQg4hZli4xy/ +P68KGvzjNeeSygxaZWMGLwk/6OcVUoGnl+B3xRpdBhebEoj9fMipIB4Q9Er301ZwuTf25qDhNal jaw5xHsKHmhv8PjaF4n6VP3jGS9LnF5W4C+kcWlzvR6FDBFKRjdbajkF21OMbv2ysUtChIlFmGS7 GZwx5oT211HtZpvVD30s6uj3wftlFWLU2nDS01gd2wIRLuLeRmXXDjEhRL6p2VqfWcGNr0Q6WvW8 sCXQU/KtRxNzrB+Kp9aL+I8P7bFraNXb3oFImIOiCEKGM6TZq2QzgRNLN8bmlZNMRfJE42tO0D9r cGsrsb+g3XFN7UY3SjHyLvsoNHSMPxXUEQKyclqzogeSBkRzBpKA2cI8Q5Kj9F6xgkbrsNnd1Ybp He4FmQCwEpoFJ2WUDu/Hr1IADVVkO2GeqavLupkLeafMY9ELvfZSN8Udj65TvGNYapCGLK3ePIG8 pJVEBqkv4BUxduaZReuc4UfFicHutcWHnaO1JsM8BwKtE4xxh4Xw/1eLLMR9ysHaKo89RkfyD/AH Fal7XZsuyHbA4frW5PkwJrvnPDRDTkmSeqzhbJbAl9e147KTv49ZC1fXfR7Eo+tDI5GH9mfXAMux OzCeXB/RGHQKeyQDXK6Mlix6cqAQsRuSgil0lTkK5Rcrz1kQbr2ihGaLBQ2q0/jwWT5dYzRG737D zr6f9nD+Ow8404T+v4ouXpv6lYUc3QPPv3H04roLzc048FVBUTw3YkUGeiOsMA3LQyx/IF9/H7+3 CLJXYmOYI6GYdzgBxBfEf9CPC124BifF/7DbOG1t/z05x7k0TrCRNjT17C9gjWvkXuOMOTP8tfPT 9as3XdKU9D0ggaFtdIFmzI6gYLAPGYo61OoE++MuiWHMmz3f3+PzA1SVjLIJaSJ/Ey98vlWXtGsV 6MWjspPzQqqglUq9II0FDftltDrqHATbyrv214EZYpe7sbq07U8pkT4vNNet3iKaoq18Tmbtg7Dj P5ZKEwTk+/gKnxpH7tK7h5W/1vnHe3oTUr/NCVHaLAwRZdYpSnrf/Xu3WC6Q9paP8SpsnXTk2Bun bPWkF74eDJs1CeM57VdkuZk8nqvvmH4h3NkkClGLopDd42zsTXvLrIEAPrFLLIIvJDEVEAJ13Wic BuWt7l6S3sh/+JIwHduRpb13gQw1PIpKwuCOSid5W+r+/H7X8f8UnqfVDzH71PEd0wZb5xEqKF/Y gB1ksDadfp5KEXzUgNKGIVUd0MruRuBocw97NZ6EiyEYFXeHYDTWnDzzfTplD7SSTLLPcQM+X16P cJXNmVDrd5ftB9u+O3Bl1Ev41cfSaJDfG8qK2qgRmvDRi46y/Sd8RiAFzRoB010Zem3YsU0Pjf2g +lStoL75lMndcR3g79AU8XyuJ/lYHhSOTytVk3wT6xqYj793L7vd9Tfc1vThb67w8pHfn92P4NGF FZZAPIM0nqT6bXhLITkSdRKIgv6cKSRjB2xywh4bHTL3wZ4b0C/u1Fq7s3ETPdGAP1mXx15SvtkJ u2wyquRjJmOeyrLbcVtTWybmiWgRgDfMF3s1U9ln/Z4U1e2bO09bc9RrOIJY5XRAdDoXRSGYVl1H mHmtAE9KQGpUd59wETD8wpAbCS5gAO1CrWZM3BKj1NEUdZq6amp9uz1Xohl1JUW69WDl3T+VyDfM T6JmTLlDLS6krtCaQBA7NTa0r6VwgdBks3ai+q6xEv3So4Y0sXoeBbuBu7jSUD26LGg5u5UVR/vM aIG0AWBi3tlHIuUAs1yvo3+qzBg295XroOqcVH9iWIALKjN+bg9WLO14tegCcXoaEfj7avKMjlo7 OfH4sEzw5Pm1epfOYQaTs0BYciG4VxmDrXIDZZX5NiDtnkyCQWTDFG3JNLTArKzGkkKF8ppXbhMu ELxWw96X7y1KJoX6F/n1cIg1M0vuF2aSwKGQc3t/FlaUat05CCsbcM10IyLGMR2SxZmPAe+I8WIF p/ITh9BO6Ddwf81omEf/Pbe7Izzi28eLOtcTgLJBrFJrC/vUMzfOs4mqLBxprDPNCppbhQ+lpiNo Sf1xUZOzUgQSHsbaIqYxbT5u+uyNw7nMbzc7LVezhf/JBXqaJ4lruq5MV4pnqQHlLOM4sH4Pfwu6 Eq6kH8hZcvTcxaWeX5wCUR8g1sLXN4s0PWfYCgNEBY3lF2JSNyxN2lNC4ehC5n5XWLGkjCjZTTUQ Q3JjmZjIaBeKl1KA5J64f0adkEmWVzxO6GyvX7ld6dJpxVEQPV3scprajzAfAVChIFDKxWEs10P8 /GiDmWVPg0SMffGjwMd5RZlPeH7DNPJ0vVtfQqSOm4b89P8snpogverY7tw9fwm4pVfkfqt6y+z1 7r1kZU0EDIX1u5YAy4Acr2bztvsdixhS7pIgnCpk7Y8Ve9g8iMJsF/OqBF56qNgKAasoXoA+P8vX GFGKhoAsVCYvqVv02pZtiMZEgtqxnLn7bnVhYEh9dN1ditFles/MJrkOWvrjlbMKSuyVs+totOT8 ddQzLFp5XfEdDZFLsd1fBkE8EBP8N0hXbGCr/icv2oer2FZ9QOG1cflfwOkU27Ky CHIcn6kb `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block la25uVBWzC1l+JHhEL6c+Ts7V7z+YIo/kFZc/YoRuvF5aU3MaKWYyPlXeCJ89353nfhHkbdLXV4F nVVuxP9FyQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block nFg9pYQINwZA2M/ydTMPKxrCQrNDHt3RlR7cY6SpFxjoLHLbXhL1bAq6n1q9+Q2qjz6EazfBZ93K U0YpNGqnr+nqc2C5nb40zW2yQvfS4Rbw8mxQ73/lihHzruwr8kDVsMtt0iBxWrTieJOIk8/NeR2G +o/W4ndnrUAG/PfyIlA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block xuoFtB1eDGz1GlFErAZaPAS93bvbE5a9X5zV34MxLp8Ua9ky7RLKZwzWPuhW7tLpYh2ua4RSttmk dPsfayFAITrAU/dQAVWx4DUGO5t03cUgdN7ppPZLi5GX/MA95IxftPz2MIzhpH84Js1AO4luqQQc 5QxN9DH7mAIM0GVXwc0f48uoH19eD0/e7ciNMenZDiYHLFC4ACagzRoMnKlK4ZA6RSc1NIW6GfKa 8M1WYwzf0B7d/GeCgTpBG0FjkWha1+PPC3tNpTIzfUCoG9hESZTSV5bYv92St+WQ6sNnwG37tVqa iLDinljZPypL6xhmjimXUoCa+m62LGDkqdf4vQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block P0dE5V9VUBP3x9gSL+lY/6kDeo+z3sDkMBilzcCbklSLv8Zh2uayd3oG98fq3BDs4Zyl2NIOR+4/ c3oQeFRXzhjxpRyK4NBrcY5gN80k3yvSH2WYV0Ha2x+39Jj8yQO/MunO8ZTCspwLwcHXnNHZNsHb SEAg+QS9ELaciwfw5D8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block t3we8GV+pUi+tUpE/sSsfp+bLGtsUa2GACR/MBRAx8Rup76KD0FtZguzgORfNW3U/RRXivPYEwx2 YnVAkNK5JSRAyAcNUOh1nwJNd4vOCmRpVk4XeDn644n3Wza8R6vE4ZHxwvABYxMsztUi1tBqdTX+ 5G7mxdIbl8hLmHjOn9mWgfRtY1ZgsgeotbJfe2JmJP+lSUzhj6TeOhpeosvrxGHDW+OoVI8+21dZ rSaYKHGuWyyHJi/k2LWmFCszrm7zzz3izU7DalILlpEYm85eTWmehGHj/pJE4Boq4iEfeuZjagdl fMfJN7hju7whxsbPHrjRb1nqFZnUndHNTjLyvA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5184) `protect data_block 2hUzsBKKef+bDBf3jkL2Gx+BzRzHYqVbyIo6nIHQDrqRm8IOShRPRptfn0tIq7maZ/zVkOWEhE6E 8psQfcX0R7jREKV4cRPCaW830fPaMTZ+GcwNG5kEGhhMeBfPTgLv7n3kaVP9FTNssB5XQU/c0PpA X+WpwO6JEdtbJ/SoItPEa0A4P9wgUm+p3sqtElPvHnvqrZ+YwD34vuZPqSKwd61KFdg8YP4QIbdu c6UopFZO9ZMMmr8joqNg1CpRj50T9N35h0KcEl67sdfGAB3MMuOHE6IY2milOyGMpNT44RPO64R6 fcVPeQVezCysH1FkvAohWrr8qcVuV7UYDmkMy7cGkjkFhOMWMysfVsWuEmRfNqIhx9Rc9LoVs3pW 58EhruXWoW2y3rOgVmDVP11+D0MnTN8Bh6GLstrZWYlMx/YrlLA3pohNGUPW4bCfl1i001y7WyrK FrKljE9HGL2U5R639hgLhiXQT0N8UiNk4nmlmFxOzzvNo3F3EJkr/mAndphG7zaCPeRM4P42My3E W9Dd0+kXpnv4o8NQhONBE/xamxaXzhS1kjGCIjIf+Gv1YOqgk4+wI6InbChkdXKDLDTbFckjgn2B P/f0ZRZXFacWyOLQ1hxpzPQcJXBGBdptGi+FgKd1SxficGls6+ZlMCgcc9IBwcJbGlGECh8Mn+8j SJWTUMflzh4fEmHuBj4Msjh6FvhZDOrOabGES7twB0IfVbF8xUqjRJif38252IcyLVV3AIpKFWyt mSv1Czy3HdHAzyd7kp8IrNMZZgmPukReLxvlhjyAQK7Z5cmlD3FuyizF2Fp4xb0CUo1F8w2zjL1V z6TF2sTawT0U6d6Nm44uMHADLl0rYcVL+KjnaslZ9yjv26hm7hkCUun0eKmqtfbml8uCztw6xqJU UrPB0tilYm1KkB6BuUlJcqJ7p2d00xcHsfiZivo3AWM/7ZdU8tKtl0VXAZTFdD1JiO0gdmIgQak5 2qoJwH+RBnqEny5cSK0cZ6xJUyz0H/UAWndOH4gSi6HtQ0Dhb/cJbrH5krT780RMZ4VAf0Dys2zt jf0wpMGkiAqZ9nr5uSC9L4Z4cgz1ws9xRPlmcM83m9yGzYCR14jV+yNz4d8hlpDg29P88vON3Zxw xP6ai40ImZLXQh0k8PIHVKYQ76IXbWfx5wknGL/ASwIq4AEf/tdoYNF5fOTajFL9Qq2RHzqHp88y 9tx86I+HjpG7GAv/5hhM4aQlcmhlINNjdlM+TqrkZhml18DZBvIkUL1m+9kw1HWPz8tBWDa2qfE0 Uv62sJ0szljtSOtmjsaJJnWmxJL5xpQhWKp45MKbtXZfrmXukaIBoSh2jraqLSkmbsdmCbGwh9o6 L3cfeRpYY7FJ6JfWSI/Hga8x+Jl/GCmuRFNBDSoGs+usST2st+M4Nel9sQkc/ZSFd4zuMcw2tG/l pL3FSMZTS+hvXAezC5I0Eq8XXkKpLsZGjpXafqW8Aih3tQkm3qQKO7HlM7cpL8i08Z1aSG6tWipC iOyLb7TmiZezNpWZH+TIOOjB1cyuQ4ZBCp4PHw8b8mRJCL+os1iQniGk35PeXmdKBr5Hf9eKSgfG lOxd/bGIdaxUyV2Sw2AJ8zPbJCeQKqoMyOMrnyqI/RnlwphVGACe/VVk/jTgcpTYBG0tNC8ESfjI h6eKGK++dhtA9zBokk5EiF2zdNUu+S5nEu0HYujSBEekWGTZo23UE3UaVRFvaQ8mV9xqUJuoYOmC kHpMgt1prk7Scy/hvVRRqYj5grOJ5kx53h1ezi9yiFrrP0UyZavAXyvGkCyFTHpC7lL1hd7K5diN BM1wd7JVZF2R7ul+WKC/HRoiReH3bjmq+6MGN68PwksiGKu8iM0roSORsdx67nhuyina0Q7bKVVt 9/ehyEarPMyJk4uoPqTpSATOImmfqlaZfq5zSqHP17PNrFt6ZS9DnMFVTb+tGcQzSVkwovPvalSz XQ+y5c7lDyMnFVeJ7X6FW6tYkrUpj2JY/35g5h9UI8tfS3RMUii/xCsvJJ7WQaWK4lRdAG30OKBS kNEG0KzKz9q+J05YUV7evIKfjCcwEKK9mjdwLACgf1Nrw37dyVwE8gPd6OclVhqP/Z2vlqpkuiKr myLb8VdpjD+2gaVyaEt5+ubmyhvM5Wfyq+g6kBt5hz7MXhWZFi6F3RzBD8yl9FzqYaFXdM0oPzmk z1QwnExXlhvpMUYYilNDpaFxQFa4v62gVnm4dtHD5xlHpKaWd+RiXvAkjXlLJg3uDtuHO9P173XZ px278cygCmle8kI/ijy9OTksEIZDXYNfhpUcC1oqxQjUhYXnICdmNOhr5oN6FsWRCETqsOSPB1Ye a5A1JBEoPpfTrAY8LLM1YnztraNfc6BexcyBzIGsbPJB5CKZir85GiI5NXk39wrvz1gEKquCrli2 x2lZ+G+eXH/aitYjPKmdh7Sz+kv66cppKQL9uqIkvNT4ShHBhFBujeMDxxSksQ949XGqPewgb3HU PM2Kp67oV5y8PADScIRjYYbNTrBE01TVhvVl82eHfDcyjigAPaC6y0q62nNq32xEDthLQuriKwSM N+i2dKugMkNZ7HUtQg/G8ASJ85Iv92TlwxgZR9l/35q8vA/v62znIpOacYa6hQT/D6F8agfM1ORV qwe1z6hUQ1J3sKVfD/Fbwd80XVTO4Wufr48l/7rO20M1VD8/TWuAaumP8zDw1QmLaILbYJ1MCqIW USTTZVm5U+nDl79BzToaJ2BBrViWdHG+B0GyLV4F1nyiQMXpHZHGzJ2+vpc4lfRrwA06bl3sNlmt XGTGpgqEgV6zNUHxsFAAgAYWI6vcKj1pM1FmfdjgnQPe2Un7fK+RvKdXS5iYSHdIeH/dhI+noyL/ Nq5fQ01OH00Unzgh6JmbVmHp+J8whdBnxhgSdXqKw8aBugpWwgi2HpcPGxvbwLZNHjSe3tybGKHH ENiIgtiMJYpr2uvor70ylPrbR7TcaxqrmBtxS+JFZOJbYKokuoZEFinSPY3sXsh3gCPk4hZ4k8XR O2HMRqK+MOmqj8nVPe6myLauajPmA+ExJIPi1Q377gKp3wccimN9ZNEVg7DgDY5pTYRIdkNhQ9yC +y5Ql5q04GQXooIeYGvhzRd+p0W63UV5ygHTW4wCDcWHEKaPtV2VeuTqQF4ieixEioTbHrOfeWIP Ore3/35BnKnbz9t6KScE7804EckWmkEy8P1rX7zXB/+7H9TnjLJm129AG+Vruw/I/V/AMIt2JH+P sL+ID7qqT6GiaUayXogY68X7k1fi/Gvt2v05BMQAiAbblyENiFc1hTzgmhabn79fRX8Qs/sPM5DP czhlZaQnTjpwmvwEq+nG+B6dJijFITMcaxHJ5PkI29ZcGHrF59Ld75PYiUoQnvv/IE/EhjK6q0CO XUT5JjvIvFH5EtWPsTU0wwXQZ5EPv9JgybrMcL2pXrTMb2DrABHNwHcF531iMXS4H35GU9ZWFz4v HvEjT3O40VGkrPfufF2FjNfCuorVe8qbzKzCtaUYCyi/ymirlpfVcx+qwV0LtGHmxL7YolgC4w6O B6yEE61LhXU484FIeq0jYOHl8Nv+COXGautmTv9zt1ac2g+0DTmhKi+WZYTmLPrC06Jj9ZMyA5OY jOoFbyEfJQ1LLLIwUl+jAqvQIXbcH29GTl5JXs0znX6hcKtKoX0P3D+YmAUcYIFIuBagF2WYNbDR iKV+fx8cTQDSfyM+F8iuMCqI8hmka+bRClGEOrnZt1r4EriF4G3s0hGDSxxis/SqtxxPdwgwe0Ew euW8ZzeSiWdgZ/ewflC5cikid7SVwBh8DS2hsvQxRuXchAvEn/4U/ewKZRqSP7iqei0Y5zYguAu3 qG8KpBb80s9qYZsTR5o7ertWfonIb+wF3Hgg5Z3OvBAu/mZjokIBDt37aCE+AN1hFevdTlfDLDJM 1XXqmrM5ZEn+7aAzH4reIPWhmJy31XmvKofmQs6SgV60XHxCF3K99Zty6yZ7Vo05BkO3JBcFjdBs BLs/xx3Go9s5s7drEfaNkWhwlNePOC5WtjSRf2xYn4vQXCtUdj2pI4DlsWfRN/nn/b4jnkHdAgrZ /BG9TQL1J0ynrRxLJqDFeH5S1TBQFTg96cZu9YdHKMIUxHipVovTX+HZJN7bztMNvZz1YONPtCy7 8Dp7PB/COJe9pBgD4NGVFXihJorwxVL+qHNUY1yIlma9vOKBMci3BHxtpGOFtQmaNe+0NwcGXii+ PwDvnuLuKXSGyXoh5dNjCQIONODmiCUvH5jlZuPLAG5UeG8d4cUv7W1J5DixX9lrWQg4hZli4xy/ +P68KGvzjNeeSygxaZWMGLwk/6OcVUoGnl+B3xRpdBhebEoj9fMipIB4Q9Er301ZwuTf25qDhNal jaw5xHsKHmhv8PjaF4n6VP3jGS9LnF5W4C+kcWlzvR6FDBFKRjdbajkF21OMbv2ysUtChIlFmGS7 GZwx5oT211HtZpvVD30s6uj3wftlFWLU2nDS01gd2wIRLuLeRmXXDjEhRL6p2VqfWcGNr0Q6WvW8 sCXQU/KtRxNzrB+Kp9aL+I8P7bFraNXb3oFImIOiCEKGM6TZq2QzgRNLN8bmlZNMRfJE42tO0D9r cGsrsb+g3XFN7UY3SjHyLvsoNHSMPxXUEQKyclqzogeSBkRzBpKA2cI8Q5Kj9F6xgkbrsNnd1Ybp He4FmQCwEpoFJ2WUDu/Hr1IADVVkO2GeqavLupkLeafMY9ELvfZSN8Udj65TvGNYapCGLK3ePIG8 pJVEBqkv4BUxduaZReuc4UfFicHutcWHnaO1JsM8BwKtE4xxh4Xw/1eLLMR9ysHaKo89RkfyD/AH Fal7XZsuyHbA4frW5PkwJrvnPDRDTkmSeqzhbJbAl9e147KTv49ZC1fXfR7Eo+tDI5GH9mfXAMux OzCeXB/RGHQKeyQDXK6Mlix6cqAQsRuSgil0lTkK5Rcrz1kQbr2ihGaLBQ2q0/jwWT5dYzRG737D zr6f9nD+Ow8404T+v4ouXpv6lYUc3QPPv3H04roLzc048FVBUTw3YkUGeiOsMA3LQyx/IF9/H7+3 CLJXYmOYI6GYdzgBxBfEf9CPC124BifF/7DbOG1t/z05x7k0TrCRNjT17C9gjWvkXuOMOTP8tfPT 9as3XdKU9D0ggaFtdIFmzI6gYLAPGYo61OoE++MuiWHMmz3f3+PzA1SVjLIJaSJ/Ey98vlWXtGsV 6MWjspPzQqqglUq9II0FDftltDrqHATbyrv214EZYpe7sbq07U8pkT4vNNet3iKaoq18Tmbtg7Dj P5ZKEwTk+/gKnxpH7tK7h5W/1vnHe3oTUr/NCVHaLAwRZdYpSnrf/Xu3WC6Q9paP8SpsnXTk2Bun bPWkF74eDJs1CeM57VdkuZk8nqvvmH4h3NkkClGLopDd42zsTXvLrIEAPrFLLIIvJDEVEAJ13Wic BuWt7l6S3sh/+JIwHduRpb13gQw1PIpKwuCOSid5W+r+/H7X8f8UnqfVDzH71PEd0wZb5xEqKF/Y gB1ksDadfp5KEXzUgNKGIVUd0MruRuBocw97NZ6EiyEYFXeHYDTWnDzzfTplD7SSTLLPcQM+X16P cJXNmVDrd5ftB9u+O3Bl1Ev41cfSaJDfG8qK2qgRmvDRi46y/Sd8RiAFzRoB010Zem3YsU0Pjf2g +lStoL75lMndcR3g79AU8XyuJ/lYHhSOTytVk3wT6xqYj793L7vd9Tfc1vThb67w8pHfn92P4NGF FZZAPIM0nqT6bXhLITkSdRKIgv6cKSRjB2xywh4bHTL3wZ4b0C/u1Fq7s3ETPdGAP1mXx15SvtkJ u2wyquRjJmOeyrLbcVtTWybmiWgRgDfMF3s1U9ln/Z4U1e2bO09bc9RrOIJY5XRAdDoXRSGYVl1H mHmtAE9KQGpUd59wETD8wpAbCS5gAO1CrWZM3BKj1NEUdZq6amp9uz1Xohl1JUW69WDl3T+VyDfM T6JmTLlDLS6krtCaQBA7NTa0r6VwgdBks3ai+q6xEv3So4Y0sXoeBbuBu7jSUD26LGg5u5UVR/vM aIG0AWBi3tlHIuUAs1yvo3+qzBg295XroOqcVH9iWIALKjN+bg9WLO14tegCcXoaEfj7avKMjlo7 OfH4sEzw5Pm1epfOYQaTs0BYciG4VxmDrXIDZZX5NiDtnkyCQWTDFG3JNLTArKzGkkKF8ppXbhMu ELxWw96X7y1KJoX6F/n1cIg1M0vuF2aSwKGQc3t/FlaUat05CCsbcM10IyLGMR2SxZmPAe+I8WIF p/ITh9BO6Ddwf81omEf/Pbe7Izzi28eLOtcTgLJBrFJrC/vUMzfOs4mqLBxprDPNCppbhQ+lpiNo Sf1xUZOzUgQSHsbaIqYxbT5u+uyNw7nMbzc7LVezhf/JBXqaJ4lruq5MV4pnqQHlLOM4sH4Pfwu6 Eq6kH8hZcvTcxaWeX5wCUR8g1sLXN4s0PWfYCgNEBY3lF2JSNyxN2lNC4ehC5n5XWLGkjCjZTTUQ Q3JjmZjIaBeKl1KA5J64f0adkEmWVzxO6GyvX7ld6dJpxVEQPV3scprajzAfAVChIFDKxWEs10P8 /GiDmWVPg0SMffGjwMd5RZlPeH7DNPJ0vVtfQqSOm4b89P8snpogverY7tw9fwm4pVfkfqt6y+z1 7r1kZU0EDIX1u5YAy4Acr2bztvsdixhS7pIgnCpk7Y8Ve9g8iMJsF/OqBF56qNgKAasoXoA+P8vX GFGKhoAsVCYvqVv02pZtiMZEgtqxnLn7bnVhYEh9dN1ditFles/MJrkOWvrjlbMKSuyVs+totOT8 ddQzLFp5XfEdDZFLsd1fBkE8EBP8N0hXbGCr/icv2oer2FZ9QOG1cflfwOkU27Ky CHIcn6kb `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block la25uVBWzC1l+JHhEL6c+Ts7V7z+YIo/kFZc/YoRuvF5aU3MaKWYyPlXeCJ89353nfhHkbdLXV4F nVVuxP9FyQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block nFg9pYQINwZA2M/ydTMPKxrCQrNDHt3RlR7cY6SpFxjoLHLbXhL1bAq6n1q9+Q2qjz6EazfBZ93K U0YpNGqnr+nqc2C5nb40zW2yQvfS4Rbw8mxQ73/lihHzruwr8kDVsMtt0iBxWrTieJOIk8/NeR2G +o/W4ndnrUAG/PfyIlA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block xuoFtB1eDGz1GlFErAZaPAS93bvbE5a9X5zV34MxLp8Ua9ky7RLKZwzWPuhW7tLpYh2ua4RSttmk dPsfayFAITrAU/dQAVWx4DUGO5t03cUgdN7ppPZLi5GX/MA95IxftPz2MIzhpH84Js1AO4luqQQc 5QxN9DH7mAIM0GVXwc0f48uoH19eD0/e7ciNMenZDiYHLFC4ACagzRoMnKlK4ZA6RSc1NIW6GfKa 8M1WYwzf0B7d/GeCgTpBG0FjkWha1+PPC3tNpTIzfUCoG9hESZTSV5bYv92St+WQ6sNnwG37tVqa iLDinljZPypL6xhmjimXUoCa+m62LGDkqdf4vQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block P0dE5V9VUBP3x9gSL+lY/6kDeo+z3sDkMBilzcCbklSLv8Zh2uayd3oG98fq3BDs4Zyl2NIOR+4/ c3oQeFRXzhjxpRyK4NBrcY5gN80k3yvSH2WYV0Ha2x+39Jj8yQO/MunO8ZTCspwLwcHXnNHZNsHb SEAg+QS9ELaciwfw5D8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block t3we8GV+pUi+tUpE/sSsfp+bLGtsUa2GACR/MBRAx8Rup76KD0FtZguzgORfNW3U/RRXivPYEwx2 YnVAkNK5JSRAyAcNUOh1nwJNd4vOCmRpVk4XeDn644n3Wza8R6vE4ZHxwvABYxMsztUi1tBqdTX+ 5G7mxdIbl8hLmHjOn9mWgfRtY1ZgsgeotbJfe2JmJP+lSUzhj6TeOhpeosvrxGHDW+OoVI8+21dZ rSaYKHGuWyyHJi/k2LWmFCszrm7zzz3izU7DalILlpEYm85eTWmehGHj/pJE4Boq4iEfeuZjagdl fMfJN7hju7whxsbPHrjRb1nqFZnUndHNTjLyvA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5184) `protect data_block 2hUzsBKKef+bDBf3jkL2Gx+BzRzHYqVbyIo6nIHQDrqRm8IOShRPRptfn0tIq7maZ/zVkOWEhE6E 8psQfcX0R7jREKV4cRPCaW830fPaMTZ+GcwNG5kEGhhMeBfPTgLv7n3kaVP9FTNssB5XQU/c0PpA X+WpwO6JEdtbJ/SoItPEa0A4P9wgUm+p3sqtElPvHnvqrZ+YwD34vuZPqSKwd61KFdg8YP4QIbdu c6UopFZO9ZMMmr8joqNg1CpRj50T9N35h0KcEl67sdfGAB3MMuOHE6IY2milOyGMpNT44RPO64R6 fcVPeQVezCysH1FkvAohWrr8qcVuV7UYDmkMy7cGkjkFhOMWMysfVsWuEmRfNqIhx9Rc9LoVs3pW 58EhruXWoW2y3rOgVmDVP11+D0MnTN8Bh6GLstrZWYlMx/YrlLA3pohNGUPW4bCfl1i001y7WyrK FrKljE9HGL2U5R639hgLhiXQT0N8UiNk4nmlmFxOzzvNo3F3EJkr/mAndphG7zaCPeRM4P42My3E W9Dd0+kXpnv4o8NQhONBE/xamxaXzhS1kjGCIjIf+Gv1YOqgk4+wI6InbChkdXKDLDTbFckjgn2B P/f0ZRZXFacWyOLQ1hxpzPQcJXBGBdptGi+FgKd1SxficGls6+ZlMCgcc9IBwcJbGlGECh8Mn+8j SJWTUMflzh4fEmHuBj4Msjh6FvhZDOrOabGES7twB0IfVbF8xUqjRJif38252IcyLVV3AIpKFWyt mSv1Czy3HdHAzyd7kp8IrNMZZgmPukReLxvlhjyAQK7Z5cmlD3FuyizF2Fp4xb0CUo1F8w2zjL1V z6TF2sTawT0U6d6Nm44uMHADLl0rYcVL+KjnaslZ9yjv26hm7hkCUun0eKmqtfbml8uCztw6xqJU UrPB0tilYm1KkB6BuUlJcqJ7p2d00xcHsfiZivo3AWM/7ZdU8tKtl0VXAZTFdD1JiO0gdmIgQak5 2qoJwH+RBnqEny5cSK0cZ6xJUyz0H/UAWndOH4gSi6HtQ0Dhb/cJbrH5krT780RMZ4VAf0Dys2zt jf0wpMGkiAqZ9nr5uSC9L4Z4cgz1ws9xRPlmcM83m9yGzYCR14jV+yNz4d8hlpDg29P88vON3Zxw xP6ai40ImZLXQh0k8PIHVKYQ76IXbWfx5wknGL/ASwIq4AEf/tdoYNF5fOTajFL9Qq2RHzqHp88y 9tx86I+HjpG7GAv/5hhM4aQlcmhlINNjdlM+TqrkZhml18DZBvIkUL1m+9kw1HWPz8tBWDa2qfE0 Uv62sJ0szljtSOtmjsaJJnWmxJL5xpQhWKp45MKbtXZfrmXukaIBoSh2jraqLSkmbsdmCbGwh9o6 L3cfeRpYY7FJ6JfWSI/Hga8x+Jl/GCmuRFNBDSoGs+usST2st+M4Nel9sQkc/ZSFd4zuMcw2tG/l pL3FSMZTS+hvXAezC5I0Eq8XXkKpLsZGjpXafqW8Aih3tQkm3qQKO7HlM7cpL8i08Z1aSG6tWipC iOyLb7TmiZezNpWZH+TIOOjB1cyuQ4ZBCp4PHw8b8mRJCL+os1iQniGk35PeXmdKBr5Hf9eKSgfG lOxd/bGIdaxUyV2Sw2AJ8zPbJCeQKqoMyOMrnyqI/RnlwphVGACe/VVk/jTgcpTYBG0tNC8ESfjI h6eKGK++dhtA9zBokk5EiF2zdNUu+S5nEu0HYujSBEekWGTZo23UE3UaVRFvaQ8mV9xqUJuoYOmC kHpMgt1prk7Scy/hvVRRqYj5grOJ5kx53h1ezi9yiFrrP0UyZavAXyvGkCyFTHpC7lL1hd7K5diN BM1wd7JVZF2R7ul+WKC/HRoiReH3bjmq+6MGN68PwksiGKu8iM0roSORsdx67nhuyina0Q7bKVVt 9/ehyEarPMyJk4uoPqTpSATOImmfqlaZfq5zSqHP17PNrFt6ZS9DnMFVTb+tGcQzSVkwovPvalSz XQ+y5c7lDyMnFVeJ7X6FW6tYkrUpj2JY/35g5h9UI8tfS3RMUii/xCsvJJ7WQaWK4lRdAG30OKBS kNEG0KzKz9q+J05YUV7evIKfjCcwEKK9mjdwLACgf1Nrw37dyVwE8gPd6OclVhqP/Z2vlqpkuiKr myLb8VdpjD+2gaVyaEt5+ubmyhvM5Wfyq+g6kBt5hz7MXhWZFi6F3RzBD8yl9FzqYaFXdM0oPzmk z1QwnExXlhvpMUYYilNDpaFxQFa4v62gVnm4dtHD5xlHpKaWd+RiXvAkjXlLJg3uDtuHO9P173XZ px278cygCmle8kI/ijy9OTksEIZDXYNfhpUcC1oqxQjUhYXnICdmNOhr5oN6FsWRCETqsOSPB1Ye a5A1JBEoPpfTrAY8LLM1YnztraNfc6BexcyBzIGsbPJB5CKZir85GiI5NXk39wrvz1gEKquCrli2 x2lZ+G+eXH/aitYjPKmdh7Sz+kv66cppKQL9uqIkvNT4ShHBhFBujeMDxxSksQ949XGqPewgb3HU PM2Kp67oV5y8PADScIRjYYbNTrBE01TVhvVl82eHfDcyjigAPaC6y0q62nNq32xEDthLQuriKwSM N+i2dKugMkNZ7HUtQg/G8ASJ85Iv92TlwxgZR9l/35q8vA/v62znIpOacYa6hQT/D6F8agfM1ORV qwe1z6hUQ1J3sKVfD/Fbwd80XVTO4Wufr48l/7rO20M1VD8/TWuAaumP8zDw1QmLaILbYJ1MCqIW USTTZVm5U+nDl79BzToaJ2BBrViWdHG+B0GyLV4F1nyiQMXpHZHGzJ2+vpc4lfRrwA06bl3sNlmt XGTGpgqEgV6zNUHxsFAAgAYWI6vcKj1pM1FmfdjgnQPe2Un7fK+RvKdXS5iYSHdIeH/dhI+noyL/ Nq5fQ01OH00Unzgh6JmbVmHp+J8whdBnxhgSdXqKw8aBugpWwgi2HpcPGxvbwLZNHjSe3tybGKHH ENiIgtiMJYpr2uvor70ylPrbR7TcaxqrmBtxS+JFZOJbYKokuoZEFinSPY3sXsh3gCPk4hZ4k8XR O2HMRqK+MOmqj8nVPe6myLauajPmA+ExJIPi1Q377gKp3wccimN9ZNEVg7DgDY5pTYRIdkNhQ9yC +y5Ql5q04GQXooIeYGvhzRd+p0W63UV5ygHTW4wCDcWHEKaPtV2VeuTqQF4ieixEioTbHrOfeWIP Ore3/35BnKnbz9t6KScE7804EckWmkEy8P1rX7zXB/+7H9TnjLJm129AG+Vruw/I/V/AMIt2JH+P sL+ID7qqT6GiaUayXogY68X7k1fi/Gvt2v05BMQAiAbblyENiFc1hTzgmhabn79fRX8Qs/sPM5DP czhlZaQnTjpwmvwEq+nG+B6dJijFITMcaxHJ5PkI29ZcGHrF59Ld75PYiUoQnvv/IE/EhjK6q0CO XUT5JjvIvFH5EtWPsTU0wwXQZ5EPv9JgybrMcL2pXrTMb2DrABHNwHcF531iMXS4H35GU9ZWFz4v HvEjT3O40VGkrPfufF2FjNfCuorVe8qbzKzCtaUYCyi/ymirlpfVcx+qwV0LtGHmxL7YolgC4w6O B6yEE61LhXU484FIeq0jYOHl8Nv+COXGautmTv9zt1ac2g+0DTmhKi+WZYTmLPrC06Jj9ZMyA5OY jOoFbyEfJQ1LLLIwUl+jAqvQIXbcH29GTl5JXs0znX6hcKtKoX0P3D+YmAUcYIFIuBagF2WYNbDR iKV+fx8cTQDSfyM+F8iuMCqI8hmka+bRClGEOrnZt1r4EriF4G3s0hGDSxxis/SqtxxPdwgwe0Ew euW8ZzeSiWdgZ/ewflC5cikid7SVwBh8DS2hsvQxRuXchAvEn/4U/ewKZRqSP7iqei0Y5zYguAu3 qG8KpBb80s9qYZsTR5o7ertWfonIb+wF3Hgg5Z3OvBAu/mZjokIBDt37aCE+AN1hFevdTlfDLDJM 1XXqmrM5ZEn+7aAzH4reIPWhmJy31XmvKofmQs6SgV60XHxCF3K99Zty6yZ7Vo05BkO3JBcFjdBs BLs/xx3Go9s5s7drEfaNkWhwlNePOC5WtjSRf2xYn4vQXCtUdj2pI4DlsWfRN/nn/b4jnkHdAgrZ /BG9TQL1J0ynrRxLJqDFeH5S1TBQFTg96cZu9YdHKMIUxHipVovTX+HZJN7bztMNvZz1YONPtCy7 8Dp7PB/COJe9pBgD4NGVFXihJorwxVL+qHNUY1yIlma9vOKBMci3BHxtpGOFtQmaNe+0NwcGXii+ PwDvnuLuKXSGyXoh5dNjCQIONODmiCUvH5jlZuPLAG5UeG8d4cUv7W1J5DixX9lrWQg4hZli4xy/ +P68KGvzjNeeSygxaZWMGLwk/6OcVUoGnl+B3xRpdBhebEoj9fMipIB4Q9Er301ZwuTf25qDhNal jaw5xHsKHmhv8PjaF4n6VP3jGS9LnF5W4C+kcWlzvR6FDBFKRjdbajkF21OMbv2ysUtChIlFmGS7 GZwx5oT211HtZpvVD30s6uj3wftlFWLU2nDS01gd2wIRLuLeRmXXDjEhRL6p2VqfWcGNr0Q6WvW8 sCXQU/KtRxNzrB+Kp9aL+I8P7bFraNXb3oFImIOiCEKGM6TZq2QzgRNLN8bmlZNMRfJE42tO0D9r cGsrsb+g3XFN7UY3SjHyLvsoNHSMPxXUEQKyclqzogeSBkRzBpKA2cI8Q5Kj9F6xgkbrsNnd1Ybp He4FmQCwEpoFJ2WUDu/Hr1IADVVkO2GeqavLupkLeafMY9ELvfZSN8Udj65TvGNYapCGLK3ePIG8 pJVEBqkv4BUxduaZReuc4UfFicHutcWHnaO1JsM8BwKtE4xxh4Xw/1eLLMR9ysHaKo89RkfyD/AH Fal7XZsuyHbA4frW5PkwJrvnPDRDTkmSeqzhbJbAl9e147KTv49ZC1fXfR7Eo+tDI5GH9mfXAMux OzCeXB/RGHQKeyQDXK6Mlix6cqAQsRuSgil0lTkK5Rcrz1kQbr2ihGaLBQ2q0/jwWT5dYzRG737D zr6f9nD+Ow8404T+v4ouXpv6lYUc3QPPv3H04roLzc048FVBUTw3YkUGeiOsMA3LQyx/IF9/H7+3 CLJXYmOYI6GYdzgBxBfEf9CPC124BifF/7DbOG1t/z05x7k0TrCRNjT17C9gjWvkXuOMOTP8tfPT 9as3XdKU9D0ggaFtdIFmzI6gYLAPGYo61OoE++MuiWHMmz3f3+PzA1SVjLIJaSJ/Ey98vlWXtGsV 6MWjspPzQqqglUq9II0FDftltDrqHATbyrv214EZYpe7sbq07U8pkT4vNNet3iKaoq18Tmbtg7Dj P5ZKEwTk+/gKnxpH7tK7h5W/1vnHe3oTUr/NCVHaLAwRZdYpSnrf/Xu3WC6Q9paP8SpsnXTk2Bun bPWkF74eDJs1CeM57VdkuZk8nqvvmH4h3NkkClGLopDd42zsTXvLrIEAPrFLLIIvJDEVEAJ13Wic BuWt7l6S3sh/+JIwHduRpb13gQw1PIpKwuCOSid5W+r+/H7X8f8UnqfVDzH71PEd0wZb5xEqKF/Y gB1ksDadfp5KEXzUgNKGIVUd0MruRuBocw97NZ6EiyEYFXeHYDTWnDzzfTplD7SSTLLPcQM+X16P cJXNmVDrd5ftB9u+O3Bl1Ev41cfSaJDfG8qK2qgRmvDRi46y/Sd8RiAFzRoB010Zem3YsU0Pjf2g +lStoL75lMndcR3g79AU8XyuJ/lYHhSOTytVk3wT6xqYj793L7vd9Tfc1vThb67w8pHfn92P4NGF FZZAPIM0nqT6bXhLITkSdRKIgv6cKSRjB2xywh4bHTL3wZ4b0C/u1Fq7s3ETPdGAP1mXx15SvtkJ u2wyquRjJmOeyrLbcVtTWybmiWgRgDfMF3s1U9ln/Z4U1e2bO09bc9RrOIJY5XRAdDoXRSGYVl1H mHmtAE9KQGpUd59wETD8wpAbCS5gAO1CrWZM3BKj1NEUdZq6amp9uz1Xohl1JUW69WDl3T+VyDfM T6JmTLlDLS6krtCaQBA7NTa0r6VwgdBks3ai+q6xEv3So4Y0sXoeBbuBu7jSUD26LGg5u5UVR/vM aIG0AWBi3tlHIuUAs1yvo3+qzBg295XroOqcVH9iWIALKjN+bg9WLO14tegCcXoaEfj7avKMjlo7 OfH4sEzw5Pm1epfOYQaTs0BYciG4VxmDrXIDZZX5NiDtnkyCQWTDFG3JNLTArKzGkkKF8ppXbhMu ELxWw96X7y1KJoX6F/n1cIg1M0vuF2aSwKGQc3t/FlaUat05CCsbcM10IyLGMR2SxZmPAe+I8WIF p/ITh9BO6Ddwf81omEf/Pbe7Izzi28eLOtcTgLJBrFJrC/vUMzfOs4mqLBxprDPNCppbhQ+lpiNo Sf1xUZOzUgQSHsbaIqYxbT5u+uyNw7nMbzc7LVezhf/JBXqaJ4lruq5MV4pnqQHlLOM4sH4Pfwu6 Eq6kH8hZcvTcxaWeX5wCUR8g1sLXN4s0PWfYCgNEBY3lF2JSNyxN2lNC4ehC5n5XWLGkjCjZTTUQ Q3JjmZjIaBeKl1KA5J64f0adkEmWVzxO6GyvX7ld6dJpxVEQPV3scprajzAfAVChIFDKxWEs10P8 /GiDmWVPg0SMffGjwMd5RZlPeH7DNPJ0vVtfQqSOm4b89P8snpogverY7tw9fwm4pVfkfqt6y+z1 7r1kZU0EDIX1u5YAy4Acr2bztvsdixhS7pIgnCpk7Y8Ve9g8iMJsF/OqBF56qNgKAasoXoA+P8vX GFGKhoAsVCYvqVv02pZtiMZEgtqxnLn7bnVhYEh9dN1ditFles/MJrkOWvrjlbMKSuyVs+totOT8 ddQzLFp5XfEdDZFLsd1fBkE8EBP8N0hXbGCr/icv2oer2FZ9QOG1cflfwOkU27Ky CHIcn6kb `protect end_protected
------------------------------------------------------------------------- ---- ---- ---- Company : ELB-Elektroniklaboratorien Bonn UG ---- ---- (haftungsbeschränkt) ---- ---- ---- ---- Description : Controller module for DAC088S085, should also ---- ---- be compatible with 10 bit and 12 bit version. ---- ---- ---- ------------------------------------------------------------------------- ---- ---- ---- Copyright (C) 2015 ELB ---- ---- ---- ---- This program is free software; you can redistribute it and/or ---- ---- modify it under the terms of the GNU General Public License as ---- ---- published by the Free Software Foundation; either version 3 of ---- ---- the License, or (at your option) any later version. ---- ---- ---- ---- This program is distributed in the hope that it will be useful, ---- ---- but WITHOUT ANY WARRANTY; without even the implied warranty of ---- ---- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the ---- ---- GNU General Public License for more details. ---- ---- ---- ---- You should have received a copy of the GNU General Public ---- ---- License along with this program; if not, see ---- ---- <http://www.gnu.org/licenses>. ---- ---- ---- ------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity DAC088S085_Controller is Port ( CLK : in STD_LOGIC; -- system clock, max 100MHz, chip select timing has to be checked / modified for higher frequencies CLKDivH,CLKDivL : in STD_LOGIC_VECTOR (3 downto 0); -- seperate dividers for high and low time of clock WE : in STD_LOGIC; -- syncronous (CLK) write enable, DATA and Address are being latched on WE='1' Address : in STD_LOGIC_VECTOR (3 downto 0); -- Data Address (identical to transferred address, lookup in datasheet) Data : in STD_LOGIC_VECTOR (11 downto 0); -- Data to be transferred bits 3...0 =X for 8 bit version SCLK, SDA, CS : out STD_LOGIC; -- Serial communication Signals Busy : out STD_LOGIC); -- busy flag: State machine is busy, incoming WE will be ignored end DAC088S085_Controller; architecture Behavioral of DAC088S085_Controller is Signal BusyRegister : STD_LOGIC :='0'; --Signal DataRegister : STD_LOGIC_VECTOR (11 downto 0) :=(others=>'0'); --signal AddressRegister : STD_LOGIC_VECTOR (4 downto 0) :=(others=>'0'); Signal TransferRegister : STD_LOGIC_VECTOR (14 downto 0) :=(others=>'0'); -- Data and Address to be transfered. Only 15 bit because MSB is applied immidately at WE='1' Signal SCLKRegister, SDARegister : STD_LOGIC :='0'; -- DAC draws less current if pins are low -> when idle pull low. Signal CSRegister : STD_LOGIC :='1'; -- Chip select high when idle Signal ClockDivCounter : unsigned (3 downto 0):=to_unsigned(0,4); -- How many bits have been transfered? Signal BitCounter : unsigned (4 downto 0):=to_unsigned(0,5); -- How many CLK-Cycles has already been waited (update SCLK, when counter reached value set in interface) Signal NCRESCLK : STD_LOGIC; -- Next Clockcycle has Rising Edge on SCLK Signal NCFESCLK : STD_LOGIC; -- Next Clockcycle has Falling Edge on SCLK begin SCLK<=SCLKRegister; SDA<=SDARegister; CS<=CSRegister; Busy<=BusyRegister; GenerateSCLK : Process (CLK) is begin if rising_edge(CLK) then if BusyRegister='0' then -- reset case ClockDivCounter<=to_unsigned(0,4); SCLKRegister<='1'; else ClockDivCounter<=ClockDivCounter+1; if SCLKRegister='1' then if CLKDivH = STD_LOGIC_VECTOR (ClockDivCounter) then SCLKRegister<='0'; ClockDivCounter<=to_unsigned(0,4); end if; else if CLKDivL = STD_LOGIC_VECTOR (ClockDivCounter) then SCLKRegister<='1'; ClockDivCounter<=to_unsigned(0,4); end if; end if; end if; end if; end process; Process (CLKDivL, ClockDivCounter, SCLKRegister) is begin if CLKDivL = STD_LOGIC_VECTOR (ClockDivCounter) AND SCLKRegister ='0' then NCRESCLK<='1'; else NCRESCLK<='0'; end if; end Process; Process (CLKDivH, ClockDivCounter, SCLKRegister) is begin if CLKDivH = STD_LOGIC_VECTOR (ClockDivCounter) AND SCLKRegister ='1' then NCFESCLK<='1'; else NCFESCLK<='0'; end if; end Process; Process (CLK) is begin if rising_edge(CLK) then if BusyRegister='0' then if WE='1' then TransferRegister(11 downto 0)<= Data; TransferRegister(14 downto 12)<= Address (2 downto 0); BusyRegister<='1'; CSRegister<='0'; SDARegister <=Address(3); end if; else if NCFESCLK ='1' then -- on falling edge, bits are transfered-> increase number of transferred bits BitCounter<=BitCounter+1; end if; if NCRESCLK ='1' then -- on rising edge, change data (should work best because t_setup = t_hold = 2,5 ns) TransferRegister (14 downto 1) <=TransferRegister (13 downto 0); SDARegister <=TransferRegister(14); end if; if BitCounter = to_unsigned(16,5) AND NCRESCLK ='1' then -- when 16 bits have been transfered, wait until clock to cipselect time is fullfilled (min 10 ns, CSRegister<='1'; BusyRegister<='0'; BitCounter <=to_unsigned(0,5); end if; end if; end if; end process; end Behavioral;
-- ------------------------------------------------------------------------------ -- "Output Output Phase Duty Pk-to-Pk Phase" -- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" ------------------------------------------------------------------------------ -- CLK_OUT1____70.000 -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; entity SysPLL_micron180 is port ( CLK_IN1_P : in std_logic; CLK_IN1_N : in std_logic; -- Clock out ports CLK_OUT1 : out std_logic; CLK_OUT2 : out std_logic; -- Status and control signals RESET : in std_logic; LOCKED : out std_logic ); end SysPLL_micron180; architecture rtl of SysPLL_micron180 is begin CLK_OUT1 <= CLK_IN1_P; LOCKED <= not RESET; end rtl;
-- ------------------------------------------------------------------------------ -- "Output Output Phase Duty Pk-to-Pk Phase" -- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" ------------------------------------------------------------------------------ -- CLK_OUT1____70.000 -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; entity SysPLL_micron180 is port ( CLK_IN1_P : in std_logic; CLK_IN1_N : in std_logic; -- Clock out ports CLK_OUT1 : out std_logic; CLK_OUT2 : out std_logic; -- Status and control signals RESET : in std_logic; LOCKED : out std_logic ); end SysPLL_micron180; architecture rtl of SysPLL_micron180 is begin CLK_OUT1 <= CLK_IN1_P; LOCKED <= not RESET; end rtl;
library ieee; use ieee.std_logic_1164.all; entity fulladder is port (a, b, ci : std_logic; o, co : out std_logic); end fulladder; architecture behav of fulladder is begin o <= a xor b xor ci; co <= (a and b) or (a and ci) or (b and ci); end behav; library ieee; use ieee.std_logic_1164.all; entity forgen03 is generic (l : natural := 8; structural : boolean := true); port (a, b : std_logic_vector (l - 1 downto 0); o : out std_logic_vector (l - 1 downto 0)); end forgen03; architecture behav of forgen03 is begin gstr: if structural generate signal carry : std_logic_vector (l downto 0); begin carry (0) <= '0'; gadd: for i in 0 to l - 1 generate iadd: entity work.fulladder port map (a => a (i), b => b (i), ci => carry (i), o => o (i), co => carry (i + 1)); end generate; end generate; end behav;
entity issue508 is end entity; architecture beh of issue508 is type t_slv_array is array (natural range <>) of bit_vector; signal sig1 : t_slv_array(1 downto 0)(2 downto 0); type rec is record x, y : integer; end record; type recv is array (natural range <>) of rec; type recvv is array (natural range <>) of recv; function el_len(sig : t_slv_array) return natural is begin return sig'element'length; end function; function el_len(sig : recvv) return natural is begin return sig'element'length; end function; begin process variable v : t_slv_array(1 to 2)(5 to 6); variable vv : recvv(1 to 3)(1 to 7); begin assert el_len(sig1) = 3 severity failure; assert el_len(v) = 2 severity failure; assert el_len(vv) = 7 severity failure; wait; end process; end architecture beh;
------------------------------------------------------------------------------- -- $Id: interrupt_control.vhd,v 1.1.2.1 2009/10/06 21:15:00 gburch Exp $ ------------------------------------------------------------------------------- --interrupt_control.vhd version v1.00b ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2003,2009 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: interrupt_control.vhd -- -- Description: This VHDL design file is the parameterized interrupt control -- module for the ipif which permits parameterizing 1 or 2 levels -- of interrupt registers. -- -- -- ------------------------------------------------------------------------------- -- Structure: -- -- interrupt_control.vhd -- -- ------------------------------------------------------------------------------- -- Author: Doug Thorpe -- -- History: -- Doug Thorpe Aug 16, 2001 -- V1.00a (initial release) -- Mike Lovejoy Oct 9, 2001 -- V1.01a -- Added parameter C_INCLUDE_DEV_ISC to remove Device ISC. -- When one source of interrupts Device ISC is redundant and -- can be eliminated to reduce LUT count. When 7 interrupts -- are included, the LUT count is reduced from 49 to 17. -- Also removed the "wrapper" which required redefining -- ports and generics herein. -- -- det Feb-19-02 -- - Added additional selections of input processing on the IP -- interrupt inputs. This was done by replacing the -- C_IP_IRPT_NUM Generic with an unconstrained input array -- of integers selecting the type of input processing for each -- bit. -- -- det Mar-22-02 -- - Corrected a reset problem with pos edge detect interrupt -- input processing (a high on the input when recovering from -- reset caused an eroneous interrupt to be latched in the IP_ -- ISR reg. -- -- blt Nov-18-02 -- V1.01b -- - Updated library and use statements to use ipif_common_v1_00_b -- -- GAB 04/14/04 -- ^^^^^^ -- Updated to proc_common_v2_00_a -- ~~~~~~~ -- GAB 10/05/09 -- ^^^^^^ -- Moved all helper libraries proc_common_v2_00_a, opb_ipif_v3_01_a, and -- opb_arbiter_v1_02_e locally into opb_v20_v1_10_d -- -- Updated legal header -- ~~~~~~ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> -- -- ------------------------------------------------------------------------------- -- Special information -- -- The input Generic C_IP_INTR_MODE_ARRAY is an unconstrained array -- of integers. The number of entries specifies how many IP interrupts -- are to be processed. Each entry in the array specifies the type of input -- processing for each IP interrupt input. The following table -- lists the defined values for entries in the array: -- -- 1 = Level Pass through (non-inverted input) -- 2 = Level Pass through (invert input) -- 3 = Registered Level (non-inverted input) -- 4 = Registered Level (inverted input) -- 5 = Rising Edge Detect (non-inverted input) -- 6 = Falling Edge Detect (non-inverted input) -- ------------------------------------------------------------------------------- -- Library definitions library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; -- need 'conv_std_logic_vector' conversion function library opb_v20_v1_10_d; Use opb_v20_v1_10_d.proc_common_pkg.all; use opb_v20_v1_10_d.ipif_pkg.all; ---------------------------------------------------------------------- entity interrupt_control is Generic( C_INTERRUPT_REG_NUM : INTEGER := 16; -- Number of IPIF Interrupt sources (not including IP or the -- two latched IPIF ISR inputs) C_NUM_IPIF_IRPT_SRC : INTEGER := 4; C_IP_INTR_MODE_ARRAY : INTEGER_ARRAY_TYPE := ( 1, -- pass through (non-inverting) 2, -- pass through (inverting) 3, -- registered level (non-inverting) 4, -- registered level (inverting) 5, -- positive edge detect 6 -- negative edge detect ); C_INCLUDE_DEV_PENCODER : BOOLEAN := true;-- Specifies device Priority Encoder function C_INCLUDE_DEV_ISC : Boolean := true; -- Specifies device ISC hierarchy --Exclusion of Device ISC requires exclusion of Priority encoder C_IRPT_DBUS_WIDTH : INTEGER := 32 ); port( -- Inputs From the IPIF Bus Bus2IP_Clk_i : In std_logic; -- Master timing clock from the IPIF Bus2IP_Data_sa : In std_logic_vector(0 to C_IRPT_DBUS_WIDTH-1); Bus2IP_RdReq_sa : In std_logic; Bus2IP_Reset_i : In std_logic; -- Master Reset from the IPIF reset block Bus2IP_WrReq_sa : In std_logic; Interrupt_RdCE : In std_logic_vector(0 to C_INTERRUPT_REG_NUM-1); Interrupt_WrCE : In std_logic_vector(0 to C_INTERRUPT_REG_NUM-1); IPIF_Reg_Interrupts : In std_logic_vector(0 to 1); -- Interrupt inputs from the IPIF sources that will get registered in this design IPIF_Lvl_Interrupts : In std_logic_vector(0 to C_NUM_IPIF_IRPT_SRC-1); -- Level Interrupt inputs from the IPIF sources -- Inputs from the IP Interface IP2Bus_IntrEvent : In std_logic_vector(0 to C_IP_INTR_MODE_ARRAY'length-1); -- Interrupt inputs from the IP -- Final Device Interrupt Output Intr2Bus_DevIntr : Out std_logic; -- Device interrupt output to the Master Interrupt Controller -- Status Reply Outputs to the Bus Intr2Bus_DBus : Out std_logic_vector(0 to C_IRPT_DBUS_WIDTH-1); Intr2Bus_WrAck : Out std_logic; Intr2Bus_RdAck : Out std_logic; Intr2Bus_Error : Out std_logic; Intr2Bus_Retry : Out std_logic; Intr2Bus_ToutSup : Out std_logic ); end interrupt_control ; ------------------------------------------------------------------------------- architecture implementation of interrupt_control is --TYPES -- no Types -- CONSTANTS -- general use constants Constant LOGIC_LOW : std_logic := '0'; Constant LOGIC_HIGH : std_logic := '1'; -- Chip Enable Selection mapping (applies to RdCE and WrCE inputs) Constant DEVICE_ISR : integer range 0 to 15 := 0; Constant DEVICE_IPR : integer range 0 to 15 := 1; Constant DEVICE_IER : integer range 0 to 15 := 2; Constant DEVICE_IAR : integer range 0 to 15 := 3; Constant DEVICE_SIE : integer range 0 to 15 := 4; Constant DEVICE_CIE : integer range 0 to 15 := 5; Constant DEVICE_IIR : integer range 0 to 15 := 6; Constant DEVICE_GIE : integer range 0 to 15 := 7; Constant IP_ISR : integer range 0 to 15 := 8; Constant IP_IPR : integer range 0 to 15 := 9; Constant IP_IER : integer range 0 to 15 := 10; Constant IP_IAR : integer range 0 to 15 := 11; Constant IP_SIE : integer range 0 to 15 := 12; Constant IP_CIE : integer range 0 to 15 := 13; Constant IP_IIR : integer range 0 to 15 := 14; Constant IP_GIE : integer range 0 to 15 := 15; -- Generic to constant mapping Constant IRPT_DBUS_WIDTH : Integer := C_IRPT_DBUS_WIDTH - 1; Constant IP_IRPT_HIGH_INDEX : Integer := C_IP_INTR_MODE_ARRAY'length - 1; Constant IPIF_IRPT_HIGH_INDEX : Integer := C_NUM_IPIF_IRPT_SRC + 2; -- (2 level + 1 IP + Number of latched inputs) - 1 Constant IPIF_LVL_IRPT_HIGH_INDEX : Integer := C_NUM_IPIF_IRPT_SRC - 1; -- Priority encoder support constants Constant PRIORITY_ENC_WIDTH : Integer := 8; -- bits Constant NO_INTR_VALUE : Integer := 128; -- no interrupt pending code = "10000000" --INTERNAL SIGNALS Signal trans_reg_irpts : std_logic_vector(1 downto 0); Signal trans_lvl_irpts : std_logic_vector(IPIF_LVL_IRPT_HIGH_INDEX downto 0); Signal trans_ip_irpts : std_logic_vector(IP_IRPT_HIGH_INDEX downto 0); Signal edgedtct_ip_irpts : std_logic_vector(0 to IP_IRPT_HIGH_INDEX); signal irpt_read_data : std_logic_vector(IRPT_DBUS_WIDTH downto 0); Signal irpt_rdack : std_logic; Signal irpt_rdack_d1 : std_logic; Signal irpt_wrack : std_logic; signal irpt_wrack_d1 : std_logic; signal ip_irpt_status_reg : std_logic_vector(IP_IRPT_HIGH_INDEX downto 0); signal ip_irpt_enable_reg : std_logic_vector(IP_IRPT_HIGH_INDEX downto 0); signal ip_irpt_pending_value : std_logic_vector(IP_IRPT_HIGH_INDEX downto 0); Signal ip_interrupt_or : std_logic; signal ipif_irpt_status_reg : std_logic_vector(1 downto 0); signal ipif_irpt_status_value : std_logic_vector(IPIF_IRPT_HIGH_INDEX downto 0); signal ipif_irpt_enable_reg : std_logic_vector(IPIF_IRPT_HIGH_INDEX downto 0); signal ipif_irpt_pending_value : std_logic_vector(IPIF_IRPT_HIGH_INDEX downto 0); Signal ipif_glbl_irpt_enable_reg : std_logic; Signal ipif_interrupt : std_logic; Signal ipif_interrupt_or : std_logic; Signal ipif_pri_encode_present : std_logic; Signal ipif_priority_encode_value : std_logic_vector(PRIORITY_ENC_WIDTH-1 downto 0); -------------------------------------------------------------------------------------------------------------- -------------------------------------- start architecture logic ------------------------------------------------- begin -- Misc I/O and Signal assignments Intr2Bus_DevIntr <= ipif_interrupt; Intr2Bus_RdAck <= irpt_rdack; Intr2Bus_WrAck <= irpt_wrack; Intr2Bus_Error <= LOGIC_LOW; Intr2Bus_Retry <= LOGIC_LOW; Intr2Bus_ToutSup <= LOGIC_LOW; ---------------------------------------------------------------------------------------------------------------- --- IP Interrupt processing start ------------------------------------------------------------------------------------------ -- Convert Little endian register to big endian data bus ------------------------------------------------------------------------------------------ LITTLE_TO_BIG : process (irpt_read_data) Begin for k in 0 to IRPT_DBUS_WIDTH loop Intr2Bus_DBus(IRPT_DBUS_WIDTH-k) <= irpt_read_data(k); -- Convert to Big-Endian Data Bus End loop; End process; -- LITTLE_TO_BIG ------------------------------------------------------------------------------------------ -- Convert big endian interrupt inputs to Little endian registers ------------------------------------------------------------------------------------------ BIG_TO_LITTLE : process (IPIF_Reg_Interrupts, IPIF_Lvl_Interrupts, edgedtct_ip_irpts) Begin for i in 0 to 1 loop trans_reg_irpts(i) <= IPIF_Reg_Interrupts(i); -- Convert to Little-Endian format End loop; for j in 0 to IPIF_LVL_IRPT_HIGH_INDEX loop trans_lvl_irpts(j) <= IPIF_Lvl_Interrupts(j); -- Convert to Little-Endian format End loop; for k in 0 to IP_IRPT_HIGH_INDEX loop trans_ip_irpts(k) <= edgedtct_ip_irpts(k); -- Convert to Little-Endian format End loop; End process; -- BIG_TO_LITTLE ------------------------------------------------------------------------------------------ -- Implement the IP Interrupt Input Processing ------------------------------------------------------------------------------------------ DO_IRPT_INPUT: for irpt_index in 0 to IP_IRPT_HIGH_INDEX generate GEN_NON_INVERT_PASS_THROUGH : if (C_IP_INTR_MODE_ARRAY(irpt_index) = 1 or C_IP_INTR_MODE_ARRAY(irpt_index) = 3) generate edgedtct_ip_irpts(irpt_index) <= IP2Bus_IntrEvent(irpt_index); end generate GEN_NON_INVERT_PASS_THROUGH; GEN_INVERT_PASS_THROUGH : if (C_IP_INTR_MODE_ARRAY(irpt_index) = 2 or C_IP_INTR_MODE_ARRAY(irpt_index) = 4) generate edgedtct_ip_irpts(irpt_index) <= not(IP2Bus_IntrEvent(irpt_index)); end generate GEN_INVERT_PASS_THROUGH; GEN_POS_EDGE_DETECT : if (C_IP_INTR_MODE_ARRAY(irpt_index) = 5) generate Signal irpt_dly1 : std_logic; Signal irpt_dly2 : std_logic; begin REG_THE_IRPTS : process (Bus2IP_Clk_i) begin If (Bus2IP_Clk_i'EVENT and Bus2IP_Clk_i = '1') Then If (Bus2IP_Reset_i = '1') Then irpt_dly1 <= '1'; -- setting to '1' protects reset transition irpt_dly2 <= '1'; -- where interrupt inputs are preset high Else irpt_dly1 <= IP2Bus_IntrEvent(irpt_index); irpt_dly2 <= irpt_dly1; End if; else null; End if; End process; -- REG_THE_IRPTS -- now detect rising edge edgedtct_ip_irpts(irpt_index) <= irpt_dly1 and not(irpt_dly2); end generate GEN_POS_EDGE_DETECT; GEN_NEG_EDGE_DETECT : if (C_IP_INTR_MODE_ARRAY(irpt_index) = 6) generate Signal irpt_dly1 : std_logic; Signal irpt_dly2 : std_logic; begin REG_THE_IRPTS : process (Bus2IP_Clk_i) begin If (Bus2IP_Clk_i'EVENT and Bus2IP_Clk_i = '1') Then If (Bus2IP_Reset_i = '1') Then irpt_dly1 <= '0'; irpt_dly2 <= '0'; Else irpt_dly1 <= IP2Bus_IntrEvent(irpt_index); irpt_dly2 <= irpt_dly1; End if; else null; End if; End process; -- REG_THE_IRPTS edgedtct_ip_irpts(irpt_index) <= not(irpt_dly1) and irpt_dly2; end generate GEN_NEG_EDGE_DETECT; GEN_INVALID_TYPE : if (C_IP_INTR_MODE_ARRAY(irpt_index) > 6 ) generate edgedtct_ip_irpts(irpt_index) <= '0'; -- Don't use input end generate GEN_INVALID_TYPE; End generate DO_IRPT_INPUT; -- Generate the IP Interrupt Status register GEN_IP_IRPT_STATUS_REG : for irpt_index in 0 to IP_IRPT_HIGH_INDEX generate GEN_REG_STATUS : if (C_IP_INTR_MODE_ARRAY(irpt_index) > 2) generate DO_STATUS_BIT : process (Bus2IP_Clk_i) Begin if (Bus2IP_Clk_i'event and Bus2IP_Clk_i = '1') Then If (Bus2IP_Reset_i = '1') Then ip_irpt_status_reg(irpt_index) <= '0'; elsif (Interrupt_WrCE(IP_ISR) = '1') Then -- toggle selected ISR bits from the DBus inputs ip_irpt_status_reg(irpt_index) <= (Bus2IP_Data_sa(IRPT_DBUS_WIDTH-irpt_index) xor -- toggle bits on write of '1' ip_irpt_status_reg(irpt_index)) or -- but don't miss interrupts coming trans_ip_irpts(irpt_index); -- in on non-cleared interrupt bits else ip_irpt_status_reg(irpt_index) <= ip_irpt_status_reg(irpt_index) or trans_ip_irpts(irpt_index); -- latch and hold input interrupt bits End if; Else null; End if; End process; -- DO_STATUS_BIT End generate GEN_REG_STATUS; GEN_PASS_THROUGH_STATUS : if (C_IP_INTR_MODE_ARRAY(irpt_index) = 1 or C_IP_INTR_MODE_ARRAY(irpt_index) = 2) generate ip_irpt_status_reg(irpt_index) <= trans_ip_irpts(irpt_index); End generate GEN_PASS_THROUGH_STATUS; End generate GEN_IP_IRPT_STATUS_REG; ------------------------------------------------------------------------------------------ -- Implement the IP Interrupt Enable Register Write and Clear Functions ------------------------------------------------------------------------------------------ DO_IP_IRPT_ENABLE_REG : process (Bus2IP_Clk_i) Begin if (Bus2IP_Clk_i'event and Bus2IP_Clk_i = '1') Then If (Bus2IP_Reset_i = '1') Then ip_irpt_enable_reg <= (others => '0'); elsif (Interrupt_WrCE(IP_IER) = '1') Then -- load input data from the DBus inputs ip_irpt_enable_reg <= Bus2IP_Data_sa(IRPT_DBUS_WIDTH-IP_IRPT_HIGH_INDEX to IRPT_DBUS_WIDTH); else null; -- no change End if; Else null; End if; End process; -- DO_IP_IRPT_ENABLE_REG ------------------------------------------------------------------------------------------ -- Implement the IP Interrupt Enable/Masking function ------------------------------------------------------------------------------------------ DO_IP_INTR_ENABLE : process (ip_irpt_status_reg, ip_irpt_enable_reg) Begin for i in 0 to IP_IRPT_HIGH_INDEX loop ip_irpt_pending_value(i) <= ip_irpt_status_reg(i) and ip_irpt_enable_reg(i); -- enable/mask interrupt bits End loop; End process; -- DO_IP_INTR_ENABLE ------------------------------------------------------------------------------------------ -- Implement the IP Interrupt 'OR' Functions ------------------------------------------------------------------------------------------ DO_IP_INTR_OR : process (ip_irpt_pending_value) Variable ip_loop_or : std_logic; Begin ip_loop_or := '0'; for i in 0 to IP_IRPT_HIGH_INDEX loop ip_loop_or := ip_loop_or or ip_irpt_pending_value(i); End loop; ip_interrupt_or <= ip_loop_or; End process; -- DO_IP_INTR_OR -------------------------------------------------------------------------------------------- --- IP Interrupt processing end -------------------------------------------------------------------------------------------- --========================================================================================== Include_Device_ISC_generate: if(C_INCLUDE_DEV_ISC) generate begin -------------------------------------------------------------------------------------------- --- IPIF Interrupt processing Start -------------------------------------------------------------------------------------------- ------------------------------------------------------------------------------------------ -- Implement the IPIF Interrupt Status Register Write and Clear Functions -- This is only 2 bits wide (the only inputs latched at this level...the others just flow -- through) ------------------------------------------------------------------------------------------ DO_IPIF_IRPT_STATUS_REG : process (Bus2IP_Clk_i) Begin if (Bus2IP_Clk_i'event and Bus2IP_Clk_i = '1') Then If (Bus2IP_Reset_i = '1') Then ipif_irpt_status_reg <= (others => '0'); elsif (Interrupt_WrCE(DEVICE_ISR) = '1') Then -- load input data from the DBus inputs for i in 0 to 1 loop ipif_irpt_status_reg(i) <= (Bus2IP_Data_sa(IRPT_DBUS_WIDTH-i) xor -- toggle bits on write of '1' ipif_irpt_status_reg(i)) or -- but don't miss interrupts coming trans_reg_irpts(i); -- in on non-cleared interrupt bits End loop; else for i in 0 to 1 loop ipif_irpt_status_reg(i) <= ipif_irpt_status_reg(i) or trans_reg_irpts(i); -- latch and hold asserted interrupts End loop; End if; Else null; End if; End process; -- DO_IPIF_IRPT_STATUS_REG DO_IPIF_IRPT_STATUS_VALUE : process (ipif_irpt_status_reg, trans_lvl_irpts, ip_interrupt_or) Begin ipif_irpt_status_value(1 downto 0) <= ipif_irpt_status_reg; ipif_irpt_status_value(2) <= ip_interrupt_or; for i in 3 to IPIF_IRPT_HIGH_INDEX loop ipif_irpt_status_value(i) <= trans_lvl_irpts(i-3); End loop; End process; -- DO_IPIF_IRPT_STATUS_VALUE ------------------------------------------------------------------------------------------ -- Implement the IPIF Interrupt Enable Register Write and Clear Functions ------------------------------------------------------------------------------------------ DO_IPIF_IRPT_ENABLE_REG : process (Bus2IP_Clk_i) Begin if (Bus2IP_Clk_i'event and Bus2IP_Clk_i = '1') Then If (Bus2IP_Reset_i = '1') Then ipif_irpt_enable_reg <= (others => '0'); elsif (Interrupt_WrCE(DEVICE_IER) = '1') Then -- load input data from the DBus inputs ipif_irpt_enable_reg <= Bus2IP_Data_sa(IRPT_DBUS_WIDTH-IPIF_IRPT_HIGH_INDEX to IRPT_DBUS_WIDTH); else null; -- no change End if; Else null; End if; End process; -- DO_IPIF_IRPT_ENABLE_REG ------------------------------------------------------------------------------------------ -- Implement the IPIF Interrupt Enable/Masking function ------------------------------------------------------------------------------------------ DO_IPIF_INTR_ENABLE : process (ipif_irpt_status_value, ipif_irpt_enable_reg) Begin for i in 0 to IPIF_IRPT_HIGH_INDEX loop ipif_irpt_pending_value(i) <= ipif_irpt_status_value(i) and ipif_irpt_enable_reg(i); -- enable/mask interrupt bits End loop; End process; -- DO_IPIF_INTR_ENABLE end generate Include_Device_ISC_generate; Initialize_when_not_include_Device_ISC_generate: if(not(C_INCLUDE_DEV_ISC)) generate begin ipif_irpt_status_reg <= (others => '0'); ipif_irpt_status_value <= (others => '0'); ipif_irpt_enable_reg <= (others => '0'); ipif_irpt_pending_value <= (others => '0'); end generate Initialize_when_not_include_Device_ISC_generate; ------------------------------------------------------------------------------------------ -- Implement the IPIF Interrupt Master Enable Register Write and Clear Functions ------------------------------------------------------------------------------------------ DO_IPIF_IRPT_MASTER_ENABLE : process (Bus2IP_Clk_i) Begin if (Bus2IP_Clk_i'event and Bus2IP_Clk_i = '1') Then If (Bus2IP_Reset_i = '1') Then ipif_glbl_irpt_enable_reg <= '0'; elsif (Interrupt_WrCE(DEVICE_GIE) = '1') Then -- load input data from the DBus inputs ipif_glbl_irpt_enable_reg <= Bus2IP_Data_sa(0); -- Enable bit is loaded from the DBus MSB --Placed at bit-0 MSB by Glenn Baxter else null; -- no change End if; Else null; End if; End process; -- DO_IPIF_IRPT_MASTER_ENABLE INCLUDE_DEV_PRIORITY_ENCODER : if (C_INCLUDE_DEV_PENCODER = True) generate ------------------------------------------------------------------------------------------ -- Implement the IPIF Interrupt Priority Encoder Function on the Interrupt Pending Value -- Loop from Interrupt LSB to MSB, retaining the position of the last interrupt detected. -- This method implies a positional priority of MSB to LSB. ------------------------------------------------------------------------------------------ ipif_pri_encode_present <= '1'; DO_PRIORITY_ENCODER : process (ipif_irpt_pending_value) Variable irpt_position : Integer; Variable irpt_detected : Boolean; Variable loop_count : integer; Begin loop_count := IPIF_IRPT_HIGH_INDEX + 1; irpt_position := 0; irpt_detected := FALSE; -- Search through the pending interrupt values starting with the MSB while (loop_count > 0) loop If (ipif_irpt_pending_value(loop_count-1) = '1') Then irpt_detected := TRUE; irpt_position := loop_count-1; else null; -- do nothing End if; loop_count := loop_count - 1; End loop; -- now assign the encoder output value to the bit position of the last interrupt encountered If (irpt_detected) Then ipif_priority_encode_value <= conv_std_logic_vector(irpt_position, PRIORITY_ENC_WIDTH); ipif_interrupt_or <= '1'; -- piggy-back off of this function for the "OR" function else ipif_priority_encode_value <= conv_std_logic_vector(NO_INTR_VALUE, PRIORITY_ENC_WIDTH); ipif_interrupt_or <= '0'; End if; End process; -- DO_PRIORITY_ENCODER end generate INCLUDE_DEV_PRIORITY_ENCODER; DELETE_DEV_PRIORITY_ENCODER : if (C_INCLUDE_DEV_PENCODER = False) generate ipif_pri_encode_present <= '0'; ipif_priority_encode_value <= (others => '0'); ------------------------------------------------------------------------------------------ -- Implement the IPIF Interrupt 'OR' Functions (used if priority encoder removed) ------------------------------------------------------------------------------------------ DO_IPIF_INTR_OR : process (ipif_irpt_pending_value) Variable ipif_loop_or : std_logic; Begin ipif_loop_or := '0'; for i in 0 to IPIF_IRPT_HIGH_INDEX loop ipif_loop_or := ipif_loop_or or ipif_irpt_pending_value(i); End loop; ipif_interrupt_or <= ipif_loop_or; End process; -- DO_IPIF_INTR_OR end generate DELETE_DEV_PRIORITY_ENCODER; ------------------------------------------------------------------------------------------- -- Perform the final Master enable function on the 'ORed' interrupts OR_operation_with_Dev_ISC_generate: if(C_INCLUDE_DEV_ISC) generate begin ipif_interrupt_PROCESS: process(ipif_interrupt_or, ipif_glbl_irpt_enable_reg) begin ipif_interrupt <= ipif_interrupt_or and ipif_glbl_irpt_enable_reg; end process ipif_interrupt_PROCESS; end generate OR_operation_with_Dev_ISC_generate; OR_operation_withOUT_Dev_ISC_generate: if(not(C_INCLUDE_DEV_ISC)) generate begin ipif_interrupt_PROCESS: process(ip_interrupt_or, ipif_glbl_irpt_enable_reg) begin ipif_interrupt <= ip_interrupt_or and ipif_glbl_irpt_enable_reg; end process ipif_interrupt_PROCESS; end generate OR_operation_withOUT_Dev_ISC_generate; ----------------------------------------------------------------------------------------------------------- --- IPIF Interrupt processing end ---------------------------------------------------------------------------------------------------------------- Include_Dev_ISC_WrAck_OR_generate: if(C_INCLUDE_DEV_ISC) generate begin GEN_WRITE_ACKNOWLEGDGE : process (Interrupt_WrCE) Begin irpt_wrack <= Interrupt_WrCE(DEVICE_ISR) or Interrupt_WrCE(DEVICE_IER) or Interrupt_WrCE(DEVICE_GIE) or Interrupt_WrCE(IP_ISR) or Interrupt_WrCE(IP_IER); End process; -- GEN_WRITE_ACKNOWLEGDGE end generate Include_Dev_ISC_WrAck_OR_generate; Exclude_Dev_ISC_WrAck_OR_generate: if(not(C_INCLUDE_DEV_ISC)) generate begin GEN_WRITE_ACKNOWLEGDGE : process (Interrupt_WrCE) Begin irpt_wrack <= Interrupt_WrCE(DEVICE_GIE) or Interrupt_WrCE(IP_ISR) or Interrupt_WrCE(IP_IER); End process; -- GEN_WRITE_ACKNOWLEGDGE end generate Exclude_Dev_ISC_WrAck_OR_generate; GEN_SINGLE_CLK_WRACK : process(Bus2IP_Clk_i) begin if(Bus2IP_Clk_i'EVENT and Bus2IP_Clk_i = '1')then if(Bus2IP_Reset_i = '1')then irpt_wrack_d1 <= '0'; else irpt_wrack_d1 <= irpt_wrack; end if; end if; end process GEN_SINGLE_CLK_WRACK; ----------------------------------------------------------------------------------------------------------- --- IPIF Bus Data Read Mux and Read Acknowledge generation ---------------------------------------------------------------------------------------------------------------- Include_Dev_ISC_RdAck_OR_generate: if(C_INCLUDE_DEV_ISC) generate begin GET_READ_DATA : process (Interrupt_RdCE, ip_irpt_status_reg, ip_irpt_enable_reg, ipif_irpt_pending_value, ipif_irpt_enable_reg, ipif_pri_encode_present, ipif_priority_encode_value, ipif_irpt_status_value, ipif_glbl_irpt_enable_reg) Begin irpt_read_data <= (others => '0'); -- default to driving zeroes If (Interrupt_RdCE(IP_ISR) = '1') Then for i in 0 to IP_IRPT_HIGH_INDEX loop irpt_read_data(i) <= ip_irpt_status_reg(i); -- output IP interrupt status register values End loop; irpt_rdack <= '1'; -- set the acknowledge handshake Elsif (Interrupt_RdCE(IP_IER) = '1') Then for i in 0 to IP_IRPT_HIGH_INDEX loop irpt_read_data(i) <= ip_irpt_enable_reg(i); -- output IP interrupt enable register values End loop; irpt_rdack <= '1'; -- set the acknowledge handshake Elsif (Interrupt_RdCE(DEVICE_ISR) = '1') Then for i in 0 to IPIF_IRPT_HIGH_INDEX loop irpt_read_data(i) <= ipif_irpt_status_value(i); -- output IPIF status interrupt values End loop; irpt_rdack <= '1'; -- set the acknowledge handshake Elsif (Interrupt_RdCE(DEVICE_IPR) = '1') Then for i in 0 to IPIF_IRPT_HIGH_INDEX loop irpt_read_data(i) <= ipif_irpt_pending_value(i); -- output IPIF pending interrupt values End loop; irpt_rdack <= '1'; -- set the acknowledge handshake Elsif (Interrupt_RdCE(DEVICE_IER) = '1') Then for i in 0 to IPIF_IRPT_HIGH_INDEX loop irpt_read_data(i) <= ipif_irpt_enable_reg(i); -- output IPIF pending interrupt values End loop; irpt_rdack <= '1'; -- set the acknowledge handshake Elsif (Interrupt_RdCE(DEVICE_IIR) = '1') Then irpt_read_data(PRIORITY_ENC_WIDTH-1 downto 0) <= ipif_priority_encode_value; -- output IPIF pending interrupt values irpt_rdack <= ipif_pri_encode_present; -- set the acknowledge handshake depending on -- priority encoder presence Elsif (Interrupt_RdCE(DEVICE_GIE) = '1') Then irpt_read_data(IRPT_DBUS_WIDTH) <= ipif_glbl_irpt_enable_reg; -- output Global Enable Register value irpt_rdack <= '1'; -- set the acknowledge handshake else irpt_rdack <= '0'; -- don't set the acknowledge handshake End if; End process; -- GET_READ_DATA end generate Include_Dev_ISC_RdAck_OR_generate; Exclude_Dev_ISC_RdAck_OR_generate: if(not(C_INCLUDE_DEV_ISC)) generate begin GET_READ_DATA : process (Interrupt_RdCE, ip_irpt_status_reg, ip_irpt_enable_reg, ipif_glbl_irpt_enable_reg) Begin irpt_read_data <= (others => '0'); -- default to driving zeroes If (Interrupt_RdCE(IP_ISR) = '1') Then for i in 0 to IP_IRPT_HIGH_INDEX loop irpt_read_data(i) <= ip_irpt_status_reg(i); -- output IP interrupt status register values End loop; irpt_rdack <= '1'; -- set the acknowledge handshake Elsif (Interrupt_RdCE(IP_IER) = '1') Then for i in 0 to IP_IRPT_HIGH_INDEX loop irpt_read_data(i) <= ip_irpt_enable_reg(i); -- output IP interrupt enable register values End loop; irpt_rdack <= '1'; -- set the acknowledge handshake Elsif (Interrupt_RdCE(DEVICE_GIE) = '1') Then irpt_read_data(IRPT_DBUS_WIDTH) <= ipif_glbl_irpt_enable_reg; -- output Global Enable Register value irpt_rdack <= '1'; -- set the acknowledge handshake else irpt_rdack <= '0'; -- don't set the acknowledge handshake End if; End process; -- GET_READ_DATA end generate Exclude_Dev_ISC_RdAck_OR_generate; end implementation;
------------------------------------------------------------------------------- -- $Id: interrupt_control.vhd,v 1.1.2.1 2009/10/06 21:15:00 gburch Exp $ ------------------------------------------------------------------------------- --interrupt_control.vhd version v1.00b ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2003,2009 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: interrupt_control.vhd -- -- Description: This VHDL design file is the parameterized interrupt control -- module for the ipif which permits parameterizing 1 or 2 levels -- of interrupt registers. -- -- -- ------------------------------------------------------------------------------- -- Structure: -- -- interrupt_control.vhd -- -- ------------------------------------------------------------------------------- -- Author: Doug Thorpe -- -- History: -- Doug Thorpe Aug 16, 2001 -- V1.00a (initial release) -- Mike Lovejoy Oct 9, 2001 -- V1.01a -- Added parameter C_INCLUDE_DEV_ISC to remove Device ISC. -- When one source of interrupts Device ISC is redundant and -- can be eliminated to reduce LUT count. When 7 interrupts -- are included, the LUT count is reduced from 49 to 17. -- Also removed the "wrapper" which required redefining -- ports and generics herein. -- -- det Feb-19-02 -- - Added additional selections of input processing on the IP -- interrupt inputs. This was done by replacing the -- C_IP_IRPT_NUM Generic with an unconstrained input array -- of integers selecting the type of input processing for each -- bit. -- -- det Mar-22-02 -- - Corrected a reset problem with pos edge detect interrupt -- input processing (a high on the input when recovering from -- reset caused an eroneous interrupt to be latched in the IP_ -- ISR reg. -- -- blt Nov-18-02 -- V1.01b -- - Updated library and use statements to use ipif_common_v1_00_b -- -- GAB 04/14/04 -- ^^^^^^ -- Updated to proc_common_v2_00_a -- ~~~~~~~ -- GAB 10/05/09 -- ^^^^^^ -- Moved all helper libraries proc_common_v2_00_a, opb_ipif_v3_01_a, and -- opb_arbiter_v1_02_e locally into opb_v20_v1_10_d -- -- Updated legal header -- ~~~~~~ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> -- -- ------------------------------------------------------------------------------- -- Special information -- -- The input Generic C_IP_INTR_MODE_ARRAY is an unconstrained array -- of integers. The number of entries specifies how many IP interrupts -- are to be processed. Each entry in the array specifies the type of input -- processing for each IP interrupt input. The following table -- lists the defined values for entries in the array: -- -- 1 = Level Pass through (non-inverted input) -- 2 = Level Pass through (invert input) -- 3 = Registered Level (non-inverted input) -- 4 = Registered Level (inverted input) -- 5 = Rising Edge Detect (non-inverted input) -- 6 = Falling Edge Detect (non-inverted input) -- ------------------------------------------------------------------------------- -- Library definitions library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; -- need 'conv_std_logic_vector' conversion function library opb_v20_v1_10_d; Use opb_v20_v1_10_d.proc_common_pkg.all; use opb_v20_v1_10_d.ipif_pkg.all; ---------------------------------------------------------------------- entity interrupt_control is Generic( C_INTERRUPT_REG_NUM : INTEGER := 16; -- Number of IPIF Interrupt sources (not including IP or the -- two latched IPIF ISR inputs) C_NUM_IPIF_IRPT_SRC : INTEGER := 4; C_IP_INTR_MODE_ARRAY : INTEGER_ARRAY_TYPE := ( 1, -- pass through (non-inverting) 2, -- pass through (inverting) 3, -- registered level (non-inverting) 4, -- registered level (inverting) 5, -- positive edge detect 6 -- negative edge detect ); C_INCLUDE_DEV_PENCODER : BOOLEAN := true;-- Specifies device Priority Encoder function C_INCLUDE_DEV_ISC : Boolean := true; -- Specifies device ISC hierarchy --Exclusion of Device ISC requires exclusion of Priority encoder C_IRPT_DBUS_WIDTH : INTEGER := 32 ); port( -- Inputs From the IPIF Bus Bus2IP_Clk_i : In std_logic; -- Master timing clock from the IPIF Bus2IP_Data_sa : In std_logic_vector(0 to C_IRPT_DBUS_WIDTH-1); Bus2IP_RdReq_sa : In std_logic; Bus2IP_Reset_i : In std_logic; -- Master Reset from the IPIF reset block Bus2IP_WrReq_sa : In std_logic; Interrupt_RdCE : In std_logic_vector(0 to C_INTERRUPT_REG_NUM-1); Interrupt_WrCE : In std_logic_vector(0 to C_INTERRUPT_REG_NUM-1); IPIF_Reg_Interrupts : In std_logic_vector(0 to 1); -- Interrupt inputs from the IPIF sources that will get registered in this design IPIF_Lvl_Interrupts : In std_logic_vector(0 to C_NUM_IPIF_IRPT_SRC-1); -- Level Interrupt inputs from the IPIF sources -- Inputs from the IP Interface IP2Bus_IntrEvent : In std_logic_vector(0 to C_IP_INTR_MODE_ARRAY'length-1); -- Interrupt inputs from the IP -- Final Device Interrupt Output Intr2Bus_DevIntr : Out std_logic; -- Device interrupt output to the Master Interrupt Controller -- Status Reply Outputs to the Bus Intr2Bus_DBus : Out std_logic_vector(0 to C_IRPT_DBUS_WIDTH-1); Intr2Bus_WrAck : Out std_logic; Intr2Bus_RdAck : Out std_logic; Intr2Bus_Error : Out std_logic; Intr2Bus_Retry : Out std_logic; Intr2Bus_ToutSup : Out std_logic ); end interrupt_control ; ------------------------------------------------------------------------------- architecture implementation of interrupt_control is --TYPES -- no Types -- CONSTANTS -- general use constants Constant LOGIC_LOW : std_logic := '0'; Constant LOGIC_HIGH : std_logic := '1'; -- Chip Enable Selection mapping (applies to RdCE and WrCE inputs) Constant DEVICE_ISR : integer range 0 to 15 := 0; Constant DEVICE_IPR : integer range 0 to 15 := 1; Constant DEVICE_IER : integer range 0 to 15 := 2; Constant DEVICE_IAR : integer range 0 to 15 := 3; Constant DEVICE_SIE : integer range 0 to 15 := 4; Constant DEVICE_CIE : integer range 0 to 15 := 5; Constant DEVICE_IIR : integer range 0 to 15 := 6; Constant DEVICE_GIE : integer range 0 to 15 := 7; Constant IP_ISR : integer range 0 to 15 := 8; Constant IP_IPR : integer range 0 to 15 := 9; Constant IP_IER : integer range 0 to 15 := 10; Constant IP_IAR : integer range 0 to 15 := 11; Constant IP_SIE : integer range 0 to 15 := 12; Constant IP_CIE : integer range 0 to 15 := 13; Constant IP_IIR : integer range 0 to 15 := 14; Constant IP_GIE : integer range 0 to 15 := 15; -- Generic to constant mapping Constant IRPT_DBUS_WIDTH : Integer := C_IRPT_DBUS_WIDTH - 1; Constant IP_IRPT_HIGH_INDEX : Integer := C_IP_INTR_MODE_ARRAY'length - 1; Constant IPIF_IRPT_HIGH_INDEX : Integer := C_NUM_IPIF_IRPT_SRC + 2; -- (2 level + 1 IP + Number of latched inputs) - 1 Constant IPIF_LVL_IRPT_HIGH_INDEX : Integer := C_NUM_IPIF_IRPT_SRC - 1; -- Priority encoder support constants Constant PRIORITY_ENC_WIDTH : Integer := 8; -- bits Constant NO_INTR_VALUE : Integer := 128; -- no interrupt pending code = "10000000" --INTERNAL SIGNALS Signal trans_reg_irpts : std_logic_vector(1 downto 0); Signal trans_lvl_irpts : std_logic_vector(IPIF_LVL_IRPT_HIGH_INDEX downto 0); Signal trans_ip_irpts : std_logic_vector(IP_IRPT_HIGH_INDEX downto 0); Signal edgedtct_ip_irpts : std_logic_vector(0 to IP_IRPT_HIGH_INDEX); signal irpt_read_data : std_logic_vector(IRPT_DBUS_WIDTH downto 0); Signal irpt_rdack : std_logic; Signal irpt_rdack_d1 : std_logic; Signal irpt_wrack : std_logic; signal irpt_wrack_d1 : std_logic; signal ip_irpt_status_reg : std_logic_vector(IP_IRPT_HIGH_INDEX downto 0); signal ip_irpt_enable_reg : std_logic_vector(IP_IRPT_HIGH_INDEX downto 0); signal ip_irpt_pending_value : std_logic_vector(IP_IRPT_HIGH_INDEX downto 0); Signal ip_interrupt_or : std_logic; signal ipif_irpt_status_reg : std_logic_vector(1 downto 0); signal ipif_irpt_status_value : std_logic_vector(IPIF_IRPT_HIGH_INDEX downto 0); signal ipif_irpt_enable_reg : std_logic_vector(IPIF_IRPT_HIGH_INDEX downto 0); signal ipif_irpt_pending_value : std_logic_vector(IPIF_IRPT_HIGH_INDEX downto 0); Signal ipif_glbl_irpt_enable_reg : std_logic; Signal ipif_interrupt : std_logic; Signal ipif_interrupt_or : std_logic; Signal ipif_pri_encode_present : std_logic; Signal ipif_priority_encode_value : std_logic_vector(PRIORITY_ENC_WIDTH-1 downto 0); -------------------------------------------------------------------------------------------------------------- -------------------------------------- start architecture logic ------------------------------------------------- begin -- Misc I/O and Signal assignments Intr2Bus_DevIntr <= ipif_interrupt; Intr2Bus_RdAck <= irpt_rdack; Intr2Bus_WrAck <= irpt_wrack; Intr2Bus_Error <= LOGIC_LOW; Intr2Bus_Retry <= LOGIC_LOW; Intr2Bus_ToutSup <= LOGIC_LOW; ---------------------------------------------------------------------------------------------------------------- --- IP Interrupt processing start ------------------------------------------------------------------------------------------ -- Convert Little endian register to big endian data bus ------------------------------------------------------------------------------------------ LITTLE_TO_BIG : process (irpt_read_data) Begin for k in 0 to IRPT_DBUS_WIDTH loop Intr2Bus_DBus(IRPT_DBUS_WIDTH-k) <= irpt_read_data(k); -- Convert to Big-Endian Data Bus End loop; End process; -- LITTLE_TO_BIG ------------------------------------------------------------------------------------------ -- Convert big endian interrupt inputs to Little endian registers ------------------------------------------------------------------------------------------ BIG_TO_LITTLE : process (IPIF_Reg_Interrupts, IPIF_Lvl_Interrupts, edgedtct_ip_irpts) Begin for i in 0 to 1 loop trans_reg_irpts(i) <= IPIF_Reg_Interrupts(i); -- Convert to Little-Endian format End loop; for j in 0 to IPIF_LVL_IRPT_HIGH_INDEX loop trans_lvl_irpts(j) <= IPIF_Lvl_Interrupts(j); -- Convert to Little-Endian format End loop; for k in 0 to IP_IRPT_HIGH_INDEX loop trans_ip_irpts(k) <= edgedtct_ip_irpts(k); -- Convert to Little-Endian format End loop; End process; -- BIG_TO_LITTLE ------------------------------------------------------------------------------------------ -- Implement the IP Interrupt Input Processing ------------------------------------------------------------------------------------------ DO_IRPT_INPUT: for irpt_index in 0 to IP_IRPT_HIGH_INDEX generate GEN_NON_INVERT_PASS_THROUGH : if (C_IP_INTR_MODE_ARRAY(irpt_index) = 1 or C_IP_INTR_MODE_ARRAY(irpt_index) = 3) generate edgedtct_ip_irpts(irpt_index) <= IP2Bus_IntrEvent(irpt_index); end generate GEN_NON_INVERT_PASS_THROUGH; GEN_INVERT_PASS_THROUGH : if (C_IP_INTR_MODE_ARRAY(irpt_index) = 2 or C_IP_INTR_MODE_ARRAY(irpt_index) = 4) generate edgedtct_ip_irpts(irpt_index) <= not(IP2Bus_IntrEvent(irpt_index)); end generate GEN_INVERT_PASS_THROUGH; GEN_POS_EDGE_DETECT : if (C_IP_INTR_MODE_ARRAY(irpt_index) = 5) generate Signal irpt_dly1 : std_logic; Signal irpt_dly2 : std_logic; begin REG_THE_IRPTS : process (Bus2IP_Clk_i) begin If (Bus2IP_Clk_i'EVENT and Bus2IP_Clk_i = '1') Then If (Bus2IP_Reset_i = '1') Then irpt_dly1 <= '1'; -- setting to '1' protects reset transition irpt_dly2 <= '1'; -- where interrupt inputs are preset high Else irpt_dly1 <= IP2Bus_IntrEvent(irpt_index); irpt_dly2 <= irpt_dly1; End if; else null; End if; End process; -- REG_THE_IRPTS -- now detect rising edge edgedtct_ip_irpts(irpt_index) <= irpt_dly1 and not(irpt_dly2); end generate GEN_POS_EDGE_DETECT; GEN_NEG_EDGE_DETECT : if (C_IP_INTR_MODE_ARRAY(irpt_index) = 6) generate Signal irpt_dly1 : std_logic; Signal irpt_dly2 : std_logic; begin REG_THE_IRPTS : process (Bus2IP_Clk_i) begin If (Bus2IP_Clk_i'EVENT and Bus2IP_Clk_i = '1') Then If (Bus2IP_Reset_i = '1') Then irpt_dly1 <= '0'; irpt_dly2 <= '0'; Else irpt_dly1 <= IP2Bus_IntrEvent(irpt_index); irpt_dly2 <= irpt_dly1; End if; else null; End if; End process; -- REG_THE_IRPTS edgedtct_ip_irpts(irpt_index) <= not(irpt_dly1) and irpt_dly2; end generate GEN_NEG_EDGE_DETECT; GEN_INVALID_TYPE : if (C_IP_INTR_MODE_ARRAY(irpt_index) > 6 ) generate edgedtct_ip_irpts(irpt_index) <= '0'; -- Don't use input end generate GEN_INVALID_TYPE; End generate DO_IRPT_INPUT; -- Generate the IP Interrupt Status register GEN_IP_IRPT_STATUS_REG : for irpt_index in 0 to IP_IRPT_HIGH_INDEX generate GEN_REG_STATUS : if (C_IP_INTR_MODE_ARRAY(irpt_index) > 2) generate DO_STATUS_BIT : process (Bus2IP_Clk_i) Begin if (Bus2IP_Clk_i'event and Bus2IP_Clk_i = '1') Then If (Bus2IP_Reset_i = '1') Then ip_irpt_status_reg(irpt_index) <= '0'; elsif (Interrupt_WrCE(IP_ISR) = '1') Then -- toggle selected ISR bits from the DBus inputs ip_irpt_status_reg(irpt_index) <= (Bus2IP_Data_sa(IRPT_DBUS_WIDTH-irpt_index) xor -- toggle bits on write of '1' ip_irpt_status_reg(irpt_index)) or -- but don't miss interrupts coming trans_ip_irpts(irpt_index); -- in on non-cleared interrupt bits else ip_irpt_status_reg(irpt_index) <= ip_irpt_status_reg(irpt_index) or trans_ip_irpts(irpt_index); -- latch and hold input interrupt bits End if; Else null; End if; End process; -- DO_STATUS_BIT End generate GEN_REG_STATUS; GEN_PASS_THROUGH_STATUS : if (C_IP_INTR_MODE_ARRAY(irpt_index) = 1 or C_IP_INTR_MODE_ARRAY(irpt_index) = 2) generate ip_irpt_status_reg(irpt_index) <= trans_ip_irpts(irpt_index); End generate GEN_PASS_THROUGH_STATUS; End generate GEN_IP_IRPT_STATUS_REG; ------------------------------------------------------------------------------------------ -- Implement the IP Interrupt Enable Register Write and Clear Functions ------------------------------------------------------------------------------------------ DO_IP_IRPT_ENABLE_REG : process (Bus2IP_Clk_i) Begin if (Bus2IP_Clk_i'event and Bus2IP_Clk_i = '1') Then If (Bus2IP_Reset_i = '1') Then ip_irpt_enable_reg <= (others => '0'); elsif (Interrupt_WrCE(IP_IER) = '1') Then -- load input data from the DBus inputs ip_irpt_enable_reg <= Bus2IP_Data_sa(IRPT_DBUS_WIDTH-IP_IRPT_HIGH_INDEX to IRPT_DBUS_WIDTH); else null; -- no change End if; Else null; End if; End process; -- DO_IP_IRPT_ENABLE_REG ------------------------------------------------------------------------------------------ -- Implement the IP Interrupt Enable/Masking function ------------------------------------------------------------------------------------------ DO_IP_INTR_ENABLE : process (ip_irpt_status_reg, ip_irpt_enable_reg) Begin for i in 0 to IP_IRPT_HIGH_INDEX loop ip_irpt_pending_value(i) <= ip_irpt_status_reg(i) and ip_irpt_enable_reg(i); -- enable/mask interrupt bits End loop; End process; -- DO_IP_INTR_ENABLE ------------------------------------------------------------------------------------------ -- Implement the IP Interrupt 'OR' Functions ------------------------------------------------------------------------------------------ DO_IP_INTR_OR : process (ip_irpt_pending_value) Variable ip_loop_or : std_logic; Begin ip_loop_or := '0'; for i in 0 to IP_IRPT_HIGH_INDEX loop ip_loop_or := ip_loop_or or ip_irpt_pending_value(i); End loop; ip_interrupt_or <= ip_loop_or; End process; -- DO_IP_INTR_OR -------------------------------------------------------------------------------------------- --- IP Interrupt processing end -------------------------------------------------------------------------------------------- --========================================================================================== Include_Device_ISC_generate: if(C_INCLUDE_DEV_ISC) generate begin -------------------------------------------------------------------------------------------- --- IPIF Interrupt processing Start -------------------------------------------------------------------------------------------- ------------------------------------------------------------------------------------------ -- Implement the IPIF Interrupt Status Register Write and Clear Functions -- This is only 2 bits wide (the only inputs latched at this level...the others just flow -- through) ------------------------------------------------------------------------------------------ DO_IPIF_IRPT_STATUS_REG : process (Bus2IP_Clk_i) Begin if (Bus2IP_Clk_i'event and Bus2IP_Clk_i = '1') Then If (Bus2IP_Reset_i = '1') Then ipif_irpt_status_reg <= (others => '0'); elsif (Interrupt_WrCE(DEVICE_ISR) = '1') Then -- load input data from the DBus inputs for i in 0 to 1 loop ipif_irpt_status_reg(i) <= (Bus2IP_Data_sa(IRPT_DBUS_WIDTH-i) xor -- toggle bits on write of '1' ipif_irpt_status_reg(i)) or -- but don't miss interrupts coming trans_reg_irpts(i); -- in on non-cleared interrupt bits End loop; else for i in 0 to 1 loop ipif_irpt_status_reg(i) <= ipif_irpt_status_reg(i) or trans_reg_irpts(i); -- latch and hold asserted interrupts End loop; End if; Else null; End if; End process; -- DO_IPIF_IRPT_STATUS_REG DO_IPIF_IRPT_STATUS_VALUE : process (ipif_irpt_status_reg, trans_lvl_irpts, ip_interrupt_or) Begin ipif_irpt_status_value(1 downto 0) <= ipif_irpt_status_reg; ipif_irpt_status_value(2) <= ip_interrupt_or; for i in 3 to IPIF_IRPT_HIGH_INDEX loop ipif_irpt_status_value(i) <= trans_lvl_irpts(i-3); End loop; End process; -- DO_IPIF_IRPT_STATUS_VALUE ------------------------------------------------------------------------------------------ -- Implement the IPIF Interrupt Enable Register Write and Clear Functions ------------------------------------------------------------------------------------------ DO_IPIF_IRPT_ENABLE_REG : process (Bus2IP_Clk_i) Begin if (Bus2IP_Clk_i'event and Bus2IP_Clk_i = '1') Then If (Bus2IP_Reset_i = '1') Then ipif_irpt_enable_reg <= (others => '0'); elsif (Interrupt_WrCE(DEVICE_IER) = '1') Then -- load input data from the DBus inputs ipif_irpt_enable_reg <= Bus2IP_Data_sa(IRPT_DBUS_WIDTH-IPIF_IRPT_HIGH_INDEX to IRPT_DBUS_WIDTH); else null; -- no change End if; Else null; End if; End process; -- DO_IPIF_IRPT_ENABLE_REG ------------------------------------------------------------------------------------------ -- Implement the IPIF Interrupt Enable/Masking function ------------------------------------------------------------------------------------------ DO_IPIF_INTR_ENABLE : process (ipif_irpt_status_value, ipif_irpt_enable_reg) Begin for i in 0 to IPIF_IRPT_HIGH_INDEX loop ipif_irpt_pending_value(i) <= ipif_irpt_status_value(i) and ipif_irpt_enable_reg(i); -- enable/mask interrupt bits End loop; End process; -- DO_IPIF_INTR_ENABLE end generate Include_Device_ISC_generate; Initialize_when_not_include_Device_ISC_generate: if(not(C_INCLUDE_DEV_ISC)) generate begin ipif_irpt_status_reg <= (others => '0'); ipif_irpt_status_value <= (others => '0'); ipif_irpt_enable_reg <= (others => '0'); ipif_irpt_pending_value <= (others => '0'); end generate Initialize_when_not_include_Device_ISC_generate; ------------------------------------------------------------------------------------------ -- Implement the IPIF Interrupt Master Enable Register Write and Clear Functions ------------------------------------------------------------------------------------------ DO_IPIF_IRPT_MASTER_ENABLE : process (Bus2IP_Clk_i) Begin if (Bus2IP_Clk_i'event and Bus2IP_Clk_i = '1') Then If (Bus2IP_Reset_i = '1') Then ipif_glbl_irpt_enable_reg <= '0'; elsif (Interrupt_WrCE(DEVICE_GIE) = '1') Then -- load input data from the DBus inputs ipif_glbl_irpt_enable_reg <= Bus2IP_Data_sa(0); -- Enable bit is loaded from the DBus MSB --Placed at bit-0 MSB by Glenn Baxter else null; -- no change End if; Else null; End if; End process; -- DO_IPIF_IRPT_MASTER_ENABLE INCLUDE_DEV_PRIORITY_ENCODER : if (C_INCLUDE_DEV_PENCODER = True) generate ------------------------------------------------------------------------------------------ -- Implement the IPIF Interrupt Priority Encoder Function on the Interrupt Pending Value -- Loop from Interrupt LSB to MSB, retaining the position of the last interrupt detected. -- This method implies a positional priority of MSB to LSB. ------------------------------------------------------------------------------------------ ipif_pri_encode_present <= '1'; DO_PRIORITY_ENCODER : process (ipif_irpt_pending_value) Variable irpt_position : Integer; Variable irpt_detected : Boolean; Variable loop_count : integer; Begin loop_count := IPIF_IRPT_HIGH_INDEX + 1; irpt_position := 0; irpt_detected := FALSE; -- Search through the pending interrupt values starting with the MSB while (loop_count > 0) loop If (ipif_irpt_pending_value(loop_count-1) = '1') Then irpt_detected := TRUE; irpt_position := loop_count-1; else null; -- do nothing End if; loop_count := loop_count - 1; End loop; -- now assign the encoder output value to the bit position of the last interrupt encountered If (irpt_detected) Then ipif_priority_encode_value <= conv_std_logic_vector(irpt_position, PRIORITY_ENC_WIDTH); ipif_interrupt_or <= '1'; -- piggy-back off of this function for the "OR" function else ipif_priority_encode_value <= conv_std_logic_vector(NO_INTR_VALUE, PRIORITY_ENC_WIDTH); ipif_interrupt_or <= '0'; End if; End process; -- DO_PRIORITY_ENCODER end generate INCLUDE_DEV_PRIORITY_ENCODER; DELETE_DEV_PRIORITY_ENCODER : if (C_INCLUDE_DEV_PENCODER = False) generate ipif_pri_encode_present <= '0'; ipif_priority_encode_value <= (others => '0'); ------------------------------------------------------------------------------------------ -- Implement the IPIF Interrupt 'OR' Functions (used if priority encoder removed) ------------------------------------------------------------------------------------------ DO_IPIF_INTR_OR : process (ipif_irpt_pending_value) Variable ipif_loop_or : std_logic; Begin ipif_loop_or := '0'; for i in 0 to IPIF_IRPT_HIGH_INDEX loop ipif_loop_or := ipif_loop_or or ipif_irpt_pending_value(i); End loop; ipif_interrupt_or <= ipif_loop_or; End process; -- DO_IPIF_INTR_OR end generate DELETE_DEV_PRIORITY_ENCODER; ------------------------------------------------------------------------------------------- -- Perform the final Master enable function on the 'ORed' interrupts OR_operation_with_Dev_ISC_generate: if(C_INCLUDE_DEV_ISC) generate begin ipif_interrupt_PROCESS: process(ipif_interrupt_or, ipif_glbl_irpt_enable_reg) begin ipif_interrupt <= ipif_interrupt_or and ipif_glbl_irpt_enable_reg; end process ipif_interrupt_PROCESS; end generate OR_operation_with_Dev_ISC_generate; OR_operation_withOUT_Dev_ISC_generate: if(not(C_INCLUDE_DEV_ISC)) generate begin ipif_interrupt_PROCESS: process(ip_interrupt_or, ipif_glbl_irpt_enable_reg) begin ipif_interrupt <= ip_interrupt_or and ipif_glbl_irpt_enable_reg; end process ipif_interrupt_PROCESS; end generate OR_operation_withOUT_Dev_ISC_generate; ----------------------------------------------------------------------------------------------------------- --- IPIF Interrupt processing end ---------------------------------------------------------------------------------------------------------------- Include_Dev_ISC_WrAck_OR_generate: if(C_INCLUDE_DEV_ISC) generate begin GEN_WRITE_ACKNOWLEGDGE : process (Interrupt_WrCE) Begin irpt_wrack <= Interrupt_WrCE(DEVICE_ISR) or Interrupt_WrCE(DEVICE_IER) or Interrupt_WrCE(DEVICE_GIE) or Interrupt_WrCE(IP_ISR) or Interrupt_WrCE(IP_IER); End process; -- GEN_WRITE_ACKNOWLEGDGE end generate Include_Dev_ISC_WrAck_OR_generate; Exclude_Dev_ISC_WrAck_OR_generate: if(not(C_INCLUDE_DEV_ISC)) generate begin GEN_WRITE_ACKNOWLEGDGE : process (Interrupt_WrCE) Begin irpt_wrack <= Interrupt_WrCE(DEVICE_GIE) or Interrupt_WrCE(IP_ISR) or Interrupt_WrCE(IP_IER); End process; -- GEN_WRITE_ACKNOWLEGDGE end generate Exclude_Dev_ISC_WrAck_OR_generate; GEN_SINGLE_CLK_WRACK : process(Bus2IP_Clk_i) begin if(Bus2IP_Clk_i'EVENT and Bus2IP_Clk_i = '1')then if(Bus2IP_Reset_i = '1')then irpt_wrack_d1 <= '0'; else irpt_wrack_d1 <= irpt_wrack; end if; end if; end process GEN_SINGLE_CLK_WRACK; ----------------------------------------------------------------------------------------------------------- --- IPIF Bus Data Read Mux and Read Acknowledge generation ---------------------------------------------------------------------------------------------------------------- Include_Dev_ISC_RdAck_OR_generate: if(C_INCLUDE_DEV_ISC) generate begin GET_READ_DATA : process (Interrupt_RdCE, ip_irpt_status_reg, ip_irpt_enable_reg, ipif_irpt_pending_value, ipif_irpt_enable_reg, ipif_pri_encode_present, ipif_priority_encode_value, ipif_irpt_status_value, ipif_glbl_irpt_enable_reg) Begin irpt_read_data <= (others => '0'); -- default to driving zeroes If (Interrupt_RdCE(IP_ISR) = '1') Then for i in 0 to IP_IRPT_HIGH_INDEX loop irpt_read_data(i) <= ip_irpt_status_reg(i); -- output IP interrupt status register values End loop; irpt_rdack <= '1'; -- set the acknowledge handshake Elsif (Interrupt_RdCE(IP_IER) = '1') Then for i in 0 to IP_IRPT_HIGH_INDEX loop irpt_read_data(i) <= ip_irpt_enable_reg(i); -- output IP interrupt enable register values End loop; irpt_rdack <= '1'; -- set the acknowledge handshake Elsif (Interrupt_RdCE(DEVICE_ISR) = '1') Then for i in 0 to IPIF_IRPT_HIGH_INDEX loop irpt_read_data(i) <= ipif_irpt_status_value(i); -- output IPIF status interrupt values End loop; irpt_rdack <= '1'; -- set the acknowledge handshake Elsif (Interrupt_RdCE(DEVICE_IPR) = '1') Then for i in 0 to IPIF_IRPT_HIGH_INDEX loop irpt_read_data(i) <= ipif_irpt_pending_value(i); -- output IPIF pending interrupt values End loop; irpt_rdack <= '1'; -- set the acknowledge handshake Elsif (Interrupt_RdCE(DEVICE_IER) = '1') Then for i in 0 to IPIF_IRPT_HIGH_INDEX loop irpt_read_data(i) <= ipif_irpt_enable_reg(i); -- output IPIF pending interrupt values End loop; irpt_rdack <= '1'; -- set the acknowledge handshake Elsif (Interrupt_RdCE(DEVICE_IIR) = '1') Then irpt_read_data(PRIORITY_ENC_WIDTH-1 downto 0) <= ipif_priority_encode_value; -- output IPIF pending interrupt values irpt_rdack <= ipif_pri_encode_present; -- set the acknowledge handshake depending on -- priority encoder presence Elsif (Interrupt_RdCE(DEVICE_GIE) = '1') Then irpt_read_data(IRPT_DBUS_WIDTH) <= ipif_glbl_irpt_enable_reg; -- output Global Enable Register value irpt_rdack <= '1'; -- set the acknowledge handshake else irpt_rdack <= '0'; -- don't set the acknowledge handshake End if; End process; -- GET_READ_DATA end generate Include_Dev_ISC_RdAck_OR_generate; Exclude_Dev_ISC_RdAck_OR_generate: if(not(C_INCLUDE_DEV_ISC)) generate begin GET_READ_DATA : process (Interrupt_RdCE, ip_irpt_status_reg, ip_irpt_enable_reg, ipif_glbl_irpt_enable_reg) Begin irpt_read_data <= (others => '0'); -- default to driving zeroes If (Interrupt_RdCE(IP_ISR) = '1') Then for i in 0 to IP_IRPT_HIGH_INDEX loop irpt_read_data(i) <= ip_irpt_status_reg(i); -- output IP interrupt status register values End loop; irpt_rdack <= '1'; -- set the acknowledge handshake Elsif (Interrupt_RdCE(IP_IER) = '1') Then for i in 0 to IP_IRPT_HIGH_INDEX loop irpt_read_data(i) <= ip_irpt_enable_reg(i); -- output IP interrupt enable register values End loop; irpt_rdack <= '1'; -- set the acknowledge handshake Elsif (Interrupt_RdCE(DEVICE_GIE) = '1') Then irpt_read_data(IRPT_DBUS_WIDTH) <= ipif_glbl_irpt_enable_reg; -- output Global Enable Register value irpt_rdack <= '1'; -- set the acknowledge handshake else irpt_rdack <= '0'; -- don't set the acknowledge handshake End if; End process; -- GET_READ_DATA end generate Exclude_Dev_ISC_RdAck_OR_generate; end implementation;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:user:vga_hessian:1.0 -- IP Revision: 40 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY system_vga_hessian_0_0 IS PORT ( clk_x16 : IN STD_LOGIC; active : IN STD_LOGIC; rst : IN STD_LOGIC; x_addr : IN STD_LOGIC_VECTOR(9 DOWNTO 0); y_addr : IN STD_LOGIC_VECTOR(9 DOWNTO 0); g_in : IN STD_LOGIC_VECTOR(7 DOWNTO 0); hessian_out : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END system_vga_hessian_0_0; ARCHITECTURE system_vga_hessian_0_0_arch OF system_vga_hessian_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_vga_hessian_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT vga_hessian IS GENERIC ( ROW_WIDTH : INTEGER ); PORT ( clk_x16 : IN STD_LOGIC; active : IN STD_LOGIC; rst : IN STD_LOGIC; x_addr : IN STD_LOGIC_VECTOR(9 DOWNTO 0); y_addr : IN STD_LOGIC_VECTOR(9 DOWNTO 0); g_in : IN STD_LOGIC_VECTOR(7 DOWNTO 0); hessian_out : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END COMPONENT vga_hessian; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF rst: SIGNAL IS "xilinx.com:signal:reset:1.0 rst RST"; BEGIN U0 : vga_hessian GENERIC MAP ( ROW_WIDTH => 640 ) PORT MAP ( clk_x16 => clk_x16, active => active, rst => rst, x_addr => x_addr, y_addr => y_addr, g_in => g_in, hessian_out => hessian_out ); END system_vga_hessian_0_0_arch;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned."-"; --use ieee.std_logic_arith.all; -- Uncommenting fixed issue entity issue126 is end issue126; architecture structural of issue126 is signal clk_count : std_logic_vector (2 downto 0); begin process begin clk_count <= "110"; wait for 1 us; clk_count <= clk_count - 1; wait for 1 us; assert clk_count = "101" severity error; wait; end process; end architecture structural;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned."-"; --use ieee.std_logic_arith.all; -- Uncommenting fixed issue entity issue126 is end issue126; architecture structural of issue126 is signal clk_count : std_logic_vector (2 downto 0); begin process begin clk_count <= "110"; wait for 1 us; clk_count <= clk_count - 1; wait for 1 us; assert clk_count = "101" severity error; wait; end process; end architecture structural;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned."-"; --use ieee.std_logic_arith.all; -- Uncommenting fixed issue entity issue126 is end issue126; architecture structural of issue126 is signal clk_count : std_logic_vector (2 downto 0); begin process begin clk_count <= "110"; wait for 1 us; clk_count <= clk_count - 1; wait for 1 us; assert clk_count = "101" severity error; wait; end process; end architecture structural;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned."-"; --use ieee.std_logic_arith.all; -- Uncommenting fixed issue entity issue126 is end issue126; architecture structural of issue126 is signal clk_count : std_logic_vector (2 downto 0); begin process begin clk_count <= "110"; wait for 1 us; clk_count <= clk_count - 1; wait for 1 us; assert clk_count = "101" severity error; wait; end process; end architecture structural;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned."-"; --use ieee.std_logic_arith.all; -- Uncommenting fixed issue entity issue126 is end issue126; architecture structural of issue126 is signal clk_count : std_logic_vector (2 downto 0); begin process begin clk_count <= "110"; wait for 1 us; clk_count <= clk_count - 1; wait for 1 us; assert clk_count = "101" severity error; wait; end process; end architecture structural;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:blk_mem_gen:8.3 -- IP Revision: 5 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY blk_mem_gen_v8_3_5; USE blk_mem_gen_v8_3_5.blk_mem_gen_v8_3_5; ENTITY bram_4096 IS PORT ( clka : IN STD_LOGIC; ena : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(11 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(19 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(19 DOWNTO 0) ); END bram_4096; ARCHITECTURE bram_4096_arch OF bram_4096 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF bram_4096_arch: ARCHITECTURE IS "yes"; COMPONENT blk_mem_gen_v8_3_5 IS GENERIC ( C_FAMILY : STRING; C_XDEVICEFAMILY : STRING; C_ELABORATION_DIR : STRING; C_INTERFACE_TYPE : INTEGER; C_AXI_TYPE : INTEGER; C_AXI_SLAVE_TYPE : INTEGER; C_USE_BRAM_BLOCK : INTEGER; C_ENABLE_32BIT_ADDRESS : INTEGER; C_CTRL_ECC_ALGO : STRING; C_HAS_AXI_ID : INTEGER; C_AXI_ID_WIDTH : INTEGER; C_MEM_TYPE : INTEGER; C_BYTE_SIZE : INTEGER; C_ALGORITHM : INTEGER; C_PRIM_TYPE : INTEGER; C_LOAD_INIT_FILE : INTEGER; C_INIT_FILE_NAME : STRING; C_INIT_FILE : STRING; C_USE_DEFAULT_DATA : INTEGER; C_DEFAULT_DATA : STRING; C_HAS_RSTA : INTEGER; C_RST_PRIORITY_A : STRING; C_RSTRAM_A : INTEGER; C_INITA_VAL : STRING; C_HAS_ENA : INTEGER; C_HAS_REGCEA : INTEGER; C_USE_BYTE_WEA : INTEGER; C_WEA_WIDTH : INTEGER; C_WRITE_MODE_A : STRING; C_WRITE_WIDTH_A : INTEGER; C_READ_WIDTH_A : INTEGER; C_WRITE_DEPTH_A : INTEGER; C_READ_DEPTH_A : INTEGER; C_ADDRA_WIDTH : INTEGER; C_HAS_RSTB : INTEGER; C_RST_PRIORITY_B : STRING; C_RSTRAM_B : INTEGER; C_INITB_VAL : STRING; C_HAS_ENB : INTEGER; C_HAS_REGCEB : INTEGER; C_USE_BYTE_WEB : INTEGER; C_WEB_WIDTH : INTEGER; C_WRITE_MODE_B : STRING; C_WRITE_WIDTH_B : INTEGER; C_READ_WIDTH_B : INTEGER; C_WRITE_DEPTH_B : INTEGER; C_READ_DEPTH_B : INTEGER; C_ADDRB_WIDTH : INTEGER; C_HAS_MEM_OUTPUT_REGS_A : INTEGER; C_HAS_MEM_OUTPUT_REGS_B : INTEGER; C_HAS_MUX_OUTPUT_REGS_A : INTEGER; C_HAS_MUX_OUTPUT_REGS_B : INTEGER; C_MUX_PIPELINE_STAGES : INTEGER; C_HAS_SOFTECC_INPUT_REGS_A : INTEGER; C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER; C_USE_SOFTECC : INTEGER; C_USE_ECC : INTEGER; C_EN_ECC_PIPE : INTEGER; C_HAS_INJECTERR : INTEGER; C_SIM_COLLISION_CHECK : STRING; C_COMMON_CLK : INTEGER; C_DISABLE_WARN_BHV_COLL : INTEGER; C_EN_SLEEP_PIN : INTEGER; C_USE_URAM : INTEGER; C_EN_RDADDRA_CHG : INTEGER; C_EN_RDADDRB_CHG : INTEGER; C_EN_DEEPSLEEP_PIN : INTEGER; C_EN_SHUTDOWN_PIN : INTEGER; C_EN_SAFETY_CKT : INTEGER; C_DISABLE_WARN_BHV_RANGE : INTEGER; C_COUNT_36K_BRAM : STRING; C_COUNT_18K_BRAM : STRING; C_EST_POWER_SUMMARY : STRING ); PORT ( clka : IN STD_LOGIC; rsta : IN STD_LOGIC; ena : IN STD_LOGIC; regcea : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(11 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(19 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(19 DOWNTO 0); clkb : IN STD_LOGIC; rstb : IN STD_LOGIC; enb : IN STD_LOGIC; regceb : IN STD_LOGIC; web : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addrb : IN STD_LOGIC_VECTOR(11 DOWNTO 0); dinb : IN STD_LOGIC_VECTOR(19 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(19 DOWNTO 0); injectsbiterr : IN STD_LOGIC; injectdbiterr : IN STD_LOGIC; eccpipece : IN STD_LOGIC; sbiterr : OUT STD_LOGIC; dbiterr : OUT STD_LOGIC; rdaddrecc : OUT STD_LOGIC_VECTOR(11 DOWNTO 0); sleep : IN STD_LOGIC; deepsleep : IN STD_LOGIC; shutdown : IN STD_LOGIC; rsta_busy : OUT STD_LOGIC; rstb_busy : OUT STD_LOGIC; s_aclk : IN STD_LOGIC; s_aresetn : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(19 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(19 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; s_axi_injectsbiterr : IN STD_LOGIC; s_axi_injectdbiterr : IN STD_LOGIC; s_axi_sbiterr : OUT STD_LOGIC; s_axi_dbiterr : OUT STD_LOGIC; s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(11 DOWNTO 0) ); END COMPONENT blk_mem_gen_v8_3_5; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF bram_4096_arch: ARCHITECTURE IS "blk_mem_gen_v8_3_5,Vivado 2016.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF bram_4096_arch : ARCHITECTURE IS "bram_4096,blk_mem_gen_v8_3_5,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF bram_4096_arch: ARCHITECTURE IS "bram_4096,blk_mem_gen_v8_3_5,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.3,x_ipCoreRevision=5,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_XDEVICEFAMILY=zynq,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=0,C_ENABLE_32BIT_ADDRESS=0,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=0,C_BYTE_SIZE=9,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=1,C_INIT_FILE_NAME=bram_4096.mif,C_" & "INIT_FILE=bram_4096.mem,C_USE_DEFAULT_DATA=1,C_DEFAULT_DATA=0,C_HAS_RSTA=0,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=1,C_HAS_REGCEA=0,C_USE_BYTE_WEA=0,C_WEA_WIDTH=1,C_WRITE_MODE_A=WRITE_FIRST,C_WRITE_WIDTH_A=20,C_READ_WIDTH_A=20,C_WRITE_DEPTH_A=4096,C_READ_DEPTH_A=4096,C_ADDRA_WIDTH=12,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=0,C_HAS_REGCEB=0,C_USE_BYTE_WEB=0,C_WEB_WIDTH=1,C_WRITE_MODE_B=WRITE_FIRST,C_WRITE_WIDTH_B=20,C_READ_WIDTH_B=20,C_WRITE_DEPTH_B=" & "4096,C_READ_DEPTH_B=4096,C_ADDRB_WIDTH=12,C_HAS_MEM_OUTPUT_REGS_A=1,C_HAS_MEM_OUTPUT_REGS_B=0,C_HAS_MUX_OUTPUT_REGS_A=1,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=0,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_USE_URAM=0,C_EN_RDADDRA_CHG=0,C_EN_RDADDRB_CHG=0,C_EN_DEEPSLEEP_PIN=0,C_EN_SHUTDOWN_PIN=0,C_EN_SAFETY_CKT=0,C_DISABLE_WARN_" & "BHV_RANGE=0,C_COUNT_36K_BRAM=2,C_COUNT_18K_BRAM=1,C_EST_POWER_SUMMARY=Estimated Power for IP _ 6.3587 mW}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF clka: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK"; ATTRIBUTE X_INTERFACE_INFO OF ena: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA EN"; ATTRIBUTE X_INTERFACE_INFO OF wea: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE"; ATTRIBUTE X_INTERFACE_INFO OF addra: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR"; ATTRIBUTE X_INTERFACE_INFO OF dina: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN"; ATTRIBUTE X_INTERFACE_INFO OF douta: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DOUT"; BEGIN U0 : blk_mem_gen_v8_3_5 GENERIC MAP ( C_FAMILY => "zynq", C_XDEVICEFAMILY => "zynq", C_ELABORATION_DIR => "./", C_INTERFACE_TYPE => 0, C_AXI_TYPE => 1, C_AXI_SLAVE_TYPE => 0, C_USE_BRAM_BLOCK => 0, C_ENABLE_32BIT_ADDRESS => 0, C_CTRL_ECC_ALGO => "NONE", C_HAS_AXI_ID => 0, C_AXI_ID_WIDTH => 4, C_MEM_TYPE => 0, C_BYTE_SIZE => 9, C_ALGORITHM => 1, C_PRIM_TYPE => 1, C_LOAD_INIT_FILE => 1, C_INIT_FILE_NAME => "bram_4096.mif", C_INIT_FILE => "bram_4096.mem", C_USE_DEFAULT_DATA => 1, C_DEFAULT_DATA => "0", C_HAS_RSTA => 0, C_RST_PRIORITY_A => "CE", C_RSTRAM_A => 0, C_INITA_VAL => "0", C_HAS_ENA => 1, C_HAS_REGCEA => 0, C_USE_BYTE_WEA => 0, C_WEA_WIDTH => 1, C_WRITE_MODE_A => "WRITE_FIRST", C_WRITE_WIDTH_A => 20, C_READ_WIDTH_A => 20, C_WRITE_DEPTH_A => 4096, C_READ_DEPTH_A => 4096, C_ADDRA_WIDTH => 12, C_HAS_RSTB => 0, C_RST_PRIORITY_B => "CE", C_RSTRAM_B => 0, C_INITB_VAL => "0", C_HAS_ENB => 0, C_HAS_REGCEB => 0, C_USE_BYTE_WEB => 0, C_WEB_WIDTH => 1, C_WRITE_MODE_B => "WRITE_FIRST", C_WRITE_WIDTH_B => 20, C_READ_WIDTH_B => 20, C_WRITE_DEPTH_B => 4096, C_READ_DEPTH_B => 4096, C_ADDRB_WIDTH => 12, C_HAS_MEM_OUTPUT_REGS_A => 1, C_HAS_MEM_OUTPUT_REGS_B => 0, C_HAS_MUX_OUTPUT_REGS_A => 1, C_HAS_MUX_OUTPUT_REGS_B => 0, C_MUX_PIPELINE_STAGES => 0, C_HAS_SOFTECC_INPUT_REGS_A => 0, C_HAS_SOFTECC_OUTPUT_REGS_B => 0, C_USE_SOFTECC => 0, C_USE_ECC => 0, C_EN_ECC_PIPE => 0, C_HAS_INJECTERR => 0, C_SIM_COLLISION_CHECK => "ALL", C_COMMON_CLK => 0, C_DISABLE_WARN_BHV_COLL => 0, C_EN_SLEEP_PIN => 0, C_USE_URAM => 0, C_EN_RDADDRA_CHG => 0, C_EN_RDADDRB_CHG => 0, C_EN_DEEPSLEEP_PIN => 0, C_EN_SHUTDOWN_PIN => 0, C_EN_SAFETY_CKT => 0, C_DISABLE_WARN_BHV_RANGE => 0, C_COUNT_36K_BRAM => "2", C_COUNT_18K_BRAM => "1", C_EST_POWER_SUMMARY => "Estimated Power for IP : 6.3587 mW" ) PORT MAP ( clka => clka, rsta => '0', ena => ena, regcea => '0', wea => wea, addra => addra, dina => dina, douta => douta, clkb => '0', rstb => '0', enb => '0', regceb => '0', web => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), addrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 12)), dinb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 20)), injectsbiterr => '0', injectdbiterr => '0', eccpipece => '0', sleep => '0', deepsleep => '0', shutdown => '0', s_aclk => '0', s_aresetn => '0', s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awvalid => '0', s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 20)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wlast => '0', s_axi_wvalid => '0', s_axi_bready => '0', s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arvalid => '0', s_axi_rready => '0', s_axi_injectsbiterr => '0', s_axi_injectdbiterr => '0' ); END bram_4096_arch;
architecture RTL of FIFO is begin process begin if a = '1' then b <= '0'; elsif c = '1' then b <= '1'; else if x = '1' then z <= '0'; elsif x = '0' then z <= '1'; else z <= 'Z'; end if; end if; -- Violations below if a = '1' then b <= '0'; elsif c = '1' then b <= '1'; else if x = '1' then z <= '0'; elsif x = '0' then z <= '1'; else z <= 'Z'; end if; end if; end process; end architecture RTL;
-- $Id: rbdlib.vhd 1181 2019-07-08 17:00:50Z mueller $ -- SPDX-License-Identifier: GPL-3.0-or-later -- Copyright 2010-2016 by Walter F.J. Mueller <[email protected]> -- ------------------------------------------------------------------------------ -- Package Name: rbdlib -- Description: Definitions for rbus devices -- -- Dependencies: - -- Tool versions: xst 12.1-14.7; viv 2014.4-2015.4; ghdl 0.29-0.33 -- -- Revision History: -- Date Rev Version Comment -- 2016-04-02 758 4.1 add rbd_usracc -- 2014-09-13 593 4.0 use new rlink v4 iface and 4 bit STAT -- 2014-08-15 583 3.5 rb_mreq addr now 16 bit -- 2011-11-19 427 1.2.1 now numeric_std clean -- 2010-12-29 351 1.2 new address layout; add rbd_timer -- 2010-12-27 349 1.1 now correct defs for _rbmon and _eyemon -- 2010-12-04 343 1.0 Initial version ------------------------------------------------------------------------------ -- -- two devices have standard addresses -- rbd_rbmon x"ffe8" -- rbd_tester x"ffe0" -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.slvtypes.all; use work.rblib.all; package rbdlib is constant rbaddr_usracc : slv16 := x"fffa"; -- fffa/8: 1111 1111 1111 1010 constant rbaddr_rbmon : slv16 := x"ffe8"; -- ffe8/8: 1111 1111 1110 1xxx constant rbaddr_tester : slv16 := x"ffe0"; -- ffe0/8: 1111 1111 1110 0xxx component rbd_tester is -- rbus dev: rbus tester -- complete rbus_aif interface generic ( RB_ADDR : slv16 := rbaddr_tester); port ( CLK : in slbit; -- clock RESET : in slbit; -- reset RB_MREQ : in rb_mreq_type; -- rbus: request RB_SRES : out rb_sres_type; -- rbus: response RB_LAM : out slv16; -- rbus: look at me RB_STAT : out slv4 -- rbus: status flags ); end component; component rbd_rbmon is -- rbus dev: rbus monitor generic ( RB_ADDR : slv16 := rbaddr_rbmon; AWIDTH : natural := 9); port ( CLK : in slbit; -- clock RESET : in slbit; -- reset RB_MREQ : in rb_mreq_type; -- rbus: request RB_SRES : out rb_sres_type; -- rbus: response RB_SRES_SUM : in rb_sres_type -- rbus: response (sum for monitor) ); end component; component rbd_eyemon is -- rbus dev: eye monitor for serport's generic ( RB_ADDR : slv16 := (others=>'0'); RDIV : slv8 := (others=>'0')); port ( CLK : in slbit; -- clock RESET : in slbit; -- reset RB_MREQ : in rb_mreq_type; -- rbus: request RB_SRES : out rb_sres_type; -- rbus: response RXSD : in slbit; -- rx: serial data RXACT : in slbit -- rx: active (start seen) ); end component; component rbd_bram is -- rbus dev: bram test target -- incomplete rbus_aif interface generic ( RB_ADDR : slv16 := (others=>'0')); port ( CLK : in slbit; -- clock RESET : in slbit; -- reset RB_MREQ : in rb_mreq_type; -- rbus: request RB_SRES : out rb_sres_type -- rbus: response ); end component; component rbd_timer is -- rbus dev: usec precision timer generic ( RB_ADDR : slv16 := (others=>'0')); port ( CLK : in slbit; -- clock CE_USEC : in slbit; -- usec pulse RESET : in slbit; -- reset RB_MREQ : in rb_mreq_type; -- rbus: request RB_SRES : out rb_sres_type; -- rbus: response DONE : out slbit; -- mark last timer cycle BUSY : out slbit -- timer running ); end component; component rbd_usracc is -- rbus dev: return usr_access register generic ( RB_ADDR : slv16 := rbaddr_usracc); port ( CLK : in slbit; -- clock RB_MREQ : in rb_mreq_type; -- rbus: request RB_SRES : out rb_sres_type -- rbus: response ); end component; end package rbdlib;
-- -------------------------------------------------------------------- -- -- Copyright © 2008 by IEEE. All rights reserved. -- -- This source file is an essential part of IEEE Std 1076-2008, -- IEEE Standard VHDL Language Reference Manual. This source file may not be -- copied, sold, or included with software that is sold without written -- permission from the IEEE Standards Department. This source file may be -- copied for individual use between licensed users. This source file is -- provided on an AS IS basis. The IEEE disclaims ANY WARRANTY EXPRESS OR -- IMPLIED INCLUDING ANY WARRANTY OF MERCHANTABILITY AND FITNESS FOR USE -- FOR A PARTICULAR PURPOSE. The user of the source file shall indemnify -- and hold IEEE harmless from any damages or liability arising out of the -- use thereof. -- -- Title : Standard multivalue logic package -- : (STD_LOGIC_1164 package declaration) -- : -- Library : This package shall be compiled into a library -- : symbolically named IEEE. -- : -- Developers: IEEE model standards group (PAR 1164), -- : Accellera VHDL-TC, and IEEE P1076 Working Group -- : -- Purpose : This packages defines a standard for designers -- : to use in describing the interconnection data types -- : used in vhdl modeling. -- : -- Limitation: The logic system defined in this package may -- : be insufficient for modeling switched transistors, -- : since such a requirement is out of the scope of this -- : effort. Furthermore, mathematics, primitives, -- : timing standards, etc. are considered orthogonal -- : issues as it relates to this package and are therefore -- : beyond the scope of this effort. -- : -- Note : This package may be modified to include additional data -- : required by tools, but it must in no way change the -- : external interfaces or simulation behavior of the -- : description. It is permissible to add comments and/or -- : attributes to the package declarations, but not to change -- : or delete any original lines of the package declaration. -- : The package body may be changed only in accordance with -- : the terms of Clause 16 of this standard. -- : -- -------------------------------------------------------------------- -- $Revision: 1220 $ -- $Date: 2008-04-10 17:16:09 +0930 (Thu, 10 Apr 2008) $ -- -------------------------------------------------------------------- use STD.TEXTIO.all; package std_logic_1164 is ------------------------------------------------------------------- -- logic state system (unresolved) ------------------------------------------------------------------- type STD_ULOGIC is ( 'U', -- Uninitialized 'X', -- Forcing Unknown '0', -- Forcing 0 '1', -- Forcing 1 'Z', -- High Impedance 'W', -- Weak Unknown 'L', -- Weak 0 'H', -- Weak 1 '-' -- Don't care ); ------------------------------------------------------------------- -- unconstrained array of std_ulogic for use with the resolution function -- and for use in declaring signal arrays of unresolved elements ------------------------------------------------------------------- type STD_ULOGIC_VECTOR is array (NATURAL range <>) of STD_ULOGIC; ------------------------------------------------------------------- -- resolution function ------------------------------------------------------------------- function resolved (s : STD_ULOGIC_VECTOR) return STD_ULOGIC; ------------------------------------------------------------------- -- logic state system (resolved) ------------------------------------------------------------------- subtype STD_LOGIC is resolved STD_ULOGIC; ------------------------------------------------------------------- -- unconstrained array of resolved std_ulogic for use in declaring -- signal arrays of resolved elements ------------------------------------------------------------------- subtype STD_LOGIC_VECTOR is (resolved) STD_ULOGIC_VECTOR; ------------------------------------------------------------------- -- common subtypes ------------------------------------------------------------------- subtype X01 is resolved STD_ULOGIC range 'X' to '1'; -- ('X','0','1') subtype X01Z is resolved STD_ULOGIC range 'X' to 'Z'; -- ('X','0','1','Z') subtype UX01 is resolved STD_ULOGIC range 'U' to '1'; -- ('U','X','0','1') subtype UX01Z is resolved STD_ULOGIC range 'U' to 'Z'; -- ('U','X','0','1','Z') ------------------------------------------------------------------- -- overloaded logical operators ------------------------------------------------------------------- function "and" (l : STD_ULOGIC; r : STD_ULOGIC) return UX01; function "nand" (l : STD_ULOGIC; r : STD_ULOGIC) return UX01; function "or" (l : STD_ULOGIC; r : STD_ULOGIC) return UX01; function "nor" (l : STD_ULOGIC; r : STD_ULOGIC) return UX01; function "xor" (l : STD_ULOGIC; r : STD_ULOGIC) return UX01; function "xnor" (l : STD_ULOGIC; r : STD_ULOGIC) return ux01; function "not" (l : STD_ULOGIC) return UX01; ------------------------------------------------------------------- -- vectorized overloaded logical operators ------------------------------------------------------------------- function "and" (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "nand" (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "or" (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "nor" (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "xor" (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "xnor" (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "not" (l : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "and" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR; function "and" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "nand" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR; function "nand" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "or" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR; function "or" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "nor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR; function "nor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "xor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR; function "xor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "xnor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR; function "xnor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "and" (l : STD_ULOGIC_VECTOR) return STD_ULOGIC; function "nand" (l : STD_ULOGIC_VECTOR) return STD_ULOGIC; function "or" (l : STD_ULOGIC_VECTOR) return STD_ULOGIC; function "nor" (l : STD_ULOGIC_VECTOR) return STD_ULOGIC; function "xor" (l : STD_ULOGIC_VECTOR) return STD_ULOGIC; function "xnor" (l : STD_ULOGIC_VECTOR) return STD_ULOGIC; ------------------------------------------------------------------- -- shift operators ------------------------------------------------------------------- function "sll" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR; function "srl" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR; function "rol" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR; function "ror" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR; ------------------------------------------------------------------- -- conversion functions ------------------------------------------------------------------- function To_bit (s : STD_ULOGIC; xmap : BIT := '0') return BIT; function To_bitvector (s : STD_ULOGIC_VECTOR; xmap : BIT := '0') return BIT_VECTOR; function To_StdULogic (b : BIT) return STD_ULOGIC; function To_StdLogicVector (b : BIT_VECTOR) return STD_LOGIC_VECTOR; function To_StdLogicVector (s : STD_ULOGIC_VECTOR) return STD_LOGIC_VECTOR; function To_StdULogicVector (b : BIT_VECTOR) return STD_ULOGIC_VECTOR; function To_StdULogicVector (s : STD_LOGIC_VECTOR) return STD_ULOGIC_VECTOR; alias To_Bit_Vector is To_bitvector[STD_ULOGIC_VECTOR, BIT return BIT_VECTOR]; alias To_BV is To_bitvector[STD_ULOGIC_VECTOR, BIT return BIT_VECTOR]; alias To_Std_Logic_Vector is To_StdLogicVector[BIT_VECTOR return STD_LOGIC_VECTOR]; alias To_SLV is To_StdLogicVector[BIT_VECTOR return STD_LOGIC_VECTOR]; alias To_Std_Logic_Vector is To_StdLogicVector[STD_ULOGIC_VECTOR return STD_LOGIC_VECTOR]; alias To_SLV is To_StdLogicVector[STD_ULOGIC_VECTOR return STD_LOGIC_VECTOR]; alias To_Std_ULogic_Vector is To_StdULogicVector[BIT_VECTOR return STD_ULOGIC_VECTOR]; alias To_SULV is To_StdULogicVector[BIT_VECTOR return STD_ULOGIC_VECTOR]; alias To_Std_ULogic_Vector is To_StdULogicVector[STD_LOGIC_VECTOR return STD_ULOGIC_VECTOR]; alias To_SULV is To_StdULogicVector[STD_LOGIC_VECTOR return STD_ULOGIC_VECTOR]; ------------------------------------------------------------------- -- strength strippers and type convertors ------------------------------------------------------------------- function TO_01 (s : STD_ULOGIC_VECTOR; xmap : STD_ULOGIC := '0') return STD_ULOGIC_VECTOR; function TO_01 (s : STD_ULOGIC; xmap : STD_ULOGIC := '0') return STD_ULOGIC; function TO_01 (s : BIT_VECTOR; xmap : STD_ULOGIC := '0') return STD_ULOGIC_VECTOR; function TO_01 (s : BIT; xmap : STD_ULOGIC := '0') return STD_ULOGIC; function To_X01 (s : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function To_X01 (s : STD_ULOGIC) return X01; function To_X01 (b : BIT_VECTOR) return STD_ULOGIC_VECTOR; function To_X01 (b : BIT) return X01; function To_X01Z (s : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function To_X01Z (s : STD_ULOGIC) return X01Z; function To_X01Z (b : BIT_VECTOR) return STD_ULOGIC_VECTOR; function To_X01Z (b : BIT) return X01Z; function To_UX01 (s : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function To_UX01 (s : STD_ULOGIC) return UX01; function To_UX01 (b : BIT_VECTOR) return STD_ULOGIC_VECTOR; function To_UX01 (b : BIT) return UX01; function "??" (l : STD_ULOGIC) return BOOLEAN; ------------------------------------------------------------------- -- edge detection ------------------------------------------------------------------- function rising_edge (signal s : STD_ULOGIC) return BOOLEAN; function falling_edge (signal s : STD_ULOGIC) return BOOLEAN; ------------------------------------------------------------------- -- object contains an unknown ------------------------------------------------------------------- function Is_X (s : STD_ULOGIC_VECTOR) return BOOLEAN; function Is_X (s : STD_ULOGIC) return BOOLEAN; ------------------------------------------------------------------- -- matching relational operators ------------------------------------------------------------------- -- the following operations are predefined -- function "?=" (l, r : STD_ULOGIC) return STD_ULOGIC; -- function "?=" (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC; -- function "?/=" (l, r : STD_ULOGIC) return STD_ULOGIC; -- function "?/=" (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC; -- function "?<" (l, r : STD_ULOGIC) return STD_ULOGIC; -- function "?<=" (l, r : STD_ULOGIC) return STD_ULOGIC; -- function "?>" (l, r : STD_ULOGIC) return STD_ULOGIC; -- function "?>=" (l, r : STD_ULOGIC) return STD_ULOGIC; ------------------------------------------------------------------- -- string conversion and write operations ------------------------------------------------------------------- -- the following operations are predefined -- function to_string (value : STD_ULOGIC) return STRING; -- function to_string (value : STD_ULOGIC_VECTOR) return STRING; -- explicitly defined operations alias TO_BSTRING is TO_STRING [STD_ULOGIC_VECTOR return STRING]; alias TO_BINARY_STRING is TO_STRING [STD_ULOGIC_VECTOR return STRING]; function TO_OSTRING (VALUE : STD_ULOGIC_VECTOR) return STRING; alias TO_OCTAL_STRING is TO_OSTRING [STD_ULOGIC_VECTOR return STRING]; function TO_HSTRING (VALUE : STD_ULOGIC_VECTOR) return STRING; alias TO_HEX_STRING is TO_HSTRING [STD_ULOGIC_VECTOR return STRING]; procedure READ (L : inout LINE; VALUE : out STD_ULOGIC; GOOD : out BOOLEAN); procedure READ (L : inout LINE; VALUE : out STD_ULOGIC); procedure READ (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR; GOOD : out BOOLEAN); procedure READ (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR); procedure WRITE (L : inout LINE; VALUE : in STD_ULOGIC; JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0); procedure WRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR; JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0); alias BREAD is READ [LINE, STD_ULOGIC_VECTOR, BOOLEAN]; alias BREAD is READ [LINE, STD_ULOGIC_VECTOR]; alias BINARY_READ is READ [LINE, STD_ULOGIC_VECTOR, BOOLEAN]; alias BINARY_READ is READ [LINE, STD_ULOGIC_VECTOR]; procedure OREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR; GOOD : out BOOLEAN); procedure OREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR); alias OCTAL_READ is OREAD [LINE, STD_ULOGIC_VECTOR, BOOLEAN]; alias OCTAL_READ is OREAD [LINE, STD_ULOGIC_VECTOR]; procedure HREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR; GOOD : out BOOLEAN); procedure HREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR); alias HEX_READ is HREAD [LINE, STD_ULOGIC_VECTOR, BOOLEAN]; alias HEX_READ is HREAD [LINE, STD_ULOGIC_VECTOR]; alias BWRITE is WRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH]; alias BINARY_WRITE is WRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH]; procedure OWRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR; JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0); alias OCTAL_WRITE is OWRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH]; procedure HWRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR; JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0); alias HEX_WRITE is HWRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH]; end package std_logic_1164;
-- -------------------------------------------------------------------- -- -- Copyright © 2008 by IEEE. All rights reserved. -- -- This source file is an essential part of IEEE Std 1076-2008, -- IEEE Standard VHDL Language Reference Manual. This source file may not be -- copied, sold, or included with software that is sold without written -- permission from the IEEE Standards Department. This source file may be -- copied for individual use between licensed users. This source file is -- provided on an AS IS basis. The IEEE disclaims ANY WARRANTY EXPRESS OR -- IMPLIED INCLUDING ANY WARRANTY OF MERCHANTABILITY AND FITNESS FOR USE -- FOR A PARTICULAR PURPOSE. The user of the source file shall indemnify -- and hold IEEE harmless from any damages or liability arising out of the -- use thereof. -- -- Title : Standard multivalue logic package -- : (STD_LOGIC_1164 package declaration) -- : -- Library : This package shall be compiled into a library -- : symbolically named IEEE. -- : -- Developers: IEEE model standards group (PAR 1164), -- : Accellera VHDL-TC, and IEEE P1076 Working Group -- : -- Purpose : This packages defines a standard for designers -- : to use in describing the interconnection data types -- : used in vhdl modeling. -- : -- Limitation: The logic system defined in this package may -- : be insufficient for modeling switched transistors, -- : since such a requirement is out of the scope of this -- : effort. Furthermore, mathematics, primitives, -- : timing standards, etc. are considered orthogonal -- : issues as it relates to this package and are therefore -- : beyond the scope of this effort. -- : -- Note : This package may be modified to include additional data -- : required by tools, but it must in no way change the -- : external interfaces or simulation behavior of the -- : description. It is permissible to add comments and/or -- : attributes to the package declarations, but not to change -- : or delete any original lines of the package declaration. -- : The package body may be changed only in accordance with -- : the terms of Clause 16 of this standard. -- : -- -------------------------------------------------------------------- -- $Revision: 1220 $ -- $Date: 2008-04-10 17:16:09 +0930 (Thu, 10 Apr 2008) $ -- -------------------------------------------------------------------- use STD.TEXTIO.all; package std_logic_1164 is ------------------------------------------------------------------- -- logic state system (unresolved) ------------------------------------------------------------------- type STD_ULOGIC is ( 'U', -- Uninitialized 'X', -- Forcing Unknown '0', -- Forcing 0 '1', -- Forcing 1 'Z', -- High Impedance 'W', -- Weak Unknown 'L', -- Weak 0 'H', -- Weak 1 '-' -- Don't care ); ------------------------------------------------------------------- -- unconstrained array of std_ulogic for use with the resolution function -- and for use in declaring signal arrays of unresolved elements ------------------------------------------------------------------- type STD_ULOGIC_VECTOR is array (NATURAL range <>) of STD_ULOGIC; ------------------------------------------------------------------- -- resolution function ------------------------------------------------------------------- function resolved (s : STD_ULOGIC_VECTOR) return STD_ULOGIC; ------------------------------------------------------------------- -- logic state system (resolved) ------------------------------------------------------------------- subtype STD_LOGIC is resolved STD_ULOGIC; ------------------------------------------------------------------- -- unconstrained array of resolved std_ulogic for use in declaring -- signal arrays of resolved elements ------------------------------------------------------------------- subtype STD_LOGIC_VECTOR is (resolved) STD_ULOGIC_VECTOR; ------------------------------------------------------------------- -- common subtypes ------------------------------------------------------------------- subtype X01 is resolved STD_ULOGIC range 'X' to '1'; -- ('X','0','1') subtype X01Z is resolved STD_ULOGIC range 'X' to 'Z'; -- ('X','0','1','Z') subtype UX01 is resolved STD_ULOGIC range 'U' to '1'; -- ('U','X','0','1') subtype UX01Z is resolved STD_ULOGIC range 'U' to 'Z'; -- ('U','X','0','1','Z') ------------------------------------------------------------------- -- overloaded logical operators ------------------------------------------------------------------- function "and" (l : STD_ULOGIC; r : STD_ULOGIC) return UX01; function "nand" (l : STD_ULOGIC; r : STD_ULOGIC) return UX01; function "or" (l : STD_ULOGIC; r : STD_ULOGIC) return UX01; function "nor" (l : STD_ULOGIC; r : STD_ULOGIC) return UX01; function "xor" (l : STD_ULOGIC; r : STD_ULOGIC) return UX01; function "xnor" (l : STD_ULOGIC; r : STD_ULOGIC) return ux01; function "not" (l : STD_ULOGIC) return UX01; ------------------------------------------------------------------- -- vectorized overloaded logical operators ------------------------------------------------------------------- function "and" (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "nand" (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "or" (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "nor" (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "xor" (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "xnor" (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "not" (l : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "and" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR; function "and" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "nand" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR; function "nand" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "or" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR; function "or" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "nor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR; function "nor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "xor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR; function "xor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "xnor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR; function "xnor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "and" (l : STD_ULOGIC_VECTOR) return STD_ULOGIC; function "nand" (l : STD_ULOGIC_VECTOR) return STD_ULOGIC; function "or" (l : STD_ULOGIC_VECTOR) return STD_ULOGIC; function "nor" (l : STD_ULOGIC_VECTOR) return STD_ULOGIC; function "xor" (l : STD_ULOGIC_VECTOR) return STD_ULOGIC; function "xnor" (l : STD_ULOGIC_VECTOR) return STD_ULOGIC; ------------------------------------------------------------------- -- shift operators ------------------------------------------------------------------- function "sll" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR; function "srl" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR; function "rol" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR; function "ror" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR; ------------------------------------------------------------------- -- conversion functions ------------------------------------------------------------------- function To_bit (s : STD_ULOGIC; xmap : BIT := '0') return BIT; function To_bitvector (s : STD_ULOGIC_VECTOR; xmap : BIT := '0') return BIT_VECTOR; function To_StdULogic (b : BIT) return STD_ULOGIC; function To_StdLogicVector (b : BIT_VECTOR) return STD_LOGIC_VECTOR; function To_StdLogicVector (s : STD_ULOGIC_VECTOR) return STD_LOGIC_VECTOR; function To_StdULogicVector (b : BIT_VECTOR) return STD_ULOGIC_VECTOR; function To_StdULogicVector (s : STD_LOGIC_VECTOR) return STD_ULOGIC_VECTOR; alias To_Bit_Vector is To_bitvector[STD_ULOGIC_VECTOR, BIT return BIT_VECTOR]; alias To_BV is To_bitvector[STD_ULOGIC_VECTOR, BIT return BIT_VECTOR]; alias To_Std_Logic_Vector is To_StdLogicVector[BIT_VECTOR return STD_LOGIC_VECTOR]; alias To_SLV is To_StdLogicVector[BIT_VECTOR return STD_LOGIC_VECTOR]; alias To_Std_Logic_Vector is To_StdLogicVector[STD_ULOGIC_VECTOR return STD_LOGIC_VECTOR]; alias To_SLV is To_StdLogicVector[STD_ULOGIC_VECTOR return STD_LOGIC_VECTOR]; alias To_Std_ULogic_Vector is To_StdULogicVector[BIT_VECTOR return STD_ULOGIC_VECTOR]; alias To_SULV is To_StdULogicVector[BIT_VECTOR return STD_ULOGIC_VECTOR]; alias To_Std_ULogic_Vector is To_StdULogicVector[STD_LOGIC_VECTOR return STD_ULOGIC_VECTOR]; alias To_SULV is To_StdULogicVector[STD_LOGIC_VECTOR return STD_ULOGIC_VECTOR]; ------------------------------------------------------------------- -- strength strippers and type convertors ------------------------------------------------------------------- function TO_01 (s : STD_ULOGIC_VECTOR; xmap : STD_ULOGIC := '0') return STD_ULOGIC_VECTOR; function TO_01 (s : STD_ULOGIC; xmap : STD_ULOGIC := '0') return STD_ULOGIC; function TO_01 (s : BIT_VECTOR; xmap : STD_ULOGIC := '0') return STD_ULOGIC_VECTOR; function TO_01 (s : BIT; xmap : STD_ULOGIC := '0') return STD_ULOGIC; function To_X01 (s : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function To_X01 (s : STD_ULOGIC) return X01; function To_X01 (b : BIT_VECTOR) return STD_ULOGIC_VECTOR; function To_X01 (b : BIT) return X01; function To_X01Z (s : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function To_X01Z (s : STD_ULOGIC) return X01Z; function To_X01Z (b : BIT_VECTOR) return STD_ULOGIC_VECTOR; function To_X01Z (b : BIT) return X01Z; function To_UX01 (s : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function To_UX01 (s : STD_ULOGIC) return UX01; function To_UX01 (b : BIT_VECTOR) return STD_ULOGIC_VECTOR; function To_UX01 (b : BIT) return UX01; function "??" (l : STD_ULOGIC) return BOOLEAN; ------------------------------------------------------------------- -- edge detection ------------------------------------------------------------------- function rising_edge (signal s : STD_ULOGIC) return BOOLEAN; function falling_edge (signal s : STD_ULOGIC) return BOOLEAN; ------------------------------------------------------------------- -- object contains an unknown ------------------------------------------------------------------- function Is_X (s : STD_ULOGIC_VECTOR) return BOOLEAN; function Is_X (s : STD_ULOGIC) return BOOLEAN; ------------------------------------------------------------------- -- matching relational operators ------------------------------------------------------------------- -- the following operations are predefined -- function "?=" (l, r : STD_ULOGIC) return STD_ULOGIC; -- function "?=" (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC; -- function "?/=" (l, r : STD_ULOGIC) return STD_ULOGIC; -- function "?/=" (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC; -- function "?<" (l, r : STD_ULOGIC) return STD_ULOGIC; -- function "?<=" (l, r : STD_ULOGIC) return STD_ULOGIC; -- function "?>" (l, r : STD_ULOGIC) return STD_ULOGIC; -- function "?>=" (l, r : STD_ULOGIC) return STD_ULOGIC; ------------------------------------------------------------------- -- string conversion and write operations ------------------------------------------------------------------- -- the following operations are predefined -- function to_string (value : STD_ULOGIC) return STRING; -- function to_string (value : STD_ULOGIC_VECTOR) return STRING; -- explicitly defined operations alias TO_BSTRING is TO_STRING [STD_ULOGIC_VECTOR return STRING]; alias TO_BINARY_STRING is TO_STRING [STD_ULOGIC_VECTOR return STRING]; function TO_OSTRING (VALUE : STD_ULOGIC_VECTOR) return STRING; alias TO_OCTAL_STRING is TO_OSTRING [STD_ULOGIC_VECTOR return STRING]; function TO_HSTRING (VALUE : STD_ULOGIC_VECTOR) return STRING; alias TO_HEX_STRING is TO_HSTRING [STD_ULOGIC_VECTOR return STRING]; procedure READ (L : inout LINE; VALUE : out STD_ULOGIC; GOOD : out BOOLEAN); procedure READ (L : inout LINE; VALUE : out STD_ULOGIC); procedure READ (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR; GOOD : out BOOLEAN); procedure READ (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR); procedure WRITE (L : inout LINE; VALUE : in STD_ULOGIC; JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0); procedure WRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR; JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0); alias BREAD is READ [LINE, STD_ULOGIC_VECTOR, BOOLEAN]; alias BREAD is READ [LINE, STD_ULOGIC_VECTOR]; alias BINARY_READ is READ [LINE, STD_ULOGIC_VECTOR, BOOLEAN]; alias BINARY_READ is READ [LINE, STD_ULOGIC_VECTOR]; procedure OREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR; GOOD : out BOOLEAN); procedure OREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR); alias OCTAL_READ is OREAD [LINE, STD_ULOGIC_VECTOR, BOOLEAN]; alias OCTAL_READ is OREAD [LINE, STD_ULOGIC_VECTOR]; procedure HREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR; GOOD : out BOOLEAN); procedure HREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR); alias HEX_READ is HREAD [LINE, STD_ULOGIC_VECTOR, BOOLEAN]; alias HEX_READ is HREAD [LINE, STD_ULOGIC_VECTOR]; alias BWRITE is WRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH]; alias BINARY_WRITE is WRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH]; procedure OWRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR; JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0); alias OCTAL_WRITE is OWRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH]; procedure HWRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR; JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0); alias HEX_WRITE is HWRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH]; end package std_logic_1164;
-- -------------------------------------------------------------------- -- -- Copyright © 2008 by IEEE. All rights reserved. -- -- This source file is an essential part of IEEE Std 1076-2008, -- IEEE Standard VHDL Language Reference Manual. This source file may not be -- copied, sold, or included with software that is sold without written -- permission from the IEEE Standards Department. This source file may be -- copied for individual use between licensed users. This source file is -- provided on an AS IS basis. The IEEE disclaims ANY WARRANTY EXPRESS OR -- IMPLIED INCLUDING ANY WARRANTY OF MERCHANTABILITY AND FITNESS FOR USE -- FOR A PARTICULAR PURPOSE. The user of the source file shall indemnify -- and hold IEEE harmless from any damages or liability arising out of the -- use thereof. -- -- Title : Standard multivalue logic package -- : (STD_LOGIC_1164 package declaration) -- : -- Library : This package shall be compiled into a library -- : symbolically named IEEE. -- : -- Developers: IEEE model standards group (PAR 1164), -- : Accellera VHDL-TC, and IEEE P1076 Working Group -- : -- Purpose : This packages defines a standard for designers -- : to use in describing the interconnection data types -- : used in vhdl modeling. -- : -- Limitation: The logic system defined in this package may -- : be insufficient for modeling switched transistors, -- : since such a requirement is out of the scope of this -- : effort. Furthermore, mathematics, primitives, -- : timing standards, etc. are considered orthogonal -- : issues as it relates to this package and are therefore -- : beyond the scope of this effort. -- : -- Note : This package may be modified to include additional data -- : required by tools, but it must in no way change the -- : external interfaces or simulation behavior of the -- : description. It is permissible to add comments and/or -- : attributes to the package declarations, but not to change -- : or delete any original lines of the package declaration. -- : The package body may be changed only in accordance with -- : the terms of Clause 16 of this standard. -- : -- -------------------------------------------------------------------- -- $Revision: 1220 $ -- $Date: 2008-04-10 17:16:09 +0930 (Thu, 10 Apr 2008) $ -- -------------------------------------------------------------------- use STD.TEXTIO.all; package std_logic_1164 is ------------------------------------------------------------------- -- logic state system (unresolved) ------------------------------------------------------------------- type STD_ULOGIC is ( 'U', -- Uninitialized 'X', -- Forcing Unknown '0', -- Forcing 0 '1', -- Forcing 1 'Z', -- High Impedance 'W', -- Weak Unknown 'L', -- Weak 0 'H', -- Weak 1 '-' -- Don't care ); ------------------------------------------------------------------- -- unconstrained array of std_ulogic for use with the resolution function -- and for use in declaring signal arrays of unresolved elements ------------------------------------------------------------------- type STD_ULOGIC_VECTOR is array (NATURAL range <>) of STD_ULOGIC; ------------------------------------------------------------------- -- resolution function ------------------------------------------------------------------- function resolved (s : STD_ULOGIC_VECTOR) return STD_ULOGIC; ------------------------------------------------------------------- -- logic state system (resolved) ------------------------------------------------------------------- subtype STD_LOGIC is resolved STD_ULOGIC; ------------------------------------------------------------------- -- unconstrained array of resolved std_ulogic for use in declaring -- signal arrays of resolved elements ------------------------------------------------------------------- subtype STD_LOGIC_VECTOR is (resolved) STD_ULOGIC_VECTOR; ------------------------------------------------------------------- -- common subtypes ------------------------------------------------------------------- subtype X01 is resolved STD_ULOGIC range 'X' to '1'; -- ('X','0','1') subtype X01Z is resolved STD_ULOGIC range 'X' to 'Z'; -- ('X','0','1','Z') subtype UX01 is resolved STD_ULOGIC range 'U' to '1'; -- ('U','X','0','1') subtype UX01Z is resolved STD_ULOGIC range 'U' to 'Z'; -- ('U','X','0','1','Z') ------------------------------------------------------------------- -- overloaded logical operators ------------------------------------------------------------------- function "and" (l : STD_ULOGIC; r : STD_ULOGIC) return UX01; function "nand" (l : STD_ULOGIC; r : STD_ULOGIC) return UX01; function "or" (l : STD_ULOGIC; r : STD_ULOGIC) return UX01; function "nor" (l : STD_ULOGIC; r : STD_ULOGIC) return UX01; function "xor" (l : STD_ULOGIC; r : STD_ULOGIC) return UX01; function "xnor" (l : STD_ULOGIC; r : STD_ULOGIC) return ux01; function "not" (l : STD_ULOGIC) return UX01; ------------------------------------------------------------------- -- vectorized overloaded logical operators ------------------------------------------------------------------- function "and" (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "nand" (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "or" (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "nor" (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "xor" (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "xnor" (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "not" (l : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "and" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR; function "and" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "nand" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR; function "nand" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "or" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR; function "or" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "nor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR; function "nor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "xor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR; function "xor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "xnor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR; function "xnor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "and" (l : STD_ULOGIC_VECTOR) return STD_ULOGIC; function "nand" (l : STD_ULOGIC_VECTOR) return STD_ULOGIC; function "or" (l : STD_ULOGIC_VECTOR) return STD_ULOGIC; function "nor" (l : STD_ULOGIC_VECTOR) return STD_ULOGIC; function "xor" (l : STD_ULOGIC_VECTOR) return STD_ULOGIC; function "xnor" (l : STD_ULOGIC_VECTOR) return STD_ULOGIC; ------------------------------------------------------------------- -- shift operators ------------------------------------------------------------------- function "sll" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR; function "srl" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR; function "rol" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR; function "ror" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR; ------------------------------------------------------------------- -- conversion functions ------------------------------------------------------------------- function To_bit (s : STD_ULOGIC; xmap : BIT := '0') return BIT; function To_bitvector (s : STD_ULOGIC_VECTOR; xmap : BIT := '0') return BIT_VECTOR; function To_StdULogic (b : BIT) return STD_ULOGIC; function To_StdLogicVector (b : BIT_VECTOR) return STD_LOGIC_VECTOR; function To_StdLogicVector (s : STD_ULOGIC_VECTOR) return STD_LOGIC_VECTOR; function To_StdULogicVector (b : BIT_VECTOR) return STD_ULOGIC_VECTOR; function To_StdULogicVector (s : STD_LOGIC_VECTOR) return STD_ULOGIC_VECTOR; alias To_Bit_Vector is To_bitvector[STD_ULOGIC_VECTOR, BIT return BIT_VECTOR]; alias To_BV is To_bitvector[STD_ULOGIC_VECTOR, BIT return BIT_VECTOR]; alias To_Std_Logic_Vector is To_StdLogicVector[BIT_VECTOR return STD_LOGIC_VECTOR]; alias To_SLV is To_StdLogicVector[BIT_VECTOR return STD_LOGIC_VECTOR]; alias To_Std_Logic_Vector is To_StdLogicVector[STD_ULOGIC_VECTOR return STD_LOGIC_VECTOR]; alias To_SLV is To_StdLogicVector[STD_ULOGIC_VECTOR return STD_LOGIC_VECTOR]; alias To_Std_ULogic_Vector is To_StdULogicVector[BIT_VECTOR return STD_ULOGIC_VECTOR]; alias To_SULV is To_StdULogicVector[BIT_VECTOR return STD_ULOGIC_VECTOR]; alias To_Std_ULogic_Vector is To_StdULogicVector[STD_LOGIC_VECTOR return STD_ULOGIC_VECTOR]; alias To_SULV is To_StdULogicVector[STD_LOGIC_VECTOR return STD_ULOGIC_VECTOR]; ------------------------------------------------------------------- -- strength strippers and type convertors ------------------------------------------------------------------- function TO_01 (s : STD_ULOGIC_VECTOR; xmap : STD_ULOGIC := '0') return STD_ULOGIC_VECTOR; function TO_01 (s : STD_ULOGIC; xmap : STD_ULOGIC := '0') return STD_ULOGIC; function TO_01 (s : BIT_VECTOR; xmap : STD_ULOGIC := '0') return STD_ULOGIC_VECTOR; function TO_01 (s : BIT; xmap : STD_ULOGIC := '0') return STD_ULOGIC; function To_X01 (s : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function To_X01 (s : STD_ULOGIC) return X01; function To_X01 (b : BIT_VECTOR) return STD_ULOGIC_VECTOR; function To_X01 (b : BIT) return X01; function To_X01Z (s : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function To_X01Z (s : STD_ULOGIC) return X01Z; function To_X01Z (b : BIT_VECTOR) return STD_ULOGIC_VECTOR; function To_X01Z (b : BIT) return X01Z; function To_UX01 (s : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function To_UX01 (s : STD_ULOGIC) return UX01; function To_UX01 (b : BIT_VECTOR) return STD_ULOGIC_VECTOR; function To_UX01 (b : BIT) return UX01; function "??" (l : STD_ULOGIC) return BOOLEAN; ------------------------------------------------------------------- -- edge detection ------------------------------------------------------------------- function rising_edge (signal s : STD_ULOGIC) return BOOLEAN; function falling_edge (signal s : STD_ULOGIC) return BOOLEAN; ------------------------------------------------------------------- -- object contains an unknown ------------------------------------------------------------------- function Is_X (s : STD_ULOGIC_VECTOR) return BOOLEAN; function Is_X (s : STD_ULOGIC) return BOOLEAN; ------------------------------------------------------------------- -- matching relational operators ------------------------------------------------------------------- -- the following operations are predefined -- function "?=" (l, r : STD_ULOGIC) return STD_ULOGIC; -- function "?=" (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC; -- function "?/=" (l, r : STD_ULOGIC) return STD_ULOGIC; -- function "?/=" (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC; -- function "?<" (l, r : STD_ULOGIC) return STD_ULOGIC; -- function "?<=" (l, r : STD_ULOGIC) return STD_ULOGIC; -- function "?>" (l, r : STD_ULOGIC) return STD_ULOGIC; -- function "?>=" (l, r : STD_ULOGIC) return STD_ULOGIC; ------------------------------------------------------------------- -- string conversion and write operations ------------------------------------------------------------------- -- the following operations are predefined -- function to_string (value : STD_ULOGIC) return STRING; -- function to_string (value : STD_ULOGIC_VECTOR) return STRING; -- explicitly defined operations alias TO_BSTRING is TO_STRING [STD_ULOGIC_VECTOR return STRING]; alias TO_BINARY_STRING is TO_STRING [STD_ULOGIC_VECTOR return STRING]; function TO_OSTRING (VALUE : STD_ULOGIC_VECTOR) return STRING; alias TO_OCTAL_STRING is TO_OSTRING [STD_ULOGIC_VECTOR return STRING]; function TO_HSTRING (VALUE : STD_ULOGIC_VECTOR) return STRING; alias TO_HEX_STRING is TO_HSTRING [STD_ULOGIC_VECTOR return STRING]; procedure READ (L : inout LINE; VALUE : out STD_ULOGIC; GOOD : out BOOLEAN); procedure READ (L : inout LINE; VALUE : out STD_ULOGIC); procedure READ (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR; GOOD : out BOOLEAN); procedure READ (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR); procedure WRITE (L : inout LINE; VALUE : in STD_ULOGIC; JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0); procedure WRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR; JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0); alias BREAD is READ [LINE, STD_ULOGIC_VECTOR, BOOLEAN]; alias BREAD is READ [LINE, STD_ULOGIC_VECTOR]; alias BINARY_READ is READ [LINE, STD_ULOGIC_VECTOR, BOOLEAN]; alias BINARY_READ is READ [LINE, STD_ULOGIC_VECTOR]; procedure OREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR; GOOD : out BOOLEAN); procedure OREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR); alias OCTAL_READ is OREAD [LINE, STD_ULOGIC_VECTOR, BOOLEAN]; alias OCTAL_READ is OREAD [LINE, STD_ULOGIC_VECTOR]; procedure HREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR; GOOD : out BOOLEAN); procedure HREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR); alias HEX_READ is HREAD [LINE, STD_ULOGIC_VECTOR, BOOLEAN]; alias HEX_READ is HREAD [LINE, STD_ULOGIC_VECTOR]; alias BWRITE is WRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH]; alias BINARY_WRITE is WRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH]; procedure OWRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR; JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0); alias OCTAL_WRITE is OWRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH]; procedure HWRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR; JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0); alias HEX_WRITE is HWRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH]; end package std_logic_1164;
-- -------------------------------------------------------------------- -- -- Copyright © 2008 by IEEE. All rights reserved. -- -- This source file is an essential part of IEEE Std 1076-2008, -- IEEE Standard VHDL Language Reference Manual. This source file may not be -- copied, sold, or included with software that is sold without written -- permission from the IEEE Standards Department. This source file may be -- copied for individual use between licensed users. This source file is -- provided on an AS IS basis. The IEEE disclaims ANY WARRANTY EXPRESS OR -- IMPLIED INCLUDING ANY WARRANTY OF MERCHANTABILITY AND FITNESS FOR USE -- FOR A PARTICULAR PURPOSE. The user of the source file shall indemnify -- and hold IEEE harmless from any damages or liability arising out of the -- use thereof. -- -- Title : Standard multivalue logic package -- : (STD_LOGIC_1164 package declaration) -- : -- Library : This package shall be compiled into a library -- : symbolically named IEEE. -- : -- Developers: IEEE model standards group (PAR 1164), -- : Accellera VHDL-TC, and IEEE P1076 Working Group -- : -- Purpose : This packages defines a standard for designers -- : to use in describing the interconnection data types -- : used in vhdl modeling. -- : -- Limitation: The logic system defined in this package may -- : be insufficient for modeling switched transistors, -- : since such a requirement is out of the scope of this -- : effort. Furthermore, mathematics, primitives, -- : timing standards, etc. are considered orthogonal -- : issues as it relates to this package and are therefore -- : beyond the scope of this effort. -- : -- Note : This package may be modified to include additional data -- : required by tools, but it must in no way change the -- : external interfaces or simulation behavior of the -- : description. It is permissible to add comments and/or -- : attributes to the package declarations, but not to change -- : or delete any original lines of the package declaration. -- : The package body may be changed only in accordance with -- : the terms of Clause 16 of this standard. -- : -- -------------------------------------------------------------------- -- $Revision: 1220 $ -- $Date: 2008-04-10 17:16:09 +0930 (Thu, 10 Apr 2008) $ -- -------------------------------------------------------------------- use STD.TEXTIO.all; package std_logic_1164 is ------------------------------------------------------------------- -- logic state system (unresolved) ------------------------------------------------------------------- type STD_ULOGIC is ( 'U', -- Uninitialized 'X', -- Forcing Unknown '0', -- Forcing 0 '1', -- Forcing 1 'Z', -- High Impedance 'W', -- Weak Unknown 'L', -- Weak 0 'H', -- Weak 1 '-' -- Don't care ); ------------------------------------------------------------------- -- unconstrained array of std_ulogic for use with the resolution function -- and for use in declaring signal arrays of unresolved elements ------------------------------------------------------------------- type STD_ULOGIC_VECTOR is array (NATURAL range <>) of STD_ULOGIC; ------------------------------------------------------------------- -- resolution function ------------------------------------------------------------------- function resolved (s : STD_ULOGIC_VECTOR) return STD_ULOGIC; ------------------------------------------------------------------- -- logic state system (resolved) ------------------------------------------------------------------- subtype STD_LOGIC is resolved STD_ULOGIC; ------------------------------------------------------------------- -- unconstrained array of resolved std_ulogic for use in declaring -- signal arrays of resolved elements ------------------------------------------------------------------- subtype STD_LOGIC_VECTOR is (resolved) STD_ULOGIC_VECTOR; ------------------------------------------------------------------- -- common subtypes ------------------------------------------------------------------- subtype X01 is resolved STD_ULOGIC range 'X' to '1'; -- ('X','0','1') subtype X01Z is resolved STD_ULOGIC range 'X' to 'Z'; -- ('X','0','1','Z') subtype UX01 is resolved STD_ULOGIC range 'U' to '1'; -- ('U','X','0','1') subtype UX01Z is resolved STD_ULOGIC range 'U' to 'Z'; -- ('U','X','0','1','Z') ------------------------------------------------------------------- -- overloaded logical operators ------------------------------------------------------------------- function "and" (l : STD_ULOGIC; r : STD_ULOGIC) return UX01; function "nand" (l : STD_ULOGIC; r : STD_ULOGIC) return UX01; function "or" (l : STD_ULOGIC; r : STD_ULOGIC) return UX01; function "nor" (l : STD_ULOGIC; r : STD_ULOGIC) return UX01; function "xor" (l : STD_ULOGIC; r : STD_ULOGIC) return UX01; function "xnor" (l : STD_ULOGIC; r : STD_ULOGIC) return ux01; function "not" (l : STD_ULOGIC) return UX01; ------------------------------------------------------------------- -- vectorized overloaded logical operators ------------------------------------------------------------------- function "and" (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "nand" (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "or" (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "nor" (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "xor" (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "xnor" (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "not" (l : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "and" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR; function "and" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "nand" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR; function "nand" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "or" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR; function "or" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "nor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR; function "nor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "xor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR; function "xor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "xnor" (l : STD_ULOGIC_VECTOR; r : STD_ULOGIC) return STD_ULOGIC_VECTOR; function "xnor" (l : STD_ULOGIC; r : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function "and" (l : STD_ULOGIC_VECTOR) return STD_ULOGIC; function "nand" (l : STD_ULOGIC_VECTOR) return STD_ULOGIC; function "or" (l : STD_ULOGIC_VECTOR) return STD_ULOGIC; function "nor" (l : STD_ULOGIC_VECTOR) return STD_ULOGIC; function "xor" (l : STD_ULOGIC_VECTOR) return STD_ULOGIC; function "xnor" (l : STD_ULOGIC_VECTOR) return STD_ULOGIC; ------------------------------------------------------------------- -- shift operators ------------------------------------------------------------------- function "sll" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR; function "srl" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR; function "rol" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR; function "ror" (l : STD_ULOGIC_VECTOR; r : INTEGER) return STD_ULOGIC_VECTOR; ------------------------------------------------------------------- -- conversion functions ------------------------------------------------------------------- function To_bit (s : STD_ULOGIC; xmap : BIT := '0') return BIT; function To_bitvector (s : STD_ULOGIC_VECTOR; xmap : BIT := '0') return BIT_VECTOR; function To_StdULogic (b : BIT) return STD_ULOGIC; function To_StdLogicVector (b : BIT_VECTOR) return STD_LOGIC_VECTOR; function To_StdLogicVector (s : STD_ULOGIC_VECTOR) return STD_LOGIC_VECTOR; function To_StdULogicVector (b : BIT_VECTOR) return STD_ULOGIC_VECTOR; function To_StdULogicVector (s : STD_LOGIC_VECTOR) return STD_ULOGIC_VECTOR; alias To_Bit_Vector is To_bitvector[STD_ULOGIC_VECTOR, BIT return BIT_VECTOR]; alias To_BV is To_bitvector[STD_ULOGIC_VECTOR, BIT return BIT_VECTOR]; alias To_Std_Logic_Vector is To_StdLogicVector[BIT_VECTOR return STD_LOGIC_VECTOR]; alias To_SLV is To_StdLogicVector[BIT_VECTOR return STD_LOGIC_VECTOR]; alias To_Std_Logic_Vector is To_StdLogicVector[STD_ULOGIC_VECTOR return STD_LOGIC_VECTOR]; alias To_SLV is To_StdLogicVector[STD_ULOGIC_VECTOR return STD_LOGIC_VECTOR]; alias To_Std_ULogic_Vector is To_StdULogicVector[BIT_VECTOR return STD_ULOGIC_VECTOR]; alias To_SULV is To_StdULogicVector[BIT_VECTOR return STD_ULOGIC_VECTOR]; alias To_Std_ULogic_Vector is To_StdULogicVector[STD_LOGIC_VECTOR return STD_ULOGIC_VECTOR]; alias To_SULV is To_StdULogicVector[STD_LOGIC_VECTOR return STD_ULOGIC_VECTOR]; ------------------------------------------------------------------- -- strength strippers and type convertors ------------------------------------------------------------------- function TO_01 (s : STD_ULOGIC_VECTOR; xmap : STD_ULOGIC := '0') return STD_ULOGIC_VECTOR; function TO_01 (s : STD_ULOGIC; xmap : STD_ULOGIC := '0') return STD_ULOGIC; function TO_01 (s : BIT_VECTOR; xmap : STD_ULOGIC := '0') return STD_ULOGIC_VECTOR; function TO_01 (s : BIT; xmap : STD_ULOGIC := '0') return STD_ULOGIC; function To_X01 (s : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function To_X01 (s : STD_ULOGIC) return X01; function To_X01 (b : BIT_VECTOR) return STD_ULOGIC_VECTOR; function To_X01 (b : BIT) return X01; function To_X01Z (s : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function To_X01Z (s : STD_ULOGIC) return X01Z; function To_X01Z (b : BIT_VECTOR) return STD_ULOGIC_VECTOR; function To_X01Z (b : BIT) return X01Z; function To_UX01 (s : STD_ULOGIC_VECTOR) return STD_ULOGIC_VECTOR; function To_UX01 (s : STD_ULOGIC) return UX01; function To_UX01 (b : BIT_VECTOR) return STD_ULOGIC_VECTOR; function To_UX01 (b : BIT) return UX01; function "??" (l : STD_ULOGIC) return BOOLEAN; ------------------------------------------------------------------- -- edge detection ------------------------------------------------------------------- function rising_edge (signal s : STD_ULOGIC) return BOOLEAN; function falling_edge (signal s : STD_ULOGIC) return BOOLEAN; ------------------------------------------------------------------- -- object contains an unknown ------------------------------------------------------------------- function Is_X (s : STD_ULOGIC_VECTOR) return BOOLEAN; function Is_X (s : STD_ULOGIC) return BOOLEAN; ------------------------------------------------------------------- -- matching relational operators ------------------------------------------------------------------- -- the following operations are predefined -- function "?=" (l, r : STD_ULOGIC) return STD_ULOGIC; -- function "?=" (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC; -- function "?/=" (l, r : STD_ULOGIC) return STD_ULOGIC; -- function "?/=" (l, r : STD_ULOGIC_VECTOR) return STD_ULOGIC; -- function "?<" (l, r : STD_ULOGIC) return STD_ULOGIC; -- function "?<=" (l, r : STD_ULOGIC) return STD_ULOGIC; -- function "?>" (l, r : STD_ULOGIC) return STD_ULOGIC; -- function "?>=" (l, r : STD_ULOGIC) return STD_ULOGIC; ------------------------------------------------------------------- -- string conversion and write operations ------------------------------------------------------------------- -- the following operations are predefined -- function to_string (value : STD_ULOGIC) return STRING; -- function to_string (value : STD_ULOGIC_VECTOR) return STRING; -- explicitly defined operations alias TO_BSTRING is TO_STRING [STD_ULOGIC_VECTOR return STRING]; alias TO_BINARY_STRING is TO_STRING [STD_ULOGIC_VECTOR return STRING]; function TO_OSTRING (VALUE : STD_ULOGIC_VECTOR) return STRING; alias TO_OCTAL_STRING is TO_OSTRING [STD_ULOGIC_VECTOR return STRING]; function TO_HSTRING (VALUE : STD_ULOGIC_VECTOR) return STRING; alias TO_HEX_STRING is TO_HSTRING [STD_ULOGIC_VECTOR return STRING]; procedure READ (L : inout LINE; VALUE : out STD_ULOGIC; GOOD : out BOOLEAN); procedure READ (L : inout LINE; VALUE : out STD_ULOGIC); procedure READ (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR; GOOD : out BOOLEAN); procedure READ (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR); procedure WRITE (L : inout LINE; VALUE : in STD_ULOGIC; JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0); procedure WRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR; JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0); alias BREAD is READ [LINE, STD_ULOGIC_VECTOR, BOOLEAN]; alias BREAD is READ [LINE, STD_ULOGIC_VECTOR]; alias BINARY_READ is READ [LINE, STD_ULOGIC_VECTOR, BOOLEAN]; alias BINARY_READ is READ [LINE, STD_ULOGIC_VECTOR]; procedure OREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR; GOOD : out BOOLEAN); procedure OREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR); alias OCTAL_READ is OREAD [LINE, STD_ULOGIC_VECTOR, BOOLEAN]; alias OCTAL_READ is OREAD [LINE, STD_ULOGIC_VECTOR]; procedure HREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR; GOOD : out BOOLEAN); procedure HREAD (L : inout LINE; VALUE : out STD_ULOGIC_VECTOR); alias HEX_READ is HREAD [LINE, STD_ULOGIC_VECTOR, BOOLEAN]; alias HEX_READ is HREAD [LINE, STD_ULOGIC_VECTOR]; alias BWRITE is WRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH]; alias BINARY_WRITE is WRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH]; procedure OWRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR; JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0); alias OCTAL_WRITE is OWRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH]; procedure HWRITE (L : inout LINE; VALUE : in STD_ULOGIC_VECTOR; JUSTIFIED : in SIDE := right; FIELD : in WIDTH := 0); alias HEX_WRITE is HWRITE [LINE, STD_ULOGIC_VECTOR, SIDE, WIDTH]; end package std_logic_1164;
--! --! Copyright 2019 Sergey Khabarov, [email protected] --! --! Licensed under the Apache License, Version 2.0 (the "License"); --! you may not use this file except in compliance with the License. --! You may obtain a copy of the License at --! --! http://www.apache.org/licenses/LICENSE-2.0 --! --! Unless required by applicable law or agreed to in writing, software --! distributed under the License is distributed on an "AS IS" BASIS, --! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. --! See the License for the specific language governing permissions and --! limitations under the License. --! library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_misc.all; -- or_reduce() library commonlib; use commonlib.types_common.all; --! RIVER CPU specific library. library riverlib; --! RIVER CPU configuration constants. use riverlib.river_cfg.all; entity IntDiv is generic ( async_reset : boolean := false ); port ( i_clk : in std_logic; i_nrst : in std_logic; -- Reset Active LOW i_ena : in std_logic; -- Enable bit i_unsigned : in std_logic; -- Unsigned operands i_rv32 : in std_logic; -- 32-bits operands enable i_residual : in std_logic; -- Compute: 0 =division; 1=residual i_a1 : in std_logic_vector(RISCV_ARCH-1 downto 0); -- Operand 1 i_a2 : in std_logic_vector(RISCV_ARCH-1 downto 0); -- Operand 1 o_res : out std_logic_vector(RISCV_ARCH-1 downto 0); -- Result o_valid : out std_logic; -- Result is valid o_busy : out std_logic -- Multiclock instruction under processing ); end; architecture arch_IntDiv of IntDiv is component divstage64 is port ( i_divident : in std_logic_vector(63 downto 0); -- integer value i_divisor : in std_logic_vector(123 downto 0); -- integer value o_resid : out std_logic_vector(63 downto 0); -- residual value o_bits : out std_logic_vector(3 downto 0) -- resulting bits ); end component; type RegistersType is record rv32 : std_logic; resid : std_logic; -- Compute residual flag invert : std_logic; -- invert result value before output busy : std_logic; div_on_zero : std_logic; ena : std_logic_vector(9 downto 0); divident_i : std_logic_vector(63 downto 0); divisor_i : std_logic_vector(119 downto 0); bits_i : std_logic_vector(63 downto 0); result : std_logic_vector(RISCV_ARCH-1 downto 0); end record; constant R_RESET : RegistersType := ( '0', '0', '0', '0', -- rv32, resid, invert, busy '0', -- div_on_zero (others => '0'), (others => '0'), -- ena, divident_i (others => '0'), (others => '0'), -- divisor_i, bits_i (others => '0') -- result ); signal r, rin : RegistersType; signal wb_divisor0_i : std_logic_vector(123 downto 0); signal wb_divisor1_i : std_logic_vector(123 downto 0); signal wb_resid0_o : std_logic_vector(63 downto 0); signal wb_resid1_o : std_logic_vector(63 downto 0); signal wb_bits0_o : std_logic_vector(3 downto 0); signal wb_bits1_o : std_logic_vector(3 downto 0); begin stage0 : divstage64 port map ( i_divident => r.divident_i, i_divisor => wb_divisor0_i, o_bits => wb_bits0_o, o_resid => wb_resid0_o ); stage1 : divstage64 port map ( i_divident => wb_resid0_o, i_divisor => wb_divisor1_i, o_bits => wb_bits1_o, o_resid => wb_resid1_o ); comb : process(i_nrst, i_ena, i_unsigned, i_residual, i_rv32, i_a1, i_a2, r, wb_resid0_o, wb_resid1_o, wb_bits0_o, wb_bits1_o) variable v : RegistersType; variable wb_a1 : std_logic_vector(RISCV_ARCH-1 downto 0); variable wb_a2 : std_logic_vector(RISCV_ARCH-1 downto 0); variable wb_divident : std_logic_vector(64 downto 0); variable wb_divider : std_logic_vector(64 downto 0); variable w_invert64 : std_logic; variable w_invert32 : std_logic; variable vb_rem : std_logic_vector(63 downto 0); variable vb_div : std_logic_vector(63 downto 0); begin v := r; w_invert64 := '0'; w_invert32 := '0'; wb_divident(64) := '0'; wb_divider(64) := '0'; if i_rv32 = '1' then wb_a1(63 downto 32) := (others => '0'); wb_a2(63 downto 32) := (others => '0'); if i_unsigned = '1' or i_a1(31) = '0' then wb_a1(31 downto 0) := i_a1(31 downto 0); else wb_a1(31 downto 0) := (not i_a1(31 downto 0)) + 1; end if; if i_unsigned = '1' or i_a2(31) = '0' then wb_a2(31 downto 0) := i_a2(31 downto 0); else wb_a2(31 downto 0) := (not i_a2(31 downto 0)) + 1; end if; else if i_unsigned = '1' or i_a1(63) = '0' then wb_a1 := i_a1; else wb_a1 := (not i_a1) + 1; end if; if i_unsigned = '1' or i_a2(63) = '0' then wb_a2 := i_a2; else wb_a2 := (not i_a2) + 1; end if; end if; v.ena := r.ena(8 downto 0) & (i_ena and not r.busy); if r.invert = '1' then vb_rem := (not r.divident_i) + 1; else vb_rem := r.divident_i; end if; if r.invert = '1' then vb_div := (not r.bits_i) + 1; else vb_div := r.bits_i; end if; -- DIVW, DIVUW, REMW and REMUW sign-extended accordingly with -- User Level ISA v2.2 if r.rv32 = '1' then vb_div(63 downto 32) := (others => vb_div(31)); vb_rem(63 downto 32) := (others => vb_rem(31)); end if; if i_ena = '1' then v.busy := '1'; v.rv32 := i_rv32; v.resid := i_residual; v.divident_i := wb_a1; v.divisor_i := wb_a2 & X"00000000000000"; w_invert32 := not i_unsigned and ((not i_residual and (i_a1(31) xor i_a2(31))) or (i_residual and i_a1(31))); w_invert64 := not i_unsigned and ((not i_residual and (i_a1(63) xor i_a2(63))) or (i_residual and i_a1(63))); v.invert := (not i_rv32 and w_invert64) or (i_rv32 and w_invert32); -- Compatibility with riscv-tests but loose compatibility with x86 if i_rv32 = '1' then if i_unsigned = '1' then v.div_on_zero := not or_reduce(i_a2(31 downto 0)); else v.div_on_zero := not or_reduce(i_a2(30 downto 0)); end if; else if i_unsigned = '1' then v.div_on_zero := not or_reduce(i_a2(63 downto 0)); else v.div_on_zero := not or_reduce(i_a2(62 downto 0)); end if; end if; elsif r.ena(8) = '1' then v.busy := '0'; if r.resid = '1' then v.result := vb_rem; elsif r.div_on_zero = '1' then v.result := (others => '1'); else v.result := vb_div; end if; elsif r.busy = '1' then v.divident_i := wb_resid1_o; v.divisor_i := X"00" & r.divisor_i(119 downto 8); v.bits_i := r.bits_i(55 downto 0) & wb_bits0_o & wb_bits1_o; end if; if not async_reset and i_nrst = '0' then v := R_RESET; end if; wb_divisor0_i <= r.divisor_i & "0000"; wb_divisor1_i <= "0000" & r.divisor_i; o_res <= r.result; o_valid <= r.ena(9); o_busy <= r.busy; rin <= v; end process; -- registers: regs : process(i_clk, i_nrst) begin if async_reset and i_nrst = '0' then r <= R_RESET; elsif rising_edge(i_clk) then r <= rin; end if; end process; end;
------------------------------------------------------------------------------- -- -- RapidIO IP Library Core -- -- This file is part of the RapidIO IP library project -- http://www.opencores.org/cores/rio/ -- -- Description -- Generic UART with FIFO interface. -- -- To Do: -- - -- -- Author(s): -- - Magnus Rosenius, [email protected] -- ------------------------------------------------------------------------------- -- -- Copyright (C) 2013 Authors and OPENCORES.ORG -- -- This source file may be used and distributed without -- restriction provided that this copyright statement is not -- removed from the file and that any derivative work contains -- the original copyright notice and the associated disclaimer. -- -- This source file is free software; you can redistribute it -- and/or modify it under the terms of the GNU Lesser General -- Public License as published by the Free Software Foundation; -- either version 2.1 of the License, or (at your option) any -- later version. -- -- This source is distributed in the hope that it will be -- useful, but WITHOUT ANY WARRANTY; without even the implied -- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR -- PURPOSE. See the GNU Lesser General Public License for more -- details. -- -- You should have received a copy of the GNU Lesser General -- Public License along with this source; if not, download it -- from http://www.opencores.org/lgpl.shtml -- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Uart implementation. ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; ------------------------------------------------------------------------------- -- Entity for Uart. ------------------------------------------------------------------------------- entity Uart is generic( DIVISOR_WIDTH : natural; DATA_WIDTH : natural); port( clk : in std_logic; areset_n : in std_logic; divisor_i : in std_logic_vector(DIVISOR_WIDTH-1 downto 0); serial_i : in std_logic; serial_o : out std_logic; empty_o : out std_logic; read_i : in std_logic; data_o : out std_logic_vector(DATA_WIDTH-1 downto 0); full_o : out std_logic; write_i : in std_logic; data_i : in std_logic_vector(DATA_WIDTH-1 downto 0)); end entity; ------------------------------------------------------------------------------- -- Architecture for Uart. ------------------------------------------------------------------------------- architecture UartImpl of Uart is signal bitDuration : unsigned(DIVISOR_WIDTH-1 downto 0); signal bitSample : unsigned(DIVISOR_WIDTH-1 downto 0); type StateTypeRx is (STATE_INIT, STATE_IDLE, STATE_START, STATE_DATA, STATE_STOP); signal rxState : StateTypeRx; signal rxShifter : std_logic_vector(DATA_WIDTH-1 downto 0); signal rxCounter : unsigned(DIVISOR_WIDTH-1 downto 0); signal rxBitCounter : natural range 0 to DATA_WIDTH-1; signal rxComplete : std_logic; signal rxData : std_logic_vector(DATA_WIDTH-1 downto 0); type StateTypeRxFifo is (STATE_EMPTY, STATE_WAITREAD); signal rxFifoState : StateTypeRxFifo; type StateTypeTx is (STATE_IDLE, STATE_SEND); signal txState : StateTypeTx; signal txShifter : std_logic_vector(DATA_WIDTH downto 0); signal txCounter : unsigned(DIVISOR_WIDTH-1 downto 0); signal txBitCounter : natural range 0 to DATA_WIDTH+1; begin -- Setup the tick values when a bit is complete and when to sample it. bitDuration <= unsigned(divisor_i); bitSample <= '0' & unsigned(divisor_i(DIVISOR_WIDTH-1 downto 1)); ----------------------------------------------------------------------------- -- UART receiving process. ----------------------------------------------------------------------------- Receiver: process(clk, areset_n) begin if (areset_n = '0') then rxState <= STATE_INIT; rxShifter <= (others => '0'); rxBitCounter <= 0; rxCounter <= (others => '0'); rxComplete <= '0'; rxData <= (others => '0'); elsif (clk'event and (clk = '1')) then rxComplete <= '0'; case rxState is when STATE_INIT => --------------------------------------------------------------------- -- Wait for the line to become idle. --------------------------------------------------------------------- if (serial_i = '1') then rxState <= STATE_IDLE; end if; when STATE_IDLE => --------------------------------------------------------------------- -- Wait for a long enough start pulse. --------------------------------------------------------------------- if (serial_i = '0') then -- The serial input is zero, indicating a start bit. -- Check how long it has been zero. if (rxCounter = bitSample) then -- It has been zero long enough. -- Proceed to read the full start bit before starting to sample -- the data. rxState <= STATE_START; else -- Stay in this state until it has lasted long enough. end if; -- Update to next sampling interval. rxCounter <= rxCounter + 1; else -- The serial input is not zero. -- Restart the sampling interval. rxCounter <= (others => '0'); end if; when STATE_START => --------------------------------------------------------------------- -- Wait for the startbit to end. --------------------------------------------------------------------- if (rxCounter = bitDuration) then rxCounter <= (others => '0'); rxState <= STATE_DATA; else rxCounter <= rxCounter + 1; end if; when STATE_DATA => --------------------------------------------------------------------- -- Sample data bits where it's appropriate. --------------------------------------------------------------------- if (rxCounter = bitDuration) then -- End of bit. -- Check if all the data bits has been read. if (rxBitCounter = (DATA_WIDTH-1)) then -- All data bits read. -- Read the stop bit. rxState <= STATE_STOP; rxBitCounter <= 0; else -- Continue to read more data bits. rxBitCounter <= rxBitCounter + 1; end if; -- Restart sampling interval. rxCounter <= (others => '0'); elsif (rxCounter = bitSample) then -- Sample the bit and continue to sample until the bit ends. rxShifter <= serial_i & rxShifter((DATA_WIDTH-1) downto 1); rxCounter <= rxCounter + 1; else -- Wait for the middle or the end of the data to be reached. rxCounter <= rxCounter + 1; end if; when STATE_STOP => --------------------------------------------------------------------- -- Sample stop bit where it's appropriate. --------------------------------------------------------------------- if (rxCounter = bitSample) then -- Sample the stop bit. -- Check if the stop bit is valid. if (serial_i = '1') then -- The stop bit is ok. -- Forward the read data. rxComplete <= '1'; rxData <= rxShifter; else -- The stop bit is not ok. -- Do not forward the data character. end if; -- Reset sampling counter and go back to the init state. rxState <= STATE_INIT; rxCounter <= (others => '0'); else -- Wait for the middle or the end of the data to be reached. rxCounter <= rxCounter + 1; end if; when others => --------------------------------------------------------------------- -- Undefined state. --------------------------------------------------------------------- rxState <= STATE_IDLE; rxCounter <= (others => '0'); end case; end if; end process; ----------------------------------------------------------------------------- -- UART receiver fifo. ----------------------------------------------------------------------------- ReceiverFifo: process(clk, areset_n) begin if (areset_n = '0') then empty_o <= '1'; data_o <= (others => '0'); rxFifoState <= STATE_EMPTY; elsif (clk'event and (clk = '1')) then case rxFifoState is when STATE_EMPTY => -- Wait for data to be forwarded from the UART receiver. if (rxComplete = '1') then -- Indicate there is data to read from. empty_o <= '0'; data_o <= rxData; rxFifoState <= STATE_WAITREAD; else -- Wait for data to be received. end if; when STATE_WAITREAD => -- Wait for the data to be read from the output port. if (read_i = '1') then -- The data has been read. empty_o <= '1'; rxFifoState <= STATE_EMPTY; end if; -- Check if new data has been forwarded from the UART. if (rxComplete = '1') then -- New data has been forwarded without the output port being read. -- Overrun. Data has been lost. -- REMARK: Indicate this??? end if; when others => -- Undefined state. rxFifoState <= STATE_EMPTY; end case; end if; end process; ----------------------------------------------------------------------------- -- UART transmitter process. ----------------------------------------------------------------------------- Transmitter: process(clk, areset_n) begin if (areset_n = '0') then txState <= STATE_IDLE; txShifter <= (others => '0'); txBitCounter <= 0; txCounter <= (others => '0'); full_o <= '0'; serial_o <= '1'; elsif (clk'event and (clk = '1')) then case txState is when STATE_IDLE => --------------------------------------------------------------------- -- Wait for new data to be input on the input port. --------------------------------------------------------------------- if (write_i = '1') then -- New data present. full_o <= '1'; txShifter <= "1" & data_i; txCounter <= (others => '0'); txBitCounter <= 0; txState <= STATE_SEND; serial_o <= '0'; end if; when STATE_SEND => --------------------------------------------------------------------- -- Wait for the bit to be completly transmitted. --------------------------------------------------------------------- if (txCounter = bitDuration) then -- The bit has been sent. -- Check if the full character has been sent. if (txBitCounter = (DATA_WIDTH+1)) then -- Character has been sent. full_o <= '0'; txState <= STATE_IDLE; else -- Character has not been sent yet. -- Send the next bit. serial_o <= txShifter(0); txShifter <= "0" & txShifter(DATA_WIDTH downto 1); txBitCounter <= txBitCounter + 1; end if; -- Update to the next bit. txCounter <= (others => '0'); else -- Wait for the end of the bit. txCounter <= txCounter + 1; end if; when others => --------------------------------------------------------------------- -- Undefined state. --------------------------------------------------------------------- txState <= STATE_IDLE; end case; end if; end process; end architecture;
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; ------------------------------------------------------------------------------- -- This file is part of the Queens@TUD solver suite -- for enumerating and counting the solutions of an N-Queens Puzzle. -- -- Copyright (C) 2008-2015 -- Thomas B. Preusser <[email protected]> ------------------------------------------------------------------------------- -- This design is free software: you can redistribute it and/or modify -- it under the terms of the GNU Affero General Public License as published -- by the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU Affero General Public License for more details. -- -- You should have received a copy of the GNU Affero General Public License -- along with this design. If not, see <http://www.gnu.org/licenses/>. ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library PoC; use PoC.physical.all; entity vc707_queens_uart is generic ( N : positive := 27; L : positive := 2; SOLVERS : positive := 215; COUNT_CYCLES : boolean := false; CLK_FREQ : FREQ := 200 MHz; CLK_DIVA : positive := 5; -- Choose so that CLK_FREQ/CLK_DIVA*CLK_MULA CLK_MULA : positive := 44; -- is smaller than but close to 1800 MHz CLK_DIVB : positive := 7; BAUDRATE : positive := 115200; SENTINEL : std_logic_vector(7 downto 0) := x"FA" -- Start Byte ); port ( clk_p : in std_logic; clk_n : in std_logic; rx : in std_logic; tx : out std_logic; rts_n : in std_logic; cts_n : out std_logic; -- Fan Control VC707_FanControl_PWM : out std_logic ); end vc707_queens_uart; library IEEE; use IEEE.numeric_std.all; library UNISIM; use UNISIM.vcomponents.all; library PoC; architecture rtl of vc707_queens_uart is -- Global Control constant CLK_COMP_FREQ : FREQ := CLK_FREQ * CLK_MULA / CLK_DIVA / CLK_DIVB; constant CLK_SLOW_FREQ : FREQ := CLK_FREQ * CLK_MULA / CLK_DIVA / 100; signal clk200 : std_logic; -- 200 MHz Input Clock signal clk_comp : std_logic; -- Computation Clock signal clk_slow : std_logic; -- Slow Interface Clock signal rst : std_logic; begin ----------------------------------------------------------------------------- -- Generate Global Controls blkGlobal: block is signal clkfb : std_logic; -- Feedback Clock signal clk_compu : std_logic; -- Unbuffered Synthesized Clock signal clk_slowu : std_logic; -- Unbuffered Synthesized Clock begin clk_in : IBUFGDS port map( O => clk200, I => clk_p, IB => clk_n ); pll : PLLE2_BASE generic map ( CLKIN1_PERIOD => to_real(to_time(CLK_FREQ), 1 ns), DIVCLK_DIVIDE => CLK_DIVA, CLKFBOUT_MULT => CLK_MULA, CLKOUT0_DIVIDE => CLK_DIVB, CLKOUT1_DIVIDE => 100, STARTUP_WAIT => "true" ) port map ( CLKIN1 => clk200, CLKFBIN => clkfb, RST => '0', CLKOUT0 => clk_compu, CLKOUT1 => clk_slowu, CLKOUT2 => open, CLKOUT3 => open, CLKOUT4 => open, CLKOUT5 => open, CLKFBOUT => clkfb, LOCKED => open, PWRDWN => '0' ); comp_buf : BUFG port map ( I => clk_compu, O => clk_comp ); slow_buf : BUFH port map ( I => clk_slowu, O => clk_slow ); -- No Reset rst <= '0'; end block blkGlobal; ----------------------------------------------------------------------------- -- Fan Control fan : entity PoC.io_FanControl generic map ( CLOCK_FREQ => CLK_SLOW_FREQ ) port map ( Clock => clk_slow, Reset => '0', Fan_PWM => VC707_FanControl_PWM, TachoFrequency => open ); ---------------------------------------------------------------------------- -- Solver Chain chain: entity work.queens_uart generic map ( N => N, L => L, SOLVERS => SOLVERS, COUNT_CYCLES => COUNT_CYCLES, CLK_FREQ => integer(to_real(CLK_COMP_FREQ, 1 Hz)), BAUDRATE => BAUDRATE, SENTINEL => SENTINEL ) port map ( clk => clk_comp, rst => rst, rx => rx, tx => tx, snap => open, avail => open ); cts_n <= rts_n; end rtl;
--Legal Notice: (C)2015 Altera Corporation. All rights reserved. Your --use of Altera Corporation's design tools, logic functions and other --software and tools, and its AMPP partner logic functions, and any --output files any of the foregoing (including device programming or --simulation files), and any associated documentation or information are --expressly subject to the terms and conditions of the Altera Program --License Subscription Agreement or other applicable license agreement, --including, without limitation, that your use is for the sole purpose --of programming logic devices manufactured by Altera and sold by Altera --or its authorized distributors. Please refer to the applicable --agreement for further details. -- turn off superfluous VHDL processor warnings -- altera message_level Level1 -- altera message_off 10034 10035 10036 10037 10230 10240 10030 library altera; use altera.altera_europa_support_lib.all; library altera_mf; use altera_mf.altera_mf_components.all; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity Video_System_CPU_oci_test_bench is port ( -- inputs: signal dct_buffer : IN STD_LOGIC_VECTOR (29 DOWNTO 0); signal dct_count : IN STD_LOGIC_VECTOR (3 DOWNTO 0); signal test_ending : IN STD_LOGIC; signal test_has_ended : IN STD_LOGIC ); end entity Video_System_CPU_oci_test_bench; architecture europa of Video_System_CPU_oci_test_bench is begin end europa;
package test_pkg is type t_segment_type is ( TYPE_0, TYPE_1, TYPE_2, TYPE_3, TYPE_4, TYPE_5 ); type unsigned is array (natural range <>) of bit; type t_data_segment is record data_word : bit_vector(15 downto 0); -- 0: 16 word_idx : unsigned(11 downto 0); -- 16: 12 segment_type : t_segment_type; -- 28: 1+3 word_length : natural range 1 to 2; -- 32: 4 crc_check : boolean; -- 36: 1+3 table : natural range 1 to 2; -- 40: 4 end record; type t_data_segment_template is array(t_segment_type) of t_data_segment; constant C_SEGMENT_RECORDS : t_data_segment_template := ( TYPE_0 => ( data_word => (others => '0'), word_idx => (others => '0'), segment_type => TYPE_0, word_length => 1, crc_check => false, table => 16#a0#), TYPE_1 => ( data_word => (others => '0'), word_idx => (others => '0'), segment_type => TYPE_1, word_length => 2, crc_check => false, table => 1), TYPE_2 => ( data_word => (others => '0'), word_idx => (others => '0'), segment_type => TYPE_2, word_length => 1, crc_check => false, table => 16#a1#), TYPE_3 => ( data_word => (others => '0'), word_idx => (others => '0'), segment_type => TYPE_3, word_length => 2, crc_check => true, table => 16#a2#), TYPE_4 => ( data_word => (others => '0'), word_idx => (others => '0'), segment_type => TYPE_4, word_length => 2, crc_check => true, table => 16#a3#), TYPE_5 => ( data_word => (others => '0'), word_idx => (others => '0'), segment_type => TYPE_5, word_length => 2, crc_check => true, table => 16#a4#) ); constant C_DATA_INVALID_VERSION : bit_vector(7 downto 0) := x"FF"; constant C_DATA_VERSION : bit_vector(C_SEGMENT_RECORDS(TYPE_2).word_length*8-1 downto 0) := C_DATA_INVALID_VERSION; end package;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1250.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c08s02b00x00p04n02i01250ent IS END c08s02b00x00p04n02i01250ent; ARCHITECTURE c08s02b00x00p04n02i01250arch OF c08s02b00x00p04n02i01250ent IS BEGIN TESTING: PROCESS BEGIN assert FALSE severity 3.0; assert FALSE report "***FAILED TEST: c08s02b00x00p04n02i01250 - Static expression must be of type SEVERITY_LEVEL" severity ERROR; wait; END PROCESS TESTING; END c08s02b00x00p04n02i01250_arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1250.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c08s02b00x00p04n02i01250ent IS END c08s02b00x00p04n02i01250ent; ARCHITECTURE c08s02b00x00p04n02i01250arch OF c08s02b00x00p04n02i01250ent IS BEGIN TESTING: PROCESS BEGIN assert FALSE severity 3.0; assert FALSE report "***FAILED TEST: c08s02b00x00p04n02i01250 - Static expression must be of type SEVERITY_LEVEL" severity ERROR; wait; END PROCESS TESTING; END c08s02b00x00p04n02i01250_arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1250.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c08s02b00x00p04n02i01250ent IS END c08s02b00x00p04n02i01250ent; ARCHITECTURE c08s02b00x00p04n02i01250arch OF c08s02b00x00p04n02i01250ent IS BEGIN TESTING: PROCESS BEGIN assert FALSE severity 3.0; assert FALSE report "***FAILED TEST: c08s02b00x00p04n02i01250 - Static expression must be of type SEVERITY_LEVEL" severity ERROR; wait; END PROCESS TESTING; END c08s02b00x00p04n02i01250_arch;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block uS9Fi4wEl+hlOoAxATWz7JOEkR0NrTOAPXB71RDz/0sJ9oBkdyJcZqzmiJBSpJVLGXrHypKErbng NIq2yEIKicsHE2U2q0TwmOX5SeBUf5ATfJiLQmZtyrgyJ/TKwJ5Nrg3HL+15E0oFzqZEKRQD0RV0 gUht+SMMiNU2xM6RPT7pKCsVb5W4nxZuUNAOyuABEDGRH8YW/kscyF5trBuA48XfiXtVpzBwqK6v PeJ+bU10he4Sno6k9Dn4FGHEKjKtWs1EQPCyJM25dDSrh8kM7MRJepMfF7YseaGlTZntu/uKxJDR ZL3LeAxQZMrU6BodVmaZalC+X5WBYD/UwSiWkQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5872) `protect data_block IQQzY8hMnjpN1vpICzvLVd6bph9UPHseU133rbCKFWvWDaMw7E2vs4wYfT/doafWormh/KMi8bb4 4kasjjx5bkYTxgTVctlgXQRl4/TWVzv2jZKZbyjhjH/GMQIJn+9Crq2v/SAVxJKJXPmNCSpKza8i YzkoYVP9Ckcch1QTVkNDpwSv16d0OPvSJum1UeA/NJvX42My+xtlflF3gKlNVkibjUAzAg3l6C+r OR3MECq8nwr+wLE9uRPgVAhyzAX1bJXMJVVEis35F1BJD/jZ6nBwKIV2Jrt43TdGuj4AQoxsbMJL EBfOb9GXwj+hYpuK4Hn6v8u8XEHPqbx0U52DZK/uom9+ycj9RGCGBJB/EhsL+ruHwCwkjrPxjZRn /SNDInl34u0zbVzHANzBNJWAEDveitfzPjU5y0x7RTii0W0J4X8ZHaldZLYUHZWMCgCsNfZnB9l0 dZqdSIpBFWAhM/2vJM3Th68fzBRTx+rCO9xLjZldkVHKxIOPyUDcla8lfuK5HFN214o3Sn65+LwC 9P9F5xJCSsGbacuybcL5eaAu47ezJnnlB+BrAyJEFmBJHlJwJqCRs+mdRQ2ff1IdHoJUyRiMKaYt W9VtzGe+CT3rcCTGmILjGcDRnhdbDf/Wz4NrhfZEbVQSOY051ZqTi70AITIZUOe96d0ROyl6i4Hf uqLVicttjSsJzcDS3PgNj/NRCoXL5jfx7SN+DxOF6BFLA2iVc9Vuu6NNDOXXH5wblPQWdycIYq+B lPF45mK6BXsm+ffR1NY/uyvUqHWzKAS9r1slCt9qT82uvTL9M6gOQWQxSRQJ+hmig7+uNuhBbD5e c48IcPd8VbWVmPqhpZTbbcBx7Fwy7gEAc8FFyf4MA6fz39JrGG2ulfNukg6ZUVLSTI+HIqIdQO2E pnWPgShSpoCfL0eXC6bqawBHoJ4mdUdQB4EoKPyHT7jbOf9D6CBsU+1yytPe9lk69bYZu75F8BkH FfpTZM5scBgHP0oNa3LBwY4IfCRHIPgeOxokiewBoVYUyMVfVesBj5EksaFPkbptIHfHgOUCraFj B3YVlcbIuwH8XqdbMMi6T9AuUwqHoSQOLDQoQRvBsMhGODREVRm6teoDsOCDdfJk1CIOPSWjaanN qOSLakzmtR48dYJFvDRDHo5RkTlxHAaytUx/d7ed533PtDT8UaB2k09jB8HSfVztTMkI310NyQlb UeJDNfQ2c4MIgF+MmBzwXOrJ9s+WsP7JiTArMZjDw7zIvk0dW49JZfyM4lQlpFg+iWduBPkplmQd /ZPtgNE3XW/fBXaaVHiyFMpwbDI2Jz8AxEd1qthRMLUUX1dkIB5OpPETXVIdfu6Jc0wcWZSTWeEV G2Y2VWlLZDlSZ/SNob4+bFK4HU89MdUYHAm0hJl7NPLG/m1U36l7esgG0qDPyA7nkidnvyPifFA3 RbnRqBKpBQ7sDamqD1jW7fNKQ2GoZ77YCVEVoTtQ21FQH/+WXGvPjexv7/B6BRX9B4dTRTyFmAAz iqa+UzWPKXRi9+eVWOmHz3oYlykUPeYFu2ov05mSvAqZxtwgwnMwTILGE4p/Wm+fzlyv11VfPw9E mAAE2/F3DSrJC52ClGOSNUosyT6ydXdrA4+REkhAfNvKuJxJNe+pJhAb8ATFBhElpIROKIcwIwYl Oq+PEO0+uhfGuB2ntjzFcFGg6RzRZY4nKJJzW0xuf7UU19GOTxY5FDOpc9nGjZWsKb4PbUxFjh/3 eprjJwHE9ZQs2indikicztqzXPvaxO9z/82ndRfmDD7j4RmA7GfdgJvm69niX9/Y+mbRrtHyXqQf ewSIDBa4RARDXe+Sx4kYM5ckxC5WyXfs+KD9OdeZvOZCPv4rsd3pjg8ZPKc0HsB9sLjWHHzLUsMG TFbfZT4aYgLtVS/0RxqdrLJs/r1KEF7eWRiCqOMvrVkIkzlOcfv3htAHyrj7UZQ8oiI1yNzQcMTB kq0z1+trMvu81hyl8vgP1VQiSuBdy18QLwrMbwQf9uklI54WX7v/vitNK3tjEdt+pg88e7OnbZ3e CpPYPOgehYE3wGGBKLubXqDfnBPJE+LF6ybb/3qH9Xb9nojUlivsjn84t58UcMLeagq0ZL+qtm+A NYCKmEFOuhxnsjGyhTOsAxeHQfYqY+XI/3T9TOL8Ze4wm87PX8vp4bVDEcY9NnGZUwhcy9DlO1S9 DU7mFh+m5QpQyOgKm2Of8vuT3aCGAwMF1RNcNpQdSFhx4NGHfwU4KyKwfRxV+CJXEYsFRr81zQuu x2d/L9ukPWa5axjXNlZmy4vF+PkEWTxjx5f0PZz3N1aWL8DGKxG++BSFQJIci2ikbrkmPzX/e19H sg0oANoE0KMcz77oajNJKoW1EZM4FnRpVmSjc7aJdXB5q4v9rXeVxDuWhd6mDJsV3kFtEBhNFX+Y 9TDHIMzF0Cp0/WlaBCuwthvEgMkuSLZVMzr1wpAuZnzw4ZOM7jsTF49ErM7NrChKJfpVcGbEH25C b3GKIv2c7C6CFsT4j91qTdkYKowFI2g2w5dJcWQZ5u6V/a9Xjdv72qRZORjcCN9rTOKlg5fsOF+y zOKHuBenuNnDlRipLijq4LT1VgV1z7dL9FZZ4zeiRXYJSkkjyU32uF1p9sbeCUbQHysl0m8ioEYJ IRzpQoqmleZ1nUY/q1gHbKyJOE8k4YgXJqLzB58LJxlFd5B2oWAbBKKR1GCnCua+NoPW5NN+U28u LuBwCTHJP12xcRI19ul6fOnvYy7kcl8zw7a5po030eutOg9HfC0G+1v3QaHHNUAigZObBi9qiNWP i39NeAyEww7TGgLsBmwcK5f1+GKw1alAa62rncF/68ny+SJwOXcsohJIkFSl4lIapdTfCaQK9+jN /GveFHG2W9zf4SG5T/bfJbq71/5EMAZdqu0EyYOaq4QJZLxHwXqVfQpXWAgwj4Y+NStEnZGEKE7s mKplcZehd82PLEirGWuErpfNa56j2GQIs7Auf8iFZ2Z/d1898pgYypNlFN0G7dxZqPrIPXdw2b6r 8mg8pZJH5di8TMSqFSDCN0AZPmNPiib/1lf6jtYsg6scZZ6BWtskGebcGK4Sd2C1vceH1iYLRi+6 6v4vjYVvWHPdD0ivLar0ufJhq4X94EKYpSAggIqQGblSDru2f7PPMvGx7+Csdv8BA1mZQ6s+iAEG V4sOqKSIsAAXlOhj2XkYWHP1CCrqJ0wj14swaQitv9FBZGLB9y6GXrpkIrWuSPoj7Pp/vyTmMxWP OcrBS0Mc9zkxMSzmocCabUz4Rgpr2boZymr+xj6lWiKnXz9Pe1c83+15ssC4BVZaE+wjfpLpDcKr 5LxBhSIRaJH5UlNmkEPuYy16MRQ/CuwXhxwu744RhIDa6tODmbPOaatvxdVqwuuqqi7hMwZ+fUJz 1Md1cWTyyhGKVu0a/R+ftrDfrTnrn3g2Ery1+5Vr3Z4Jwax7obkPT0gUd/ITGn7Tr9uSbY+KVV9B LCduNRWF9RfyItw2wYU79VH9H6nS/KRsBkYl+sdwbkPqxczVNuk6O3E8/ol+kb7Ns1K5JcPnOWKn QWCsGAdz9Tl/dKBALWZ1aVfq/D17MdxuJfGOVHIU1okZLidFdx8PzbZeIR1T5tKH1NPRiUvxIMxL 3GuP3tGU+of0MEqHfi6ut7iNViJruEKxwU/61DbF5VT+CE9ntw4gvdUtGsGME/vs2vATU1sHd/GH BuwZ68siwM63ahIXnX5YJjNKpOFzdyCe3tTUKnBeh1sC5tTqlFoHwlbxTMkHY2baZGQtSwhVL5Ir ZynANe1zJuDSMjLLVHb82vgiLFjezwhgVOSgRyma2lVSHoTraTNPUpeaXUEtjkMWLUAYBttxMFaM 1K+vFtp8bCFrper+pcsB0V9vtrPlXknoCYNstHTWFtSLGcZ7ohNhr45XZwkbuBrwVp27KsMKRDlb W6XwKbL2bRc0rIsI555JQ+SO52NcCQAAn8UswtPGEcj+rYgeiZlUi7e6Crbts2L4uZepMe0X7OjF 1Er67NYNzkvCUencn+EwkMz/K+NtaRTC4DZKQXutDmnEWpxT2a50QthSDMLupz9MF4kkhKvkHbco 9VBpn/mw0eBT7sfZYVn0/Gx+alC38s9A/KQIcVcx9TUqD55xz8P6qOpq3RYmyQfoM5gWCFUG/Rwg cu6wWERCRQqg5cPqQy2VP2TL2xa5/728C5YWcI2vFgPWjC29W2wgO3+VuRQqIDS8T6CcyXSGc5R5 qzjV1IIP7XYIijAJrCVdd7q8fEq2iwnxd98lM3xJxeyt/qgFCjWpwaV/MaI1GXbLLzjnOLYjjfih 2Apl1dosTf4nG9jXGHCovc3ycgI7dkMPICym12pwNB0NxVd7WjFbqrd+BjlSQFerqWNQMIyIMFsH r8vfF2wDoRC8I63YMSJLpCmyJ1pCPy+93XQbWw9YHSQSgJ59OjgEW05k/+NyklLgveyCO2fgGlsL CioepZ4ipVFVljqLegGHxngfGAidE350YdAboFBjZ2au7jCksOVMwN+pW5ZHIEhizxucmLTaWBJb MXK/u85vYNJ2h4DbZh3db+KSnTad19EOJ+Pex2Y+wci3i+TUehzMGyd94rDpFnxm6aLb4akmpZwX qhicpOrpIQRxErbCRc3LzO0VPETuzAkyLqGelDqHP2N9aSwQweP3lRgaZ0xEGb/vHAwLTjLSCTD2 O++26XbeFTNcj+pAw/8uwlhZgFbvn6JfdtjTHfHItKrwb6G8KAagCZRfLQjdTeaJ0VO4dAbg7vi6 4wKHv0CsAO3if7ZzQGlA1RpZzF087vhDe03nGLpF4OkFXjf84R1hEH8PIT46u2I5m+7cZm5i2hQL XRXp2LCNL8I+7xxHZO/u0ZUViNbzGYG6b2n5KXCiYwt3MM7u7ZYaRYYKMEDMuR4izR9oknTA8shQ Gr6Qnvy6X6d0TKcNnWnfD7bsk1PBOHRsXfpGMiMPVzxFyp7IrYfyJEa8rGvALWcMzK6+bFY0fkOo lB9IqQVAYDropW2ZlMs7r7UtgZ/P1VepKqzS7LoP/mhu7mqkmFYW7q5XsAkGy704ayzg1NNrC6cM 8sGz80VeqlkJ/f6a/i2neq4hyc5gidr2fghm1dZ02IRnDpHL1ZN/0AMkxpKB3fWQK/v+H0Q61miL FO9gxYTqON3rLwJ2LUVwYNTcMsiq+XyeyYSCiCD3CTRVEuh770sZJXqpHoh9LSPZQTZUHOBnmktG P8eqTcgfz84++OsqOnkuW6ZxzLcSoPZVNeXS/Cgs48xuxvDuKv338g9Lpb649Pp1WXwpwl1uU1w9 Aml1QefOZoI2rZwly9yPFOkndo7Vab2mG30LNkoZfNZUIistwujtnQVSgHcAWJgNPKrkMfR6h9DS mlDxBQrsdQZ7EpbWFE6bhSGs4fIGwI20gJGi7PXv4Poc9zbcnwx0wVizPWL4sxzi/Q4QjCiVpcya O9UC5BaT2u6/rokVARMsdFqmkcZ0hdnFDIqikdeSBurfbb8pygBs/ZrodZnW6azi+hfrF5pHSuAl kCt11SZc4nCfQj/M+89lNZvKwY8NjH6F58W2X6wolg4Dh+g4s/EY3tmSMJkDl6RrBU3c36c+g1M+ 5VFjhQ1ku2ihgs8zTbhFnV9Ein5DlQFUP5lEkZMiySVifRPa7uMUyOO7bFLKif4/oKhiyR49q2Ht KLIHHiyGYf2tzaqYnXJLpWkyRjibDDOs4G21T3EfoZ75/pWfaRNhZI0bfxz6qLGwk4j0yX9++yVl jRoaBesfiTYm9AMbM7XJKwM+8QDxvb9wvlOwoXNdTHUoskWEVrBE7oKu+0GcztF/lM/zPNce0Ei0 hNm2FQo0q7Bk+dJeTGyxk9NOu8eWzv8YDNKc1ZCA1Nbw8i3rgmR8D2AY+O+AuT6QM4CyKdO/NfrV EPY1JdJVdf1wjMSlTWyZyAZvS8prbKuykA4P/q9PVkHaaIyz04LgEtjNPK1x/IhDzEGvJbNNOaqB DIaj/l0SzdgcE1iKI2Iti6ZiTwpyaXOheNcYLvgbDnPp4pKNp725XWQ1X2qazgBtzoQvLEC0briQ W8cNzur+UtyASvoe9duwk5mKWBCpMVcPHuYWWAtIcUlkJf8ZknY2dDzM40t5jciyjaC9abTcbUJm uENHmRFN1r1RfvyQUegKs1UM4Z3qXxXJOoEg5l+XA/+P10QQ5pEJlIBvjMBmeQELweDglScbIKNH LKpL7YcNyqhoEkYEhTeQHI3fd+csDyDRYnZjQFNjU2qwnn8HCTqwvOohJsluOx3LgPl7DNzobaWU UG2CrfS1t7fdTkcSHoumwLL/utu8kF9dlojqTJHOlew8jm/u88YqmTpUDxOoq1BE/Y5NaOYJXEnF ac5IRJE35y15vOLVhJfLquGTvBKDk6kcuDPAXBw+OTzPNjnv1KwgXFzz3RmBtNwDQO9v/q/b+4bE giUT3qxinAh3rpTwSnDsAIxHNcZLJgdW8qjIgiw+6E+sSkxbBtTVnhixX+XXJoiHIo/fD8aWQTb/ +xMr8xvUTTWQN2WiU4S5eG+MW5cb/6JP6jenBiRj05t+we5IvgByxornREf7sXZv1esXk0yQ0r2a Aa+DEa3eRkH+eYWiPxWfEHx9Fo4cANm7hmTY9HGMTBi3xJxlabPnUpJ1On+MCLNE+U/v31pZ9sS1 6+AM7xggPYtvSMaMA9XduoL/AjtmSv52upB6BEY0dRK2VYXfg8rSCovR0RKX/LS16m6f7g9KcHbF agrcL8reFKRxkBx3TTMBZ9D5UlUNzsndoULKBln1OKNAJXZl8JrRveAjyYrH/a7AcKp/0u0QaIV6 mQ/8+CaWWXTOtv1+v1EYS3jlL5tLmV+N4Wlp+m847Ukpq5qrmqROv2tba7AF4FlsUMzP+VbgNasF HcrvZxQoP7oSmZhfesK74wxZotBiRZ3HqMfGj62jaOec7zHRUHED7ULVzu1X/1Lr3ugzhSegP6Hu 8ZH4cpN+afZNbZKXL5wYqAE+VBcvt2EsgGh7mv7NGW5qVLBSZ6KdH82Jy3kZN52ph2VWkiISWf7u Ygi2reZiKBk2h4REpRLwUH6Ge+HAloSQCn5tpKwBe9C+bw7Rpe/Hts8xQsQ6J4sHXJaf7SUVaLzS PvquKgJ2YY9gjAlGLq+q62aZFwMl37w/QoRrHklfeU8idvjhMleN+ITKcSRT5fu5Qto4JR+HlVv6 RSiR7gLPSPcIiHX2MORYY0TTqKlo1KBjbJzl/bcP8JDvMbJY1sANi3vtF4aPAT2KZQ6BLaJt/5Tn OdES/YAL+1eUaZZmneZxL0qv5aWDMilYMSTPrbzQOMKOLP11d24rmCw+uoWj63RZQ42tDYhXOVPW /PdsRkIAdrWwyo2/LFAmNWwvdEuLfiKXpUXRE7WLnL17MRB1CwZO1cOoE6bWIaoYdLikyfomSM3p UPJXN1vD9sPPvMuIrNr6iInrCopAnH60n50Hx2A4vKJxQtESiyuN0LlYEnJoOGUcmzrQ10tBNaYW +pFs4adaXN83X4FdhEduhGICvogLkJgAFDzZShUN93AEb9jW8yT3gpVCR0HYk5pFL1IxwN/TgWoj dB1dHkYI1QyQXDqaLv2UMBtPKpf2WyJUUIZBFGCcidtFDJ1Fxnm90qf9R+mYSl1pJUiy2ENDg957 qk4zlHAmzLgbuQoJLWXyZGGm+U5Q1iUPYa/LpYL58RhJjpDhEqG0+iaL+rsYW0degApjakzdM4vw YmDZwdbUGvAQQ4flSb+2cCO3/uBYWmSIvlX5Rj5poMhM49dl80Iq0Iv+m+OHeKgcnt8RCwVetUfr 0Q== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block uS9Fi4wEl+hlOoAxATWz7JOEkR0NrTOAPXB71RDz/0sJ9oBkdyJcZqzmiJBSpJVLGXrHypKErbng NIq2yEIKicsHE2U2q0TwmOX5SeBUf5ATfJiLQmZtyrgyJ/TKwJ5Nrg3HL+15E0oFzqZEKRQD0RV0 gUht+SMMiNU2xM6RPT7pKCsVb5W4nxZuUNAOyuABEDGRH8YW/kscyF5trBuA48XfiXtVpzBwqK6v PeJ+bU10he4Sno6k9Dn4FGHEKjKtWs1EQPCyJM25dDSrh8kM7MRJepMfF7YseaGlTZntu/uKxJDR ZL3LeAxQZMrU6BodVmaZalC+X5WBYD/UwSiWkQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5872) `protect data_block IQQzY8hMnjpN1vpICzvLVd6bph9UPHseU133rbCKFWvWDaMw7E2vs4wYfT/doafWormh/KMi8bb4 4kasjjx5bkYTxgTVctlgXQRl4/TWVzv2jZKZbyjhjH/GMQIJn+9Crq2v/SAVxJKJXPmNCSpKza8i YzkoYVP9Ckcch1QTVkNDpwSv16d0OPvSJum1UeA/NJvX42My+xtlflF3gKlNVkibjUAzAg3l6C+r OR3MECq8nwr+wLE9uRPgVAhyzAX1bJXMJVVEis35F1BJD/jZ6nBwKIV2Jrt43TdGuj4AQoxsbMJL EBfOb9GXwj+hYpuK4Hn6v8u8XEHPqbx0U52DZK/uom9+ycj9RGCGBJB/EhsL+ruHwCwkjrPxjZRn /SNDInl34u0zbVzHANzBNJWAEDveitfzPjU5y0x7RTii0W0J4X8ZHaldZLYUHZWMCgCsNfZnB9l0 dZqdSIpBFWAhM/2vJM3Th68fzBRTx+rCO9xLjZldkVHKxIOPyUDcla8lfuK5HFN214o3Sn65+LwC 9P9F5xJCSsGbacuybcL5eaAu47ezJnnlB+BrAyJEFmBJHlJwJqCRs+mdRQ2ff1IdHoJUyRiMKaYt W9VtzGe+CT3rcCTGmILjGcDRnhdbDf/Wz4NrhfZEbVQSOY051ZqTi70AITIZUOe96d0ROyl6i4Hf uqLVicttjSsJzcDS3PgNj/NRCoXL5jfx7SN+DxOF6BFLA2iVc9Vuu6NNDOXXH5wblPQWdycIYq+B lPF45mK6BXsm+ffR1NY/uyvUqHWzKAS9r1slCt9qT82uvTL9M6gOQWQxSRQJ+hmig7+uNuhBbD5e c48IcPd8VbWVmPqhpZTbbcBx7Fwy7gEAc8FFyf4MA6fz39JrGG2ulfNukg6ZUVLSTI+HIqIdQO2E pnWPgShSpoCfL0eXC6bqawBHoJ4mdUdQB4EoKPyHT7jbOf9D6CBsU+1yytPe9lk69bYZu75F8BkH FfpTZM5scBgHP0oNa3LBwY4IfCRHIPgeOxokiewBoVYUyMVfVesBj5EksaFPkbptIHfHgOUCraFj B3YVlcbIuwH8XqdbMMi6T9AuUwqHoSQOLDQoQRvBsMhGODREVRm6teoDsOCDdfJk1CIOPSWjaanN qOSLakzmtR48dYJFvDRDHo5RkTlxHAaytUx/d7ed533PtDT8UaB2k09jB8HSfVztTMkI310NyQlb UeJDNfQ2c4MIgF+MmBzwXOrJ9s+WsP7JiTArMZjDw7zIvk0dW49JZfyM4lQlpFg+iWduBPkplmQd /ZPtgNE3XW/fBXaaVHiyFMpwbDI2Jz8AxEd1qthRMLUUX1dkIB5OpPETXVIdfu6Jc0wcWZSTWeEV G2Y2VWlLZDlSZ/SNob4+bFK4HU89MdUYHAm0hJl7NPLG/m1U36l7esgG0qDPyA7nkidnvyPifFA3 RbnRqBKpBQ7sDamqD1jW7fNKQ2GoZ77YCVEVoTtQ21FQH/+WXGvPjexv7/B6BRX9B4dTRTyFmAAz iqa+UzWPKXRi9+eVWOmHz3oYlykUPeYFu2ov05mSvAqZxtwgwnMwTILGE4p/Wm+fzlyv11VfPw9E mAAE2/F3DSrJC52ClGOSNUosyT6ydXdrA4+REkhAfNvKuJxJNe+pJhAb8ATFBhElpIROKIcwIwYl Oq+PEO0+uhfGuB2ntjzFcFGg6RzRZY4nKJJzW0xuf7UU19GOTxY5FDOpc9nGjZWsKb4PbUxFjh/3 eprjJwHE9ZQs2indikicztqzXPvaxO9z/82ndRfmDD7j4RmA7GfdgJvm69niX9/Y+mbRrtHyXqQf ewSIDBa4RARDXe+Sx4kYM5ckxC5WyXfs+KD9OdeZvOZCPv4rsd3pjg8ZPKc0HsB9sLjWHHzLUsMG TFbfZT4aYgLtVS/0RxqdrLJs/r1KEF7eWRiCqOMvrVkIkzlOcfv3htAHyrj7UZQ8oiI1yNzQcMTB kq0z1+trMvu81hyl8vgP1VQiSuBdy18QLwrMbwQf9uklI54WX7v/vitNK3tjEdt+pg88e7OnbZ3e CpPYPOgehYE3wGGBKLubXqDfnBPJE+LF6ybb/3qH9Xb9nojUlivsjn84t58UcMLeagq0ZL+qtm+A NYCKmEFOuhxnsjGyhTOsAxeHQfYqY+XI/3T9TOL8Ze4wm87PX8vp4bVDEcY9NnGZUwhcy9DlO1S9 DU7mFh+m5QpQyOgKm2Of8vuT3aCGAwMF1RNcNpQdSFhx4NGHfwU4KyKwfRxV+CJXEYsFRr81zQuu x2d/L9ukPWa5axjXNlZmy4vF+PkEWTxjx5f0PZz3N1aWL8DGKxG++BSFQJIci2ikbrkmPzX/e19H sg0oANoE0KMcz77oajNJKoW1EZM4FnRpVmSjc7aJdXB5q4v9rXeVxDuWhd6mDJsV3kFtEBhNFX+Y 9TDHIMzF0Cp0/WlaBCuwthvEgMkuSLZVMzr1wpAuZnzw4ZOM7jsTF49ErM7NrChKJfpVcGbEH25C b3GKIv2c7C6CFsT4j91qTdkYKowFI2g2w5dJcWQZ5u6V/a9Xjdv72qRZORjcCN9rTOKlg5fsOF+y zOKHuBenuNnDlRipLijq4LT1VgV1z7dL9FZZ4zeiRXYJSkkjyU32uF1p9sbeCUbQHysl0m8ioEYJ IRzpQoqmleZ1nUY/q1gHbKyJOE8k4YgXJqLzB58LJxlFd5B2oWAbBKKR1GCnCua+NoPW5NN+U28u LuBwCTHJP12xcRI19ul6fOnvYy7kcl8zw7a5po030eutOg9HfC0G+1v3QaHHNUAigZObBi9qiNWP i39NeAyEww7TGgLsBmwcK5f1+GKw1alAa62rncF/68ny+SJwOXcsohJIkFSl4lIapdTfCaQK9+jN /GveFHG2W9zf4SG5T/bfJbq71/5EMAZdqu0EyYOaq4QJZLxHwXqVfQpXWAgwj4Y+NStEnZGEKE7s mKplcZehd82PLEirGWuErpfNa56j2GQIs7Auf8iFZ2Z/d1898pgYypNlFN0G7dxZqPrIPXdw2b6r 8mg8pZJH5di8TMSqFSDCN0AZPmNPiib/1lf6jtYsg6scZZ6BWtskGebcGK4Sd2C1vceH1iYLRi+6 6v4vjYVvWHPdD0ivLar0ufJhq4X94EKYpSAggIqQGblSDru2f7PPMvGx7+Csdv8BA1mZQ6s+iAEG V4sOqKSIsAAXlOhj2XkYWHP1CCrqJ0wj14swaQitv9FBZGLB9y6GXrpkIrWuSPoj7Pp/vyTmMxWP OcrBS0Mc9zkxMSzmocCabUz4Rgpr2boZymr+xj6lWiKnXz9Pe1c83+15ssC4BVZaE+wjfpLpDcKr 5LxBhSIRaJH5UlNmkEPuYy16MRQ/CuwXhxwu744RhIDa6tODmbPOaatvxdVqwuuqqi7hMwZ+fUJz 1Md1cWTyyhGKVu0a/R+ftrDfrTnrn3g2Ery1+5Vr3Z4Jwax7obkPT0gUd/ITGn7Tr9uSbY+KVV9B LCduNRWF9RfyItw2wYU79VH9H6nS/KRsBkYl+sdwbkPqxczVNuk6O3E8/ol+kb7Ns1K5JcPnOWKn QWCsGAdz9Tl/dKBALWZ1aVfq/D17MdxuJfGOVHIU1okZLidFdx8PzbZeIR1T5tKH1NPRiUvxIMxL 3GuP3tGU+of0MEqHfi6ut7iNViJruEKxwU/61DbF5VT+CE9ntw4gvdUtGsGME/vs2vATU1sHd/GH BuwZ68siwM63ahIXnX5YJjNKpOFzdyCe3tTUKnBeh1sC5tTqlFoHwlbxTMkHY2baZGQtSwhVL5Ir ZynANe1zJuDSMjLLVHb82vgiLFjezwhgVOSgRyma2lVSHoTraTNPUpeaXUEtjkMWLUAYBttxMFaM 1K+vFtp8bCFrper+pcsB0V9vtrPlXknoCYNstHTWFtSLGcZ7ohNhr45XZwkbuBrwVp27KsMKRDlb W6XwKbL2bRc0rIsI555JQ+SO52NcCQAAn8UswtPGEcj+rYgeiZlUi7e6Crbts2L4uZepMe0X7OjF 1Er67NYNzkvCUencn+EwkMz/K+NtaRTC4DZKQXutDmnEWpxT2a50QthSDMLupz9MF4kkhKvkHbco 9VBpn/mw0eBT7sfZYVn0/Gx+alC38s9A/KQIcVcx9TUqD55xz8P6qOpq3RYmyQfoM5gWCFUG/Rwg cu6wWERCRQqg5cPqQy2VP2TL2xa5/728C5YWcI2vFgPWjC29W2wgO3+VuRQqIDS8T6CcyXSGc5R5 qzjV1IIP7XYIijAJrCVdd7q8fEq2iwnxd98lM3xJxeyt/qgFCjWpwaV/MaI1GXbLLzjnOLYjjfih 2Apl1dosTf4nG9jXGHCovc3ycgI7dkMPICym12pwNB0NxVd7WjFbqrd+BjlSQFerqWNQMIyIMFsH r8vfF2wDoRC8I63YMSJLpCmyJ1pCPy+93XQbWw9YHSQSgJ59OjgEW05k/+NyklLgveyCO2fgGlsL CioepZ4ipVFVljqLegGHxngfGAidE350YdAboFBjZ2au7jCksOVMwN+pW5ZHIEhizxucmLTaWBJb MXK/u85vYNJ2h4DbZh3db+KSnTad19EOJ+Pex2Y+wci3i+TUehzMGyd94rDpFnxm6aLb4akmpZwX qhicpOrpIQRxErbCRc3LzO0VPETuzAkyLqGelDqHP2N9aSwQweP3lRgaZ0xEGb/vHAwLTjLSCTD2 O++26XbeFTNcj+pAw/8uwlhZgFbvn6JfdtjTHfHItKrwb6G8KAagCZRfLQjdTeaJ0VO4dAbg7vi6 4wKHv0CsAO3if7ZzQGlA1RpZzF087vhDe03nGLpF4OkFXjf84R1hEH8PIT46u2I5m+7cZm5i2hQL XRXp2LCNL8I+7xxHZO/u0ZUViNbzGYG6b2n5KXCiYwt3MM7u7ZYaRYYKMEDMuR4izR9oknTA8shQ Gr6Qnvy6X6d0TKcNnWnfD7bsk1PBOHRsXfpGMiMPVzxFyp7IrYfyJEa8rGvALWcMzK6+bFY0fkOo lB9IqQVAYDropW2ZlMs7r7UtgZ/P1VepKqzS7LoP/mhu7mqkmFYW7q5XsAkGy704ayzg1NNrC6cM 8sGz80VeqlkJ/f6a/i2neq4hyc5gidr2fghm1dZ02IRnDpHL1ZN/0AMkxpKB3fWQK/v+H0Q61miL FO9gxYTqON3rLwJ2LUVwYNTcMsiq+XyeyYSCiCD3CTRVEuh770sZJXqpHoh9LSPZQTZUHOBnmktG P8eqTcgfz84++OsqOnkuW6ZxzLcSoPZVNeXS/Cgs48xuxvDuKv338g9Lpb649Pp1WXwpwl1uU1w9 Aml1QefOZoI2rZwly9yPFOkndo7Vab2mG30LNkoZfNZUIistwujtnQVSgHcAWJgNPKrkMfR6h9DS mlDxBQrsdQZ7EpbWFE6bhSGs4fIGwI20gJGi7PXv4Poc9zbcnwx0wVizPWL4sxzi/Q4QjCiVpcya O9UC5BaT2u6/rokVARMsdFqmkcZ0hdnFDIqikdeSBurfbb8pygBs/ZrodZnW6azi+hfrF5pHSuAl kCt11SZc4nCfQj/M+89lNZvKwY8NjH6F58W2X6wolg4Dh+g4s/EY3tmSMJkDl6RrBU3c36c+g1M+ 5VFjhQ1ku2ihgs8zTbhFnV9Ein5DlQFUP5lEkZMiySVifRPa7uMUyOO7bFLKif4/oKhiyR49q2Ht KLIHHiyGYf2tzaqYnXJLpWkyRjibDDOs4G21T3EfoZ75/pWfaRNhZI0bfxz6qLGwk4j0yX9++yVl jRoaBesfiTYm9AMbM7XJKwM+8QDxvb9wvlOwoXNdTHUoskWEVrBE7oKu+0GcztF/lM/zPNce0Ei0 hNm2FQo0q7Bk+dJeTGyxk9NOu8eWzv8YDNKc1ZCA1Nbw8i3rgmR8D2AY+O+AuT6QM4CyKdO/NfrV EPY1JdJVdf1wjMSlTWyZyAZvS8prbKuykA4P/q9PVkHaaIyz04LgEtjNPK1x/IhDzEGvJbNNOaqB DIaj/l0SzdgcE1iKI2Iti6ZiTwpyaXOheNcYLvgbDnPp4pKNp725XWQ1X2qazgBtzoQvLEC0briQ W8cNzur+UtyASvoe9duwk5mKWBCpMVcPHuYWWAtIcUlkJf8ZknY2dDzM40t5jciyjaC9abTcbUJm uENHmRFN1r1RfvyQUegKs1UM4Z3qXxXJOoEg5l+XA/+P10QQ5pEJlIBvjMBmeQELweDglScbIKNH LKpL7YcNyqhoEkYEhTeQHI3fd+csDyDRYnZjQFNjU2qwnn8HCTqwvOohJsluOx3LgPl7DNzobaWU UG2CrfS1t7fdTkcSHoumwLL/utu8kF9dlojqTJHOlew8jm/u88YqmTpUDxOoq1BE/Y5NaOYJXEnF ac5IRJE35y15vOLVhJfLquGTvBKDk6kcuDPAXBw+OTzPNjnv1KwgXFzz3RmBtNwDQO9v/q/b+4bE giUT3qxinAh3rpTwSnDsAIxHNcZLJgdW8qjIgiw+6E+sSkxbBtTVnhixX+XXJoiHIo/fD8aWQTb/ +xMr8xvUTTWQN2WiU4S5eG+MW5cb/6JP6jenBiRj05t+we5IvgByxornREf7sXZv1esXk0yQ0r2a Aa+DEa3eRkH+eYWiPxWfEHx9Fo4cANm7hmTY9HGMTBi3xJxlabPnUpJ1On+MCLNE+U/v31pZ9sS1 6+AM7xggPYtvSMaMA9XduoL/AjtmSv52upB6BEY0dRK2VYXfg8rSCovR0RKX/LS16m6f7g9KcHbF agrcL8reFKRxkBx3TTMBZ9D5UlUNzsndoULKBln1OKNAJXZl8JrRveAjyYrH/a7AcKp/0u0QaIV6 mQ/8+CaWWXTOtv1+v1EYS3jlL5tLmV+N4Wlp+m847Ukpq5qrmqROv2tba7AF4FlsUMzP+VbgNasF HcrvZxQoP7oSmZhfesK74wxZotBiRZ3HqMfGj62jaOec7zHRUHED7ULVzu1X/1Lr3ugzhSegP6Hu 8ZH4cpN+afZNbZKXL5wYqAE+VBcvt2EsgGh7mv7NGW5qVLBSZ6KdH82Jy3kZN52ph2VWkiISWf7u Ygi2reZiKBk2h4REpRLwUH6Ge+HAloSQCn5tpKwBe9C+bw7Rpe/Hts8xQsQ6J4sHXJaf7SUVaLzS PvquKgJ2YY9gjAlGLq+q62aZFwMl37w/QoRrHklfeU8idvjhMleN+ITKcSRT5fu5Qto4JR+HlVv6 RSiR7gLPSPcIiHX2MORYY0TTqKlo1KBjbJzl/bcP8JDvMbJY1sANi3vtF4aPAT2KZQ6BLaJt/5Tn OdES/YAL+1eUaZZmneZxL0qv5aWDMilYMSTPrbzQOMKOLP11d24rmCw+uoWj63RZQ42tDYhXOVPW /PdsRkIAdrWwyo2/LFAmNWwvdEuLfiKXpUXRE7WLnL17MRB1CwZO1cOoE6bWIaoYdLikyfomSM3p UPJXN1vD9sPPvMuIrNr6iInrCopAnH60n50Hx2A4vKJxQtESiyuN0LlYEnJoOGUcmzrQ10tBNaYW +pFs4adaXN83X4FdhEduhGICvogLkJgAFDzZShUN93AEb9jW8yT3gpVCR0HYk5pFL1IxwN/TgWoj dB1dHkYI1QyQXDqaLv2UMBtPKpf2WyJUUIZBFGCcidtFDJ1Fxnm90qf9R+mYSl1pJUiy2ENDg957 qk4zlHAmzLgbuQoJLWXyZGGm+U5Q1iUPYa/LpYL58RhJjpDhEqG0+iaL+rsYW0degApjakzdM4vw YmDZwdbUGvAQQ4flSb+2cCO3/uBYWmSIvlX5Rj5poMhM49dl80Iq0Iv+m+OHeKgcnt8RCwVetUfr 0Q== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block uS9Fi4wEl+hlOoAxATWz7JOEkR0NrTOAPXB71RDz/0sJ9oBkdyJcZqzmiJBSpJVLGXrHypKErbng NIq2yEIKicsHE2U2q0TwmOX5SeBUf5ATfJiLQmZtyrgyJ/TKwJ5Nrg3HL+15E0oFzqZEKRQD0RV0 gUht+SMMiNU2xM6RPT7pKCsVb5W4nxZuUNAOyuABEDGRH8YW/kscyF5trBuA48XfiXtVpzBwqK6v PeJ+bU10he4Sno6k9Dn4FGHEKjKtWs1EQPCyJM25dDSrh8kM7MRJepMfF7YseaGlTZntu/uKxJDR ZL3LeAxQZMrU6BodVmaZalC+X5WBYD/UwSiWkQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5872) `protect data_block IQQzY8hMnjpN1vpICzvLVd6bph9UPHseU133rbCKFWvWDaMw7E2vs4wYfT/doafWormh/KMi8bb4 4kasjjx5bkYTxgTVctlgXQRl4/TWVzv2jZKZbyjhjH/GMQIJn+9Crq2v/SAVxJKJXPmNCSpKza8i YzkoYVP9Ckcch1QTVkNDpwSv16d0OPvSJum1UeA/NJvX42My+xtlflF3gKlNVkibjUAzAg3l6C+r OR3MECq8nwr+wLE9uRPgVAhyzAX1bJXMJVVEis35F1BJD/jZ6nBwKIV2Jrt43TdGuj4AQoxsbMJL EBfOb9GXwj+hYpuK4Hn6v8u8XEHPqbx0U52DZK/uom9+ycj9RGCGBJB/EhsL+ruHwCwkjrPxjZRn /SNDInl34u0zbVzHANzBNJWAEDveitfzPjU5y0x7RTii0W0J4X8ZHaldZLYUHZWMCgCsNfZnB9l0 dZqdSIpBFWAhM/2vJM3Th68fzBRTx+rCO9xLjZldkVHKxIOPyUDcla8lfuK5HFN214o3Sn65+LwC 9P9F5xJCSsGbacuybcL5eaAu47ezJnnlB+BrAyJEFmBJHlJwJqCRs+mdRQ2ff1IdHoJUyRiMKaYt W9VtzGe+CT3rcCTGmILjGcDRnhdbDf/Wz4NrhfZEbVQSOY051ZqTi70AITIZUOe96d0ROyl6i4Hf uqLVicttjSsJzcDS3PgNj/NRCoXL5jfx7SN+DxOF6BFLA2iVc9Vuu6NNDOXXH5wblPQWdycIYq+B lPF45mK6BXsm+ffR1NY/uyvUqHWzKAS9r1slCt9qT82uvTL9M6gOQWQxSRQJ+hmig7+uNuhBbD5e c48IcPd8VbWVmPqhpZTbbcBx7Fwy7gEAc8FFyf4MA6fz39JrGG2ulfNukg6ZUVLSTI+HIqIdQO2E pnWPgShSpoCfL0eXC6bqawBHoJ4mdUdQB4EoKPyHT7jbOf9D6CBsU+1yytPe9lk69bYZu75F8BkH FfpTZM5scBgHP0oNa3LBwY4IfCRHIPgeOxokiewBoVYUyMVfVesBj5EksaFPkbptIHfHgOUCraFj B3YVlcbIuwH8XqdbMMi6T9AuUwqHoSQOLDQoQRvBsMhGODREVRm6teoDsOCDdfJk1CIOPSWjaanN qOSLakzmtR48dYJFvDRDHo5RkTlxHAaytUx/d7ed533PtDT8UaB2k09jB8HSfVztTMkI310NyQlb UeJDNfQ2c4MIgF+MmBzwXOrJ9s+WsP7JiTArMZjDw7zIvk0dW49JZfyM4lQlpFg+iWduBPkplmQd /ZPtgNE3XW/fBXaaVHiyFMpwbDI2Jz8AxEd1qthRMLUUX1dkIB5OpPETXVIdfu6Jc0wcWZSTWeEV G2Y2VWlLZDlSZ/SNob4+bFK4HU89MdUYHAm0hJl7NPLG/m1U36l7esgG0qDPyA7nkidnvyPifFA3 RbnRqBKpBQ7sDamqD1jW7fNKQ2GoZ77YCVEVoTtQ21FQH/+WXGvPjexv7/B6BRX9B4dTRTyFmAAz iqa+UzWPKXRi9+eVWOmHz3oYlykUPeYFu2ov05mSvAqZxtwgwnMwTILGE4p/Wm+fzlyv11VfPw9E mAAE2/F3DSrJC52ClGOSNUosyT6ydXdrA4+REkhAfNvKuJxJNe+pJhAb8ATFBhElpIROKIcwIwYl Oq+PEO0+uhfGuB2ntjzFcFGg6RzRZY4nKJJzW0xuf7UU19GOTxY5FDOpc9nGjZWsKb4PbUxFjh/3 eprjJwHE9ZQs2indikicztqzXPvaxO9z/82ndRfmDD7j4RmA7GfdgJvm69niX9/Y+mbRrtHyXqQf ewSIDBa4RARDXe+Sx4kYM5ckxC5WyXfs+KD9OdeZvOZCPv4rsd3pjg8ZPKc0HsB9sLjWHHzLUsMG TFbfZT4aYgLtVS/0RxqdrLJs/r1KEF7eWRiCqOMvrVkIkzlOcfv3htAHyrj7UZQ8oiI1yNzQcMTB kq0z1+trMvu81hyl8vgP1VQiSuBdy18QLwrMbwQf9uklI54WX7v/vitNK3tjEdt+pg88e7OnbZ3e CpPYPOgehYE3wGGBKLubXqDfnBPJE+LF6ybb/3qH9Xb9nojUlivsjn84t58UcMLeagq0ZL+qtm+A NYCKmEFOuhxnsjGyhTOsAxeHQfYqY+XI/3T9TOL8Ze4wm87PX8vp4bVDEcY9NnGZUwhcy9DlO1S9 DU7mFh+m5QpQyOgKm2Of8vuT3aCGAwMF1RNcNpQdSFhx4NGHfwU4KyKwfRxV+CJXEYsFRr81zQuu x2d/L9ukPWa5axjXNlZmy4vF+PkEWTxjx5f0PZz3N1aWL8DGKxG++BSFQJIci2ikbrkmPzX/e19H sg0oANoE0KMcz77oajNJKoW1EZM4FnRpVmSjc7aJdXB5q4v9rXeVxDuWhd6mDJsV3kFtEBhNFX+Y 9TDHIMzF0Cp0/WlaBCuwthvEgMkuSLZVMzr1wpAuZnzw4ZOM7jsTF49ErM7NrChKJfpVcGbEH25C b3GKIv2c7C6CFsT4j91qTdkYKowFI2g2w5dJcWQZ5u6V/a9Xjdv72qRZORjcCN9rTOKlg5fsOF+y zOKHuBenuNnDlRipLijq4LT1VgV1z7dL9FZZ4zeiRXYJSkkjyU32uF1p9sbeCUbQHysl0m8ioEYJ IRzpQoqmleZ1nUY/q1gHbKyJOE8k4YgXJqLzB58LJxlFd5B2oWAbBKKR1GCnCua+NoPW5NN+U28u LuBwCTHJP12xcRI19ul6fOnvYy7kcl8zw7a5po030eutOg9HfC0G+1v3QaHHNUAigZObBi9qiNWP i39NeAyEww7TGgLsBmwcK5f1+GKw1alAa62rncF/68ny+SJwOXcsohJIkFSl4lIapdTfCaQK9+jN /GveFHG2W9zf4SG5T/bfJbq71/5EMAZdqu0EyYOaq4QJZLxHwXqVfQpXWAgwj4Y+NStEnZGEKE7s mKplcZehd82PLEirGWuErpfNa56j2GQIs7Auf8iFZ2Z/d1898pgYypNlFN0G7dxZqPrIPXdw2b6r 8mg8pZJH5di8TMSqFSDCN0AZPmNPiib/1lf6jtYsg6scZZ6BWtskGebcGK4Sd2C1vceH1iYLRi+6 6v4vjYVvWHPdD0ivLar0ufJhq4X94EKYpSAggIqQGblSDru2f7PPMvGx7+Csdv8BA1mZQ6s+iAEG V4sOqKSIsAAXlOhj2XkYWHP1CCrqJ0wj14swaQitv9FBZGLB9y6GXrpkIrWuSPoj7Pp/vyTmMxWP OcrBS0Mc9zkxMSzmocCabUz4Rgpr2boZymr+xj6lWiKnXz9Pe1c83+15ssC4BVZaE+wjfpLpDcKr 5LxBhSIRaJH5UlNmkEPuYy16MRQ/CuwXhxwu744RhIDa6tODmbPOaatvxdVqwuuqqi7hMwZ+fUJz 1Md1cWTyyhGKVu0a/R+ftrDfrTnrn3g2Ery1+5Vr3Z4Jwax7obkPT0gUd/ITGn7Tr9uSbY+KVV9B LCduNRWF9RfyItw2wYU79VH9H6nS/KRsBkYl+sdwbkPqxczVNuk6O3E8/ol+kb7Ns1K5JcPnOWKn QWCsGAdz9Tl/dKBALWZ1aVfq/D17MdxuJfGOVHIU1okZLidFdx8PzbZeIR1T5tKH1NPRiUvxIMxL 3GuP3tGU+of0MEqHfi6ut7iNViJruEKxwU/61DbF5VT+CE9ntw4gvdUtGsGME/vs2vATU1sHd/GH BuwZ68siwM63ahIXnX5YJjNKpOFzdyCe3tTUKnBeh1sC5tTqlFoHwlbxTMkHY2baZGQtSwhVL5Ir ZynANe1zJuDSMjLLVHb82vgiLFjezwhgVOSgRyma2lVSHoTraTNPUpeaXUEtjkMWLUAYBttxMFaM 1K+vFtp8bCFrper+pcsB0V9vtrPlXknoCYNstHTWFtSLGcZ7ohNhr45XZwkbuBrwVp27KsMKRDlb W6XwKbL2bRc0rIsI555JQ+SO52NcCQAAn8UswtPGEcj+rYgeiZlUi7e6Crbts2L4uZepMe0X7OjF 1Er67NYNzkvCUencn+EwkMz/K+NtaRTC4DZKQXutDmnEWpxT2a50QthSDMLupz9MF4kkhKvkHbco 9VBpn/mw0eBT7sfZYVn0/Gx+alC38s9A/KQIcVcx9TUqD55xz8P6qOpq3RYmyQfoM5gWCFUG/Rwg cu6wWERCRQqg5cPqQy2VP2TL2xa5/728C5YWcI2vFgPWjC29W2wgO3+VuRQqIDS8T6CcyXSGc5R5 qzjV1IIP7XYIijAJrCVdd7q8fEq2iwnxd98lM3xJxeyt/qgFCjWpwaV/MaI1GXbLLzjnOLYjjfih 2Apl1dosTf4nG9jXGHCovc3ycgI7dkMPICym12pwNB0NxVd7WjFbqrd+BjlSQFerqWNQMIyIMFsH r8vfF2wDoRC8I63YMSJLpCmyJ1pCPy+93XQbWw9YHSQSgJ59OjgEW05k/+NyklLgveyCO2fgGlsL CioepZ4ipVFVljqLegGHxngfGAidE350YdAboFBjZ2au7jCksOVMwN+pW5ZHIEhizxucmLTaWBJb MXK/u85vYNJ2h4DbZh3db+KSnTad19EOJ+Pex2Y+wci3i+TUehzMGyd94rDpFnxm6aLb4akmpZwX qhicpOrpIQRxErbCRc3LzO0VPETuzAkyLqGelDqHP2N9aSwQweP3lRgaZ0xEGb/vHAwLTjLSCTD2 O++26XbeFTNcj+pAw/8uwlhZgFbvn6JfdtjTHfHItKrwb6G8KAagCZRfLQjdTeaJ0VO4dAbg7vi6 4wKHv0CsAO3if7ZzQGlA1RpZzF087vhDe03nGLpF4OkFXjf84R1hEH8PIT46u2I5m+7cZm5i2hQL XRXp2LCNL8I+7xxHZO/u0ZUViNbzGYG6b2n5KXCiYwt3MM7u7ZYaRYYKMEDMuR4izR9oknTA8shQ Gr6Qnvy6X6d0TKcNnWnfD7bsk1PBOHRsXfpGMiMPVzxFyp7IrYfyJEa8rGvALWcMzK6+bFY0fkOo lB9IqQVAYDropW2ZlMs7r7UtgZ/P1VepKqzS7LoP/mhu7mqkmFYW7q5XsAkGy704ayzg1NNrC6cM 8sGz80VeqlkJ/f6a/i2neq4hyc5gidr2fghm1dZ02IRnDpHL1ZN/0AMkxpKB3fWQK/v+H0Q61miL FO9gxYTqON3rLwJ2LUVwYNTcMsiq+XyeyYSCiCD3CTRVEuh770sZJXqpHoh9LSPZQTZUHOBnmktG P8eqTcgfz84++OsqOnkuW6ZxzLcSoPZVNeXS/Cgs48xuxvDuKv338g9Lpb649Pp1WXwpwl1uU1w9 Aml1QefOZoI2rZwly9yPFOkndo7Vab2mG30LNkoZfNZUIistwujtnQVSgHcAWJgNPKrkMfR6h9DS mlDxBQrsdQZ7EpbWFE6bhSGs4fIGwI20gJGi7PXv4Poc9zbcnwx0wVizPWL4sxzi/Q4QjCiVpcya O9UC5BaT2u6/rokVARMsdFqmkcZ0hdnFDIqikdeSBurfbb8pygBs/ZrodZnW6azi+hfrF5pHSuAl kCt11SZc4nCfQj/M+89lNZvKwY8NjH6F58W2X6wolg4Dh+g4s/EY3tmSMJkDl6RrBU3c36c+g1M+ 5VFjhQ1ku2ihgs8zTbhFnV9Ein5DlQFUP5lEkZMiySVifRPa7uMUyOO7bFLKif4/oKhiyR49q2Ht KLIHHiyGYf2tzaqYnXJLpWkyRjibDDOs4G21T3EfoZ75/pWfaRNhZI0bfxz6qLGwk4j0yX9++yVl jRoaBesfiTYm9AMbM7XJKwM+8QDxvb9wvlOwoXNdTHUoskWEVrBE7oKu+0GcztF/lM/zPNce0Ei0 hNm2FQo0q7Bk+dJeTGyxk9NOu8eWzv8YDNKc1ZCA1Nbw8i3rgmR8D2AY+O+AuT6QM4CyKdO/NfrV EPY1JdJVdf1wjMSlTWyZyAZvS8prbKuykA4P/q9PVkHaaIyz04LgEtjNPK1x/IhDzEGvJbNNOaqB DIaj/l0SzdgcE1iKI2Iti6ZiTwpyaXOheNcYLvgbDnPp4pKNp725XWQ1X2qazgBtzoQvLEC0briQ W8cNzur+UtyASvoe9duwk5mKWBCpMVcPHuYWWAtIcUlkJf8ZknY2dDzM40t5jciyjaC9abTcbUJm uENHmRFN1r1RfvyQUegKs1UM4Z3qXxXJOoEg5l+XA/+P10QQ5pEJlIBvjMBmeQELweDglScbIKNH LKpL7YcNyqhoEkYEhTeQHI3fd+csDyDRYnZjQFNjU2qwnn8HCTqwvOohJsluOx3LgPl7DNzobaWU UG2CrfS1t7fdTkcSHoumwLL/utu8kF9dlojqTJHOlew8jm/u88YqmTpUDxOoq1BE/Y5NaOYJXEnF ac5IRJE35y15vOLVhJfLquGTvBKDk6kcuDPAXBw+OTzPNjnv1KwgXFzz3RmBtNwDQO9v/q/b+4bE giUT3qxinAh3rpTwSnDsAIxHNcZLJgdW8qjIgiw+6E+sSkxbBtTVnhixX+XXJoiHIo/fD8aWQTb/ +xMr8xvUTTWQN2WiU4S5eG+MW5cb/6JP6jenBiRj05t+we5IvgByxornREf7sXZv1esXk0yQ0r2a Aa+DEa3eRkH+eYWiPxWfEHx9Fo4cANm7hmTY9HGMTBi3xJxlabPnUpJ1On+MCLNE+U/v31pZ9sS1 6+AM7xggPYtvSMaMA9XduoL/AjtmSv52upB6BEY0dRK2VYXfg8rSCovR0RKX/LS16m6f7g9KcHbF agrcL8reFKRxkBx3TTMBZ9D5UlUNzsndoULKBln1OKNAJXZl8JrRveAjyYrH/a7AcKp/0u0QaIV6 mQ/8+CaWWXTOtv1+v1EYS3jlL5tLmV+N4Wlp+m847Ukpq5qrmqROv2tba7AF4FlsUMzP+VbgNasF HcrvZxQoP7oSmZhfesK74wxZotBiRZ3HqMfGj62jaOec7zHRUHED7ULVzu1X/1Lr3ugzhSegP6Hu 8ZH4cpN+afZNbZKXL5wYqAE+VBcvt2EsgGh7mv7NGW5qVLBSZ6KdH82Jy3kZN52ph2VWkiISWf7u Ygi2reZiKBk2h4REpRLwUH6Ge+HAloSQCn5tpKwBe9C+bw7Rpe/Hts8xQsQ6J4sHXJaf7SUVaLzS PvquKgJ2YY9gjAlGLq+q62aZFwMl37w/QoRrHklfeU8idvjhMleN+ITKcSRT5fu5Qto4JR+HlVv6 RSiR7gLPSPcIiHX2MORYY0TTqKlo1KBjbJzl/bcP8JDvMbJY1sANi3vtF4aPAT2KZQ6BLaJt/5Tn OdES/YAL+1eUaZZmneZxL0qv5aWDMilYMSTPrbzQOMKOLP11d24rmCw+uoWj63RZQ42tDYhXOVPW /PdsRkIAdrWwyo2/LFAmNWwvdEuLfiKXpUXRE7WLnL17MRB1CwZO1cOoE6bWIaoYdLikyfomSM3p UPJXN1vD9sPPvMuIrNr6iInrCopAnH60n50Hx2A4vKJxQtESiyuN0LlYEnJoOGUcmzrQ10tBNaYW +pFs4adaXN83X4FdhEduhGICvogLkJgAFDzZShUN93AEb9jW8yT3gpVCR0HYk5pFL1IxwN/TgWoj dB1dHkYI1QyQXDqaLv2UMBtPKpf2WyJUUIZBFGCcidtFDJ1Fxnm90qf9R+mYSl1pJUiy2ENDg957 qk4zlHAmzLgbuQoJLWXyZGGm+U5Q1iUPYa/LpYL58RhJjpDhEqG0+iaL+rsYW0degApjakzdM4vw YmDZwdbUGvAQQ4flSb+2cCO3/uBYWmSIvlX5Rj5poMhM49dl80Iq0Iv+m+OHeKgcnt8RCwVetUfr 0Q== `protect end_protected
entity tb_thingy is end tb_thingy; architecture tb of tb_thingy is component thingy is port ( x_x : in bit; y_y : out bit ); end component; signal stimuli : bit; signal response : bit; begin dut : thingy port map ( x-x => stimuli, -- <== spelling error y_y => response ); end tb;
entity tb_thingy is end tb_thingy; architecture tb of tb_thingy is component thingy is port ( x_x : in bit; y_y : out bit ); end component; signal stimuli : bit; signal response : bit; begin dut : thingy port map ( x-x => stimuli, -- <== spelling error y_y => response ); end tb;
-- ____ _ _ -- / ___| ___ _ _ _ __ __| | __ _ __ _| |_ ___ ___ -- \___ \ / _ \| | | | '_ \ / _` |/ _` |/ _` | __/ _ \/ __| -- ___) | (_) | |_| | | | | (_| | (_| | (_| | || __/\__ \ -- |____/ \___/ \__,_|_| |_|\__,_|\__, |\__,_|\__\___||___/ -- |___/ -- ====================================================================== -- -- title: VHDL module - hwt_fir -- -- project: PG-Soundgates -- author: Hendrik Hangmann, University of Paderborn -- Lukas Funke, University of Paderborn -- -- description: Hardware thread for FIR Filter -- ====================================================================== library ieee; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; library proc_common_v3_00_a; use proc_common_v3_00_a.proc_common_pkg.all; library reconos_v3_00_c; use reconos_v3_00_c.reconos_pkg.all; library soundgates_v1_00_a; use soundgates_v1_00_a.soundgates_common_pkg.all; use soundgates_v1_00_a.soundgates_reconos_pkg.all; entity hwt_fir is generic( SND_COMP_CLK_FREQ : integer := 100_000_000 ); port ( -- OSIF FIFO ports OSIF_FIFO_Sw2Hw_Data : in std_logic_vector(31 downto 0); OSIF_FIFO_Sw2Hw_Fill : in std_logic_vector(15 downto 0); OSIF_FIFO_Sw2Hw_Empty : in std_logic; OSIF_FIFO_Sw2Hw_RE : out std_logic; OSIF_FIFO_Hw2Sw_Data : out std_logic_vector(31 downto 0); OSIF_FIFO_Hw2Sw_Rem : in std_logic_vector(15 downto 0); OSIF_FIFO_Hw2Sw_Full : in std_logic; OSIF_FIFO_Hw2Sw_WE : out std_logic; -- MEMIF FIFO ports MEMIF_FIFO_Hwt2Mem_Data : out std_logic_vector(31 downto 0); MEMIF_FIFO_Hwt2Mem_Rem : in std_logic_vector(15 downto 0); MEMIF_FIFO_Hwt2Mem_Full : in std_logic; MEMIF_FIFO_Hwt2Mem_WE : out std_logic; MEMIF_FIFO_Mem2Hwt_Data : in std_logic_vector(31 downto 0); MEMIF_FIFO_Mem2Hwt_Fill : in std_logic_vector(15 downto 0); MEMIF_FIFO_Mem2Hwt_Empty : in std_logic; MEMIF_FIFO_Mem2Hwt_RE : out std_logic; HWT_Clk : in std_logic; HWT_Rst : in std_logic ); end hwt_fir; architecture Behavioral of hwt_fir is ---------------------------------------------------------------- -- Subcomponent declarations ---------------------------------------------------------------- COMPONENT fir generic( FIR_ORDER : integer ); port( clk : in std_logic; rst : in std_logic; ce : in std_logic; coefficients : in mem16(FIR_ORDER downto 0); x_in : in signed(23 downto 0); y_out : out signed(23 downto 0) ); END COMPONENT; ---------------------------------------------------------------- -- Signal declarations ---------------------------------------------------------------- signal clk : std_logic; signal rst : std_logic; -- ReconOS Stuff signal i_osif : i_osif_t; signal o_osif : o_osif_t; signal i_memif : i_memif_t; signal o_memif : o_memif_t; signal i_ram : i_ram_t; signal o_ram : o_ram_t; constant MBOX_START : std_logic_vector(31 downto 0) := x"00000000"; constant MBOX_FINISH : std_logic_vector(31 downto 0) := x"00000001"; -- /ReconOS Stuff type STATE_TYPE is (STATE_IDLE, STATE_REFRESH_HWT_ARGS, STATE_READ_MEM, STATE_PROCESS, STATE_WRITE_MEM, STATE_NOTIFY, STATE_EXIT); signal state : STATE_TYPE; ---------------------------------------------------------------- -- Common sound component signals, constants and types ---------------------------------------------------------------- constant C_MAX_SAMPLE_COUNT : integer := 64; -- define size of local RAM here constant C_LOCAL_RAM_SIZE : integer := C_MAX_SAMPLE_COUNT; constant C_LOCAL_RAM_ADDRESS_WIDTH : integer := clog2(C_LOCAL_RAM_SIZE); constant C_LOCAL_RAM_SIZE_IN_BYTES : integer := 4*C_LOCAL_RAM_SIZE; type LOCAL_MEMORY_T is array (0 to C_LOCAL_RAM_SIZE-1) of std_logic_vector(31 downto 0); signal o_RAMAddr_fir : std_logic_vector(0 to C_LOCAL_RAM_ADDRESS_WIDTH-1); signal o_RAMData_fir : std_logic_vector(0 to 31); -- fir to local ram signal i_RAMData_fir : std_logic_vector(0 to 31); -- local ram to fir signal o_RAMWE_fir : std_logic; signal o_RAMAddr_reconos : std_logic_vector(0 to C_LOCAL_RAM_ADDRESS_WIDTH-1); signal o_RAMAddr_reconos_2 : std_logic_vector(0 to 31); signal o_RAMData_reconos : std_logic_vector(0 to 31); signal o_RAMWE_reconos : std_logic; signal i_RAMData_reconos : std_logic_vector(0 to 31); signal osif_ctrl_signal : std_logic_vector(31 downto 0); signal ignore : std_logic_vector(31 downto 0); constant o_RAMAddr_max : std_logic_vector(0 to C_LOCAL_RAM_ADDRESS_WIDTH-1) := (others=>'1'); shared variable local_ram : LOCAL_MEMORY_T; constant FIR_ORDER : integer := 28; ---------------------------------------------------------------- -- Memory management ---------------------------------------------------------------- signal ptr : natural range 0 to C_MAX_SAMPLE_COUNT-1; ---------------------------------------------------------------- -- Hardware arguements ---------------------------------------------------------------- signal hwtio : hwtio_t; -- arg[0] = source address -- arg[1] = destination address -- arg[2] = 1. coefficient -- arg[3] = 2. coefficient -- ... -- arg[30] = 29. coefficient -- argc = # 2 + number of coefficients constant hwt_argc : integer := 2 + FIR_ORDER + 1; ---------------------------------------------------------------- -- Component dependent signals ---------------------------------------------------------------- signal sample_count : unsigned(15 downto 0) := to_unsigned(C_MAX_SAMPLE_COUNT, 16); signal fir_ce : std_logic; -- fir clock enable (like a start/stop signal) signal sourceaddr : std_logic_vector(31 downto 0); signal destaddr : std_logic_vector(31 downto 0); signal process_state : integer range 0 to 7; signal x_i : signed(23 downto 0); -- 24 bit internal input sample signal y_i : signed(23 downto 0); -- 24 bit internal output sample signal sample_in : std_logic_vector(SAMPLE_WIDTH - 1 downto 0) := (others =>'0'); signal sample_out : std_logic_vector(SAMPLE_WIDTH - 1 downto 0); signal coefficients_i16 : mem16(FIR_ORDER downto 0); signal coefficients_i_0 : std_logic_vector(31 downto 0); signal coefficients_i_1 : std_logic_vector(31 downto 0); signal coefficients_i_2 : std_logic_vector(31 downto 0); signal coefficients_i_3 : std_logic_vector(31 downto 0); signal coefficients_i_4 : std_logic_vector(31 downto 0); signal coefficients_i_5 : std_logic_vector(31 downto 0); signal coefficients_i_6 : std_logic_vector(31 downto 0); signal coefficients_i_7 : std_logic_vector(31 downto 0); signal coefficients_i_8 : std_logic_vector(31 downto 0); signal coefficients_i_9 : std_logic_vector(31 downto 0); signal coefficients_i_10 : std_logic_vector(31 downto 0); signal coefficients_i_11 : std_logic_vector(31 downto 0); signal coefficients_i_12 : std_logic_vector(31 downto 0); signal coefficients_i_13 : std_logic_vector(31 downto 0); signal coefficients_i_14 : std_logic_vector(31 downto 0); signal coefficients_i_15 : std_logic_vector(31 downto 0); signal coefficients_i_16 : std_logic_vector(31 downto 0); signal coefficients_i_17 : std_logic_vector(31 downto 0); signal coefficients_i_18 : std_logic_vector(31 downto 0); signal coefficients_i_19 : std_logic_vector(31 downto 0); signal coefficients_i_20 : std_logic_vector(31 downto 0); signal coefficients_i_21 : std_logic_vector(31 downto 0); signal coefficients_i_22 : std_logic_vector(31 downto 0); signal coefficients_i_23 : std_logic_vector(31 downto 0); signal coefficients_i_24 : std_logic_vector(31 downto 0); signal coefficients_i_25 : std_logic_vector(31 downto 0); signal coefficients_i_26 : std_logic_vector(31 downto 0); signal coefficients_i_27 : std_logic_vector(31 downto 0); signal coefficients_i_28 : std_logic_vector(31 downto 0); signal coefficients_i_29 : std_logic_vector(31 downto 0); ---------------------------------------------------------------- -- OS Communication ---------------------------------------------------------------- constant FIR_START : std_logic_vector(31 downto 0) := x"0000000F"; constant FIR_EXIT : std_logic_vector(31 downto 0) := x"000000F0"; begin ----------------------------------- -- Component related wiring ----------------------------------- x_i <= signed(sample_in(31 downto 8)); sample_out <= std_logic_vector(y_i) & X"11" when y_i(23) = '1' else std_logic_vector(y_i) & X"00"; sourceaddr <= hwtio.argv(0); destaddr <= hwtio.argv(1); coefficients_i_0 <= hwtio.argv(2); coefficients_i_1 <= hwtio.argv(3); coefficients_i_2 <= hwtio.argv(4); coefficients_i_3 <= hwtio.argv(5); coefficients_i_4 <= hwtio.argv(6); coefficients_i_5 <= hwtio.argv(7); coefficients_i_6 <= hwtio.argv(8); coefficients_i_7 <= hwtio.argv(9); coefficients_i_8 <= hwtio.argv(10); coefficients_i_9 <= hwtio.argv(11); coefficients_i_10 <= hwtio.argv(12); coefficients_i_11 <= hwtio.argv(13); coefficients_i_12 <= hwtio.argv(14); coefficients_i_13 <= hwtio.argv(15); coefficients_i_14 <= hwtio.argv(16); coefficients_i_15 <= hwtio.argv(17); coefficients_i_16 <= hwtio.argv(18); coefficients_i_17 <= hwtio.argv(19); coefficients_i_18 <= hwtio.argv(20); coefficients_i_19 <= hwtio.argv(21); coefficients_i_20 <= hwtio.argv(22); coefficients_i_21 <= hwtio.argv(23); coefficients_i_22 <= hwtio.argv(24); coefficients_i_23 <= hwtio.argv(25); coefficients_i_24 <= hwtio.argv(26); coefficients_i_25 <= hwtio.argv(27); coefficients_i_26 <= hwtio.argv(28); coefficients_i_27 <= hwtio.argv(29); coefficients_i_28 <= hwtio.argv(30); coefficients_i16(0) <= signed(coefficients_i_0(31) & coefficients_i_0(14 downto 0)); coefficients_i16(1) <= signed(coefficients_i_1(31) & coefficients_i_1(14 downto 0)); coefficients_i16(2) <= signed(coefficients_i_2(31) & coefficients_i_2(14 downto 0)); coefficients_i16(3) <= signed(coefficients_i_3(31) & coefficients_i_3(14 downto 0)); coefficients_i16(4) <= signed(coefficients_i_4(31) & coefficients_i_4(14 downto 0)); coefficients_i16(5) <= signed(coefficients_i_5(31) & coefficients_i_5(14 downto 0)); coefficients_i16(6) <= signed(coefficients_i_6(31) & coefficients_i_6(14 downto 0)); coefficients_i16(7) <= signed(coefficients_i_7(31) & coefficients_i_7(14 downto 0)); coefficients_i16(8) <= signed(coefficients_i_8(31) & coefficients_i_8(14 downto 0)); coefficients_i16(9) <= signed(coefficients_i_9(31) & coefficients_i_9(14 downto 0)); coefficients_i16(10) <= signed(coefficients_i_10(31) & coefficients_i_10(14 downto 0)); coefficients_i16(11) <= signed(coefficients_i_11(31) & coefficients_i_11(14 downto 0)); coefficients_i16(12) <= signed(coefficients_i_12(31) & coefficients_i_12(14 downto 0)); coefficients_i16(13) <= signed(coefficients_i_13(31) & coefficients_i_13(14 downto 0)); coefficients_i16(14) <= signed(coefficients_i_14(31) & coefficients_i_14(14 downto 0)); coefficients_i16(15) <= signed(coefficients_i_15(31) & coefficients_i_15(14 downto 0)); coefficients_i16(16) <= signed(coefficients_i_16(31) & coefficients_i_16(14 downto 0)); coefficients_i16(17) <= signed(coefficients_i_17(31) & coefficients_i_17(14 downto 0)); coefficients_i16(18) <= signed(coefficients_i_18(31) & coefficients_i_18(14 downto 0)); coefficients_i16(19) <= signed(coefficients_i_19(31) & coefficients_i_19(14 downto 0)); coefficients_i16(20) <= signed(coefficients_i_20(31) & coefficients_i_20(14 downto 0)); coefficients_i16(21) <= signed(coefficients_i_21(31) & coefficients_i_21(14 downto 0)); coefficients_i16(22) <= signed(coefficients_i_22(31) & coefficients_i_22(14 downto 0)); coefficients_i16(23) <= signed(coefficients_i_23(31) & coefficients_i_23(14 downto 0)); coefficients_i16(24) <= signed(coefficients_i_24(31) & coefficients_i_24(14 downto 0)); coefficients_i16(25) <= signed(coefficients_i_25(31) & coefficients_i_25(14 downto 0)); coefficients_i16(26) <= signed(coefficients_i_26(31) & coefficients_i_26(14 downto 0)); coefficients_i16(27) <= signed(coefficients_i_27(31) & coefficients_i_27(14 downto 0)); coefficients_i16(28) <= signed(coefficients_i_28(31) & coefficients_i_28(14 downto 0)); ----------------------------------------------------------------- -- Memory Management ----------------------------------------------------------------- o_RAMAddr_fir <= std_logic_vector(TO_UNSIGNED(ptr, C_LOCAL_RAM_ADDRESS_WIDTH)); o_RAMData_fir <= sample_out; uut: fir generic map ( FIR_ORDER => FIR_ORDER ) PORT MAP( clk => clk, rst => rst, ce => fir_ce, coefficients => coefficients_i16, x_in => x_i, y_out => y_i ); ----------------------------------- -- Hard wirings ----------------------------------- clk <= HWT_Clk; rst <= HWT_Rst; o_RAMAddr_reconos(0 to C_LOCAL_RAM_ADDRESS_WIDTH-1) <= o_RAMAddr_reconos_2((32-C_LOCAL_RAM_ADDRESS_WIDTH) to 31); -- ReconOS Stuff osif_setup ( i_osif, o_osif, OSIF_FIFO_Sw2Hw_Data, OSIF_FIFO_Sw2Hw_Fill, OSIF_FIFO_Sw2Hw_Empty, OSIF_FIFO_Hw2Sw_Rem, OSIF_FIFO_Hw2Sw_Full, OSIF_FIFO_Sw2Hw_RE, OSIF_FIFO_Hw2Sw_Data, OSIF_FIFO_Hw2Sw_WE ); memif_setup ( i_memif, o_memif, MEMIF_FIFO_Mem2Hwt_Data, MEMIF_FIFO_Mem2Hwt_Fill, MEMIF_FIFO_Mem2Hwt_Empty, MEMIF_FIFO_Hwt2Mem_Rem, MEMIF_FIFO_Hwt2Mem_Full, MEMIF_FIFO_Mem2Hwt_RE, MEMIF_FIFO_Hwt2Mem_Data, MEMIF_FIFO_Hwt2Mem_WE ); ram_setup ( i_ram, o_ram, o_RAMAddr_reconos_2, o_RAMWE_reconos, o_RAMData_reconos, i_RAMData_reconos ); -- /ReconOS Stuff local_ram_ctrl_1 : process (clk) is begin if (rising_edge(clk)) then if (o_RAMWE_reconos = '1') then local_ram(to_integer(unsigned(o_RAMAddr_reconos))) := o_RAMData_reconos; else i_RAMData_reconos <= local_ram(to_integer(unsigned(o_RAMAddr_reconos))); end if; end if; end process; local_ram_ctrl_2 : process (clk) is begin if (rising_edge(clk)) then if (o_RAMWE_fir = '1') then local_ram(to_integer(unsigned(o_RAMAddr_fir))) := o_RAMData_fir; else i_RAMData_fir <= local_ram(to_integer(unsigned(o_RAMAddr_fir))); end if; end if; end process; FIR_CTRL_FSM_PROC : process (clk, rst, o_osif, o_memif) is variable done : boolean; begin if rst = '1' then osif_reset(o_osif); memif_reset(o_memif); ram_reset(o_ram); hwtio_init(hwtio); osif_ctrl_signal <= (others => '0'); state <= STATE_IDLE; o_RAMWE_fir <= '0'; ptr <= 0; sample_count <= to_unsigned(C_MAX_SAMPLE_COUNT, 16); -- number of samples processed done := False; elsif rising_edge(clk) then fir_ce <= '0'; o_RAMWE_fir <= '0'; osif_ctrl_signal <= ( others => '0'); case state is when STATE_IDLE => osif_mbox_get(i_osif, o_osif, MBOX_START, osif_ctrl_signal, done); if done then if osif_ctrl_signal = FIR_START then sample_count <= to_unsigned(C_MAX_SAMPLE_COUNT, 16); state <= STATE_REFRESH_HWT_ARGS; elsif osif_ctrl_signal = FIR_EXIT then state <= STATE_EXIT; end if; end if; when STATE_REFRESH_HWT_ARGS => get_hwt_args(i_osif, o_osif, i_memif, o_memif, hwtio, hwt_argc, done); if done then state <= STATE_READ_MEM; end if; when STATE_READ_MEM => -- store input samples in local ram memif_read(i_ram, o_ram, i_memif, o_memif, sourceaddr, X"00000000", std_logic_vector(to_unsigned(C_LOCAL_RAM_SIZE_IN_BYTES,24)), done); if done then state <= STATE_PROCESS; end if; when STATE_PROCESS => if sample_count > 0 then case process_state is -- Read one sample from local memory when 0 => sample_in <= i_RAMData_fir; -- not sure here process_state <= 2; when 2 => fir_ce <= '1'; o_RAMWE_fir <= '1'; process_state <= 3; when 3 => sample_count <= sample_count - 1; process_state <= 4; -- Write sample back to local memory when 4 => ptr <= ptr + 1; process_state <= 5; when 5 => process_state <= 6; when others => process_state <= 0; end case; else -- Samples have been generated ptr <= 0; state <= STATE_WRITE_MEM; end if; when STATE_WRITE_MEM => memif_write(i_ram, o_ram, i_memif, o_memif, X"00000000", destaddr, std_logic_vector(to_unsigned(C_LOCAL_RAM_SIZE_IN_BYTES,24)), done); if done then state <= STATE_NOTIFY; end if; when STATE_NOTIFY => osif_mbox_put(i_osif, o_osif, MBOX_FINISH, destaddr, ignore, done); if done then state <= STATE_IDLE; end if; when STATE_EXIT => osif_thread_exit(i_osif,o_osif); end case; end if; end process; end Behavioral; -- ==================================== -- = RECONOS Function Library - Copy and Paste! -- ==================================== -- osif_mbox_put(i_osif, o_osif, MBOX_NAME, SOURCESIGNAL, ignore, done); -- osif_mbox_get(i_osif, o_osif, MBOX_NAME, TARGETSIGNAL, done); -- Read from shared memory: -- Speicherzugriffe: -- Wortzugriff: -- memif_read_word(i_memif, o_memif, addr, TARGETSIGNAL, done); -- memif_write_word(i_memif, o_memif, addr, SOURCESIGNAL, done); -- Die Laenge ist bei Speicherzugriffen Byte adressiert! -- memif_read(i_ram, o_ram, i_memif, o_memif, SRC_ADDR std_logic_vector(31 downto 0); -- dst_addr std_logic_vector(31 downto 0); -- BYTES std_logic_vector(23 downto 0); -- done); -- memif_write(i_ram, o_ram, i_memif, o_memif, -- src_addr : in std_logic_vector(31 downto 0), -- dst_addr : in std_logic_vector(31 downto 0); -- len : in std_logic_vector(23 downto 0); -- done);
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench configuration -- Copyright (C) 2009 Aeroflex Gaisler ------------------------------------------------------------------------------ library techmap; use techmap.gencomp.all; package config is -- Technology and synthesis options constant CFG_FABTECH : integer := cyclone3; constant CFG_MEMTECH : integer := cyclone3; constant CFG_PADTECH : integer := cyclone3; constant CFG_NOASYNC : integer := 0; constant CFG_SCAN : integer := 0; -- Clock generator constant CFG_CLKTECH : integer := cyclone3; constant CFG_CLKMUL : integer := (5); constant CFG_CLKDIV : integer := (5); constant CFG_OCLKDIV : integer := 1; constant CFG_OCLKBDIV : integer := 0; constant CFG_OCLKCDIV : integer := 0; constant CFG_PCIDLL : integer := 0; constant CFG_PCISYSCLK: integer := 0; constant CFG_CLK_NOFB : integer := 0; -- LEON3 processor core constant CFG_LEON3 : integer := 1; constant CFG_NCPU : integer := (1); constant CFG_NWIN : integer := (8); constant CFG_V8 : integer := 2 + 4*0; constant CFG_MAC : integer := 0; constant CFG_BP : integer := 0; constant CFG_SVT : integer := 0; constant CFG_RSTADDR : integer := 16#00000#; constant CFG_LDDEL : integer := (1); constant CFG_NOTAG : integer := 0; constant CFG_NWP : integer := (2); constant CFG_PWD : integer := 0*2; constant CFG_FPU : integer := 0 + 16*0 + 32*0; constant CFG_GRFPUSH : integer := 0; constant CFG_ICEN : integer := 1; constant CFG_ISETS : integer := 2; constant CFG_ISETSZ : integer := 4; constant CFG_ILINE : integer := 8; constant CFG_IREPL : integer := 1; constant CFG_ILOCK : integer := 0; constant CFG_ILRAMEN : integer := 0; constant CFG_ILRAMADDR: integer := 16#8E#; constant CFG_ILRAMSZ : integer := 1; constant CFG_DCEN : integer := 1; constant CFG_DSETS : integer := 2; constant CFG_DSETSZ : integer := 4; constant CFG_DLINE : integer := 4; constant CFG_DREPL : integer := 1; constant CFG_DLOCK : integer := 0; constant CFG_DSNOOP : integer := 1 + 0 + 4*0; constant CFG_DFIXED : integer := 16#0#; constant CFG_DLRAMEN : integer := 0; constant CFG_DLRAMADDR: integer := 16#8F#; constant CFG_DLRAMSZ : integer := 1; constant CFG_MMUEN : integer := 1; constant CFG_ITLBNUM : integer := 8; constant CFG_DTLBNUM : integer := 8; constant CFG_TLB_TYPE : integer := 0 + 1*2; constant CFG_TLB_REP : integer := 1; constant CFG_MMU_PAGE : integer := 0; constant CFG_DSU : integer := 1; constant CFG_ITBSZ : integer := 2; constant CFG_ATBSZ : integer := 2; constant CFG_LEON3FT_EN : integer := 0; constant CFG_IUFT_EN : integer := 0; constant CFG_FPUFT_EN : integer := 0; constant CFG_RF_ERRINJ : integer := 0; constant CFG_CACHE_FT_EN : integer := 0; constant CFG_CACHE_ERRINJ : integer := 0; constant CFG_LEON3_NETLIST: integer := 0; constant CFG_DISAS : integer := 0 + 0; constant CFG_PCLOW : integer := 2; -- AMBA settings constant CFG_DEFMST : integer := (0); constant CFG_RROBIN : integer := 1; constant CFG_SPLIT : integer := 0; constant CFG_FPNPEN : integer := 0; constant CFG_AHBIO : integer := 16#FFF#; constant CFG_APBADDR : integer := 16#800#; constant CFG_AHB_MON : integer := 0; constant CFG_AHB_MONERR : integer := 0; constant CFG_AHB_MONWAR : integer := 0; constant CFG_AHB_DTRACE : integer := 0; -- DSU UART constant CFG_AHB_UART : integer := 0; -- JTAG based DSU interface constant CFG_AHB_JTAG : integer := 1; -- Ethernet DSU constant CFG_DSU_ETH : integer := 1 + 0 + 0; constant CFG_ETH_BUF : integer := 2; constant CFG_ETH_IPM : integer := 16#C0A8#; constant CFG_ETH_IPL : integer := 16#0039#; constant CFG_ETH_ENM : integer := 16#020000#; constant CFG_ETH_ENL : integer := 16#000011#; -- LEON2 memory controller constant CFG_MCTRL_LEON2 : integer := 1; constant CFG_MCTRL_RAM8BIT : integer := 0; constant CFG_MCTRL_RAM16BIT : integer := 1; constant CFG_MCTRL_5CS : integer := 0; constant CFG_MCTRL_SDEN : integer := 0; constant CFG_MCTRL_SEPBUS : integer := 0; constant CFG_MCTRL_INVCLK : integer := 0; constant CFG_MCTRL_SD64 : integer := 0; constant CFG_MCTRL_PAGE : integer := 0 + 0; -- SSRAM controller constant CFG_SSCTRL : integer := 0; constant CFG_SSCTRLP16 : integer := 0; -- DDR controller constant CFG_DDRSP : integer := 1; constant CFG_DDRSP_INIT : integer := 1; constant CFG_DDRSP_FREQ : integer := (100); constant CFG_DDRSP_COL : integer := (9); constant CFG_DDRSP_SIZE : integer := (8); constant CFG_DDRSP_RSKEW : integer := (2500); -- SPI memory controller constant CFG_SPIMCTRL : integer := 0; constant CFG_SPIMCTRL_SDCARD : integer := 0; constant CFG_SPIMCTRL_READCMD : integer := 16#0#; constant CFG_SPIMCTRL_DUMMYBYTE : integer := 0; constant CFG_SPIMCTRL_DUALOUTPUT : integer := 0; constant CFG_SPIMCTRL_SCALER : integer := 1; constant CFG_SPIMCTRL_ASCALER : integer := 1; constant CFG_SPIMCTRL_PWRUPCNT : integer := 0; constant CFG_SPIMCTRL_OFFSET : integer := 16#0#; -- AHB ROM constant CFG_AHBROMEN : integer := 0; constant CFG_AHBROPIP : integer := 0; constant CFG_AHBRODDR : integer := 16#000#; constant CFG_ROMADDR : integer := 16#000#; constant CFG_ROMMASK : integer := 16#E00# + 16#000#; -- AHB RAM constant CFG_AHBRAMEN : integer := 0; constant CFG_AHBRSZ : integer := 1; constant CFG_AHBRADDR : integer := 16#A00#; constant CFG_AHBRPIPE : integer := 0; -- Gaisler Ethernet core constant CFG_GRETH : integer := 1; constant CFG_GRETH1G : integer := 0; constant CFG_ETH_FIFO : integer := 64; -- UART 1 constant CFG_UART1_ENABLE : integer := 1; constant CFG_UART1_FIFO : integer := 8; -- LEON3 interrupt controller constant CFG_IRQ3_ENABLE : integer := 1; constant CFG_IRQ3_NSEC : integer := 0; -- Modular timer constant CFG_GPT_ENABLE : integer := 1; constant CFG_GPT_NTIM : integer := (2); constant CFG_GPT_SW : integer := (8); constant CFG_GPT_TW : integer := (32); constant CFG_GPT_IRQ : integer := (8); constant CFG_GPT_SEPIRQ : integer := 1; constant CFG_GPT_WDOGEN : integer := 0; constant CFG_GPT_WDOG : integer := 16#0#; -- GPIO port constant CFG_GRGPIO_ENABLE : integer := 1; constant CFG_GRGPIO_IMASK : integer := 16#0#; constant CFG_GRGPIO_WIDTH : integer := (5); -- I2C master constant CFG_I2C_ENABLE : integer := 1; -- PS/2 interface constant CFG_PS2_ENABLE : integer := 1; -- SPI controller constant CFG_SPICTRL_ENABLE : integer := 1; constant CFG_SPICTRL_NUM : integer := (1); constant CFG_SPICTRL_SLVS : integer := (1); constant CFG_SPICTRL_FIFO : integer := (2); constant CFG_SPICTRL_SLVREG : integer := 1; constant CFG_SPICTRL_ODMODE : integer := 0; constant CFG_SPICTRL_AM : integer := 0; constant CFG_SPICTRL_ASEL : integer := 0; constant CFG_SPICTRL_TWEN : integer := 0; constant CFG_SPICTRL_MAXWLEN : integer := (0); constant CFG_SPICTRL_SYNCRAM : integer := 0; constant CFG_SPICTRL_FT : integer := 0; -- LCD SVGA controller constant CFG_LCD_ENABLE : integer := 1; -- LCD 3-wire serial interface constant CFG_LCD3T_ENABLE : integer := 1; -- SVGA controller constant CFG_SVGA_ENABLE : integer := 0; -- GRLIB debugging constant CFG_DUART : integer := 0; end;
-- This file is part of Realtimestagram. -- -- Realtimestagram is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 2 of the License, or -- (at your option) any later version. -- -- Realtimestagram is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with Realtimestagram. If not, see <http://www.gnu.org/licenses/>. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; --! Used for calculation of h_count and v_count port width use ieee.math_real.all; use work.config_const_pkg.all; --======================================================================================-- entity sepia_tb is generic ( input_file: string := "tst/input/amersfoort.pnm"; --! Input file of test output_file: string := "tst/output/sepia_amersfoort.pnm"; --! Output file of test image_width: integer := const_imagewidth; --! Width of input image image_height: integer := const_imageheight; --! Height of input image sepia_threshold: integer := (255 * 50) / 100 ); end entity; --======================================================================================-- architecture structural of sepia_tb is --===================component declaration===================-- component test_bench_driver_color is generic ( wordsize: integer := const_wordsize; input_file: string := input_file; output_file: string := output_file; clk_period_ns: time := 1 ns; rst_after: time := 9 ns; rst_duration: time := 8 ns; dut_delay: integer := 4 ); port ( clk: out std_logic; rst: out std_logic; enable: out std_logic; h_count: out std_logic_vector; v_count: out std_logic_vector; red_pixel_from_file: out std_logic_vector; green_pixel_from_file: out std_logic_vector; blue_pixel_from_file: out std_logic_vector; red_pixel_to_file: in std_logic_vector; green_pixel_to_file: in std_logic_vector; blue_pixel_to_file: in std_logic_vector ); end component; ---------------------------------------------------------------------------------------------- component sepia is generic ( wordsize: integer := const_wordsize; --! input image wordsize in bits image_width: integer := image_width; --! width of input image image_height: integer := image_height --! height of input image ); port ( clk: in std_logic; --! completely clocked process rst: in std_logic; --! asynchronous reset enable: in std_logic; --! enables block pixel_red_i: in std_logic_vector((wordsize-1) downto 0); --! the input pixel pixel_green_i: in std_logic_vector((wordsize-1) downto 0); --! the input pixel pixel_blue_i: in std_logic_vector((wordsize-1) downto 0); --! the input pixel threshold: in std_logic_vector((wordsize-1) downto 0); --! the input pixel pixel_red_o: out std_logic_vector((wordsize-1) downto 0); --! the output pixel pixel_green_o: out std_logic_vector((wordsize-1) downto 0); --! the output pixel pixel_blue_o: out std_logic_vector((wordsize-1) downto 0) --! the output pixel ); end component; ---------------------------------------------------------------------------------------------- --===================signal declaration===================-- signal clk: std_logic := '0'; signal rst: std_logic := '0'; signal enable: std_logic := '0'; signal h_count: std_logic_vector((integer(ceil(log2(real(image_width))))-1) downto 0) := (others => '0'); signal v_count: std_logic_vector((integer(ceil(log2(real(image_height))))-1) downto 0) := (others => '0'); signal threshold_in: std_logic_vector((const_wordsize-1) downto 0) := (others => '0'); signal red_pixel_from_file: std_logic_vector((const_wordsize-1) downto 0) := (others => '0'); signal green_pixel_from_file: std_logic_vector((const_wordsize-1) downto 0) := (others => '0'); signal blue_pixel_from_file: std_logic_vector((const_wordsize-1) downto 0) := (others => '0'); signal red_pixel_to_file: std_logic_vector((const_wordsize-1) downto 0) := (others => '0'); signal green_pixel_to_file: std_logic_vector((const_wordsize-1) downto 0) := (others => '0'); signal blue_pixel_to_file: std_logic_vector((const_wordsize-1) downto 0) := (others => '0'); begin --===================component instantiation===================-- tst_driver: test_bench_driver_color port map( clk => clk, rst => rst, enable => enable, h_count => h_count, v_count => v_count, red_pixel_from_file => red_pixel_from_file, green_pixel_from_file => green_pixel_from_file, blue_pixel_from_file => blue_pixel_from_file, red_pixel_to_file => red_pixel_to_file, green_pixel_to_file => green_pixel_to_file, blue_pixel_to_file => blue_pixel_to_file ); device_under_test: sepia port map( clk => clk, rst => rst, enable => enable, pixel_red_i => red_pixel_from_file, pixel_green_i => green_pixel_from_file, pixel_blue_i => blue_pixel_from_file, threshold => threshold_in, pixel_red_o => red_pixel_to_file, pixel_green_o => green_pixel_to_file, pixel_blue_o => blue_pixel_to_file ); threshold_in <= std_logic_vector(to_unsigned(sepia_threshold, const_wordsize)); end architecture;
-- This file is part of Realtimestagram. -- -- Realtimestagram is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 2 of the License, or -- (at your option) any later version. -- -- Realtimestagram is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with Realtimestagram. If not, see <http://www.gnu.org/licenses/>. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; --! Used for calculation of h_count and v_count port width use ieee.math_real.all; use work.config_const_pkg.all; --======================================================================================-- entity sepia_tb is generic ( input_file: string := "tst/input/amersfoort.pnm"; --! Input file of test output_file: string := "tst/output/sepia_amersfoort.pnm"; --! Output file of test image_width: integer := const_imagewidth; --! Width of input image image_height: integer := const_imageheight; --! Height of input image sepia_threshold: integer := (255 * 50) / 100 ); end entity; --======================================================================================-- architecture structural of sepia_tb is --===================component declaration===================-- component test_bench_driver_color is generic ( wordsize: integer := const_wordsize; input_file: string := input_file; output_file: string := output_file; clk_period_ns: time := 1 ns; rst_after: time := 9 ns; rst_duration: time := 8 ns; dut_delay: integer := 4 ); port ( clk: out std_logic; rst: out std_logic; enable: out std_logic; h_count: out std_logic_vector; v_count: out std_logic_vector; red_pixel_from_file: out std_logic_vector; green_pixel_from_file: out std_logic_vector; blue_pixel_from_file: out std_logic_vector; red_pixel_to_file: in std_logic_vector; green_pixel_to_file: in std_logic_vector; blue_pixel_to_file: in std_logic_vector ); end component; ---------------------------------------------------------------------------------------------- component sepia is generic ( wordsize: integer := const_wordsize; --! input image wordsize in bits image_width: integer := image_width; --! width of input image image_height: integer := image_height --! height of input image ); port ( clk: in std_logic; --! completely clocked process rst: in std_logic; --! asynchronous reset enable: in std_logic; --! enables block pixel_red_i: in std_logic_vector((wordsize-1) downto 0); --! the input pixel pixel_green_i: in std_logic_vector((wordsize-1) downto 0); --! the input pixel pixel_blue_i: in std_logic_vector((wordsize-1) downto 0); --! the input pixel threshold: in std_logic_vector((wordsize-1) downto 0); --! the input pixel pixel_red_o: out std_logic_vector((wordsize-1) downto 0); --! the output pixel pixel_green_o: out std_logic_vector((wordsize-1) downto 0); --! the output pixel pixel_blue_o: out std_logic_vector((wordsize-1) downto 0) --! the output pixel ); end component; ---------------------------------------------------------------------------------------------- --===================signal declaration===================-- signal clk: std_logic := '0'; signal rst: std_logic := '0'; signal enable: std_logic := '0'; signal h_count: std_logic_vector((integer(ceil(log2(real(image_width))))-1) downto 0) := (others => '0'); signal v_count: std_logic_vector((integer(ceil(log2(real(image_height))))-1) downto 0) := (others => '0'); signal threshold_in: std_logic_vector((const_wordsize-1) downto 0) := (others => '0'); signal red_pixel_from_file: std_logic_vector((const_wordsize-1) downto 0) := (others => '0'); signal green_pixel_from_file: std_logic_vector((const_wordsize-1) downto 0) := (others => '0'); signal blue_pixel_from_file: std_logic_vector((const_wordsize-1) downto 0) := (others => '0'); signal red_pixel_to_file: std_logic_vector((const_wordsize-1) downto 0) := (others => '0'); signal green_pixel_to_file: std_logic_vector((const_wordsize-1) downto 0) := (others => '0'); signal blue_pixel_to_file: std_logic_vector((const_wordsize-1) downto 0) := (others => '0'); begin --===================component instantiation===================-- tst_driver: test_bench_driver_color port map( clk => clk, rst => rst, enable => enable, h_count => h_count, v_count => v_count, red_pixel_from_file => red_pixel_from_file, green_pixel_from_file => green_pixel_from_file, blue_pixel_from_file => blue_pixel_from_file, red_pixel_to_file => red_pixel_to_file, green_pixel_to_file => green_pixel_to_file, blue_pixel_to_file => blue_pixel_to_file ); device_under_test: sepia port map( clk => clk, rst => rst, enable => enable, pixel_red_i => red_pixel_from_file, pixel_green_i => green_pixel_from_file, pixel_blue_i => blue_pixel_from_file, threshold => threshold_in, pixel_red_o => red_pixel_to_file, pixel_green_o => green_pixel_to_file, pixel_blue_o => blue_pixel_to_file ); threshold_in <= std_logic_vector(to_unsigned(sepia_threshold, const_wordsize)); end architecture;
---------------------------------------------------------------------------------- -- Company: ITESM CQ -- Engineer: Miguel Gonzalez A01203712 -- -- Create Date: 10:39:53 11/10/2015 -- Design Name: -- Module Name: Motor - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: Motor module that control 1 motor -- -- Dependencies: -- -- Revision: -- Revision 0.0.1 - File Created -- Revision 1.0.0 - Motor Implementation -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library work; use work.PKG_ROBOT_SUMO.all; entity Motor is Port ( in_Rst : in STD_LOGIC; in_Clk : in STD_LOGIC; in_Action_m : in STD_LOGIC_VECTOR(2 downto 0); out_motor : out STD_LOGIC); end Motor; architecture Behavioral of Motor is -- Componentes del modulo -- Comp: U1 Divisor de frequencia 100/1 component Freq_Div port ( in_Rst : in STD_LOGIC; in_Clk : in STD_LOGIC; out_time_base : out STD_LOGIC); end component; -- Comp: U2 State Register for motor component State_Reg_Motor port ( in_clk : in STD_LOGIC; in_time_base : in STD_LOGIC; in_rst : in STD_LOGIC; in_next_state : in motor_state_values; in_state_duration : in integer; out_pres_state : out motor_state_values); end component; -- Comp: U3 Finite State Machine motor component FSM_motor port ( in_pres_state : in motor_state_values; in_th : in integer; in_tl : in integer; out_next_state: out motor_state_values; state_duration : out integer); end component; -- Comp : U4 Output component Output_motor port ( in_pres_state : in motor_state_values; out_motor : out STD_LOGIC); end component; -- Comp : U5 Equation_motor component Equation_motor port ( in_action : in STD_LOGIC_VECTOR(2 downto 0); out_th : out integer; out_tl : out integer); end component; -- seniales embebidas -- 1 bit signal time_base : STD_LOGIC; -- 2 o mas bits -- of types signal pres_state, next_state: motor_state_values; -- integers signal tH : integer range 0 to MOTOR_MAX; signal tL : integer range 0 to MOTOR_MAX; signal curr_state_duration : integer range 0 to MOTOR_MAX; begin -- Instanciar componentes U1_1 : Freq_Div port map(in_rst, in_clk, time_base); U1_2 : State_Reg_Motor port map( in_clk, time_base, in_rst, next_state, curr_state_duration, pres_state); U1_5 : Equation_motor port map(in_Action_m, tH, tL); U1_3 : FSM_motor port map(pres_state, tH, tL, next_state, curr_state_duration); U1_4 : Output_motor port map(pres_state, out_motor); end Behavioral;
---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna --! --! Create Date: 05/19/2014 --! Module Name: EPROC_IN2_DEC8b10b --! Project Name: FELIX ---------------------------------------------------------------------------------- --! Use standard library library ieee, work; use ieee.std_logic_1164.ALL; use work.all; use work.centralRouter_package.all; --! 8b10b decoder for EPROC_IN2 module entity EPROC_IN2_DEC8b10b is port ( bitCLK : in std_logic; bitCLKx2 : in std_logic; bitCLKx4 : in std_logic; rst : in std_logic; edataIN : in std_logic_vector (1 downto 0); dataOUT : out std_logic_vector(9 downto 0); dataOUTrdy : out std_logic; busyOut : out std_logic ); end EPROC_IN2_DEC8b10b; architecture Behavioral of EPROC_IN2_DEC8b10b is signal EDATAbitstreamSREG : std_logic_vector (11 downto 0) := (others=>'0'); -- 12 bit (2 x 5 = 10, plus 2 more) signal word10b_align_array, word10b_align_array_r : word10b_2array_type; signal word10b, word10b_s : std_logic_vector (9 downto 0) := (others=>'0'); signal isk : std_logic_vector (1 downto 0) := (others=>'0'); signal comma_valid_bits_or, word10b_align_rdy_r, word10b_rdy, word10b_rdy_s, word10b_rdy_s1 : std_logic; signal align_select : std_logic := '0'; signal comma_valid_bits : std_logic_vector (1 downto 0); signal alignment_sreg : std_logic_vector (4 downto 0) := (others=>'0'); begin ------------------------------------------------------------------------------------------- --live bitstream -- input shift register ------------------------------------------------------------------------------------------- process(bitCLK, rst) begin if rst = '1' then EDATAbitstreamSREG <= (others => '0'); elsif bitCLK'event and bitCLK = '1' then EDATAbitstreamSREG <= edataIN & EDATAbitstreamSREG(11 downto 2); end if; end process; ------------------------------------------------------------------------------------------- --clock0 -- input shift register mapping into 10 bit registers ------------------------------------------------------------------------------------------- input_map: for I in 0 to 1 generate -- 1 10bit-word per alignment, 2 possible alignments --word10b_align_array(I) <= EDATAbitstreamSREG((I+9) downto (I+0)); -- 10 bit word, alligned to bit I word10b_align_array(I) <= EDATAbitstreamSREG(I+0)&EDATAbitstreamSREG(I+1)&EDATAbitstreamSREG(I+2)&EDATAbitstreamSREG(I+3)&EDATAbitstreamSREG(I+4)& EDATAbitstreamSREG(I+5)&EDATAbitstreamSREG(I+6)&EDATAbitstreamSREG(I+7)&EDATAbitstreamSREG(I+8)&EDATAbitstreamSREG(I+9); -- 10 bit word, alligned to bit I end generate input_map; ------------------------------------------------------------------------------------------- --clock0 -- K28.5 comma test ------------------------------------------------------------------------------------------- comma_test: for I in 0 to 1 generate -- 1 10bit-word per alignment, comma is valid if two first words have comma... comma_valid_bits(I) <= '1' when (word10b_align_array(I) = COMMAp or word10b_align_array(I) = COMMAn) else '0'; end generate comma_test; -- comma_valid_bits_or <= comma_valid_bits(1) or comma_valid_bits(0); -- ------------------------------------------------------------------------------------------- --clock1 -- alignment selector state ------------------------------------------------------------------------------------------- process(bitCLK, rst) begin if rst = '1' then alignment_sreg <= "00000"; elsif bitCLK'event and bitCLK = '1' then if comma_valid_bits_or = '1' then alignment_sreg <= "10000"; else alignment_sreg <= alignment_sreg(0) & alignment_sreg(4 downto 1); end if; end if; end process; -- input_reg1: process(bitCLK) begin if bitCLK'event and bitCLK = '1' then word10b_align_array_r <= word10b_align_array; end if; end process; -- word10b_align_rdy_r <= alignment_sreg(4); -- process(bitCLK, rst) begin if rst = '1' then align_select <= '0'; elsif bitCLK'event and bitCLK = '1' then if comma_valid_bits_or = '1' then align_select <= (not comma_valid_bits(0)) and comma_valid_bits(1); end if; end if; end process; -- ------------------------------------------------------------------------------------------- --clock2 -- alignment selected ------------------------------------------------------------------------------------------- -- input_reg2: process(bitCLK) begin if bitCLK'event and bitCLK = '1' then word10b_rdy <= word10b_align_rdy_r; end if; end process; -- process(bitCLK) begin if bitCLK'event and bitCLK = '1' then case (align_select) is when '0' => -- bit0 word got comma => align to bit0 word10b <= word10b_align_array_r(0); when '1' => -- bit1 word got comma => align to bit1 word10b <= word10b_align_array_r(1); when others => end case; end if; end process; -- ------------------------------------------------------------------------------------------- -- ------------------------------------------------------------------------------------------- -- process(bitCLK) begin if bitCLK'event and bitCLK = '1' then word10b_s <= word10b; word10b_rdy_s <= word10b_rdy; end if; end process; -- word10b_rdy_s1 <= word10b_rdy_s; ---- ------------------------------------------------------------------------------------------- -- 1 8b word get aligned and ready as 10 bit word (data and data code) ------------------------------------------------------------------------------------------- EPROC_IN2_ALIGN_BLOCK_inst: entity work.EPROC_IN2_ALIGN_BLOCK port map( bitCLKx2 => bitCLKx2, bitCLKx4 => bitCLKx4, rst => rst, bytes => word10b_s, bytes_rdy => word10b_rdy_s1, dataOUT => dataOUT, dataOUTrdy => dataOUTrdy, busyOut => busyOut ); end Behavioral;
---------------------------------------------------------------------------------- --! Company: EDAQ WIS. --! Engineer: juna --! --! Create Date: 05/19/2014 --! Module Name: EPROC_IN2_DEC8b10b --! Project Name: FELIX ---------------------------------------------------------------------------------- --! Use standard library library ieee, work; use ieee.std_logic_1164.ALL; use work.all; use work.centralRouter_package.all; --! 8b10b decoder for EPROC_IN2 module entity EPROC_IN2_DEC8b10b is port ( bitCLK : in std_logic; bitCLKx2 : in std_logic; bitCLKx4 : in std_logic; rst : in std_logic; edataIN : in std_logic_vector (1 downto 0); dataOUT : out std_logic_vector(9 downto 0); dataOUTrdy : out std_logic; busyOut : out std_logic ); end EPROC_IN2_DEC8b10b; architecture Behavioral of EPROC_IN2_DEC8b10b is signal EDATAbitstreamSREG : std_logic_vector (11 downto 0) := (others=>'0'); -- 12 bit (2 x 5 = 10, plus 2 more) signal word10b_align_array, word10b_align_array_r : word10b_2array_type; signal word10b, word10b_s : std_logic_vector (9 downto 0) := (others=>'0'); signal isk : std_logic_vector (1 downto 0) := (others=>'0'); signal comma_valid_bits_or, word10b_align_rdy_r, word10b_rdy, word10b_rdy_s, word10b_rdy_s1 : std_logic; signal align_select : std_logic := '0'; signal comma_valid_bits : std_logic_vector (1 downto 0); signal alignment_sreg : std_logic_vector (4 downto 0) := (others=>'0'); begin ------------------------------------------------------------------------------------------- --live bitstream -- input shift register ------------------------------------------------------------------------------------------- process(bitCLK, rst) begin if rst = '1' then EDATAbitstreamSREG <= (others => '0'); elsif bitCLK'event and bitCLK = '1' then EDATAbitstreamSREG <= edataIN & EDATAbitstreamSREG(11 downto 2); end if; end process; ------------------------------------------------------------------------------------------- --clock0 -- input shift register mapping into 10 bit registers ------------------------------------------------------------------------------------------- input_map: for I in 0 to 1 generate -- 1 10bit-word per alignment, 2 possible alignments --word10b_align_array(I) <= EDATAbitstreamSREG((I+9) downto (I+0)); -- 10 bit word, alligned to bit I word10b_align_array(I) <= EDATAbitstreamSREG(I+0)&EDATAbitstreamSREG(I+1)&EDATAbitstreamSREG(I+2)&EDATAbitstreamSREG(I+3)&EDATAbitstreamSREG(I+4)& EDATAbitstreamSREG(I+5)&EDATAbitstreamSREG(I+6)&EDATAbitstreamSREG(I+7)&EDATAbitstreamSREG(I+8)&EDATAbitstreamSREG(I+9); -- 10 bit word, alligned to bit I end generate input_map; ------------------------------------------------------------------------------------------- --clock0 -- K28.5 comma test ------------------------------------------------------------------------------------------- comma_test: for I in 0 to 1 generate -- 1 10bit-word per alignment, comma is valid if two first words have comma... comma_valid_bits(I) <= '1' when (word10b_align_array(I) = COMMAp or word10b_align_array(I) = COMMAn) else '0'; end generate comma_test; -- comma_valid_bits_or <= comma_valid_bits(1) or comma_valid_bits(0); -- ------------------------------------------------------------------------------------------- --clock1 -- alignment selector state ------------------------------------------------------------------------------------------- process(bitCLK, rst) begin if rst = '1' then alignment_sreg <= "00000"; elsif bitCLK'event and bitCLK = '1' then if comma_valid_bits_or = '1' then alignment_sreg <= "10000"; else alignment_sreg <= alignment_sreg(0) & alignment_sreg(4 downto 1); end if; end if; end process; -- input_reg1: process(bitCLK) begin if bitCLK'event and bitCLK = '1' then word10b_align_array_r <= word10b_align_array; end if; end process; -- word10b_align_rdy_r <= alignment_sreg(4); -- process(bitCLK, rst) begin if rst = '1' then align_select <= '0'; elsif bitCLK'event and bitCLK = '1' then if comma_valid_bits_or = '1' then align_select <= (not comma_valid_bits(0)) and comma_valid_bits(1); end if; end if; end process; -- ------------------------------------------------------------------------------------------- --clock2 -- alignment selected ------------------------------------------------------------------------------------------- -- input_reg2: process(bitCLK) begin if bitCLK'event and bitCLK = '1' then word10b_rdy <= word10b_align_rdy_r; end if; end process; -- process(bitCLK) begin if bitCLK'event and bitCLK = '1' then case (align_select) is when '0' => -- bit0 word got comma => align to bit0 word10b <= word10b_align_array_r(0); when '1' => -- bit1 word got comma => align to bit1 word10b <= word10b_align_array_r(1); when others => end case; end if; end process; -- ------------------------------------------------------------------------------------------- -- ------------------------------------------------------------------------------------------- -- process(bitCLK) begin if bitCLK'event and bitCLK = '1' then word10b_s <= word10b; word10b_rdy_s <= word10b_rdy; end if; end process; -- word10b_rdy_s1 <= word10b_rdy_s; ---- ------------------------------------------------------------------------------------------- -- 1 8b word get aligned and ready as 10 bit word (data and data code) ------------------------------------------------------------------------------------------- EPROC_IN2_ALIGN_BLOCK_inst: entity work.EPROC_IN2_ALIGN_BLOCK port map( bitCLKx2 => bitCLKx2, bitCLKx4 => bitCLKx4, rst => rst, bytes => word10b_s, bytes_rdy => word10b_rdy_s1, dataOUT => dataOUT, dataOUTrdy => dataOUTrdy, busyOut => busyOut ); end Behavioral;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_textio.all; library std; use std.textio.all; entity mem is port(clk, read, write : in std_logic; init_phase : in std_logic_vector(3 downto 0); input : in std_logic_vector(15 downto 0); S_MAR_F : in std_logic_vector(7 downto 0); S_MDR_F : in std_logic_vector(15 downto 0); data : out std_logic_vector(15 downto 0)); end mem; architecture BEHAVIOR of mem is subtype RAM_WORD is std_logic_vector(15 downto 0); type RAM_TYPE is array (0 to 255) of RAM_WORD; impure function init_ram_file(RAM_FILE_NAME : in string) return RAM_TYPE is file RAM_FILE : TEXT is in RAM_FILE_NAME; variable RAM_FILE_LINE : line; variable RAM_DIN : RAM_TYPE; begin for I in RAM_TYPE'range loop readline(RAM_FILE, RAM_FILE_LINE); hread(RAM_FILE_LINE, RAM_DIN(I)); end loop; return RAM_DIN; end function; signal RAM_DATA : RAM_TYPE := init_ram_file("mem_fpga.txt"); signal addr : std_logic_vector(7 downto 0); signal a : std_logic_vector(7 downto 0); signal d : std_logic_vector(15 downto 0); signal w : std_logic; begin a <= X"00" when (init_phase = X"1") else S_MAR_F; d <= input when (init_phase = X"1") else S_MDR_F; w <= '1' when (init_phase = X"1") else write; data <= RAM_DATA(conv_integer(addr)); process(clk) begin if clk'event and clk = '1' then if w = '1' then RAM_DATA(conv_integer(a)) <= d; elsif read = '1' then addr <= a; else null; end if; end if; end process; end BEHAVIOR;
architecture RTL of FIFO is procedure proc1 is begin end procedure proc1; -- Violations follow procedure proc1 is begin end procedure proc1; procedure proc1 is begin end procedure proc1; begin end architecture RTL;
------------------------------------------------------------------------------- -- Title : Testbench for Ultrasonic Transmitters ------------------------------------------------------------------------------- -- Author : strongly-typed -- Standard : VHDL'87 ------------------------------------------------------------------------------- -- Description: ------------------------------------------------------------------------------- -- Copyright (c) 2012 ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.motor_control_pkg.all; ------------------------------------------------------------------------------- entity uss_tx_module_tb is end uss_tx_module_tb; ------------------------------------------------------------------------------- architecture tb of uss_tx_module_tb is use work.uss_tx_pkg.all; use work.reg_file_pkg.all; use work.bus_pkg.all; -- Component generics constant BASE_ADDRESS : integer := 16#0000#; -- Signals for component ports signal uss_tx0_out_s : half_bridge_type; signal uss_tx1_out_s : half_bridge_type; signal uss_tx2_out_s : half_bridge_type; signal clk_uss_enable_p : std_logic; signal bus_o : busdevice_out_type; signal bus_i : busdevice_in_type; signal clk : std_logic := '0'; begin -- tb --------------------------------------------------------------------------- -- component instatiation --------------------------------------------------------------------------- uss_tx_module_1 : uss_tx_module generic map ( BASE_ADDRESS => BASE_ADDRESS) port map ( uss_tx0_out_p => uss_tx0_out_s, uss_tx1_out_p => uss_tx1_out_s, uss_tx2_out_p => uss_tx2_out_s, clk_uss_enable_p => clk_uss_enable_p, bus_o => bus_o, bus_i => bus_i, clk => clk); ------------------------------------------------------------------------------- -- Stimuli ------------------------------------------------------------------------------- -- clock generation, 50 MHz clk <= not clk after 10 ns; -- bus stimulus bus_stimulus_proc : process begin bus_i.addr <= (others => '0'); bus_i.data <= (others => '0'); bus_i.re <= '0'; bus_i.we <= '0'; wait until clk = '1'; -- write 0x0000 (MUL) to 0x00 wait until clk = '1'; bus_i.addr <= (others => '0'); bus_i.data <= x"0001"; bus_i.re <= '0'; bus_i.we <= '1'; wait until clk = '1'; bus_i.we <= '0'; wait until clk = '1'; wait until clk = '1'; -- write 0x05f4 (DIV) to 0x01 wait until clk = '1'; bus_i.addr(0) <= '1'; bus_i.data <= x"0400"; bus_i.re <= '0'; bus_i.we <= '1'; wait until clk = '1'; bus_i.data <= (others => '0'); bus_i.we <= '0'; -- write 0x5501 pattern to 0x02 wait until clk = '1'; bus_i.addr(0) <= '0'; bus_i.addr(1) <= '1'; bus_i.data <= x"5501"; bus_i.re <= '0'; bus_i.we <= '1'; wait until clk = '1'; bus_i.data <= (others => '0'); bus_i.we <= '0'; wait for 200 us; -- decrease frequency by writing 0x0500 to 0x01 wait until clk = '1'; bus_i.addr(0) <= '1'; bus_i.data <= x"0500"; bus_i.re <= '0'; bus_i.we <= '1'; wait until clk = '1'; bus_i.data <= (others => '0'); bus_i.we <= '0'; wait for 10 ms; end process bus_stimulus_proc; end tb;
------------------------------------------------------------------------------- -- Title : Testbench for Ultrasonic Transmitters ------------------------------------------------------------------------------- -- Author : strongly-typed -- Standard : VHDL'87 ------------------------------------------------------------------------------- -- Description: ------------------------------------------------------------------------------- -- Copyright (c) 2012 ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.motor_control_pkg.all; ------------------------------------------------------------------------------- entity uss_tx_module_tb is end uss_tx_module_tb; ------------------------------------------------------------------------------- architecture tb of uss_tx_module_tb is use work.uss_tx_pkg.all; use work.reg_file_pkg.all; use work.bus_pkg.all; -- Component generics constant BASE_ADDRESS : integer := 16#0000#; -- Signals for component ports signal uss_tx0_out_s : half_bridge_type; signal uss_tx1_out_s : half_bridge_type; signal uss_tx2_out_s : half_bridge_type; signal clk_uss_enable_p : std_logic; signal bus_o : busdevice_out_type; signal bus_i : busdevice_in_type; signal clk : std_logic := '0'; begin -- tb --------------------------------------------------------------------------- -- component instatiation --------------------------------------------------------------------------- uss_tx_module_1 : uss_tx_module generic map ( BASE_ADDRESS => BASE_ADDRESS) port map ( uss_tx0_out_p => uss_tx0_out_s, uss_tx1_out_p => uss_tx1_out_s, uss_tx2_out_p => uss_tx2_out_s, clk_uss_enable_p => clk_uss_enable_p, bus_o => bus_o, bus_i => bus_i, clk => clk); ------------------------------------------------------------------------------- -- Stimuli ------------------------------------------------------------------------------- -- clock generation, 50 MHz clk <= not clk after 10 ns; -- bus stimulus bus_stimulus_proc : process begin bus_i.addr <= (others => '0'); bus_i.data <= (others => '0'); bus_i.re <= '0'; bus_i.we <= '0'; wait until clk = '1'; -- write 0x0000 (MUL) to 0x00 wait until clk = '1'; bus_i.addr <= (others => '0'); bus_i.data <= x"0001"; bus_i.re <= '0'; bus_i.we <= '1'; wait until clk = '1'; bus_i.we <= '0'; wait until clk = '1'; wait until clk = '1'; -- write 0x05f4 (DIV) to 0x01 wait until clk = '1'; bus_i.addr(0) <= '1'; bus_i.data <= x"0400"; bus_i.re <= '0'; bus_i.we <= '1'; wait until clk = '1'; bus_i.data <= (others => '0'); bus_i.we <= '0'; -- write 0x5501 pattern to 0x02 wait until clk = '1'; bus_i.addr(0) <= '0'; bus_i.addr(1) <= '1'; bus_i.data <= x"5501"; bus_i.re <= '0'; bus_i.we <= '1'; wait until clk = '1'; bus_i.data <= (others => '0'); bus_i.we <= '0'; wait for 200 us; -- decrease frequency by writing 0x0500 to 0x01 wait until clk = '1'; bus_i.addr(0) <= '1'; bus_i.data <= x"0500"; bus_i.re <= '0'; bus_i.we <= '1'; wait until clk = '1'; bus_i.data <= (others => '0'); bus_i.we <= '0'; wait for 10 ms; end process bus_stimulus_proc; end tb;
library verilog; use verilog.vl_types.all; entity LCD_Driver is generic( WAKEUP : integer := 515 ); port( LCLK : in vl_logic; RST_n : in vl_logic; HS : out vl_logic; VS : out vl_logic; DE : out vl_logic; Column : out vl_logic_vector(9 downto 0); Row : out vl_logic_vector(9 downto 0); SPENA : out vl_logic; SPDA_OUT : out vl_logic; SPDA_IN : in vl_logic; WrEn : out vl_logic; SPCK : out vl_logic; Brightness : in vl_logic_vector(7 downto 0); Contrast : in vl_logic_vector(7 downto 0) ); end LCD_Driver;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_misc.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library UNISIM; use UNISIM.vcomponents.all; entity ram is generic(memory_type : string := "DEFAULT"); port(clk : in std_logic; enable : in std_logic; write_byte_enable : in std_logic_vector(3 downto 0); address : in std_logic_vector(31 downto 2); data_write : in std_logic_vector(31 downto 0); data_read : out std_logic_vector(31 downto 0)); end; --entity ram architecture logic of ram is constant ZERO : std_logic_vector(31 downto 0) := "00000000000000000000000000000000"; begin RAMB16_S9_inst0 : RAMB16_S9 generic map ( INIT_00 => X"0202000c0200020c020200260800000000afafafafafaf3caf270010000c273c", INIT_01 => X"3ca208262703008f8f8f8f8f8f8fa214a00226248280a01002242406a0162690", INIT_02 => X"038f028f000c0010afaf2c272400038c3c10308c3c30038c3c0003ac3c14308c", INIT_03 => X"1424000000040010000824042410000003001400001030001027038fa0028f27", INIT_04 => X"00008f000c00040004afaf272408000300030014001400000000140000001000", INIT_05 => X"240824240000afafafafafafaf2727038f0000008f3a000c0024040027038f00", INIT_06 => X"24240c240c2a12001424142c2424142c24142e24108f10000c02102a00260c00", INIT_07 => X"001480002732260c001083240cafaf272727038f8f8f8f8f8f028f00142a260c", INIT_08 => X"08240c27038f8f001480002732260c001083240c27020014afaf2c2727038f8f", INIT_09 => X"0c26243c001482260c26ac34243c8cac3cacafafafafafafafafafaf243c2702", INIT_0A => X"3c001482260c26243c001482260c26243c3624242726263c0c3c3c243c148226", INIT_0B => X"102c26000c000c240c001482260c26243c001482260c26243c001482260c2624", INIT_0C => X"0c26243c3c3c08ae000c001482260c2624003c0c001482260c022400008c0200", INIT_0D => X"3c0c001482260c02242608241032260c2610000c24120208a002000c00148226", INIT_0E => X"0c02243c08001626a202301a000c001482260c2624003c0c001482260c262400", INIT_0F => X"82260c2426020c3c240c020026002418000c001482260c2624003c0c00148226", INIT_10 => X"0c001482260c02242608240c0016240c900c022624120012240c900c02240014", INIT_11 => X"8f08260caf00083c0c001482260c02243c080002001482260c26243c3c00083c", INIT_12 => X"0c26001482260c263c3c080016020c2416260c2400142c249226001482260c03", INIT_13 => X"6c6864393531333762666a6e72767a00633834304c3c3c08001482260c24263c", INIT_14 => X"00646d200a2062200a79696175200a30324a2d647420520a202025780a787470", INIT_15 => X"0a202928670a740a6520002d2e612020740a7820720a746577200a00666d200a", INIT_16 => X"000000000000000000000000000000000000000000000000000065610a006565", INIT_17 => X"0100000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000") port map ( DO => data_read(31 downto 24), DOP => open, ADDR => address(12 downto 2), CLK => clk, DI => data_write(31 downto 24), DIP => ZERO(0 downto 0), EN => enable, SSR => ZERO(0), WE => write_byte_enable(3)); RAMB16_S9_inst1 : RAMB16_S9 generic map ( INIT_00 => X"a242400060406000402060b500a0c080a0b1bfb0b2b3b415b5bd00000000bd1d", INIT_01 => X"03820083bde000b0b1b2b3b4b5bf046045021042054460800262024062208362", INIT_02 => X"e0b000bf0000a060bfb063bdc300e042024042620342e0420200e04402404262", INIT_03 => X"e042a40500a00040050002a00340a400e004a0440560a300a0bde0b0a000bfbd", INIT_04 => X"6210bf000000a00080bfb0bd030000e0e0e080c0056003e38500408500006003", INIT_05 => X"150014130000b1bfb0b2b3b4b5bdbde0b0506210bf1000000510a104bde0b050", INIT_06 => X"040400040042404055516063435160634360235154bf53000030404210520040", INIT_07 => X"00804470a31010000080a40600bfb0a5bdbde0b0b1b2b3b4b500bf1040425200", INIT_08 => X"000400bde0b0bf00804470a31010000080a40600a5008040bfb082bdbde0b0bf", INIT_09 => X"001004100080041000106242041062400343b1b2b3b4b5b6b7bebfb00302bd00", INIT_0A => X"1000800410001004100080041000100410f71213ded6b517001e160415800410", INIT_0B => X"6043024000000004000080041000100410008004100010041000800410001004", INIT_0C => X"00100410111000020000008024310031044011000080041000c004004042a202", INIT_0D => X"11000080041000c00410000460039400944000000480e0006230000000800410", INIT_0E => X"00c0041000001110023042200000008084940094044014000080243100310440", INIT_0F => X"2431000431000011040082021402424000000080243100310440110000800410", INIT_10 => X"000080041000c004310004000033040044003031043200330400440030110080", INIT_11 => X"a2001000a2400011000080041000c00410000000008024310031041110400010", INIT_12 => X"00040080243100311110000034200004301000040040428204110080243100c0", INIT_13 => X"6d696561363232366165696d71757900643935313e4e10000080041000041010", INIT_14 => X"007565205b006f425b006e6470555b31386120656c6249487c00302025797571", INIT_15 => X"62203a68746c0a627362002d2e726266697729286561612072205b006965205b", INIT_16 => X"0000000000000000000000000000000000000000000000000000782064007820", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000") port map ( DO => data_read(23 downto 16), DOP => open, ADDR => address(12 downto 2), CLK => clk, DI => data_write(23 downto 16), DIP => ZERO(0 downto 0), EN => enable, SSR => ZERO(0), WE => write_byte_enable(2)); RAMB16_S9_inst2 : RAMB16_S9 generic map ( INIT_00 => X"18108800202828002090a00900a09890800000000000000000ff00ff0001ff50", INIT_01 => X"e100000000001000000000000000ffff001800ff0000000020ff0000ffff0000", INIT_02 => X"0000100000008000000000ffff000040e1ff0004e1000004e1000040e1ff0004", INIT_03 => X"ffff38280000380028000000000010000020ff10280000100000000000100000", INIT_04 => X"1018003000000080000000ff000000001000100028ff18382000001038380018", INIT_05 => X"00000000908000000000000000ff000000101018000030002800ff2000000010", INIT_06 => X"0000000000000088ffffff00ffffff00ffff00ff000000000080000081000020", INIT_07 => X"00ff001000ff00008000000000000000ff0000000000000000100081ff00ff00", INIT_08 => X"0100000000000000ff001000ff0000800000000000208000000000ff00000000", INIT_09 => X"000a000000ff0000000a400100004040e1400000000000000000000001e1ff20", INIT_0A => X"0000ff0000000a000000ff0000000a0000d000000a0a0b000000000000ff0000", INIT_0B => X"ff00ff80000000000000ff0000000a000000ff0000000a000000ff0000000a00", INIT_0C => X"000a000040000100000000ff0000000b0080000000ff00000080000000001010", INIT_0D => X"000000ff000000800000010000030000ffff00000000a0010018800000ff0000", INIT_0E => X"008000000100ff00008800ff000000ff0000000a0088000000ff0000000a0080", INIT_0F => X"000000000a2001000000a0110011ffff000000ff0000000a0080000000ff0000", INIT_10 => X"0000ff00000080000002000000ff0000ff011000000000000000ff01100000ff", INIT_11 => X"00010000008002000000ff0000008000000100f800ff0000000a000040880100", INIT_12 => X"000000ff0000000a00000100ff800000ff000000000000ff000000ff00000088", INIT_13 => X"6e6a6662373331353964686c70747800656136320055000100ff000000000a00", INIT_14 => X"006d6d5d64006f5d620061206c5d7539206e00726f6f534600003200307a7672", INIT_15 => X"790000656865006f0079003e0079696f6e613a6873640064695d77006c6d5d66", INIT_16 => X"0505050505080505050505050505050505050505050505050800292861002928", INIT_17 => X"0002000605060505050505050505050505050505060507050805050505050505", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000") port map ( DO => data_read(15 downto 8), DOP => open, ADDR => address(12 downto 2), CLK => clk, DI => data_write(15 downto 8), DIP => ZERO(0 downto 0), EN => enable, SSR => ZERO(0), WE => write_byte_enable(1)); RAMB16_S9_inst3 : RAMB16_S9 generic map ( INIT_00 => X"2123256725252594252525c41725252525182c141c20240028d000ff0021fc00", INIT_01 => X"0301260230082514181c2024282cfff9012b01ff000000092bff2d17fff20123", INIT_02 => X"0810251400062508141023e8fe00080003fd0100030108000300080003fd0200", INIT_03 => X"f8ff2b4000092513407d201d01102b000840fb21420201250718081000251418", INIT_04 => X"2623142571000d250c1410e8018300082508250342f942252300032b25250a40", INIT_05 => X"08c70d0a2525182c141c202428d0180810212623140125712301f52318081021", INIT_06 => X"08203f083f100925e8a9ea1a9fc9ee1abff10ad01a2c1c004b211f1000013f25", INIT_07 => X"00f9002110ff013f2509100a53343010c8300814181c202428252c02e310ff3f", INIT_08 => X"0b303f3808303400f9002110ff013f250910105310252514343010c838083034", INIT_09 => X"3f384a0000fc00013f2000500a00000000101c2024282c3034383c18b203c025", INIT_0A => X"0000fc00013f5c0a0000fc00013f440a009010081ca81c033f00000a00fc0001", INIT_0B => X"cf36be254b004b0a3f00fc00013f940a0000fc00013f800a0000fc00013f6c0a", INIT_0C => X"3fb80a000000500000b200fc00013f0c0a2500b200fc00013f250a0008002180", INIT_0D => X"00b200fc00013f250a01a62a87ff014bfffb00472dac25ac0021254b00fc0001", INIT_0E => X"3f250a005000fd010021ff7a00b200fc00013ffc0a2500b200fc00013fe80a25", INIT_0F => X"00013f20f82504000a3f21001002ff5e00b200fc00013fe80a2500b200fc0001", INIT_10 => X"b200fc00013f250a010d203f00f7203fff0421012035000b203fff04210100fc", INIT_11 => X"10a6013f10252500b200fc00013f250a0050000900fc00013fe00a0000259d00", INIT_12 => X"ef0100fc00013fd000005000a2253f7cf7013f2e00025fe0001000fc00013f25", INIT_13 => X"6f6b6763383430343863676b6f73770066623733004c005000fc00013f20d800", INIT_14 => X"00702020200074202c0072626f202c0032200020616f432d0000780038007773", INIT_15 => X"74000078206e006f00740020002e6e726769006573640061742020006c202020", INIT_16 => X"3c3c3c3c3c603c3c3c3c3c3c3c3c3c3c3c3c3c3c3c3c3c3cc0003a6874003a68", INIT_17 => X"030000143c6c3c3c3c3c3c3c3c3c3c3c3c3c3c3cdc3c743c8c3c3c3c3c3c3c3c", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000") port map ( DO => data_read(7 downto 0), DOP => open, ADDR => address(12 downto 2), CLK => clk, DI => data_write(7 downto 0), DIP => ZERO(0 downto 0), EN => enable, SSR => ZERO(0), WE => write_byte_enable(0)); end; --architecture logic
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: charrom -- File: charrom.vhd -- Author: Marcus Hellqvist -- Description: Character ROM for video controller ----------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library grlib; use grlib.stdlib.all; entity charrom is port( clk : in std_ulogic; addr : in std_logic_vector(11 downto 0); data : out std_logic_vector(7 downto 0) ); end entity; architecture rtl of charrom is signal romdata : std_logic_vector(7 downto 0); signal romaddr : std_logic_vector(11 downto 0); begin data <= romdata; p0: process(clk) begin if rising_edge(clk) then romaddr <= addr; end if; end process; p1: process(romaddr) begin case conv_integer(romaddr) is when 16#000# => romdata <= X"00"; -- when 16#100# => romdata <= X"00"; -- when 16#200# => romdata <= X"00"; -- when 16#300# => romdata <= X"00"; -- when 16#400# => romdata <= X"00"; -- when 16#500# => romdata <= X"00"; -- when 16#600# => romdata <= X"00"; -- when 16#700# => romdata <= X"00"; -- when 16#800# => romdata <= X"00"; -- when 16#900# => romdata <= X"00"; -- when 16#a00# => romdata <= X"00"; -- when 16#b00# => romdata <= X"00"; -- when 16#c00# => romdata <= X"00"; -- when 16#020# => romdata <= X"00"; -- when 16#120# => romdata <= X"00"; -- when 16#220# => romdata <= X"00"; -- when 16#320# => romdata <= X"00"; -- when 16#420# => romdata <= X"00"; -- when 16#520# => romdata <= X"00"; -- when 16#620# => romdata <= X"00"; -- when 16#720# => romdata <= X"00"; -- when 16#820# => romdata <= X"00"; -- when 16#920# => romdata <= X"00"; -- when 16#a20# => romdata <= X"00"; -- when 16#b20# => romdata <= X"00"; -- when 16#c20# => romdata <= X"00"; -- when 16#021# => romdata <= X"00"; -- ! when 16#121# => romdata <= X"00"; -- ! when 16#221# => romdata <= X"10"; -- ! when 16#321# => romdata <= X"10"; -- ! when 16#421# => romdata <= X"10"; -- ! when 16#521# => romdata <= X"10"; -- ! when 16#621# => romdata <= X"10"; -- ! when 16#721# => romdata <= X"10"; -- ! when 16#821# => romdata <= X"10"; -- ! when 16#921# => romdata <= X"00"; -- ! when 16#a21# => romdata <= X"10"; -- ! when 16#b21# => romdata <= X"00"; -- ! when 16#c21# => romdata <= X"00"; -- ! when 16#022# => romdata <= X"00"; -- " when 16#122# => romdata <= X"00"; -- " when 16#222# => romdata <= X"24"; -- " when 16#322# => romdata <= X"24"; -- " when 16#422# => romdata <= X"24"; -- " when 16#522# => romdata <= X"00"; -- " when 16#622# => romdata <= X"00"; -- " when 16#722# => romdata <= X"00"; -- " when 16#822# => romdata <= X"00"; -- " when 16#922# => romdata <= X"00"; -- " when 16#a22# => romdata <= X"00"; -- " when 16#b22# => romdata <= X"00"; -- " when 16#c22# => romdata <= X"00"; -- " when 16#023# => romdata <= X"00"; -- # when 16#123# => romdata <= X"00"; -- # when 16#223# => romdata <= X"00"; -- # when 16#323# => romdata <= X"24"; -- # when 16#423# => romdata <= X"24"; -- # when 16#523# => romdata <= X"7e"; -- # when 16#623# => romdata <= X"24"; -- # when 16#723# => romdata <= X"7e"; -- # when 16#823# => romdata <= X"24"; -- # when 16#923# => romdata <= X"24"; -- # when 16#a23# => romdata <= X"00"; -- # when 16#b23# => romdata <= X"00"; -- # when 16#c23# => romdata <= X"00"; -- # when 16#024# => romdata <= X"00"; -- $ when 16#124# => romdata <= X"00"; -- $ when 16#224# => romdata <= X"10"; -- $ when 16#324# => romdata <= X"3c"; -- $ when 16#424# => romdata <= X"50"; -- $ when 16#524# => romdata <= X"50"; -- $ when 16#624# => romdata <= X"38"; -- $ when 16#724# => romdata <= X"14"; -- $ when 16#824# => romdata <= X"14"; -- $ when 16#924# => romdata <= X"78"; -- $ when 16#a24# => romdata <= X"10"; -- $ when 16#b24# => romdata <= X"00"; -- $ when 16#c24# => romdata <= X"00"; -- $ when 16#025# => romdata <= X"00"; -- % when 16#125# => romdata <= X"00"; -- % when 16#225# => romdata <= X"22"; -- % when 16#325# => romdata <= X"52"; -- % when 16#425# => romdata <= X"24"; -- % when 16#525# => romdata <= X"08"; -- % when 16#625# => romdata <= X"08"; -- % when 16#725# => romdata <= X"10"; -- % when 16#825# => romdata <= X"24"; -- % when 16#925# => romdata <= X"2a"; -- % when 16#a25# => romdata <= X"44"; -- % when 16#b25# => romdata <= X"00"; -- % when 16#c25# => romdata <= X"00"; -- % when 16#026# => romdata <= X"00"; -- & when 16#126# => romdata <= X"00"; -- & when 16#226# => romdata <= X"00"; -- & when 16#326# => romdata <= X"00"; -- & when 16#426# => romdata <= X"30"; -- & when 16#526# => romdata <= X"48"; -- & when 16#626# => romdata <= X"48"; -- & when 16#726# => romdata <= X"30"; -- & when 16#826# => romdata <= X"4a"; -- & when 16#926# => romdata <= X"44"; -- & when 16#a26# => romdata <= X"3a"; -- & when 16#b26# => romdata <= X"00"; -- & when 16#c26# => romdata <= X"00"; -- & when 16#027# => romdata <= X"00"; -- ' when 16#127# => romdata <= X"00"; -- ' when 16#227# => romdata <= X"10"; -- ' when 16#327# => romdata <= X"10"; -- ' when 16#427# => romdata <= X"10"; -- ' when 16#527# => romdata <= X"00"; -- ' when 16#627# => romdata <= X"00"; -- ' when 16#727# => romdata <= X"00"; -- ' when 16#827# => romdata <= X"00"; -- ' when 16#927# => romdata <= X"00"; -- ' when 16#a27# => romdata <= X"00"; -- ' when 16#b27# => romdata <= X"00"; -- ' when 16#c27# => romdata <= X"00"; -- ' when 16#028# => romdata <= X"00"; -- ( when 16#128# => romdata <= X"00"; -- ( when 16#228# => romdata <= X"04"; -- ( when 16#328# => romdata <= X"08"; -- ( when 16#428# => romdata <= X"08"; -- ( when 16#528# => romdata <= X"10"; -- ( when 16#628# => romdata <= X"10"; -- ( when 16#728# => romdata <= X"10"; -- ( when 16#828# => romdata <= X"08"; -- ( when 16#928# => romdata <= X"08"; -- ( when 16#a28# => romdata <= X"04"; -- ( when 16#b28# => romdata <= X"00"; -- ( when 16#c28# => romdata <= X"00"; -- ( when 16#029# => romdata <= X"00"; -- ) when 16#129# => romdata <= X"00"; -- ) when 16#229# => romdata <= X"20"; -- ) when 16#329# => romdata <= X"10"; -- ) when 16#429# => romdata <= X"10"; -- ) when 16#529# => romdata <= X"08"; -- ) when 16#629# => romdata <= X"08"; -- ) when 16#729# => romdata <= X"08"; -- ) when 16#829# => romdata <= X"10"; -- ) when 16#929# => romdata <= X"10"; -- ) when 16#a29# => romdata <= X"20"; -- ) when 16#b29# => romdata <= X"00"; -- ) when 16#c29# => romdata <= X"00"; -- ) when 16#02a# => romdata <= X"00"; -- * when 16#12a# => romdata <= X"00"; -- * when 16#22a# => romdata <= X"24"; -- * when 16#32a# => romdata <= X"18"; -- * when 16#42a# => romdata <= X"7e"; -- * when 16#52a# => romdata <= X"18"; -- * when 16#62a# => romdata <= X"24"; -- * when 16#72a# => romdata <= X"00"; -- * when 16#82a# => romdata <= X"00"; -- * when 16#92a# => romdata <= X"00"; -- * when 16#a2a# => romdata <= X"00"; -- * when 16#b2a# => romdata <= X"00"; -- * when 16#c2a# => romdata <= X"00"; -- * when 16#02b# => romdata <= X"00"; -- + when 16#12b# => romdata <= X"00"; -- + when 16#22b# => romdata <= X"00"; -- + when 16#32b# => romdata <= X"00"; -- + when 16#42b# => romdata <= X"10"; -- + when 16#52b# => romdata <= X"10"; -- + when 16#62b# => romdata <= X"7c"; -- + when 16#72b# => romdata <= X"10"; -- + when 16#82b# => romdata <= X"10"; -- + when 16#92b# => romdata <= X"00"; -- + when 16#a2b# => romdata <= X"00"; -- + when 16#b2b# => romdata <= X"00"; -- + when 16#c2b# => romdata <= X"00"; -- + when 16#02c# => romdata <= X"00"; -- , when 16#12c# => romdata <= X"00"; -- , when 16#22c# => romdata <= X"00"; -- , when 16#32c# => romdata <= X"00"; -- , when 16#42c# => romdata <= X"00"; -- , when 16#52c# => romdata <= X"00"; -- , when 16#62c# => romdata <= X"00"; -- , when 16#72c# => romdata <= X"00"; -- , when 16#82c# => romdata <= X"00"; -- , when 16#92c# => romdata <= X"38"; -- , when 16#a2c# => romdata <= X"30"; -- , when 16#b2c# => romdata <= X"40"; -- , when 16#c2c# => romdata <= X"00"; -- , when 16#02d# => romdata <= X"00"; -- - when 16#12d# => romdata <= X"00"; -- - when 16#22d# => romdata <= X"00"; -- - when 16#32d# => romdata <= X"00"; -- - when 16#42d# => romdata <= X"00"; -- - when 16#52d# => romdata <= X"00"; -- - when 16#62d# => romdata <= X"7c"; -- - when 16#72d# => romdata <= X"00"; -- - when 16#82d# => romdata <= X"00"; -- - when 16#92d# => romdata <= X"00"; -- - when 16#a2d# => romdata <= X"00"; -- - when 16#b2d# => romdata <= X"00"; -- - when 16#c2d# => romdata <= X"00"; -- - when 16#02e# => romdata <= X"00"; -- . when 16#12e# => romdata <= X"00"; -- . when 16#22e# => romdata <= X"00"; -- . when 16#32e# => romdata <= X"00"; -- . when 16#42e# => romdata <= X"00"; -- . when 16#52e# => romdata <= X"00"; -- . when 16#62e# => romdata <= X"00"; -- . when 16#72e# => romdata <= X"00"; -- . when 16#82e# => romdata <= X"00"; -- . when 16#92e# => romdata <= X"10"; -- . when 16#a2e# => romdata <= X"38"; -- . when 16#b2e# => romdata <= X"10"; -- . when 16#c2e# => romdata <= X"00"; -- . when 16#02f# => romdata <= X"00"; -- / when 16#12f# => romdata <= X"00"; -- / when 16#22f# => romdata <= X"02"; -- / when 16#32f# => romdata <= X"02"; -- / when 16#42f# => romdata <= X"04"; -- / when 16#52f# => romdata <= X"08"; -- / when 16#62f# => romdata <= X"10"; -- / when 16#72f# => romdata <= X"20"; -- / when 16#82f# => romdata <= X"40"; -- / when 16#92f# => romdata <= X"80"; -- / when 16#a2f# => romdata <= X"80"; -- / when 16#b2f# => romdata <= X"00"; -- / when 16#c2f# => romdata <= X"00"; -- / when 16#030# => romdata <= X"00"; -- 0 when 16#130# => romdata <= X"00"; -- 0 when 16#230# => romdata <= X"18"; -- 0 when 16#330# => romdata <= X"24"; -- 0 when 16#430# => romdata <= X"42"; -- 0 when 16#530# => romdata <= X"42"; -- 0 when 16#630# => romdata <= X"42"; -- 0 when 16#730# => romdata <= X"42"; -- 0 when 16#830# => romdata <= X"42"; -- 0 when 16#930# => romdata <= X"24"; -- 0 when 16#a30# => romdata <= X"18"; -- 0 when 16#b30# => romdata <= X"00"; -- 0 when 16#c30# => romdata <= X"00"; -- 0 when 16#031# => romdata <= X"00"; -- 1 when 16#131# => romdata <= X"00"; -- 1 when 16#231# => romdata <= X"10"; -- 1 when 16#331# => romdata <= X"30"; -- 1 when 16#431# => romdata <= X"50"; -- 1 when 16#531# => romdata <= X"10"; -- 1 when 16#631# => romdata <= X"10"; -- 1 when 16#731# => romdata <= X"10"; -- 1 when 16#831# => romdata <= X"10"; -- 1 when 16#931# => romdata <= X"10"; -- 1 when 16#a31# => romdata <= X"7c"; -- 1 when 16#b31# => romdata <= X"00"; -- 1 when 16#c31# => romdata <= X"00"; -- 1 when 16#032# => romdata <= X"00"; -- 2 when 16#132# => romdata <= X"00"; -- 2 when 16#232# => romdata <= X"3c"; -- 2 when 16#332# => romdata <= X"42"; -- 2 when 16#432# => romdata <= X"42"; -- 2 when 16#532# => romdata <= X"02"; -- 2 when 16#632# => romdata <= X"04"; -- 2 when 16#732# => romdata <= X"18"; -- 2 when 16#832# => romdata <= X"20"; -- 2 when 16#932# => romdata <= X"40"; -- 2 when 16#a32# => romdata <= X"7e"; -- 2 when 16#b32# => romdata <= X"00"; -- 2 when 16#c32# => romdata <= X"00"; -- 2 when 16#033# => romdata <= X"00"; -- 3 when 16#133# => romdata <= X"00"; -- 3 when 16#233# => romdata <= X"7e"; -- 3 when 16#333# => romdata <= X"02"; -- 3 when 16#433# => romdata <= X"04"; -- 3 when 16#533# => romdata <= X"08"; -- 3 when 16#633# => romdata <= X"1c"; -- 3 when 16#733# => romdata <= X"02"; -- 3 when 16#833# => romdata <= X"02"; -- 3 when 16#933# => romdata <= X"42"; -- 3 when 16#a33# => romdata <= X"3c"; -- 3 when 16#b33# => romdata <= X"00"; -- 3 when 16#c33# => romdata <= X"00"; -- 3 when 16#034# => romdata <= X"00"; -- 4 when 16#134# => romdata <= X"00"; -- 4 when 16#234# => romdata <= X"04"; -- 4 when 16#334# => romdata <= X"0c"; -- 4 when 16#434# => romdata <= X"14"; -- 4 when 16#534# => romdata <= X"24"; -- 4 when 16#634# => romdata <= X"44"; -- 4 when 16#734# => romdata <= X"44"; -- 4 when 16#834# => romdata <= X"7e"; -- 4 when 16#934# => romdata <= X"04"; -- 4 when 16#a34# => romdata <= X"04"; -- 4 when 16#b34# => romdata <= X"00"; -- 4 when 16#c34# => romdata <= X"00"; -- 4 when 16#035# => romdata <= X"00"; -- 5 when 16#135# => romdata <= X"00"; -- 5 when 16#235# => romdata <= X"7e"; -- 5 when 16#335# => romdata <= X"40"; -- 5 when 16#435# => romdata <= X"40"; -- 5 when 16#535# => romdata <= X"5c"; -- 5 when 16#635# => romdata <= X"62"; -- 5 when 16#735# => romdata <= X"02"; -- 5 when 16#835# => romdata <= X"02"; -- 5 when 16#935# => romdata <= X"42"; -- 5 when 16#a35# => romdata <= X"3c"; -- 5 when 16#b35# => romdata <= X"00"; -- 5 when 16#c35# => romdata <= X"00"; -- 5 when 16#036# => romdata <= X"00"; -- 6 when 16#136# => romdata <= X"00"; -- 6 when 16#236# => romdata <= X"1c"; -- 6 when 16#336# => romdata <= X"20"; -- 6 when 16#436# => romdata <= X"40"; -- 6 when 16#536# => romdata <= X"40"; -- 6 when 16#636# => romdata <= X"5c"; -- 6 when 16#736# => romdata <= X"62"; -- 6 when 16#836# => romdata <= X"42"; -- 6 when 16#936# => romdata <= X"42"; -- 6 when 16#a36# => romdata <= X"3c"; -- 6 when 16#b36# => romdata <= X"00"; -- 6 when 16#c36# => romdata <= X"00"; -- 6 when 16#037# => romdata <= X"00"; -- 7 when 16#137# => romdata <= X"00"; -- 7 when 16#237# => romdata <= X"7e"; -- 7 when 16#337# => romdata <= X"02"; -- 7 when 16#437# => romdata <= X"04"; -- 7 when 16#537# => romdata <= X"08"; -- 7 when 16#637# => romdata <= X"08"; -- 7 when 16#737# => romdata <= X"10"; -- 7 when 16#837# => romdata <= X"10"; -- 7 when 16#937# => romdata <= X"20"; -- 7 when 16#a37# => romdata <= X"20"; -- 7 when 16#b37# => romdata <= X"00"; -- 7 when 16#c37# => romdata <= X"00"; -- 7 when 16#038# => romdata <= X"00"; -- 8 when 16#138# => romdata <= X"00"; -- 8 when 16#238# => romdata <= X"3c"; -- 8 when 16#338# => romdata <= X"42"; -- 8 when 16#438# => romdata <= X"42"; -- 8 when 16#538# => romdata <= X"42"; -- 8 when 16#638# => romdata <= X"3c"; -- 8 when 16#738# => romdata <= X"42"; -- 8 when 16#838# => romdata <= X"42"; -- 8 when 16#938# => romdata <= X"42"; -- 8 when 16#a38# => romdata <= X"3c"; -- 8 when 16#b38# => romdata <= X"00"; -- 8 when 16#c38# => romdata <= X"00"; -- 8 when 16#039# => romdata <= X"00"; -- 9 when 16#139# => romdata <= X"00"; -- 9 when 16#239# => romdata <= X"3c"; -- 9 when 16#339# => romdata <= X"42"; -- 9 when 16#439# => romdata <= X"42"; -- 9 when 16#539# => romdata <= X"46"; -- 9 when 16#639# => romdata <= X"3a"; -- 9 when 16#739# => romdata <= X"02"; -- 9 when 16#839# => romdata <= X"02"; -- 9 when 16#939# => romdata <= X"04"; -- 9 when 16#a39# => romdata <= X"38"; -- 9 when 16#b39# => romdata <= X"00"; -- 9 when 16#c39# => romdata <= X"00"; -- 9 when 16#03a# => romdata <= X"00"; -- : when 16#13a# => romdata <= X"00"; -- : when 16#23a# => romdata <= X"00"; -- : when 16#33a# => romdata <= X"00"; -- : when 16#43a# => romdata <= X"10"; -- : when 16#53a# => romdata <= X"38"; -- : when 16#63a# => romdata <= X"10"; -- : when 16#73a# => romdata <= X"00"; -- : when 16#83a# => romdata <= X"00"; -- : when 16#93a# => romdata <= X"10"; -- : when 16#a3a# => romdata <= X"38"; -- : when 16#b3a# => romdata <= X"10"; -- : when 16#c3a# => romdata <= X"00"; -- : when 16#03b# => romdata <= X"00"; -- ; when 16#13b# => romdata <= X"00"; -- ; when 16#23b# => romdata <= X"00"; -- ; when 16#33b# => romdata <= X"00"; -- ; when 16#43b# => romdata <= X"10"; -- ; when 16#53b# => romdata <= X"38"; -- ; when 16#63b# => romdata <= X"10"; -- ; when 16#73b# => romdata <= X"00"; -- ; when 16#83b# => romdata <= X"00"; -- ; when 16#93b# => romdata <= X"38"; -- ; when 16#a3b# => romdata <= X"30"; -- ; when 16#b3b# => romdata <= X"40"; -- ; when 16#c3b# => romdata <= X"00"; -- ; when 16#03c# => romdata <= X"00"; -- < when 16#13c# => romdata <= X"00"; -- < when 16#23c# => romdata <= X"02"; -- < when 16#33c# => romdata <= X"04"; -- < when 16#43c# => romdata <= X"08"; -- < when 16#53c# => romdata <= X"10"; -- < when 16#63c# => romdata <= X"20"; -- < when 16#73c# => romdata <= X"10"; -- < when 16#83c# => romdata <= X"08"; -- < when 16#93c# => romdata <= X"04"; -- < when 16#a3c# => romdata <= X"02"; -- < when 16#b3c# => romdata <= X"00"; -- < when 16#c3c# => romdata <= X"00"; -- < when 16#03d# => romdata <= X"00"; -- = when 16#13d# => romdata <= X"00"; -- = when 16#23d# => romdata <= X"00"; -- = when 16#33d# => romdata <= X"00"; -- = when 16#43d# => romdata <= X"00"; -- = when 16#53d# => romdata <= X"7e"; -- = when 16#63d# => romdata <= X"00"; -- = when 16#73d# => romdata <= X"00"; -- = when 16#83d# => romdata <= X"7e"; -- = when 16#93d# => romdata <= X"00"; -- = when 16#a3d# => romdata <= X"00"; -- = when 16#b3d# => romdata <= X"00"; -- = when 16#c3d# => romdata <= X"00"; -- = when 16#03e# => romdata <= X"00"; -- > when 16#13e# => romdata <= X"00"; -- > when 16#23e# => romdata <= X"40"; -- > when 16#33e# => romdata <= X"20"; -- > when 16#43e# => romdata <= X"10"; -- > when 16#53e# => romdata <= X"08"; -- > when 16#63e# => romdata <= X"04"; -- > when 16#73e# => romdata <= X"08"; -- > when 16#83e# => romdata <= X"10"; -- > when 16#93e# => romdata <= X"20"; -- > when 16#a3e# => romdata <= X"40"; -- > when 16#b3e# => romdata <= X"00"; -- > when 16#c3e# => romdata <= X"00"; -- > when 16#03f# => romdata <= X"00"; -- ? when 16#13f# => romdata <= X"00"; -- ? when 16#23f# => romdata <= X"3c"; -- ? when 16#33f# => romdata <= X"42"; -- ? when 16#43f# => romdata <= X"42"; -- ? when 16#53f# => romdata <= X"02"; -- ? when 16#63f# => romdata <= X"04"; -- ? when 16#73f# => romdata <= X"08"; -- ? when 16#83f# => romdata <= X"08"; -- ? when 16#93f# => romdata <= X"00"; -- ? when 16#a3f# => romdata <= X"08"; -- ? when 16#b3f# => romdata <= X"00"; -- ? when 16#c3f# => romdata <= X"00"; -- ? when 16#040# => romdata <= X"00"; -- @ when 16#140# => romdata <= X"00"; -- @ when 16#240# => romdata <= X"3c"; -- @ when 16#340# => romdata <= X"42"; -- @ when 16#440# => romdata <= X"42"; -- @ when 16#540# => romdata <= X"4e"; -- @ when 16#640# => romdata <= X"52"; -- @ when 16#740# => romdata <= X"56"; -- @ when 16#840# => romdata <= X"4a"; -- @ when 16#940# => romdata <= X"40"; -- @ when 16#a40# => romdata <= X"3c"; -- @ when 16#b40# => romdata <= X"00"; -- @ when 16#c40# => romdata <= X"00"; -- @ when 16#041# => romdata <= X"00"; -- A when 16#141# => romdata <= X"00"; -- A when 16#241# => romdata <= X"18"; -- A when 16#341# => romdata <= X"24"; -- A when 16#441# => romdata <= X"42"; -- A when 16#541# => romdata <= X"42"; -- A when 16#641# => romdata <= X"42"; -- A when 16#741# => romdata <= X"7e"; -- A when 16#841# => romdata <= X"42"; -- A when 16#941# => romdata <= X"42"; -- A when 16#a41# => romdata <= X"42"; -- A when 16#b41# => romdata <= X"00"; -- A when 16#c41# => romdata <= X"00"; -- A when 16#042# => romdata <= X"00"; -- B when 16#142# => romdata <= X"00"; -- B when 16#242# => romdata <= X"78"; -- B when 16#342# => romdata <= X"44"; -- B when 16#442# => romdata <= X"42"; -- B when 16#542# => romdata <= X"44"; -- B when 16#642# => romdata <= X"78"; -- B when 16#742# => romdata <= X"44"; -- B when 16#842# => romdata <= X"42"; -- B when 16#942# => romdata <= X"44"; -- B when 16#a42# => romdata <= X"78"; -- B when 16#b42# => romdata <= X"00"; -- B when 16#c42# => romdata <= X"00"; -- B when 16#043# => romdata <= X"00"; -- C when 16#143# => romdata <= X"00"; -- C when 16#243# => romdata <= X"3c"; -- C when 16#343# => romdata <= X"42"; -- C when 16#443# => romdata <= X"40"; -- C when 16#543# => romdata <= X"40"; -- C when 16#643# => romdata <= X"40"; -- C when 16#743# => romdata <= X"40"; -- C when 16#843# => romdata <= X"40"; -- C when 16#943# => romdata <= X"42"; -- C when 16#a43# => romdata <= X"3c"; -- C when 16#b43# => romdata <= X"00"; -- C when 16#c43# => romdata <= X"00"; -- C when 16#044# => romdata <= X"00"; -- D when 16#144# => romdata <= X"00"; -- D when 16#244# => romdata <= X"78"; -- D when 16#344# => romdata <= X"44"; -- D when 16#444# => romdata <= X"42"; -- D when 16#544# => romdata <= X"42"; -- D when 16#644# => romdata <= X"42"; -- D when 16#744# => romdata <= X"42"; -- D when 16#844# => romdata <= X"42"; -- D when 16#944# => romdata <= X"44"; -- D when 16#a44# => romdata <= X"78"; -- D when 16#b44# => romdata <= X"00"; -- D when 16#c44# => romdata <= X"00"; -- D when 16#045# => romdata <= X"00"; -- E when 16#145# => romdata <= X"00"; -- E when 16#245# => romdata <= X"7e"; -- E when 16#345# => romdata <= X"40"; -- E when 16#445# => romdata <= X"40"; -- E when 16#545# => romdata <= X"40"; -- E when 16#645# => romdata <= X"78"; -- E when 16#745# => romdata <= X"40"; -- E when 16#845# => romdata <= X"40"; -- E when 16#945# => romdata <= X"40"; -- E when 16#a45# => romdata <= X"7e"; -- E when 16#b45# => romdata <= X"00"; -- E when 16#c45# => romdata <= X"00"; -- E when 16#046# => romdata <= X"00"; -- F when 16#146# => romdata <= X"00"; -- F when 16#246# => romdata <= X"7e"; -- F when 16#346# => romdata <= X"40"; -- F when 16#446# => romdata <= X"40"; -- F when 16#546# => romdata <= X"40"; -- F when 16#646# => romdata <= X"78"; -- F when 16#746# => romdata <= X"40"; -- F when 16#846# => romdata <= X"40"; -- F when 16#946# => romdata <= X"40"; -- F when 16#a46# => romdata <= X"40"; -- F when 16#b46# => romdata <= X"00"; -- F when 16#c46# => romdata <= X"00"; -- F when 16#047# => romdata <= X"00"; -- G when 16#147# => romdata <= X"00"; -- G when 16#247# => romdata <= X"3c"; -- G when 16#347# => romdata <= X"42"; -- G when 16#447# => romdata <= X"40"; -- G when 16#547# => romdata <= X"40"; -- G when 16#647# => romdata <= X"40"; -- G when 16#747# => romdata <= X"4e"; -- G when 16#847# => romdata <= X"42"; -- G when 16#947# => romdata <= X"46"; -- G when 16#a47# => romdata <= X"3a"; -- G when 16#b47# => romdata <= X"00"; -- G when 16#c47# => romdata <= X"00"; -- G when 16#048# => romdata <= X"00"; -- H when 16#148# => romdata <= X"00"; -- H when 16#248# => romdata <= X"42"; -- H when 16#348# => romdata <= X"42"; -- H when 16#448# => romdata <= X"42"; -- H when 16#548# => romdata <= X"42"; -- H when 16#648# => romdata <= X"7e"; -- H when 16#748# => romdata <= X"42"; -- H when 16#848# => romdata <= X"42"; -- H when 16#948# => romdata <= X"42"; -- H when 16#a48# => romdata <= X"42"; -- H when 16#b48# => romdata <= X"00"; -- H when 16#c48# => romdata <= X"00"; -- H when 16#049# => romdata <= X"00"; -- I when 16#149# => romdata <= X"00"; -- I when 16#249# => romdata <= X"7c"; -- I when 16#349# => romdata <= X"10"; -- I when 16#449# => romdata <= X"10"; -- I when 16#549# => romdata <= X"10"; -- I when 16#649# => romdata <= X"10"; -- I when 16#749# => romdata <= X"10"; -- I when 16#849# => romdata <= X"10"; -- I when 16#949# => romdata <= X"10"; -- I when 16#a49# => romdata <= X"7c"; -- I when 16#b49# => romdata <= X"00"; -- I when 16#c49# => romdata <= X"00"; -- I when 16#04a# => romdata <= X"00"; -- J when 16#14a# => romdata <= X"00"; -- J when 16#24a# => romdata <= X"1f"; -- J when 16#34a# => romdata <= X"04"; -- J when 16#44a# => romdata <= X"04"; -- J when 16#54a# => romdata <= X"04"; -- J when 16#64a# => romdata <= X"04"; -- J when 16#74a# => romdata <= X"04"; -- J when 16#84a# => romdata <= X"04"; -- J when 16#94a# => romdata <= X"44"; -- J when 16#a4a# => romdata <= X"38"; -- J when 16#b4a# => romdata <= X"00"; -- J when 16#c4a# => romdata <= X"00"; -- J when 16#04b# => romdata <= X"00"; -- K when 16#14b# => romdata <= X"00"; -- K when 16#24b# => romdata <= X"42"; -- K when 16#34b# => romdata <= X"44"; -- K when 16#44b# => romdata <= X"48"; -- K when 16#54b# => romdata <= X"50"; -- K when 16#64b# => romdata <= X"60"; -- K when 16#74b# => romdata <= X"50"; -- K when 16#84b# => romdata <= X"48"; -- K when 16#94b# => romdata <= X"44"; -- K when 16#a4b# => romdata <= X"42"; -- K when 16#b4b# => romdata <= X"00"; -- K when 16#c4b# => romdata <= X"00"; -- K when 16#04c# => romdata <= X"00"; -- L when 16#14c# => romdata <= X"00"; -- L when 16#24c# => romdata <= X"40"; -- L when 16#34c# => romdata <= X"40"; -- L when 16#44c# => romdata <= X"40"; -- L when 16#54c# => romdata <= X"40"; -- L when 16#64c# => romdata <= X"40"; -- L when 16#74c# => romdata <= X"40"; -- L when 16#84c# => romdata <= X"40"; -- L when 16#94c# => romdata <= X"40"; -- L when 16#a4c# => romdata <= X"7e"; -- L when 16#b4c# => romdata <= X"00"; -- L when 16#c4c# => romdata <= X"00"; -- L when 16#04d# => romdata <= X"00"; -- M when 16#14d# => romdata <= X"00"; -- M when 16#24d# => romdata <= X"82"; -- M when 16#34d# => romdata <= X"82"; -- M when 16#44d# => romdata <= X"c6"; -- M when 16#54d# => romdata <= X"aa"; -- M when 16#64d# => romdata <= X"92"; -- M when 16#74d# => romdata <= X"92"; -- M when 16#84d# => romdata <= X"82"; -- M when 16#94d# => romdata <= X"82"; -- M when 16#a4d# => romdata <= X"82"; -- M when 16#b4d# => romdata <= X"00"; -- M when 16#c4d# => romdata <= X"00"; -- M when 16#04e# => romdata <= X"00"; -- N when 16#14e# => romdata <= X"00"; -- N when 16#24e# => romdata <= X"42"; -- N when 16#34e# => romdata <= X"42"; -- N when 16#44e# => romdata <= X"62"; -- N when 16#54e# => romdata <= X"52"; -- N when 16#64e# => romdata <= X"4a"; -- N when 16#74e# => romdata <= X"46"; -- N when 16#84e# => romdata <= X"42"; -- N when 16#94e# => romdata <= X"42"; -- N when 16#a4e# => romdata <= X"42"; -- N when 16#b4e# => romdata <= X"00"; -- N when 16#c4e# => romdata <= X"00"; -- N when 16#04f# => romdata <= X"00"; -- O when 16#14f# => romdata <= X"00"; -- O when 16#24f# => romdata <= X"3c"; -- O when 16#34f# => romdata <= X"42"; -- O when 16#44f# => romdata <= X"42"; -- O when 16#54f# => romdata <= X"42"; -- O when 16#64f# => romdata <= X"42"; -- O when 16#74f# => romdata <= X"42"; -- O when 16#84f# => romdata <= X"42"; -- O when 16#94f# => romdata <= X"42"; -- O when 16#a4f# => romdata <= X"3c"; -- O when 16#b4f# => romdata <= X"00"; -- O when 16#c4f# => romdata <= X"00"; -- O when 16#050# => romdata <= X"00"; -- P when 16#150# => romdata <= X"00"; -- P when 16#250# => romdata <= X"7c"; -- P when 16#350# => romdata <= X"42"; -- P when 16#450# => romdata <= X"42"; -- P when 16#550# => romdata <= X"42"; -- P when 16#650# => romdata <= X"7c"; -- P when 16#750# => romdata <= X"40"; -- P when 16#850# => romdata <= X"40"; -- P when 16#950# => romdata <= X"40"; -- P when 16#a50# => romdata <= X"40"; -- P when 16#b50# => romdata <= X"00"; -- P when 16#c50# => romdata <= X"00"; -- P when 16#051# => romdata <= X"00"; -- Q when 16#151# => romdata <= X"00"; -- Q when 16#251# => romdata <= X"3c"; -- Q when 16#351# => romdata <= X"42"; -- Q when 16#451# => romdata <= X"42"; -- Q when 16#551# => romdata <= X"42"; -- Q when 16#651# => romdata <= X"42"; -- Q when 16#751# => romdata <= X"42"; -- Q when 16#851# => romdata <= X"52"; -- Q when 16#951# => romdata <= X"4a"; -- Q when 16#a51# => romdata <= X"3c"; -- Q when 16#b51# => romdata <= X"02"; -- Q when 16#c51# => romdata <= X"00"; -- Q when 16#052# => romdata <= X"00"; -- R when 16#152# => romdata <= X"00"; -- R when 16#252# => romdata <= X"7c"; -- R when 16#352# => romdata <= X"42"; -- R when 16#452# => romdata <= X"42"; -- R when 16#552# => romdata <= X"42"; -- R when 16#652# => romdata <= X"7c"; -- R when 16#752# => romdata <= X"50"; -- R when 16#852# => romdata <= X"48"; -- R when 16#952# => romdata <= X"44"; -- R when 16#a52# => romdata <= X"42"; -- R when 16#b52# => romdata <= X"00"; -- R when 16#c52# => romdata <= X"00"; -- R when 16#053# => romdata <= X"00"; -- S when 16#153# => romdata <= X"00"; -- S when 16#253# => romdata <= X"3c"; -- S when 16#353# => romdata <= X"42"; -- S when 16#453# => romdata <= X"40"; -- S when 16#553# => romdata <= X"40"; -- S when 16#653# => romdata <= X"3c"; -- S when 16#753# => romdata <= X"02"; -- S when 16#853# => romdata <= X"02"; -- S when 16#953# => romdata <= X"42"; -- S when 16#a53# => romdata <= X"3c"; -- S when 16#b53# => romdata <= X"00"; -- S when 16#c53# => romdata <= X"00"; -- S when 16#054# => romdata <= X"00"; -- T when 16#154# => romdata <= X"00"; -- T when 16#254# => romdata <= X"fe"; -- T when 16#354# => romdata <= X"10"; -- T when 16#454# => romdata <= X"10"; -- T when 16#554# => romdata <= X"10"; -- T when 16#654# => romdata <= X"10"; -- T when 16#754# => romdata <= X"10"; -- T when 16#854# => romdata <= X"10"; -- T when 16#954# => romdata <= X"10"; -- T when 16#a54# => romdata <= X"10"; -- T when 16#b54# => romdata <= X"00"; -- T when 16#c54# => romdata <= X"00"; -- T when 16#055# => romdata <= X"00"; -- U when 16#155# => romdata <= X"00"; -- U when 16#255# => romdata <= X"42"; -- U when 16#355# => romdata <= X"42"; -- U when 16#455# => romdata <= X"42"; -- U when 16#555# => romdata <= X"42"; -- U when 16#655# => romdata <= X"42"; -- U when 16#755# => romdata <= X"42"; -- U when 16#855# => romdata <= X"42"; -- U when 16#955# => romdata <= X"42"; -- U when 16#a55# => romdata <= X"3c"; -- U when 16#b55# => romdata <= X"00"; -- U when 16#c55# => romdata <= X"00"; -- U when 16#056# => romdata <= X"00"; -- V when 16#156# => romdata <= X"00"; -- V when 16#256# => romdata <= X"82"; -- V when 16#356# => romdata <= X"82"; -- V when 16#456# => romdata <= X"44"; -- V when 16#556# => romdata <= X"44"; -- V when 16#656# => romdata <= X"44"; -- V when 16#756# => romdata <= X"28"; -- V when 16#856# => romdata <= X"28"; -- V when 16#956# => romdata <= X"28"; -- V when 16#a56# => romdata <= X"10"; -- V when 16#b56# => romdata <= X"00"; -- V when 16#c56# => romdata <= X"00"; -- V when 16#057# => romdata <= X"00"; -- W when 16#157# => romdata <= X"00"; -- W when 16#257# => romdata <= X"82"; -- W when 16#357# => romdata <= X"82"; -- W when 16#457# => romdata <= X"82"; -- W when 16#557# => romdata <= X"82"; -- W when 16#657# => romdata <= X"92"; -- W when 16#757# => romdata <= X"92"; -- W when 16#857# => romdata <= X"92"; -- W when 16#957# => romdata <= X"aa"; -- W when 16#a57# => romdata <= X"44"; -- W when 16#b57# => romdata <= X"00"; -- W when 16#c57# => romdata <= X"00"; -- W when 16#058# => romdata <= X"00"; -- X when 16#158# => romdata <= X"00"; -- X when 16#258# => romdata <= X"82"; -- X when 16#358# => romdata <= X"82"; -- X when 16#458# => romdata <= X"44"; -- X when 16#558# => romdata <= X"28"; -- X when 16#658# => romdata <= X"10"; -- X when 16#758# => romdata <= X"28"; -- X when 16#858# => romdata <= X"44"; -- X when 16#958# => romdata <= X"82"; -- X when 16#a58# => romdata <= X"82"; -- X when 16#b58# => romdata <= X"00"; -- X when 16#c58# => romdata <= X"00"; -- X when 16#059# => romdata <= X"00"; -- Y when 16#159# => romdata <= X"00"; -- Y when 16#259# => romdata <= X"82"; -- Y when 16#359# => romdata <= X"82"; -- Y when 16#459# => romdata <= X"44"; -- Y when 16#559# => romdata <= X"28"; -- Y when 16#659# => romdata <= X"10"; -- Y when 16#759# => romdata <= X"10"; -- Y when 16#859# => romdata <= X"10"; -- Y when 16#959# => romdata <= X"10"; -- Y when 16#a59# => romdata <= X"10"; -- Y when 16#b59# => romdata <= X"00"; -- Y when 16#c59# => romdata <= X"00"; -- Y when 16#05a# => romdata <= X"00"; -- Z when 16#15a# => romdata <= X"00"; -- Z when 16#25a# => romdata <= X"7e"; -- Z when 16#35a# => romdata <= X"02"; -- Z when 16#45a# => romdata <= X"04"; -- Z when 16#55a# => romdata <= X"08"; -- Z when 16#65a# => romdata <= X"10"; -- Z when 16#75a# => romdata <= X"20"; -- Z when 16#85a# => romdata <= X"40"; -- Z when 16#95a# => romdata <= X"40"; -- Z when 16#a5a# => romdata <= X"7e"; -- Z when 16#b5a# => romdata <= X"00"; -- Z when 16#c5a# => romdata <= X"00"; -- Z when 16#05b# => romdata <= X"00"; -- [ when 16#15b# => romdata <= X"00"; -- [ when 16#25b# => romdata <= X"3c"; -- [ when 16#35b# => romdata <= X"20"; -- [ when 16#45b# => romdata <= X"20"; -- [ when 16#55b# => romdata <= X"20"; -- [ when 16#65b# => romdata <= X"20"; -- [ when 16#75b# => romdata <= X"20"; -- [ when 16#85b# => romdata <= X"20"; -- [ when 16#95b# => romdata <= X"20"; -- [ when 16#a5b# => romdata <= X"3c"; -- [ when 16#b5b# => romdata <= X"00"; -- [ when 16#c5b# => romdata <= X"00"; -- [ when 16#05c# => romdata <= X"00"; -- \ when 16#15c# => romdata <= X"00"; -- \ when 16#25c# => romdata <= X"80"; -- \ when 16#35c# => romdata <= X"80"; -- \ when 16#45c# => romdata <= X"40"; -- \ when 16#55c# => romdata <= X"20"; -- \ when 16#65c# => romdata <= X"10"; -- \ when 16#75c# => romdata <= X"08"; -- \ when 16#85c# => romdata <= X"04"; -- \ when 16#95c# => romdata <= X"02"; -- \ when 16#a5c# => romdata <= X"02"; -- \ when 16#b5c# => romdata <= X"00"; -- \ when 16#c5c# => romdata <= X"00"; -- \ when 16#05d# => romdata <= X"00"; -- ] when 16#15d# => romdata <= X"00"; -- ] when 16#25d# => romdata <= X"78"; -- ] when 16#35d# => romdata <= X"08"; -- ] when 16#45d# => romdata <= X"08"; -- ] when 16#55d# => romdata <= X"08"; -- ] when 16#65d# => romdata <= X"08"; -- ] when 16#75d# => romdata <= X"08"; -- ] when 16#85d# => romdata <= X"08"; -- ] when 16#95d# => romdata <= X"08"; -- ] when 16#a5d# => romdata <= X"78"; -- ] when 16#b5d# => romdata <= X"00"; -- ] when 16#c5d# => romdata <= X"00"; -- ] when 16#05e# => romdata <= X"00"; -- ^ when 16#15e# => romdata <= X"00"; -- ^ when 16#25e# => romdata <= X"10"; -- ^ when 16#35e# => romdata <= X"28"; -- ^ when 16#45e# => romdata <= X"44"; -- ^ when 16#55e# => romdata <= X"00"; -- ^ when 16#65e# => romdata <= X"00"; -- ^ when 16#75e# => romdata <= X"00"; -- ^ when 16#85e# => romdata <= X"00"; -- ^ when 16#95e# => romdata <= X"00"; -- ^ when 16#a5e# => romdata <= X"00"; -- ^ when 16#b5e# => romdata <= X"00"; -- ^ when 16#c5e# => romdata <= X"00"; -- ^ when 16#05f# => romdata <= X"00"; -- _ when 16#15f# => romdata <= X"00"; -- _ when 16#25f# => romdata <= X"00"; -- _ when 16#35f# => romdata <= X"00"; -- _ when 16#45f# => romdata <= X"00"; -- _ when 16#55f# => romdata <= X"00"; -- _ when 16#65f# => romdata <= X"00"; -- _ when 16#75f# => romdata <= X"00"; -- _ when 16#85f# => romdata <= X"00"; -- _ when 16#95f# => romdata <= X"00"; -- _ when 16#a5f# => romdata <= X"00"; -- _ when 16#b5f# => romdata <= X"fe"; -- _ when 16#c5f# => romdata <= X"00"; -- _ when 16#060# => romdata <= X"00"; -- ` when 16#160# => romdata <= X"10"; -- ` when 16#260# => romdata <= X"08"; -- ` when 16#360# => romdata <= X"00"; -- ` when 16#460# => romdata <= X"00"; -- ` when 16#560# => romdata <= X"00"; -- ` when 16#660# => romdata <= X"00"; -- ` when 16#760# => romdata <= X"00"; -- ` when 16#860# => romdata <= X"00"; -- ` when 16#960# => romdata <= X"00"; -- ` when 16#a60# => romdata <= X"00"; -- ` when 16#b60# => romdata <= X"00"; -- ` when 16#c60# => romdata <= X"00"; -- ` when 16#061# => romdata <= X"00"; -- a when 16#161# => romdata <= X"00"; -- a when 16#261# => romdata <= X"00"; -- a when 16#361# => romdata <= X"00"; -- a when 16#461# => romdata <= X"00"; -- a when 16#561# => romdata <= X"3c"; -- a when 16#661# => romdata <= X"02"; -- a when 16#761# => romdata <= X"3e"; -- a when 16#861# => romdata <= X"42"; -- a when 16#961# => romdata <= X"46"; -- a when 16#a61# => romdata <= X"3a"; -- a when 16#b61# => romdata <= X"00"; -- a when 16#c61# => romdata <= X"00"; -- a when 16#062# => romdata <= X"00"; -- b when 16#162# => romdata <= X"00"; -- b when 16#262# => romdata <= X"40"; -- b when 16#362# => romdata <= X"40"; -- b when 16#462# => romdata <= X"40"; -- b when 16#562# => romdata <= X"5c"; -- b when 16#662# => romdata <= X"62"; -- b when 16#762# => romdata <= X"42"; -- b when 16#862# => romdata <= X"42"; -- b when 16#962# => romdata <= X"62"; -- b when 16#a62# => romdata <= X"5c"; -- b when 16#b62# => romdata <= X"00"; -- b when 16#c62# => romdata <= X"00"; -- b when 16#063# => romdata <= X"00"; -- c when 16#163# => romdata <= X"00"; -- c when 16#263# => romdata <= X"00"; -- c when 16#363# => romdata <= X"00"; -- c when 16#463# => romdata <= X"00"; -- c when 16#563# => romdata <= X"3c"; -- c when 16#663# => romdata <= X"42"; -- c when 16#763# => romdata <= X"40"; -- c when 16#863# => romdata <= X"40"; -- c when 16#963# => romdata <= X"42"; -- c when 16#a63# => romdata <= X"3c"; -- c when 16#b63# => romdata <= X"00"; -- c when 16#c63# => romdata <= X"00"; -- c when 16#064# => romdata <= X"00"; -- d when 16#164# => romdata <= X"00"; -- d when 16#264# => romdata <= X"02"; -- d when 16#364# => romdata <= X"02"; -- d when 16#464# => romdata <= X"02"; -- d when 16#564# => romdata <= X"3a"; -- d when 16#664# => romdata <= X"46"; -- d when 16#764# => romdata <= X"42"; -- d when 16#864# => romdata <= X"42"; -- d when 16#964# => romdata <= X"46"; -- d when 16#a64# => romdata <= X"3a"; -- d when 16#b64# => romdata <= X"00"; -- d when 16#c64# => romdata <= X"00"; -- d when 16#065# => romdata <= X"00"; -- e when 16#165# => romdata <= X"00"; -- e when 16#265# => romdata <= X"00"; -- e when 16#365# => romdata <= X"00"; -- e when 16#465# => romdata <= X"00"; -- e when 16#565# => romdata <= X"3c"; -- e when 16#665# => romdata <= X"42"; -- e when 16#765# => romdata <= X"7e"; -- e when 16#865# => romdata <= X"40"; -- e when 16#965# => romdata <= X"42"; -- e when 16#a65# => romdata <= X"3c"; -- e when 16#b65# => romdata <= X"00"; -- e when 16#c65# => romdata <= X"00"; -- e when 16#066# => romdata <= X"00"; -- f when 16#166# => romdata <= X"00"; -- f when 16#266# => romdata <= X"1c"; -- f when 16#366# => romdata <= X"22"; -- f when 16#466# => romdata <= X"20"; -- f when 16#566# => romdata <= X"20"; -- f when 16#666# => romdata <= X"7c"; -- f when 16#766# => romdata <= X"20"; -- f when 16#866# => romdata <= X"20"; -- f when 16#966# => romdata <= X"20"; -- f when 16#a66# => romdata <= X"20"; -- f when 16#b66# => romdata <= X"00"; -- f when 16#c66# => romdata <= X"00"; -- f when 16#067# => romdata <= X"00"; -- g when 16#167# => romdata <= X"00"; -- g when 16#267# => romdata <= X"00"; -- g when 16#367# => romdata <= X"00"; -- g when 16#467# => romdata <= X"00"; -- g when 16#567# => romdata <= X"3a"; -- g when 16#667# => romdata <= X"44"; -- g when 16#767# => romdata <= X"44"; -- g when 16#867# => romdata <= X"38"; -- g when 16#967# => romdata <= X"40"; -- g when 16#a67# => romdata <= X"3c"; -- g when 16#b67# => romdata <= X"42"; -- g when 16#c67# => romdata <= X"3c"; -- g when 16#068# => romdata <= X"00"; -- h when 16#168# => romdata <= X"00"; -- h when 16#268# => romdata <= X"40"; -- h when 16#368# => romdata <= X"40"; -- h when 16#468# => romdata <= X"40"; -- h when 16#568# => romdata <= X"5c"; -- h when 16#668# => romdata <= X"62"; -- h when 16#768# => romdata <= X"42"; -- h when 16#868# => romdata <= X"42"; -- h when 16#968# => romdata <= X"42"; -- h when 16#a68# => romdata <= X"42"; -- h when 16#b68# => romdata <= X"00"; -- h when 16#c68# => romdata <= X"00"; -- h when 16#069# => romdata <= X"00"; -- i when 16#169# => romdata <= X"00"; -- i when 16#269# => romdata <= X"00"; -- i when 16#369# => romdata <= X"10"; -- i when 16#469# => romdata <= X"00"; -- i when 16#569# => romdata <= X"30"; -- i when 16#669# => romdata <= X"10"; -- i when 16#769# => romdata <= X"10"; -- i when 16#869# => romdata <= X"10"; -- i when 16#969# => romdata <= X"10"; -- i when 16#a69# => romdata <= X"7c"; -- i when 16#b69# => romdata <= X"00"; -- i when 16#c69# => romdata <= X"00"; -- i when 16#06a# => romdata <= X"00"; -- j when 16#16a# => romdata <= X"00"; -- j when 16#26a# => romdata <= X"00"; -- j when 16#36a# => romdata <= X"04"; -- j when 16#46a# => romdata <= X"00"; -- j when 16#56a# => romdata <= X"0c"; -- j when 16#66a# => romdata <= X"04"; -- j when 16#76a# => romdata <= X"04"; -- j when 16#86a# => romdata <= X"04"; -- j when 16#96a# => romdata <= X"04"; -- j when 16#a6a# => romdata <= X"44"; -- j when 16#b6a# => romdata <= X"44"; -- j when 16#c6a# => romdata <= X"38"; -- j when 16#06b# => romdata <= X"00"; -- k when 16#16b# => romdata <= X"00"; -- k when 16#26b# => romdata <= X"40"; -- k when 16#36b# => romdata <= X"40"; -- k when 16#46b# => romdata <= X"40"; -- k when 16#56b# => romdata <= X"44"; -- k when 16#66b# => romdata <= X"48"; -- k when 16#76b# => romdata <= X"70"; -- k when 16#86b# => romdata <= X"48"; -- k when 16#96b# => romdata <= X"44"; -- k when 16#a6b# => romdata <= X"42"; -- k when 16#b6b# => romdata <= X"00"; -- k when 16#c6b# => romdata <= X"00"; -- k when 16#06c# => romdata <= X"00"; -- l when 16#16c# => romdata <= X"00"; -- l when 16#26c# => romdata <= X"30"; -- l when 16#36c# => romdata <= X"10"; -- l when 16#46c# => romdata <= X"10"; -- l when 16#56c# => romdata <= X"10"; -- l when 16#66c# => romdata <= X"10"; -- l when 16#76c# => romdata <= X"10"; -- l when 16#86c# => romdata <= X"10"; -- l when 16#96c# => romdata <= X"10"; -- l when 16#a6c# => romdata <= X"7c"; -- l when 16#b6c# => romdata <= X"00"; -- l when 16#c6c# => romdata <= X"00"; -- l when 16#06d# => romdata <= X"00"; -- m when 16#16d# => romdata <= X"00"; -- m when 16#26d# => romdata <= X"00"; -- m when 16#36d# => romdata <= X"00"; -- m when 16#46d# => romdata <= X"00"; -- m when 16#56d# => romdata <= X"ec"; -- m when 16#66d# => romdata <= X"92"; -- m when 16#76d# => romdata <= X"92"; -- m when 16#86d# => romdata <= X"92"; -- m when 16#96d# => romdata <= X"92"; -- m when 16#a6d# => romdata <= X"82"; -- m when 16#b6d# => romdata <= X"00"; -- m when 16#c6d# => romdata <= X"00"; -- m when 16#06e# => romdata <= X"00"; -- n when 16#16e# => romdata <= X"00"; -- n when 16#26e# => romdata <= X"00"; -- n when 16#36e# => romdata <= X"00"; -- n when 16#46e# => romdata <= X"00"; -- n when 16#56e# => romdata <= X"5c"; -- n when 16#66e# => romdata <= X"62"; -- n when 16#76e# => romdata <= X"42"; -- n when 16#86e# => romdata <= X"42"; -- n when 16#96e# => romdata <= X"42"; -- n when 16#a6e# => romdata <= X"42"; -- n when 16#b6e# => romdata <= X"00"; -- n when 16#c6e# => romdata <= X"00"; -- n when 16#06f# => romdata <= X"00"; -- o when 16#16f# => romdata <= X"00"; -- o when 16#26f# => romdata <= X"00"; -- o when 16#36f# => romdata <= X"00"; -- o when 16#46f# => romdata <= X"00"; -- o when 16#56f# => romdata <= X"3c"; -- o when 16#66f# => romdata <= X"42"; -- o when 16#76f# => romdata <= X"42"; -- o when 16#86f# => romdata <= X"42"; -- o when 16#96f# => romdata <= X"42"; -- o when 16#a6f# => romdata <= X"3c"; -- o when 16#b6f# => romdata <= X"00"; -- o when 16#c6f# => romdata <= X"00"; -- o when 16#070# => romdata <= X"00"; -- p when 16#170# => romdata <= X"00"; -- p when 16#270# => romdata <= X"00"; -- p when 16#370# => romdata <= X"00"; -- p when 16#470# => romdata <= X"00"; -- p when 16#570# => romdata <= X"5c"; -- p when 16#670# => romdata <= X"62"; -- p when 16#770# => romdata <= X"42"; -- p when 16#870# => romdata <= X"62"; -- p when 16#970# => romdata <= X"5c"; -- p when 16#a70# => romdata <= X"40"; -- p when 16#b70# => romdata <= X"40"; -- p when 16#c70# => romdata <= X"40"; -- p when 16#071# => romdata <= X"00"; -- q when 16#171# => romdata <= X"00"; -- q when 16#271# => romdata <= X"00"; -- q when 16#371# => romdata <= X"00"; -- q when 16#471# => romdata <= X"00"; -- q when 16#571# => romdata <= X"3a"; -- q when 16#671# => romdata <= X"46"; -- q when 16#771# => romdata <= X"42"; -- q when 16#871# => romdata <= X"46"; -- q when 16#971# => romdata <= X"3a"; -- q when 16#a71# => romdata <= X"02"; -- q when 16#b71# => romdata <= X"02"; -- q when 16#c71# => romdata <= X"02"; -- q when 16#072# => romdata <= X"00"; -- r when 16#172# => romdata <= X"00"; -- r when 16#272# => romdata <= X"00"; -- r when 16#372# => romdata <= X"00"; -- r when 16#472# => romdata <= X"00"; -- r when 16#572# => romdata <= X"5c"; -- r when 16#672# => romdata <= X"22"; -- r when 16#772# => romdata <= X"20"; -- r when 16#872# => romdata <= X"20"; -- r when 16#972# => romdata <= X"20"; -- r when 16#a72# => romdata <= X"20"; -- r when 16#b72# => romdata <= X"00"; -- r when 16#c72# => romdata <= X"00"; -- r when 16#073# => romdata <= X"00"; -- s when 16#173# => romdata <= X"00"; -- s when 16#273# => romdata <= X"00"; -- s when 16#373# => romdata <= X"00"; -- s when 16#473# => romdata <= X"00"; -- s when 16#573# => romdata <= X"3c"; -- s when 16#673# => romdata <= X"42"; -- s when 16#773# => romdata <= X"30"; -- s when 16#873# => romdata <= X"0c"; -- s when 16#973# => romdata <= X"42"; -- s when 16#a73# => romdata <= X"3c"; -- s when 16#b73# => romdata <= X"00"; -- s when 16#c73# => romdata <= X"00"; -- s when 16#074# => romdata <= X"00"; -- t when 16#174# => romdata <= X"00"; -- t when 16#274# => romdata <= X"00"; -- t when 16#374# => romdata <= X"20"; -- t when 16#474# => romdata <= X"20"; -- t when 16#574# => romdata <= X"7c"; -- t when 16#674# => romdata <= X"20"; -- t when 16#774# => romdata <= X"20"; -- t when 16#874# => romdata <= X"20"; -- t when 16#974# => romdata <= X"22"; -- t when 16#a74# => romdata <= X"1c"; -- t when 16#b74# => romdata <= X"00"; -- t when 16#c74# => romdata <= X"00"; -- t when 16#075# => romdata <= X"00"; -- u when 16#175# => romdata <= X"00"; -- u when 16#275# => romdata <= X"00"; -- u when 16#375# => romdata <= X"00"; -- u when 16#475# => romdata <= X"00"; -- u when 16#575# => romdata <= X"44"; -- u when 16#675# => romdata <= X"44"; -- u when 16#775# => romdata <= X"44"; -- u when 16#875# => romdata <= X"44"; -- u when 16#975# => romdata <= X"44"; -- u when 16#a75# => romdata <= X"3a"; -- u when 16#b75# => romdata <= X"00"; -- u when 16#c75# => romdata <= X"00"; -- u when 16#076# => romdata <= X"00"; -- v when 16#176# => romdata <= X"00"; -- v when 16#276# => romdata <= X"00"; -- v when 16#376# => romdata <= X"00"; -- v when 16#476# => romdata <= X"00"; -- v when 16#576# => romdata <= X"44"; -- v when 16#676# => romdata <= X"44"; -- v when 16#776# => romdata <= X"44"; -- v when 16#876# => romdata <= X"28"; -- v when 16#976# => romdata <= X"28"; -- v when 16#a76# => romdata <= X"10"; -- v when 16#b76# => romdata <= X"00"; -- v when 16#c76# => romdata <= X"00"; -- v when 16#077# => romdata <= X"00"; -- w when 16#177# => romdata <= X"00"; -- w when 16#277# => romdata <= X"00"; -- w when 16#377# => romdata <= X"00"; -- w when 16#477# => romdata <= X"00"; -- w when 16#577# => romdata <= X"82"; -- w when 16#677# => romdata <= X"82"; -- w when 16#777# => romdata <= X"92"; -- w when 16#877# => romdata <= X"92"; -- w when 16#977# => romdata <= X"aa"; -- w when 16#a77# => romdata <= X"44"; -- w when 16#b77# => romdata <= X"00"; -- w when 16#c77# => romdata <= X"00"; -- w when 16#078# => romdata <= X"00"; -- x when 16#178# => romdata <= X"00"; -- x when 16#278# => romdata <= X"00"; -- x when 16#378# => romdata <= X"00"; -- x when 16#478# => romdata <= X"00"; -- x when 16#578# => romdata <= X"42"; -- x when 16#678# => romdata <= X"24"; -- x when 16#778# => romdata <= X"18"; -- x when 16#878# => romdata <= X"18"; -- x when 16#978# => romdata <= X"24"; -- x when 16#a78# => romdata <= X"42"; -- x when 16#b78# => romdata <= X"00"; -- x when 16#c78# => romdata <= X"00"; -- x when 16#079# => romdata <= X"00"; -- y when 16#179# => romdata <= X"00"; -- y when 16#279# => romdata <= X"00"; -- y when 16#379# => romdata <= X"00"; -- y when 16#479# => romdata <= X"00"; -- y when 16#579# => romdata <= X"42"; -- y when 16#679# => romdata <= X"42"; -- y when 16#779# => romdata <= X"42"; -- y when 16#879# => romdata <= X"46"; -- y when 16#979# => romdata <= X"3a"; -- y when 16#a79# => romdata <= X"02"; -- y when 16#b79# => romdata <= X"42"; -- y when 16#c79# => romdata <= X"3c"; -- y when 16#07a# => romdata <= X"00"; -- z when 16#17a# => romdata <= X"00"; -- z when 16#27a# => romdata <= X"00"; -- z when 16#37a# => romdata <= X"00"; -- z when 16#47a# => romdata <= X"00"; -- z when 16#57a# => romdata <= X"7e"; -- z when 16#67a# => romdata <= X"04"; -- z when 16#77a# => romdata <= X"08"; -- z when 16#87a# => romdata <= X"10"; -- z when 16#97a# => romdata <= X"20"; -- z when 16#a7a# => romdata <= X"7e"; -- z when 16#b7a# => romdata <= X"00"; -- z when 16#c7a# => romdata <= X"00"; -- z when 16#07b# => romdata <= X"00"; -- { when 16#17b# => romdata <= X"00"; -- { when 16#27b# => romdata <= X"0e"; -- { when 16#37b# => romdata <= X"10"; -- { when 16#47b# => romdata <= X"10"; -- { when 16#57b# => romdata <= X"08"; -- { when 16#67b# => romdata <= X"30"; -- { when 16#77b# => romdata <= X"08"; -- { when 16#87b# => romdata <= X"10"; -- { when 16#97b# => romdata <= X"10"; -- { when 16#a7b# => romdata <= X"0e"; -- { when 16#b7b# => romdata <= X"00"; -- { when 16#c7b# => romdata <= X"00"; -- { when 16#07c# => romdata <= X"00"; -- | when 16#17c# => romdata <= X"00"; -- | when 16#27c# => romdata <= X"10"; -- | when 16#37c# => romdata <= X"10"; -- | when 16#47c# => romdata <= X"10"; -- | when 16#57c# => romdata <= X"10"; -- | when 16#67c# => romdata <= X"10"; -- | when 16#77c# => romdata <= X"10"; -- | when 16#87c# => romdata <= X"10"; -- | when 16#97c# => romdata <= X"10"; -- | when 16#a7c# => romdata <= X"10"; -- | when 16#b7c# => romdata <= X"00"; -- | when 16#c7c# => romdata <= X"00"; -- | when 16#07d# => romdata <= X"00"; -- } when 16#17d# => romdata <= X"00"; -- } when 16#27d# => romdata <= X"70"; -- } when 16#37d# => romdata <= X"08"; -- } when 16#47d# => romdata <= X"08"; -- } when 16#57d# => romdata <= X"10"; -- } when 16#67d# => romdata <= X"0c"; -- } when 16#77d# => romdata <= X"10"; -- } when 16#87d# => romdata <= X"08"; -- } when 16#97d# => romdata <= X"08"; -- } when 16#a7d# => romdata <= X"70"; -- } when 16#b7d# => romdata <= X"00"; -- } when 16#c7d# => romdata <= X"00"; -- } when 16#07e# => romdata <= X"00"; -- ~ when 16#17e# => romdata <= X"00"; -- ~ when 16#27e# => romdata <= X"24"; -- ~ when 16#37e# => romdata <= X"54"; -- ~ when 16#47e# => romdata <= X"48"; -- ~ when 16#57e# => romdata <= X"00"; -- ~ when 16#67e# => romdata <= X"00"; -- ~ when 16#77e# => romdata <= X"00"; -- ~ when 16#87e# => romdata <= X"00"; -- ~ when 16#97e# => romdata <= X"00"; -- ~ when 16#a7e# => romdata <= X"00"; -- ~ when 16#b7e# => romdata <= X"00"; -- ~ when 16#c7e# => romdata <= X"00"; -- ~ when 16#0a0# => romdata <= X"00"; --   when 16#1a0# => romdata <= X"00"; --   when 16#2a0# => romdata <= X"00"; --   when 16#3a0# => romdata <= X"00"; --   when 16#4a0# => romdata <= X"00"; --   when 16#5a0# => romdata <= X"00"; --   when 16#6a0# => romdata <= X"00"; --   when 16#7a0# => romdata <= X"00"; --   when 16#8a0# => romdata <= X"00"; --   when 16#9a0# => romdata <= X"00"; --   when 16#aa0# => romdata <= X"00"; --   when 16#ba0# => romdata <= X"00"; --   when 16#ca0# => romdata <= X"00"; --   when 16#0a1# => romdata <= X"00"; -- ¡ when 16#1a1# => romdata <= X"00"; -- ¡ when 16#2a1# => romdata <= X"10"; -- ¡ when 16#3a1# => romdata <= X"00"; -- ¡ when 16#4a1# => romdata <= X"10"; -- ¡ when 16#5a1# => romdata <= X"10"; -- ¡ when 16#6a1# => romdata <= X"10"; -- ¡ when 16#7a1# => romdata <= X"10"; -- ¡ when 16#8a1# => romdata <= X"10"; -- ¡ when 16#9a1# => romdata <= X"10"; -- ¡ when 16#aa1# => romdata <= X"10"; -- ¡ when 16#ba1# => romdata <= X"00"; -- ¡ when 16#ca1# => romdata <= X"00"; -- ¡ when 16#0a2# => romdata <= X"00"; -- ¢ when 16#1a2# => romdata <= X"00"; -- ¢ when 16#2a2# => romdata <= X"10"; -- ¢ when 16#3a2# => romdata <= X"38"; -- ¢ when 16#4a2# => romdata <= X"54"; -- ¢ when 16#5a2# => romdata <= X"50"; -- ¢ when 16#6a2# => romdata <= X"50"; -- ¢ when 16#7a2# => romdata <= X"54"; -- ¢ when 16#8a2# => romdata <= X"38"; -- ¢ when 16#9a2# => romdata <= X"10"; -- ¢ when 16#aa2# => romdata <= X"00"; -- ¢ when 16#ba2# => romdata <= X"00"; -- ¢ when 16#ca2# => romdata <= X"00"; -- ¢ when 16#0a3# => romdata <= X"00"; -- £ when 16#1a3# => romdata <= X"00"; -- £ when 16#2a3# => romdata <= X"1c"; -- £ when 16#3a3# => romdata <= X"22"; -- £ when 16#4a3# => romdata <= X"20"; -- £ when 16#5a3# => romdata <= X"70"; -- £ when 16#6a3# => romdata <= X"20"; -- £ when 16#7a3# => romdata <= X"20"; -- £ when 16#8a3# => romdata <= X"20"; -- £ when 16#9a3# => romdata <= X"62"; -- £ when 16#aa3# => romdata <= X"dc"; -- £ when 16#ba3# => romdata <= X"00"; -- £ when 16#ca3# => romdata <= X"00"; -- £ when 16#0a4# => romdata <= X"00"; -- ¤ when 16#1a4# => romdata <= X"00"; -- ¤ when 16#2a4# => romdata <= X"00"; -- ¤ when 16#3a4# => romdata <= X"00"; -- ¤ when 16#4a4# => romdata <= X"42"; -- ¤ when 16#5a4# => romdata <= X"3c"; -- ¤ when 16#6a4# => romdata <= X"24"; -- ¤ when 16#7a4# => romdata <= X"24"; -- ¤ when 16#8a4# => romdata <= X"3c"; -- ¤ when 16#9a4# => romdata <= X"42"; -- ¤ when 16#aa4# => romdata <= X"00"; -- ¤ when 16#ba4# => romdata <= X"00"; -- ¤ when 16#ca4# => romdata <= X"00"; -- ¤ when 16#0a5# => romdata <= X"00"; -- ¥ when 16#1a5# => romdata <= X"00"; -- ¥ when 16#2a5# => romdata <= X"82"; -- ¥ when 16#3a5# => romdata <= X"82"; -- ¥ when 16#4a5# => romdata <= X"44"; -- ¥ when 16#5a5# => romdata <= X"28"; -- ¥ when 16#6a5# => romdata <= X"7c"; -- ¥ when 16#7a5# => romdata <= X"10"; -- ¥ when 16#8a5# => romdata <= X"7c"; -- ¥ when 16#9a5# => romdata <= X"10"; -- ¥ when 16#aa5# => romdata <= X"10"; -- ¥ when 16#ba5# => romdata <= X"00"; -- ¥ when 16#ca5# => romdata <= X"00"; -- ¥ when 16#0a6# => romdata <= X"00"; -- ¦ when 16#1a6# => romdata <= X"00"; -- ¦ when 16#2a6# => romdata <= X"10"; -- ¦ when 16#3a6# => romdata <= X"10"; -- ¦ when 16#4a6# => romdata <= X"10"; -- ¦ when 16#5a6# => romdata <= X"10"; -- ¦ when 16#6a6# => romdata <= X"00"; -- ¦ when 16#7a6# => romdata <= X"10"; -- ¦ when 16#8a6# => romdata <= X"10"; -- ¦ when 16#9a6# => romdata <= X"10"; -- ¦ when 16#aa6# => romdata <= X"10"; -- ¦ when 16#ba6# => romdata <= X"00"; -- ¦ when 16#ca6# => romdata <= X"00"; -- ¦ when 16#0a7# => romdata <= X"00"; -- § when 16#1a7# => romdata <= X"18"; -- § when 16#2a7# => romdata <= X"24"; -- § when 16#3a7# => romdata <= X"20"; -- § when 16#4a7# => romdata <= X"18"; -- § when 16#5a7# => romdata <= X"24"; -- § when 16#6a7# => romdata <= X"24"; -- § when 16#7a7# => romdata <= X"18"; -- § when 16#8a7# => romdata <= X"04"; -- § when 16#9a7# => romdata <= X"24"; -- § when 16#aa7# => romdata <= X"18"; -- § when 16#ba7# => romdata <= X"00"; -- § when 16#ca7# => romdata <= X"00"; -- § when 16#0a8# => romdata <= X"00"; -- ¨ when 16#1a8# => romdata <= X"24"; -- ¨ when 16#2a8# => romdata <= X"24"; -- ¨ when 16#3a8# => romdata <= X"00"; -- ¨ when 16#4a8# => romdata <= X"00"; -- ¨ when 16#5a8# => romdata <= X"00"; -- ¨ when 16#6a8# => romdata <= X"00"; -- ¨ when 16#7a8# => romdata <= X"00"; -- ¨ when 16#8a8# => romdata <= X"00"; -- ¨ when 16#9a8# => romdata <= X"00"; -- ¨ when 16#aa8# => romdata <= X"00"; -- ¨ when 16#ba8# => romdata <= X"00"; -- ¨ when 16#ca8# => romdata <= X"00"; -- ¨ when 16#0a9# => romdata <= X"00"; -- © when 16#1a9# => romdata <= X"38"; -- © when 16#2a9# => romdata <= X"44"; -- © when 16#3a9# => romdata <= X"92"; -- © when 16#4a9# => romdata <= X"aa"; -- © when 16#5a9# => romdata <= X"a2"; -- © when 16#6a9# => romdata <= X"aa"; -- © when 16#7a9# => romdata <= X"92"; -- © when 16#8a9# => romdata <= X"44"; -- © when 16#9a9# => romdata <= X"38"; -- © when 16#aa9# => romdata <= X"00"; -- © when 16#ba9# => romdata <= X"00"; -- © when 16#ca9# => romdata <= X"00"; -- © when 16#0aa# => romdata <= X"00"; -- ª when 16#1aa# => romdata <= X"00"; -- ª when 16#2aa# => romdata <= X"38"; -- ª when 16#3aa# => romdata <= X"04"; -- ª when 16#4aa# => romdata <= X"3c"; -- ª when 16#5aa# => romdata <= X"44"; -- ª when 16#6aa# => romdata <= X"3c"; -- ª when 16#7aa# => romdata <= X"00"; -- ª when 16#8aa# => romdata <= X"7c"; -- ª when 16#9aa# => romdata <= X"00"; -- ª when 16#aaa# => romdata <= X"00"; -- ª when 16#baa# => romdata <= X"00"; -- ª when 16#caa# => romdata <= X"00"; -- ª when 16#0ab# => romdata <= X"00"; -- « when 16#1ab# => romdata <= X"00"; -- « when 16#2ab# => romdata <= X"00"; -- « when 16#3ab# => romdata <= X"12"; -- « when 16#4ab# => romdata <= X"24"; -- « when 16#5ab# => romdata <= X"48"; -- « when 16#6ab# => romdata <= X"90"; -- « when 16#7ab# => romdata <= X"48"; -- « when 16#8ab# => romdata <= X"24"; -- « when 16#9ab# => romdata <= X"12"; -- « when 16#aab# => romdata <= X"00"; -- « when 16#bab# => romdata <= X"00"; -- « when 16#cab# => romdata <= X"00"; -- « when 16#0ac# => romdata <= X"00"; -- ¬ when 16#1ac# => romdata <= X"00"; -- ¬ when 16#2ac# => romdata <= X"00"; -- ¬ when 16#3ac# => romdata <= X"00"; -- ¬ when 16#4ac# => romdata <= X"00"; -- ¬ when 16#5ac# => romdata <= X"00"; -- ¬ when 16#6ac# => romdata <= X"7e"; -- ¬ when 16#7ac# => romdata <= X"02"; -- ¬ when 16#8ac# => romdata <= X"02"; -- ¬ when 16#9ac# => romdata <= X"02"; -- ¬ when 16#aac# => romdata <= X"00"; -- ¬ when 16#bac# => romdata <= X"00"; -- ¬ when 16#cac# => romdata <= X"00"; -- ¬ when 16#0ad# => romdata <= X"00"; -- ­ when 16#1ad# => romdata <= X"00"; -- ­ when 16#2ad# => romdata <= X"00"; -- ­ when 16#3ad# => romdata <= X"00"; -- ­ when 16#4ad# => romdata <= X"00"; -- ­ when 16#5ad# => romdata <= X"00"; -- ­ when 16#6ad# => romdata <= X"3c"; -- ­ when 16#7ad# => romdata <= X"00"; -- ­ when 16#8ad# => romdata <= X"00"; -- ­ when 16#9ad# => romdata <= X"00"; -- ­ when 16#aad# => romdata <= X"00"; -- ­ when 16#bad# => romdata <= X"00"; -- ­ when 16#cad# => romdata <= X"00"; -- ­ when 16#0ae# => romdata <= X"00"; -- ® when 16#1ae# => romdata <= X"38"; -- ® when 16#2ae# => romdata <= X"44"; -- ® when 16#3ae# => romdata <= X"92"; -- ® when 16#4ae# => romdata <= X"aa"; -- ® when 16#5ae# => romdata <= X"aa"; -- ® when 16#6ae# => romdata <= X"b2"; -- ® when 16#7ae# => romdata <= X"aa"; -- ® when 16#8ae# => romdata <= X"44"; -- ® when 16#9ae# => romdata <= X"38"; -- ® when 16#aae# => romdata <= X"00"; -- ® when 16#bae# => romdata <= X"00"; -- ® when 16#cae# => romdata <= X"00"; -- ® when 16#0af# => romdata <= X"00"; -- ¯ when 16#1af# => romdata <= X"00"; -- ¯ when 16#2af# => romdata <= X"7e"; -- ¯ when 16#3af# => romdata <= X"00"; -- ¯ when 16#4af# => romdata <= X"00"; -- ¯ when 16#5af# => romdata <= X"00"; -- ¯ when 16#6af# => romdata <= X"00"; -- ¯ when 16#7af# => romdata <= X"00"; -- ¯ when 16#8af# => romdata <= X"00"; -- ¯ when 16#9af# => romdata <= X"00"; -- ¯ when 16#aaf# => romdata <= X"00"; -- ¯ when 16#baf# => romdata <= X"00"; -- ¯ when 16#caf# => romdata <= X"00"; -- ¯ when 16#0b0# => romdata <= X"00"; -- ° when 16#1b0# => romdata <= X"00"; -- ° when 16#2b0# => romdata <= X"18"; -- ° when 16#3b0# => romdata <= X"24"; -- ° when 16#4b0# => romdata <= X"24"; -- ° when 16#5b0# => romdata <= X"18"; -- ° when 16#6b0# => romdata <= X"00"; -- ° when 16#7b0# => romdata <= X"00"; -- ° when 16#8b0# => romdata <= X"00"; -- ° when 16#9b0# => romdata <= X"00"; -- ° when 16#ab0# => romdata <= X"00"; -- ° when 16#bb0# => romdata <= X"00"; -- ° when 16#cb0# => romdata <= X"00"; -- ° when 16#0b1# => romdata <= X"00"; -- ± when 16#1b1# => romdata <= X"00"; -- ± when 16#2b1# => romdata <= X"00"; -- ± when 16#3b1# => romdata <= X"10"; -- ± when 16#4b1# => romdata <= X"10"; -- ± when 16#5b1# => romdata <= X"7c"; -- ± when 16#6b1# => romdata <= X"10"; -- ± when 16#7b1# => romdata <= X"10"; -- ± when 16#8b1# => romdata <= X"00"; -- ± when 16#9b1# => romdata <= X"7c"; -- ± when 16#ab1# => romdata <= X"00"; -- ± when 16#bb1# => romdata <= X"00"; -- ± when 16#cb1# => romdata <= X"00"; -- ± when 16#0b2# => romdata <= X"00"; -- ² when 16#1b2# => romdata <= X"30"; -- ² when 16#2b2# => romdata <= X"48"; -- ² when 16#3b2# => romdata <= X"08"; -- ² when 16#4b2# => romdata <= X"30"; -- ² when 16#5b2# => romdata <= X"40"; -- ² when 16#6b2# => romdata <= X"78"; -- ² when 16#7b2# => romdata <= X"00"; -- ² when 16#8b2# => romdata <= X"00"; -- ² when 16#9b2# => romdata <= X"00"; -- ² when 16#ab2# => romdata <= X"00"; -- ² when 16#bb2# => romdata <= X"00"; -- ² when 16#cb2# => romdata <= X"00"; -- ² when 16#0b3# => romdata <= X"00"; -- ³ when 16#1b3# => romdata <= X"30"; -- ³ when 16#2b3# => romdata <= X"48"; -- ³ when 16#3b3# => romdata <= X"10"; -- ³ when 16#4b3# => romdata <= X"08"; -- ³ when 16#5b3# => romdata <= X"48"; -- ³ when 16#6b3# => romdata <= X"30"; -- ³ when 16#7b3# => romdata <= X"00"; -- ³ when 16#8b3# => romdata <= X"00"; -- ³ when 16#9b3# => romdata <= X"00"; -- ³ when 16#ab3# => romdata <= X"00"; -- ³ when 16#bb3# => romdata <= X"00"; -- ³ when 16#cb3# => romdata <= X"00"; -- ³ when 16#0b4# => romdata <= X"00"; -- ´ when 16#1b4# => romdata <= X"08"; -- ´ when 16#2b4# => romdata <= X"10"; -- ´ when 16#3b4# => romdata <= X"00"; -- ´ when 16#4b4# => romdata <= X"00"; -- ´ when 16#5b4# => romdata <= X"00"; -- ´ when 16#6b4# => romdata <= X"00"; -- ´ when 16#7b4# => romdata <= X"00"; -- ´ when 16#8b4# => romdata <= X"00"; -- ´ when 16#9b4# => romdata <= X"00"; -- ´ when 16#ab4# => romdata <= X"00"; -- ´ when 16#bb4# => romdata <= X"00"; -- ´ when 16#cb4# => romdata <= X"00"; -- ´ when 16#0b5# => romdata <= X"00"; -- µ when 16#1b5# => romdata <= X"00"; -- µ when 16#2b5# => romdata <= X"00"; -- µ when 16#3b5# => romdata <= X"00"; -- µ when 16#4b5# => romdata <= X"00"; -- µ when 16#5b5# => romdata <= X"42"; -- µ when 16#6b5# => romdata <= X"42"; -- µ when 16#7b5# => romdata <= X"42"; -- µ when 16#8b5# => romdata <= X"42"; -- µ when 16#9b5# => romdata <= X"66"; -- µ when 16#ab5# => romdata <= X"5a"; -- µ when 16#bb5# => romdata <= X"40"; -- µ when 16#cb5# => romdata <= X"00"; -- µ when 16#0b6# => romdata <= X"00"; -- ¶ when 16#1b6# => romdata <= X"00"; -- ¶ when 16#2b6# => romdata <= X"3e"; -- ¶ when 16#3b6# => romdata <= X"74"; -- ¶ when 16#4b6# => romdata <= X"74"; -- ¶ when 16#5b6# => romdata <= X"74"; -- ¶ when 16#6b6# => romdata <= X"34"; -- ¶ when 16#7b6# => romdata <= X"14"; -- ¶ when 16#8b6# => romdata <= X"14"; -- ¶ when 16#9b6# => romdata <= X"14"; -- ¶ when 16#ab6# => romdata <= X"14"; -- ¶ when 16#bb6# => romdata <= X"00"; -- ¶ when 16#cb6# => romdata <= X"00"; -- ¶ when 16#0b7# => romdata <= X"00"; -- · when 16#1b7# => romdata <= X"00"; -- · when 16#2b7# => romdata <= X"00"; -- · when 16#3b7# => romdata <= X"00"; -- · when 16#4b7# => romdata <= X"00"; -- · when 16#5b7# => romdata <= X"00"; -- · when 16#6b7# => romdata <= X"18"; -- · when 16#7b7# => romdata <= X"00"; -- · when 16#8b7# => romdata <= X"00"; -- · when 16#9b7# => romdata <= X"00"; -- · when 16#ab7# => romdata <= X"00"; -- · when 16#bb7# => romdata <= X"00"; -- · when 16#cb7# => romdata <= X"00"; -- · when 16#0b8# => romdata <= X"00"; -- ¸ when 16#1b8# => romdata <= X"00"; -- ¸ when 16#2b8# => romdata <= X"00"; -- ¸ when 16#3b8# => romdata <= X"00"; -- ¸ when 16#4b8# => romdata <= X"00"; -- ¸ when 16#5b8# => romdata <= X"00"; -- ¸ when 16#6b8# => romdata <= X"00"; -- ¸ when 16#7b8# => romdata <= X"00"; -- ¸ when 16#8b8# => romdata <= X"00"; -- ¸ when 16#9b8# => romdata <= X"00"; -- ¸ when 16#ab8# => romdata <= X"00"; -- ¸ when 16#bb8# => romdata <= X"08"; -- ¸ when 16#cb8# => romdata <= X"18"; -- ¸ when 16#0b9# => romdata <= X"00"; -- ¹ when 16#1b9# => romdata <= X"20"; -- ¹ when 16#2b9# => romdata <= X"60"; -- ¹ when 16#3b9# => romdata <= X"20"; -- ¹ when 16#4b9# => romdata <= X"20"; -- ¹ when 16#5b9# => romdata <= X"20"; -- ¹ when 16#6b9# => romdata <= X"70"; -- ¹ when 16#7b9# => romdata <= X"00"; -- ¹ when 16#8b9# => romdata <= X"00"; -- ¹ when 16#9b9# => romdata <= X"00"; -- ¹ when 16#ab9# => romdata <= X"00"; -- ¹ when 16#bb9# => romdata <= X"00"; -- ¹ when 16#cb9# => romdata <= X"00"; -- ¹ when 16#0ba# => romdata <= X"00"; -- º when 16#1ba# => romdata <= X"00"; -- º when 16#2ba# => romdata <= X"30"; -- º when 16#3ba# => romdata <= X"48"; -- º when 16#4ba# => romdata <= X"48"; -- º when 16#5ba# => romdata <= X"30"; -- º when 16#6ba# => romdata <= X"00"; -- º when 16#7ba# => romdata <= X"78"; -- º when 16#8ba# => romdata <= X"00"; -- º when 16#9ba# => romdata <= X"00"; -- º when 16#aba# => romdata <= X"00"; -- º when 16#bba# => romdata <= X"00"; -- º when 16#cba# => romdata <= X"00"; -- º when 16#0bb# => romdata <= X"00"; -- » when 16#1bb# => romdata <= X"00"; -- » when 16#2bb# => romdata <= X"00"; -- » when 16#3bb# => romdata <= X"90"; -- » when 16#4bb# => romdata <= X"48"; -- » when 16#5bb# => romdata <= X"24"; -- » when 16#6bb# => romdata <= X"12"; -- » when 16#7bb# => romdata <= X"24"; -- » when 16#8bb# => romdata <= X"48"; -- » when 16#9bb# => romdata <= X"90"; -- » when 16#abb# => romdata <= X"00"; -- » when 16#bbb# => romdata <= X"00"; -- » when 16#cbb# => romdata <= X"00"; -- » when 16#0bc# => romdata <= X"00"; -- ¼ when 16#1bc# => romdata <= X"40"; -- ¼ when 16#2bc# => romdata <= X"c0"; -- ¼ when 16#3bc# => romdata <= X"40"; -- ¼ when 16#4bc# => romdata <= X"40"; -- ¼ when 16#5bc# => romdata <= X"42"; -- ¼ when 16#6bc# => romdata <= X"e6"; -- ¼ when 16#7bc# => romdata <= X"0a"; -- ¼ when 16#8bc# => romdata <= X"12"; -- ¼ when 16#9bc# => romdata <= X"1a"; -- ¼ when 16#abc# => romdata <= X"06"; -- ¼ when 16#bbc# => romdata <= X"00"; -- ¼ when 16#cbc# => romdata <= X"00"; -- ¼ when 16#0bd# => romdata <= X"00"; -- ½ when 16#1bd# => romdata <= X"40"; -- ½ when 16#2bd# => romdata <= X"c0"; -- ½ when 16#3bd# => romdata <= X"40"; -- ½ when 16#4bd# => romdata <= X"40"; -- ½ when 16#5bd# => romdata <= X"4c"; -- ½ when 16#6bd# => romdata <= X"f2"; -- ½ when 16#7bd# => romdata <= X"02"; -- ½ when 16#8bd# => romdata <= X"0c"; -- ½ when 16#9bd# => romdata <= X"10"; -- ½ when 16#abd# => romdata <= X"1e"; -- ½ when 16#bbd# => romdata <= X"00"; -- ½ when 16#cbd# => romdata <= X"00"; -- ½ when 16#0be# => romdata <= X"00"; -- ¾ when 16#1be# => romdata <= X"60"; -- ¾ when 16#2be# => romdata <= X"90"; -- ¾ when 16#3be# => romdata <= X"20"; -- ¾ when 16#4be# => romdata <= X"10"; -- ¾ when 16#5be# => romdata <= X"92"; -- ¾ when 16#6be# => romdata <= X"66"; -- ¾ when 16#7be# => romdata <= X"0a"; -- ¾ when 16#8be# => romdata <= X"12"; -- ¾ when 16#9be# => romdata <= X"1a"; -- ¾ when 16#abe# => romdata <= X"06"; -- ¾ when 16#bbe# => romdata <= X"00"; -- ¾ when 16#cbe# => romdata <= X"00"; -- ¾ when 16#0bf# => romdata <= X"00"; -- ¿ when 16#1bf# => romdata <= X"00"; -- ¿ when 16#2bf# => romdata <= X"10"; -- ¿ when 16#3bf# => romdata <= X"00"; -- ¿ when 16#4bf# => romdata <= X"10"; -- ¿ when 16#5bf# => romdata <= X"10"; -- ¿ when 16#6bf# => romdata <= X"20"; -- ¿ when 16#7bf# => romdata <= X"40"; -- ¿ when 16#8bf# => romdata <= X"42"; -- ¿ when 16#9bf# => romdata <= X"42"; -- ¿ when 16#abf# => romdata <= X"3c"; -- ¿ when 16#bbf# => romdata <= X"00"; -- ¿ when 16#cbf# => romdata <= X"00"; -- ¿ when 16#0c0# => romdata <= X"00"; -- À when 16#1c0# => romdata <= X"10"; -- À when 16#2c0# => romdata <= X"08"; -- À when 16#3c0# => romdata <= X"00"; -- À when 16#4c0# => romdata <= X"18"; -- À when 16#5c0# => romdata <= X"24"; -- À when 16#6c0# => romdata <= X"42"; -- À when 16#7c0# => romdata <= X"42"; -- À when 16#8c0# => romdata <= X"7e"; -- À when 16#9c0# => romdata <= X"42"; -- À when 16#ac0# => romdata <= X"42"; -- À when 16#bc0# => romdata <= X"00"; -- À when 16#cc0# => romdata <= X"00"; -- À when 16#0c1# => romdata <= X"00"; -- Á when 16#1c1# => romdata <= X"08"; -- Á when 16#2c1# => romdata <= X"10"; -- Á when 16#3c1# => romdata <= X"00"; -- Á when 16#4c1# => romdata <= X"18"; -- Á when 16#5c1# => romdata <= X"24"; -- Á when 16#6c1# => romdata <= X"42"; -- Á when 16#7c1# => romdata <= X"42"; -- Á when 16#8c1# => romdata <= X"7e"; -- Á when 16#9c1# => romdata <= X"42"; -- Á when 16#ac1# => romdata <= X"42"; -- Á when 16#bc1# => romdata <= X"00"; -- Á when 16#cc1# => romdata <= X"00"; -- Á when 16#0c2# => romdata <= X"00"; -- Â when 16#1c2# => romdata <= X"18"; -- Â when 16#2c2# => romdata <= X"24"; -- Â when 16#3c2# => romdata <= X"00"; -- Â when 16#4c2# => romdata <= X"18"; -- Â when 16#5c2# => romdata <= X"24"; -- Â when 16#6c2# => romdata <= X"42"; -- Â when 16#7c2# => romdata <= X"42"; -- Â when 16#8c2# => romdata <= X"7e"; -- Â when 16#9c2# => romdata <= X"42"; -- Â when 16#ac2# => romdata <= X"42"; -- Â when 16#bc2# => romdata <= X"00"; -- Â when 16#cc2# => romdata <= X"00"; -- Â when 16#0c3# => romdata <= X"00"; -- Ã when 16#1c3# => romdata <= X"32"; -- Ã when 16#2c3# => romdata <= X"4c"; -- Ã when 16#3c3# => romdata <= X"00"; -- Ã when 16#4c3# => romdata <= X"18"; -- Ã when 16#5c3# => romdata <= X"24"; -- Ã when 16#6c3# => romdata <= X"42"; -- Ã when 16#7c3# => romdata <= X"42"; -- Ã when 16#8c3# => romdata <= X"7e"; -- Ã when 16#9c3# => romdata <= X"42"; -- Ã when 16#ac3# => romdata <= X"42"; -- Ã when 16#bc3# => romdata <= X"00"; -- Ã when 16#cc3# => romdata <= X"00"; -- Ã when 16#0c4# => romdata <= X"00"; -- Ä when 16#1c4# => romdata <= X"24"; -- Ä when 16#2c4# => romdata <= X"24"; -- Ä when 16#3c4# => romdata <= X"00"; -- Ä when 16#4c4# => romdata <= X"18"; -- Ä when 16#5c4# => romdata <= X"24"; -- Ä when 16#6c4# => romdata <= X"42"; -- Ä when 16#7c4# => romdata <= X"42"; -- Ä when 16#8c4# => romdata <= X"7e"; -- Ä when 16#9c4# => romdata <= X"42"; -- Ä when 16#ac4# => romdata <= X"42"; -- Ä when 16#bc4# => romdata <= X"00"; -- Ä when 16#cc4# => romdata <= X"00"; -- Ä when 16#0c5# => romdata <= X"00"; -- Å when 16#1c5# => romdata <= X"18"; -- Å when 16#2c5# => romdata <= X"24"; -- Å when 16#3c5# => romdata <= X"18"; -- Å when 16#4c5# => romdata <= X"18"; -- Å when 16#5c5# => romdata <= X"24"; -- Å when 16#6c5# => romdata <= X"42"; -- Å when 16#7c5# => romdata <= X"42"; -- Å when 16#8c5# => romdata <= X"7e"; -- Å when 16#9c5# => romdata <= X"42"; -- Å when 16#ac5# => romdata <= X"42"; -- Å when 16#bc5# => romdata <= X"00"; -- Å when 16#cc5# => romdata <= X"00"; -- Å when 16#0c6# => romdata <= X"00"; -- Æ when 16#1c6# => romdata <= X"00"; -- Æ when 16#2c6# => romdata <= X"6e"; -- Æ when 16#3c6# => romdata <= X"90"; -- Æ when 16#4c6# => romdata <= X"90"; -- Æ when 16#5c6# => romdata <= X"90"; -- Æ when 16#6c6# => romdata <= X"9c"; -- Æ when 16#7c6# => romdata <= X"f0"; -- Æ when 16#8c6# => romdata <= X"90"; -- Æ when 16#9c6# => romdata <= X"90"; -- Æ when 16#ac6# => romdata <= X"9e"; -- Æ when 16#bc6# => romdata <= X"00"; -- Æ when 16#cc6# => romdata <= X"00"; -- Æ when 16#0c7# => romdata <= X"00"; -- Ç when 16#1c7# => romdata <= X"00"; -- Ç when 16#2c7# => romdata <= X"3c"; -- Ç when 16#3c7# => romdata <= X"42"; -- Ç when 16#4c7# => romdata <= X"40"; -- Ç when 16#5c7# => romdata <= X"40"; -- Ç when 16#6c7# => romdata <= X"40"; -- Ç when 16#7c7# => romdata <= X"40"; -- Ç when 16#8c7# => romdata <= X"40"; -- Ç when 16#9c7# => romdata <= X"42"; -- Ç when 16#ac7# => romdata <= X"3c"; -- Ç when 16#bc7# => romdata <= X"08"; -- Ç when 16#cc7# => romdata <= X"10"; -- Ç when 16#0c8# => romdata <= X"00"; -- È when 16#1c8# => romdata <= X"10"; -- È when 16#2c8# => romdata <= X"08"; -- È when 16#3c8# => romdata <= X"00"; -- È when 16#4c8# => romdata <= X"7e"; -- È when 16#5c8# => romdata <= X"40"; -- È when 16#6c8# => romdata <= X"40"; -- È when 16#7c8# => romdata <= X"78"; -- È when 16#8c8# => romdata <= X"40"; -- È when 16#9c8# => romdata <= X"40"; -- È when 16#ac8# => romdata <= X"7e"; -- È when 16#bc8# => romdata <= X"00"; -- È when 16#cc8# => romdata <= X"00"; -- È when 16#0c9# => romdata <= X"00"; -- É when 16#1c9# => romdata <= X"08"; -- É when 16#2c9# => romdata <= X"10"; -- É when 16#3c9# => romdata <= X"00"; -- É when 16#4c9# => romdata <= X"7e"; -- É when 16#5c9# => romdata <= X"40"; -- É when 16#6c9# => romdata <= X"40"; -- É when 16#7c9# => romdata <= X"78"; -- É when 16#8c9# => romdata <= X"40"; -- É when 16#9c9# => romdata <= X"40"; -- É when 16#ac9# => romdata <= X"7e"; -- É when 16#bc9# => romdata <= X"00"; -- É when 16#cc9# => romdata <= X"00"; -- É when 16#0ca# => romdata <= X"00"; -- Ê when 16#1ca# => romdata <= X"18"; -- Ê when 16#2ca# => romdata <= X"24"; -- Ê when 16#3ca# => romdata <= X"00"; -- Ê when 16#4ca# => romdata <= X"7e"; -- Ê when 16#5ca# => romdata <= X"40"; -- Ê when 16#6ca# => romdata <= X"40"; -- Ê when 16#7ca# => romdata <= X"78"; -- Ê when 16#8ca# => romdata <= X"40"; -- Ê when 16#9ca# => romdata <= X"40"; -- Ê when 16#aca# => romdata <= X"7e"; -- Ê when 16#bca# => romdata <= X"00"; -- Ê when 16#cca# => romdata <= X"00"; -- Ê when 16#0cb# => romdata <= X"00"; -- Ë when 16#1cb# => romdata <= X"24"; -- Ë when 16#2cb# => romdata <= X"24"; -- Ë when 16#3cb# => romdata <= X"00"; -- Ë when 16#4cb# => romdata <= X"7e"; -- Ë when 16#5cb# => romdata <= X"40"; -- Ë when 16#6cb# => romdata <= X"40"; -- Ë when 16#7cb# => romdata <= X"78"; -- Ë when 16#8cb# => romdata <= X"40"; -- Ë when 16#9cb# => romdata <= X"40"; -- Ë when 16#acb# => romdata <= X"7e"; -- Ë when 16#bcb# => romdata <= X"00"; -- Ë when 16#ccb# => romdata <= X"00"; -- Ë when 16#0cc# => romdata <= X"00"; -- Ì when 16#1cc# => romdata <= X"20"; -- Ì when 16#2cc# => romdata <= X"10"; -- Ì when 16#3cc# => romdata <= X"00"; -- Ì when 16#4cc# => romdata <= X"7c"; -- Ì when 16#5cc# => romdata <= X"10"; -- Ì when 16#6cc# => romdata <= X"10"; -- Ì when 16#7cc# => romdata <= X"10"; -- Ì when 16#8cc# => romdata <= X"10"; -- Ì when 16#9cc# => romdata <= X"10"; -- Ì when 16#acc# => romdata <= X"7c"; -- Ì when 16#bcc# => romdata <= X"00"; -- Ì when 16#ccc# => romdata <= X"00"; -- Ì when 16#0cd# => romdata <= X"00"; -- Í when 16#1cd# => romdata <= X"08"; -- Í when 16#2cd# => romdata <= X"10"; -- Í when 16#3cd# => romdata <= X"00"; -- Í when 16#4cd# => romdata <= X"7c"; -- Í when 16#5cd# => romdata <= X"10"; -- Í when 16#6cd# => romdata <= X"10"; -- Í when 16#7cd# => romdata <= X"10"; -- Í when 16#8cd# => romdata <= X"10"; -- Í when 16#9cd# => romdata <= X"10"; -- Í when 16#acd# => romdata <= X"7c"; -- Í when 16#bcd# => romdata <= X"00"; -- Í when 16#ccd# => romdata <= X"00"; -- Í when 16#0ce# => romdata <= X"00"; -- Î when 16#1ce# => romdata <= X"18"; -- Î when 16#2ce# => romdata <= X"24"; -- Î when 16#3ce# => romdata <= X"00"; -- Î when 16#4ce# => romdata <= X"7c"; -- Î when 16#5ce# => romdata <= X"10"; -- Î when 16#6ce# => romdata <= X"10"; -- Î when 16#7ce# => romdata <= X"10"; -- Î when 16#8ce# => romdata <= X"10"; -- Î when 16#9ce# => romdata <= X"10"; -- Î when 16#ace# => romdata <= X"7c"; -- Î when 16#bce# => romdata <= X"00"; -- Î when 16#cce# => romdata <= X"00"; -- Î when 16#0cf# => romdata <= X"00"; -- Ï when 16#1cf# => romdata <= X"44"; -- Ï when 16#2cf# => romdata <= X"44"; -- Ï when 16#3cf# => romdata <= X"00"; -- Ï when 16#4cf# => romdata <= X"7c"; -- Ï when 16#5cf# => romdata <= X"10"; -- Ï when 16#6cf# => romdata <= X"10"; -- Ï when 16#7cf# => romdata <= X"10"; -- Ï when 16#8cf# => romdata <= X"10"; -- Ï when 16#9cf# => romdata <= X"10"; -- Ï when 16#acf# => romdata <= X"7c"; -- Ï when 16#bcf# => romdata <= X"00"; -- Ï when 16#ccf# => romdata <= X"00"; -- Ï when 16#0d0# => romdata <= X"00"; -- Ð when 16#1d0# => romdata <= X"00"; -- Ð when 16#2d0# => romdata <= X"78"; -- Ð when 16#3d0# => romdata <= X"44"; -- Ð when 16#4d0# => romdata <= X"42"; -- Ð when 16#5d0# => romdata <= X"42"; -- Ð when 16#6d0# => romdata <= X"e2"; -- Ð when 16#7d0# => romdata <= X"42"; -- Ð when 16#8d0# => romdata <= X"42"; -- Ð when 16#9d0# => romdata <= X"44"; -- Ð when 16#ad0# => romdata <= X"78"; -- Ð when 16#bd0# => romdata <= X"00"; -- Ð when 16#cd0# => romdata <= X"00"; -- Ð when 16#0d1# => romdata <= X"00"; -- Ñ when 16#1d1# => romdata <= X"64"; -- Ñ when 16#2d1# => romdata <= X"98"; -- Ñ when 16#3d1# => romdata <= X"00"; -- Ñ when 16#4d1# => romdata <= X"82"; -- Ñ when 16#5d1# => romdata <= X"c2"; -- Ñ when 16#6d1# => romdata <= X"a2"; -- Ñ when 16#7d1# => romdata <= X"92"; -- Ñ when 16#8d1# => romdata <= X"8a"; -- Ñ when 16#9d1# => romdata <= X"86"; -- Ñ when 16#ad1# => romdata <= X"82"; -- Ñ when 16#bd1# => romdata <= X"00"; -- Ñ when 16#cd1# => romdata <= X"00"; -- Ñ when 16#0d2# => romdata <= X"00"; -- Ò when 16#1d2# => romdata <= X"20"; -- Ò when 16#2d2# => romdata <= X"10"; -- Ò when 16#3d2# => romdata <= X"00"; -- Ò when 16#4d2# => romdata <= X"7c"; -- Ò when 16#5d2# => romdata <= X"82"; -- Ò when 16#6d2# => romdata <= X"82"; -- Ò when 16#7d2# => romdata <= X"82"; -- Ò when 16#8d2# => romdata <= X"82"; -- Ò when 16#9d2# => romdata <= X"82"; -- Ò when 16#ad2# => romdata <= X"7c"; -- Ò when 16#bd2# => romdata <= X"00"; -- Ò when 16#cd2# => romdata <= X"00"; -- Ò when 16#0d3# => romdata <= X"00"; -- Ó when 16#1d3# => romdata <= X"08"; -- Ó when 16#2d3# => romdata <= X"10"; -- Ó when 16#3d3# => romdata <= X"00"; -- Ó when 16#4d3# => romdata <= X"7c"; -- Ó when 16#5d3# => romdata <= X"82"; -- Ó when 16#6d3# => romdata <= X"82"; -- Ó when 16#7d3# => romdata <= X"82"; -- Ó when 16#8d3# => romdata <= X"82"; -- Ó when 16#9d3# => romdata <= X"82"; -- Ó when 16#ad3# => romdata <= X"7c"; -- Ó when 16#bd3# => romdata <= X"00"; -- Ó when 16#cd3# => romdata <= X"00"; -- Ó when 16#0d4# => romdata <= X"00"; -- Ô when 16#1d4# => romdata <= X"18"; -- Ô when 16#2d4# => romdata <= X"24"; -- Ô when 16#3d4# => romdata <= X"00"; -- Ô when 16#4d4# => romdata <= X"7c"; -- Ô when 16#5d4# => romdata <= X"82"; -- Ô when 16#6d4# => romdata <= X"82"; -- Ô when 16#7d4# => romdata <= X"82"; -- Ô when 16#8d4# => romdata <= X"82"; -- Ô when 16#9d4# => romdata <= X"82"; -- Ô when 16#ad4# => romdata <= X"7c"; -- Ô when 16#bd4# => romdata <= X"00"; -- Ô when 16#cd4# => romdata <= X"00"; -- Ô when 16#0d5# => romdata <= X"00"; -- Õ when 16#1d5# => romdata <= X"64"; -- Õ when 16#2d5# => romdata <= X"98"; -- Õ when 16#3d5# => romdata <= X"00"; -- Õ when 16#4d5# => romdata <= X"7c"; -- Õ when 16#5d5# => romdata <= X"82"; -- Õ when 16#6d5# => romdata <= X"82"; -- Õ when 16#7d5# => romdata <= X"82"; -- Õ when 16#8d5# => romdata <= X"82"; -- Õ when 16#9d5# => romdata <= X"82"; -- Õ when 16#ad5# => romdata <= X"7c"; -- Õ when 16#bd5# => romdata <= X"00"; -- Õ when 16#cd5# => romdata <= X"00"; -- Õ when 16#0d6# => romdata <= X"00"; -- Ö when 16#1d6# => romdata <= X"44"; -- Ö when 16#2d6# => romdata <= X"44"; -- Ö when 16#3d6# => romdata <= X"00"; -- Ö when 16#4d6# => romdata <= X"7c"; -- Ö when 16#5d6# => romdata <= X"82"; -- Ö when 16#6d6# => romdata <= X"82"; -- Ö when 16#7d6# => romdata <= X"82"; -- Ö when 16#8d6# => romdata <= X"82"; -- Ö when 16#9d6# => romdata <= X"82"; -- Ö when 16#ad6# => romdata <= X"7c"; -- Ö when 16#bd6# => romdata <= X"00"; -- Ö when 16#cd6# => romdata <= X"00"; -- Ö when 16#0d7# => romdata <= X"00"; -- × when 16#1d7# => romdata <= X"00"; -- × when 16#2d7# => romdata <= X"00"; -- × when 16#3d7# => romdata <= X"00"; -- × when 16#4d7# => romdata <= X"42"; -- × when 16#5d7# => romdata <= X"24"; -- × when 16#6d7# => romdata <= X"18"; -- × when 16#7d7# => romdata <= X"18"; -- × when 16#8d7# => romdata <= X"24"; -- × when 16#9d7# => romdata <= X"42"; -- × when 16#ad7# => romdata <= X"00"; -- × when 16#bd7# => romdata <= X"00"; -- × when 16#cd7# => romdata <= X"00"; -- × when 16#0d8# => romdata <= X"00"; -- Ø when 16#1d8# => romdata <= X"02"; -- Ø when 16#2d8# => romdata <= X"3c"; -- Ø when 16#3d8# => romdata <= X"46"; -- Ø when 16#4d8# => romdata <= X"4a"; -- Ø when 16#5d8# => romdata <= X"4a"; -- Ø when 16#6d8# => romdata <= X"52"; -- Ø when 16#7d8# => romdata <= X"52"; -- Ø when 16#8d8# => romdata <= X"52"; -- Ø when 16#9d8# => romdata <= X"62"; -- Ø when 16#ad8# => romdata <= X"3c"; -- Ø when 16#bd8# => romdata <= X"40"; -- Ø when 16#cd8# => romdata <= X"00"; -- Ø when 16#0d9# => romdata <= X"00"; -- Ù when 16#1d9# => romdata <= X"20"; -- Ù when 16#2d9# => romdata <= X"10"; -- Ù when 16#3d9# => romdata <= X"00"; -- Ù when 16#4d9# => romdata <= X"42"; -- Ù when 16#5d9# => romdata <= X"42"; -- Ù when 16#6d9# => romdata <= X"42"; -- Ù when 16#7d9# => romdata <= X"42"; -- Ù when 16#8d9# => romdata <= X"42"; -- Ù when 16#9d9# => romdata <= X"42"; -- Ù when 16#ad9# => romdata <= X"3c"; -- Ù when 16#bd9# => romdata <= X"00"; -- Ù when 16#cd9# => romdata <= X"00"; -- Ù when 16#0da# => romdata <= X"00"; -- Ú when 16#1da# => romdata <= X"08"; -- Ú when 16#2da# => romdata <= X"10"; -- Ú when 16#3da# => romdata <= X"00"; -- Ú when 16#4da# => romdata <= X"42"; -- Ú when 16#5da# => romdata <= X"42"; -- Ú when 16#6da# => romdata <= X"42"; -- Ú when 16#7da# => romdata <= X"42"; -- Ú when 16#8da# => romdata <= X"42"; -- Ú when 16#9da# => romdata <= X"42"; -- Ú when 16#ada# => romdata <= X"3c"; -- Ú when 16#bda# => romdata <= X"00"; -- Ú when 16#cda# => romdata <= X"00"; -- Ú when 16#0db# => romdata <= X"00"; -- Û when 16#1db# => romdata <= X"18"; -- Û when 16#2db# => romdata <= X"24"; -- Û when 16#3db# => romdata <= X"00"; -- Û when 16#4db# => romdata <= X"42"; -- Û when 16#5db# => romdata <= X"42"; -- Û when 16#6db# => romdata <= X"42"; -- Û when 16#7db# => romdata <= X"42"; -- Û when 16#8db# => romdata <= X"42"; -- Û when 16#9db# => romdata <= X"42"; -- Û when 16#adb# => romdata <= X"3c"; -- Û when 16#bdb# => romdata <= X"00"; -- Û when 16#cdb# => romdata <= X"00"; -- Û when 16#0dc# => romdata <= X"00"; -- Ü when 16#1dc# => romdata <= X"24"; -- Ü when 16#2dc# => romdata <= X"24"; -- Ü when 16#3dc# => romdata <= X"00"; -- Ü when 16#4dc# => romdata <= X"42"; -- Ü when 16#5dc# => romdata <= X"42"; -- Ü when 16#6dc# => romdata <= X"42"; -- Ü when 16#7dc# => romdata <= X"42"; -- Ü when 16#8dc# => romdata <= X"42"; -- Ü when 16#9dc# => romdata <= X"42"; -- Ü when 16#adc# => romdata <= X"3c"; -- Ü when 16#bdc# => romdata <= X"00"; -- Ü when 16#cdc# => romdata <= X"00"; -- Ü when 16#0dd# => romdata <= X"00"; -- Ý when 16#1dd# => romdata <= X"08"; -- Ý when 16#2dd# => romdata <= X"10"; -- Ý when 16#3dd# => romdata <= X"00"; -- Ý when 16#4dd# => romdata <= X"44"; -- Ý when 16#5dd# => romdata <= X"44"; -- Ý when 16#6dd# => romdata <= X"28"; -- Ý when 16#7dd# => romdata <= X"10"; -- Ý when 16#8dd# => romdata <= X"10"; -- Ý when 16#9dd# => romdata <= X"10"; -- Ý when 16#add# => romdata <= X"10"; -- Ý when 16#bdd# => romdata <= X"00"; -- Ý when 16#cdd# => romdata <= X"00"; -- Ý when 16#0de# => romdata <= X"00"; -- Þ when 16#1de# => romdata <= X"00"; -- Þ when 16#2de# => romdata <= X"40"; -- Þ when 16#3de# => romdata <= X"7c"; -- Þ when 16#4de# => romdata <= X"42"; -- Þ when 16#5de# => romdata <= X"42"; -- Þ when 16#6de# => romdata <= X"42"; -- Þ when 16#7de# => romdata <= X"7c"; -- Þ when 16#8de# => romdata <= X"40"; -- Þ when 16#9de# => romdata <= X"40"; -- Þ when 16#ade# => romdata <= X"40"; -- Þ when 16#bde# => romdata <= X"00"; -- Þ when 16#cde# => romdata <= X"00"; -- Þ when 16#0df# => romdata <= X"00"; -- ß when 16#1df# => romdata <= X"00"; -- ß when 16#2df# => romdata <= X"38"; -- ß when 16#3df# => romdata <= X"44"; -- ß when 16#4df# => romdata <= X"44"; -- ß when 16#5df# => romdata <= X"48"; -- ß when 16#6df# => romdata <= X"50"; -- ß when 16#7df# => romdata <= X"4c"; -- ß when 16#8df# => romdata <= X"42"; -- ß when 16#9df# => romdata <= X"42"; -- ß when 16#adf# => romdata <= X"5c"; -- ß when 16#bdf# => romdata <= X"00"; -- ß when 16#cdf# => romdata <= X"00"; -- ß when 16#0e0# => romdata <= X"00"; -- à when 16#1e0# => romdata <= X"00"; -- à when 16#2e0# => romdata <= X"10"; -- à when 16#3e0# => romdata <= X"08"; -- à when 16#4e0# => romdata <= X"00"; -- à when 16#5e0# => romdata <= X"3c"; -- à when 16#6e0# => romdata <= X"02"; -- à when 16#7e0# => romdata <= X"3e"; -- à when 16#8e0# => romdata <= X"42"; -- à when 16#9e0# => romdata <= X"46"; -- à when 16#ae0# => romdata <= X"3a"; -- à when 16#be0# => romdata <= X"00"; -- à when 16#ce0# => romdata <= X"00"; -- à when 16#0e1# => romdata <= X"00"; -- á when 16#1e1# => romdata <= X"00"; -- á when 16#2e1# => romdata <= X"04"; -- á when 16#3e1# => romdata <= X"08"; -- á when 16#4e1# => romdata <= X"00"; -- á when 16#5e1# => romdata <= X"3c"; -- á when 16#6e1# => romdata <= X"02"; -- á when 16#7e1# => romdata <= X"3e"; -- á when 16#8e1# => romdata <= X"42"; -- á when 16#9e1# => romdata <= X"46"; -- á when 16#ae1# => romdata <= X"3a"; -- á when 16#be1# => romdata <= X"00"; -- á when 16#ce1# => romdata <= X"00"; -- á when 16#0e2# => romdata <= X"00"; -- â when 16#1e2# => romdata <= X"00"; -- â when 16#2e2# => romdata <= X"18"; -- â when 16#3e2# => romdata <= X"24"; -- â when 16#4e2# => romdata <= X"00"; -- â when 16#5e2# => romdata <= X"3c"; -- â when 16#6e2# => romdata <= X"02"; -- â when 16#7e2# => romdata <= X"3e"; -- â when 16#8e2# => romdata <= X"42"; -- â when 16#9e2# => romdata <= X"46"; -- â when 16#ae2# => romdata <= X"3a"; -- â when 16#be2# => romdata <= X"00"; -- â when 16#ce2# => romdata <= X"00"; -- â when 16#0e3# => romdata <= X"00"; -- ã when 16#1e3# => romdata <= X"00"; -- ã when 16#2e3# => romdata <= X"32"; -- ã when 16#3e3# => romdata <= X"4c"; -- ã when 16#4e3# => romdata <= X"00"; -- ã when 16#5e3# => romdata <= X"3c"; -- ã when 16#6e3# => romdata <= X"02"; -- ã when 16#7e3# => romdata <= X"3e"; -- ã when 16#8e3# => romdata <= X"42"; -- ã when 16#9e3# => romdata <= X"46"; -- ã when 16#ae3# => romdata <= X"3a"; -- ã when 16#be3# => romdata <= X"00"; -- ã when 16#ce3# => romdata <= X"00"; -- ã when 16#0e4# => romdata <= X"00"; -- ä when 16#1e4# => romdata <= X"00"; -- ä when 16#2e4# => romdata <= X"24"; -- ä when 16#3e4# => romdata <= X"24"; -- ä when 16#4e4# => romdata <= X"00"; -- ä when 16#5e4# => romdata <= X"3c"; -- ä when 16#6e4# => romdata <= X"02"; -- ä when 16#7e4# => romdata <= X"3e"; -- ä when 16#8e4# => romdata <= X"42"; -- ä when 16#9e4# => romdata <= X"46"; -- ä when 16#ae4# => romdata <= X"3a"; -- ä when 16#be4# => romdata <= X"00"; -- ä when 16#ce4# => romdata <= X"00"; -- ä when 16#0e5# => romdata <= X"00"; -- å when 16#1e5# => romdata <= X"18"; -- å when 16#2e5# => romdata <= X"24"; -- å when 16#3e5# => romdata <= X"18"; -- å when 16#4e5# => romdata <= X"00"; -- å when 16#5e5# => romdata <= X"3c"; -- å when 16#6e5# => romdata <= X"02"; -- å when 16#7e5# => romdata <= X"3e"; -- å when 16#8e5# => romdata <= X"42"; -- å when 16#9e5# => romdata <= X"46"; -- å when 16#ae5# => romdata <= X"3a"; -- å when 16#be5# => romdata <= X"00"; -- å when 16#ce5# => romdata <= X"00"; -- å when 16#0e6# => romdata <= X"00"; -- æ when 16#1e6# => romdata <= X"00"; -- æ when 16#2e6# => romdata <= X"00"; -- æ when 16#3e6# => romdata <= X"00"; -- æ when 16#4e6# => romdata <= X"00"; -- æ when 16#5e6# => romdata <= X"6c"; -- æ when 16#6e6# => romdata <= X"12"; -- æ when 16#7e6# => romdata <= X"7c"; -- æ when 16#8e6# => romdata <= X"90"; -- æ when 16#9e6# => romdata <= X"92"; -- æ when 16#ae6# => romdata <= X"6c"; -- æ when 16#be6# => romdata <= X"00"; -- æ when 16#ce6# => romdata <= X"00"; -- æ when 16#0e7# => romdata <= X"00"; -- ç when 16#1e7# => romdata <= X"00"; -- ç when 16#2e7# => romdata <= X"00"; -- ç when 16#3e7# => romdata <= X"00"; -- ç when 16#4e7# => romdata <= X"00"; -- ç when 16#5e7# => romdata <= X"3c"; -- ç when 16#6e7# => romdata <= X"42"; -- ç when 16#7e7# => romdata <= X"40"; -- ç when 16#8e7# => romdata <= X"40"; -- ç when 16#9e7# => romdata <= X"42"; -- ç when 16#ae7# => romdata <= X"3c"; -- ç when 16#be7# => romdata <= X"08"; -- ç when 16#ce7# => romdata <= X"10"; -- ç when 16#0e8# => romdata <= X"00"; -- è when 16#1e8# => romdata <= X"00"; -- è when 16#2e8# => romdata <= X"10"; -- è when 16#3e8# => romdata <= X"08"; -- è when 16#4e8# => romdata <= X"00"; -- è when 16#5e8# => romdata <= X"3c"; -- è when 16#6e8# => romdata <= X"42"; -- è when 16#7e8# => romdata <= X"7e"; -- è when 16#8e8# => romdata <= X"40"; -- è when 16#9e8# => romdata <= X"42"; -- è when 16#ae8# => romdata <= X"3c"; -- è when 16#be8# => romdata <= X"00"; -- è when 16#ce8# => romdata <= X"00"; -- è when 16#0e9# => romdata <= X"00"; -- é when 16#1e9# => romdata <= X"00"; -- é when 16#2e9# => romdata <= X"08"; -- é when 16#3e9# => romdata <= X"10"; -- é when 16#4e9# => romdata <= X"00"; -- é when 16#5e9# => romdata <= X"3c"; -- é when 16#6e9# => romdata <= X"42"; -- é when 16#7e9# => romdata <= X"7e"; -- é when 16#8e9# => romdata <= X"40"; -- é when 16#9e9# => romdata <= X"42"; -- é when 16#ae9# => romdata <= X"3c"; -- é when 16#be9# => romdata <= X"00"; -- é when 16#ce9# => romdata <= X"00"; -- é when 16#0ea# => romdata <= X"00"; -- ê when 16#1ea# => romdata <= X"00"; -- ê when 16#2ea# => romdata <= X"18"; -- ê when 16#3ea# => romdata <= X"24"; -- ê when 16#4ea# => romdata <= X"00"; -- ê when 16#5ea# => romdata <= X"3c"; -- ê when 16#6ea# => romdata <= X"42"; -- ê when 16#7ea# => romdata <= X"7e"; -- ê when 16#8ea# => romdata <= X"40"; -- ê when 16#9ea# => romdata <= X"42"; -- ê when 16#aea# => romdata <= X"3c"; -- ê when 16#bea# => romdata <= X"00"; -- ê when 16#cea# => romdata <= X"00"; -- ê when 16#0eb# => romdata <= X"00"; -- ë when 16#1eb# => romdata <= X"00"; -- ë when 16#2eb# => romdata <= X"24"; -- ë when 16#3eb# => romdata <= X"24"; -- ë when 16#4eb# => romdata <= X"00"; -- ë when 16#5eb# => romdata <= X"3c"; -- ë when 16#6eb# => romdata <= X"42"; -- ë when 16#7eb# => romdata <= X"7e"; -- ë when 16#8eb# => romdata <= X"40"; -- ë when 16#9eb# => romdata <= X"42"; -- ë when 16#aeb# => romdata <= X"3c"; -- ë when 16#beb# => romdata <= X"00"; -- ë when 16#ceb# => romdata <= X"00"; -- ë when 16#0ec# => romdata <= X"00"; -- ì when 16#1ec# => romdata <= X"00"; -- ì when 16#2ec# => romdata <= X"20"; -- ì when 16#3ec# => romdata <= X"10"; -- ì when 16#4ec# => romdata <= X"00"; -- ì when 16#5ec# => romdata <= X"30"; -- ì when 16#6ec# => romdata <= X"10"; -- ì when 16#7ec# => romdata <= X"10"; -- ì when 16#8ec# => romdata <= X"10"; -- ì when 16#9ec# => romdata <= X"10"; -- ì when 16#aec# => romdata <= X"7c"; -- ì when 16#bec# => romdata <= X"00"; -- ì when 16#cec# => romdata <= X"00"; -- ì when 16#0ed# => romdata <= X"00"; -- í when 16#1ed# => romdata <= X"00"; -- í when 16#2ed# => romdata <= X"10"; -- í when 16#3ed# => romdata <= X"20"; -- í when 16#4ed# => romdata <= X"00"; -- í when 16#5ed# => romdata <= X"30"; -- í when 16#6ed# => romdata <= X"10"; -- í when 16#7ed# => romdata <= X"10"; -- í when 16#8ed# => romdata <= X"10"; -- í when 16#9ed# => romdata <= X"10"; -- í when 16#aed# => romdata <= X"7c"; -- í when 16#bed# => romdata <= X"00"; -- í when 16#ced# => romdata <= X"00"; -- í when 16#0ee# => romdata <= X"00"; -- î when 16#1ee# => romdata <= X"00"; -- î when 16#2ee# => romdata <= X"30"; -- î when 16#3ee# => romdata <= X"48"; -- î when 16#4ee# => romdata <= X"00"; -- î when 16#5ee# => romdata <= X"30"; -- î when 16#6ee# => romdata <= X"10"; -- î when 16#7ee# => romdata <= X"10"; -- î when 16#8ee# => romdata <= X"10"; -- î when 16#9ee# => romdata <= X"10"; -- î when 16#aee# => romdata <= X"7c"; -- î when 16#bee# => romdata <= X"00"; -- î when 16#cee# => romdata <= X"00"; -- î when 16#0ef# => romdata <= X"00"; -- ï when 16#1ef# => romdata <= X"00"; -- ï when 16#2ef# => romdata <= X"48"; -- ï when 16#3ef# => romdata <= X"48"; -- ï when 16#4ef# => romdata <= X"00"; -- ï when 16#5ef# => romdata <= X"30"; -- ï when 16#6ef# => romdata <= X"10"; -- ï when 16#7ef# => romdata <= X"10"; -- ï when 16#8ef# => romdata <= X"10"; -- ï when 16#9ef# => romdata <= X"10"; -- ï when 16#aef# => romdata <= X"7c"; -- ï when 16#bef# => romdata <= X"00"; -- ï when 16#cef# => romdata <= X"00"; -- ï when 16#0f0# => romdata <= X"00"; -- ð when 16#1f0# => romdata <= X"24"; -- ð when 16#2f0# => romdata <= X"18"; -- ð when 16#3f0# => romdata <= X"28"; -- ð when 16#4f0# => romdata <= X"04"; -- ð when 16#5f0# => romdata <= X"3c"; -- ð when 16#6f0# => romdata <= X"42"; -- ð when 16#7f0# => romdata <= X"42"; -- ð when 16#8f0# => romdata <= X"42"; -- ð when 16#9f0# => romdata <= X"42"; -- ð when 16#af0# => romdata <= X"3c"; -- ð when 16#bf0# => romdata <= X"00"; -- ð when 16#cf0# => romdata <= X"00"; -- ð when 16#0f1# => romdata <= X"00"; -- ñ when 16#1f1# => romdata <= X"00"; -- ñ when 16#2f1# => romdata <= X"32"; -- ñ when 16#3f1# => romdata <= X"4c"; -- ñ when 16#4f1# => romdata <= X"00"; -- ñ when 16#5f1# => romdata <= X"5c"; -- ñ when 16#6f1# => romdata <= X"62"; -- ñ when 16#7f1# => romdata <= X"42"; -- ñ when 16#8f1# => romdata <= X"42"; -- ñ when 16#9f1# => romdata <= X"42"; -- ñ when 16#af1# => romdata <= X"42"; -- ñ when 16#bf1# => romdata <= X"00"; -- ñ when 16#cf1# => romdata <= X"00"; -- ñ when 16#0f2# => romdata <= X"00"; -- ò when 16#1f2# => romdata <= X"00"; -- ò when 16#2f2# => romdata <= X"20"; -- ò when 16#3f2# => romdata <= X"10"; -- ò when 16#4f2# => romdata <= X"00"; -- ò when 16#5f2# => romdata <= X"3c"; -- ò when 16#6f2# => romdata <= X"42"; -- ò when 16#7f2# => romdata <= X"42"; -- ò when 16#8f2# => romdata <= X"42"; -- ò when 16#9f2# => romdata <= X"42"; -- ò when 16#af2# => romdata <= X"3c"; -- ò when 16#bf2# => romdata <= X"00"; -- ò when 16#cf2# => romdata <= X"00"; -- ò when 16#0f3# => romdata <= X"00"; -- ó when 16#1f3# => romdata <= X"00"; -- ó when 16#2f3# => romdata <= X"08"; -- ó when 16#3f3# => romdata <= X"10"; -- ó when 16#4f3# => romdata <= X"00"; -- ó when 16#5f3# => romdata <= X"3c"; -- ó when 16#6f3# => romdata <= X"42"; -- ó when 16#7f3# => romdata <= X"42"; -- ó when 16#8f3# => romdata <= X"42"; -- ó when 16#9f3# => romdata <= X"42"; -- ó when 16#af3# => romdata <= X"3c"; -- ó when 16#bf3# => romdata <= X"00"; -- ó when 16#cf3# => romdata <= X"00"; -- ó when 16#0f4# => romdata <= X"00"; -- ô when 16#1f4# => romdata <= X"00"; -- ô when 16#2f4# => romdata <= X"18"; -- ô when 16#3f4# => romdata <= X"24"; -- ô when 16#4f4# => romdata <= X"00"; -- ô when 16#5f4# => romdata <= X"3c"; -- ô when 16#6f4# => romdata <= X"42"; -- ô when 16#7f4# => romdata <= X"42"; -- ô when 16#8f4# => romdata <= X"42"; -- ô when 16#9f4# => romdata <= X"42"; -- ô when 16#af4# => romdata <= X"3c"; -- ô when 16#bf4# => romdata <= X"00"; -- ô when 16#cf4# => romdata <= X"00"; -- ô when 16#0f5# => romdata <= X"00"; -- õ when 16#1f5# => romdata <= X"00"; -- õ when 16#2f5# => romdata <= X"32"; -- õ when 16#3f5# => romdata <= X"4c"; -- õ when 16#4f5# => romdata <= X"00"; -- õ when 16#5f5# => romdata <= X"3c"; -- õ when 16#6f5# => romdata <= X"42"; -- õ when 16#7f5# => romdata <= X"42"; -- õ when 16#8f5# => romdata <= X"42"; -- õ when 16#9f5# => romdata <= X"42"; -- õ when 16#af5# => romdata <= X"3c"; -- õ when 16#bf5# => romdata <= X"00"; -- õ when 16#cf5# => romdata <= X"00"; -- õ when 16#0f6# => romdata <= X"00"; -- ö when 16#1f6# => romdata <= X"00"; -- ö when 16#2f6# => romdata <= X"24"; -- ö when 16#3f6# => romdata <= X"24"; -- ö when 16#4f6# => romdata <= X"00"; -- ö when 16#5f6# => romdata <= X"3c"; -- ö when 16#6f6# => romdata <= X"42"; -- ö when 16#7f6# => romdata <= X"42"; -- ö when 16#8f6# => romdata <= X"42"; -- ö when 16#9f6# => romdata <= X"42"; -- ö when 16#af6# => romdata <= X"3c"; -- ö when 16#bf6# => romdata <= X"00"; -- ö when 16#cf6# => romdata <= X"00"; -- ö when 16#0f7# => romdata <= X"00"; -- ÷ when 16#1f7# => romdata <= X"00"; -- ÷ when 16#2f7# => romdata <= X"00"; -- ÷ when 16#3f7# => romdata <= X"10"; -- ÷ when 16#4f7# => romdata <= X"10"; -- ÷ when 16#5f7# => romdata <= X"00"; -- ÷ when 16#6f7# => romdata <= X"7c"; -- ÷ when 16#7f7# => romdata <= X"00"; -- ÷ when 16#8f7# => romdata <= X"10"; -- ÷ when 16#9f7# => romdata <= X"10"; -- ÷ when 16#af7# => romdata <= X"00"; -- ÷ when 16#bf7# => romdata <= X"00"; -- ÷ when 16#cf7# => romdata <= X"00"; -- ÷ when 16#0f8# => romdata <= X"00"; -- ø when 16#1f8# => romdata <= X"00"; -- ø when 16#2f8# => romdata <= X"00"; -- ø when 16#3f8# => romdata <= X"00"; -- ø when 16#4f8# => romdata <= X"02"; -- ø when 16#5f8# => romdata <= X"3c"; -- ø when 16#6f8# => romdata <= X"46"; -- ø when 16#7f8# => romdata <= X"4a"; -- ø when 16#8f8# => romdata <= X"52"; -- ø when 16#9f8# => romdata <= X"62"; -- ø when 16#af8# => romdata <= X"3c"; -- ø when 16#bf8# => romdata <= X"40"; -- ø when 16#cf8# => romdata <= X"00"; -- ø when 16#0f9# => romdata <= X"00"; -- ù when 16#1f9# => romdata <= X"00"; -- ù when 16#2f9# => romdata <= X"20"; -- ù when 16#3f9# => romdata <= X"10"; -- ù when 16#4f9# => romdata <= X"00"; -- ù when 16#5f9# => romdata <= X"44"; -- ù when 16#6f9# => romdata <= X"44"; -- ù when 16#7f9# => romdata <= X"44"; -- ù when 16#8f9# => romdata <= X"44"; -- ù when 16#9f9# => romdata <= X"44"; -- ù when 16#af9# => romdata <= X"3a"; -- ù when 16#bf9# => romdata <= X"00"; -- ù when 16#cf9# => romdata <= X"00"; -- ù when 16#0fa# => romdata <= X"00"; -- ú when 16#1fa# => romdata <= X"00"; -- ú when 16#2fa# => romdata <= X"08"; -- ú when 16#3fa# => romdata <= X"10"; -- ú when 16#4fa# => romdata <= X"00"; -- ú when 16#5fa# => romdata <= X"44"; -- ú when 16#6fa# => romdata <= X"44"; -- ú when 16#7fa# => romdata <= X"44"; -- ú when 16#8fa# => romdata <= X"44"; -- ú when 16#9fa# => romdata <= X"44"; -- ú when 16#afa# => romdata <= X"3a"; -- ú when 16#bfa# => romdata <= X"00"; -- ú when 16#cfa# => romdata <= X"00"; -- ú when 16#0fb# => romdata <= X"00"; -- û when 16#1fb# => romdata <= X"00"; -- û when 16#2fb# => romdata <= X"18"; -- û when 16#3fb# => romdata <= X"24"; -- û when 16#4fb# => romdata <= X"00"; -- û when 16#5fb# => romdata <= X"44"; -- û when 16#6fb# => romdata <= X"44"; -- û when 16#7fb# => romdata <= X"44"; -- û when 16#8fb# => romdata <= X"44"; -- û when 16#9fb# => romdata <= X"44"; -- û when 16#afb# => romdata <= X"3a"; -- û when 16#bfb# => romdata <= X"00"; -- û when 16#cfb# => romdata <= X"00"; -- û when 16#0fc# => romdata <= X"00"; -- ü when 16#1fc# => romdata <= X"00"; -- ü when 16#2fc# => romdata <= X"28"; -- ü when 16#3fc# => romdata <= X"28"; -- ü when 16#4fc# => romdata <= X"00"; -- ü when 16#5fc# => romdata <= X"44"; -- ü when 16#6fc# => romdata <= X"44"; -- ü when 16#7fc# => romdata <= X"44"; -- ü when 16#8fc# => romdata <= X"44"; -- ü when 16#9fc# => romdata <= X"44"; -- ü when 16#afc# => romdata <= X"3a"; -- ü when 16#bfc# => romdata <= X"00"; -- ü when 16#cfc# => romdata <= X"00"; -- ü when 16#0fd# => romdata <= X"00"; -- ý when 16#1fd# => romdata <= X"00"; -- ý when 16#2fd# => romdata <= X"08"; -- ý when 16#3fd# => romdata <= X"10"; -- ý when 16#4fd# => romdata <= X"00"; -- ý when 16#5fd# => romdata <= X"42"; -- ý when 16#6fd# => romdata <= X"42"; -- ý when 16#7fd# => romdata <= X"42"; -- ý when 16#8fd# => romdata <= X"46"; -- ý when 16#9fd# => romdata <= X"3a"; -- ý when 16#afd# => romdata <= X"02"; -- ý when 16#bfd# => romdata <= X"42"; -- ý when 16#cfd# => romdata <= X"3c"; -- ý when 16#0fe# => romdata <= X"00"; -- þ when 16#1fe# => romdata <= X"00"; -- þ when 16#2fe# => romdata <= X"00"; -- þ when 16#3fe# => romdata <= X"40"; -- þ when 16#4fe# => romdata <= X"40"; -- þ when 16#5fe# => romdata <= X"5c"; -- þ when 16#6fe# => romdata <= X"62"; -- þ when 16#7fe# => romdata <= X"42"; -- þ when 16#8fe# => romdata <= X"42"; -- þ when 16#9fe# => romdata <= X"62"; -- þ when 16#afe# => romdata <= X"5c"; -- þ when 16#bfe# => romdata <= X"40"; -- þ when 16#cfe# => romdata <= X"40"; -- þ when 16#0ff# => romdata <= X"00"; -- ÿ when 16#1ff# => romdata <= X"00"; -- ÿ when 16#2ff# => romdata <= X"24"; -- ÿ when 16#3ff# => romdata <= X"24"; -- ÿ when 16#4ff# => romdata <= X"00"; -- ÿ when 16#5ff# => romdata <= X"42"; -- ÿ when 16#6ff# => romdata <= X"42"; -- ÿ when 16#7ff# => romdata <= X"42"; -- ÿ when 16#8ff# => romdata <= X"46"; -- ÿ when 16#9ff# => romdata <= X"3a"; -- ÿ when 16#aff# => romdata <= X"02"; -- ÿ when 16#bff# => romdata <= X"42"; -- ÿ when 16#cff# => romdata <= X"3c"; -- ÿ when others => romdata <= (others => '0'); end case; end process; end architecture;
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used solely -- -- for design, simulation, implementation and creation of design files -- -- limited to Xilinx devices or technologies. Use with non-Xilinx -- -- devices or technologies is expressly prohibited and immediately -- -- terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- -- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- -- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- -- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- -- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- -- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- -- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support appliances, -- -- devices, or systems. Use in such applications are expressly -- -- prohibited. -- -- -- -- (c) Copyright 1995-2015 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- You must compile the wrapper file fontrom.vhd when simulating -- the core, fontrom. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off LIBRARY XilinxCoreLib; -- synthesis translate_on ENTITY fontrom IS PORT ( clka : IN STD_LOGIC; addra : IN STD_LOGIC_VECTOR(11 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END fontrom; ARCHITECTURE fontrom_a OF fontrom IS -- synthesis translate_off COMPONENT wrapped_fontrom PORT ( clka : IN STD_LOGIC; addra : IN STD_LOGIC_VECTOR(11 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END COMPONENT; -- Configuration specification FOR ALL : wrapped_fontrom USE ENTITY XilinxCoreLib.blk_mem_gen_v6_3(behavioral) GENERIC MAP ( c_addra_width => 12, c_addrb_width => 12, c_algorithm => 1, c_axi_id_width => 4, c_axi_slave_type => 0, c_axi_type => 1, c_byte_size => 9, c_common_clk => 0, c_default_data => "0", c_disable_warn_bhv_coll => 0, c_disable_warn_bhv_range => 0, c_enable_32bit_address => 0, c_family => "spartan6", c_has_axi_id => 0, c_has_ena => 0, c_has_enb => 0, c_has_injecterr => 0, c_has_mem_output_regs_a => 0, c_has_mem_output_regs_b => 0, c_has_mux_output_regs_a => 0, c_has_mux_output_regs_b => 0, c_has_regcea => 0, c_has_regceb => 0, c_has_rsta => 0, c_has_rstb => 0, c_has_softecc_input_regs_a => 0, c_has_softecc_output_regs_b => 0, c_init_file_name => "fontrom.mif", c_inita_val => "0", c_initb_val => "0", c_interface_type => 0, c_load_init_file => 1, c_mem_type => 3, c_mux_pipeline_stages => 0, c_prim_type => 1, c_read_depth_a => 4096, c_read_depth_b => 4096, c_read_width_a => 8, c_read_width_b => 8, c_rst_priority_a => "CE", c_rst_priority_b => "CE", c_rst_type => "SYNC", c_rstram_a => 0, c_rstram_b => 0, c_sim_collision_check => "ALL", c_use_byte_wea => 0, c_use_byte_web => 0, c_use_default_data => 0, c_use_ecc => 0, c_use_softecc => 0, c_wea_width => 1, c_web_width => 1, c_write_depth_a => 4096, c_write_depth_b => 4096, c_write_mode_a => "WRITE_FIRST", c_write_mode_b => "WRITE_FIRST", c_write_width_a => 8, c_write_width_b => 8, c_xdevicefamily => "spartan6" ); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_fontrom PORT MAP ( clka => clka, addra => addra, douta => douta ); -- synthesis translate_on END fontrom_a;
library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; library std; entity gaussian_process is generic ( LINE_WIDTH_MAX : integer; CLK_PROC_FREQ : integer; IN_SIZE : integer; OUT_SIZE : integer; WEIGHT_SIZE : integer := 8 ); port ( clk_proc : in std_logic; reset_n : in std_logic; ---------------- dynamic parameters ports --------------- status_reg_enable_bit : in std_logic; widthimg_reg_width : in std_logic_vector(15 downto 0); ------------------------- in flow ----------------------- in_data : in std_logic_vector(IN_SIZE-1 downto 0); in_fv : in std_logic; in_dv : in std_logic; ------------------------ out flow ----------------------- out_data : out std_logic_vector(OUT_SIZE-1 downto 0); out_fv : out std_logic; out_dv : out std_logic ); end gaussian_process; architecture rtl of gaussian_process is component matrix_extractor generic ( LINE_WIDTH_MAX : integer; PIX_WIDTH : integer; OUTVALUE_WIDTH : integer ); port ( clk_proc : in std_logic; reset_n : in std_logic; ------------------------- in flow ----------------------- in_data : in std_logic_vector((PIX_WIDTH-1) downto 0); in_fv : in std_logic; in_dv : in std_logic; ------------------------ out flow ----------------------- out_data : out std_logic_vector((PIX_WIDTH-1) downto 0); out_fv : out std_logic; out_dv : out std_logic; ------------------------ matrix out --------------------- p00, p01, p02 : out std_logic_vector((PIX_WIDTH-1) downto 0); p10, p11, p12 : out std_logic_vector((PIX_WIDTH-1) downto 0); p20, p21, p22 : out std_logic_vector((PIX_WIDTH-1) downto 0); matrix_dv : out std_logic; ---------------------- computed value ------------------- value_data : in std_logic_vector((PIX_WIDTH-1) downto 0); value_dv : in std_logic; ------------------------- params ------------------------ enable_i : in std_logic; widthimg_i : in std_logic_vector(15 downto 0) ); end component; -- neighbors extraction signal p00, p01, p02 : std_logic_vector((IN_SIZE-1) downto 0); signal p10, p11, p12 : std_logic_vector((IN_SIZE-1) downto 0); signal p20, p21, p22 : std_logic_vector((IN_SIZE-1) downto 0); signal matrix_dv : std_logic; -- products calculation signal prod00, prod01, prod02 : signed((WEIGHT_SIZE + IN_SIZE) downto 0); signal prod10, prod11, prod12 : signed((WEIGHT_SIZE + IN_SIZE) downto 0); signal prod20, prod21, prod22 : signed((WEIGHT_SIZE + IN_SIZE) downto 0); signal prod_dv : std_logic; signal value_data : std_logic_vector((IN_SIZE-1) downto 0); signal value_dv : std_logic; signal out_fv_s : std_logic; signal enable_s : std_logic; begin matrix_extractor_inst : matrix_extractor generic map ( LINE_WIDTH_MAX => LINE_WIDTH_MAX, PIX_WIDTH => IN_SIZE, OUTVALUE_WIDTH => IN_SIZE ) port map ( clk_proc => clk_proc, reset_n => reset_n, in_data => in_data, in_fv => in_fv, in_dv => in_dv, p00 => p00, p01 => p01, p02 => p02, p10 => p10, p11 => p11, p12 => p12, p20 => p20, p21 => p21, p22 => p22, matrix_dv => matrix_dv, value_data => value_data, value_dv => value_dv, out_data => out_data, out_fv => out_fv_s, out_dv => out_dv, enable_i => status_reg_enable_bit, widthimg_i => widthimg_reg_width ); process (clk_proc, reset_n, matrix_dv) variable sum : signed((WEIGHT_SIZE + IN_SIZE) downto 0); begin if(reset_n='0') then enable_s <= '0'; prod_dv <= '0'; value_dv <= '0'; elsif(rising_edge(clk_proc)) then if(in_fv = '0') then enable_s <= status_reg_enable_bit; prod_dv <= '0'; value_dv <= '0'; end if; -- product calculation pipeline stage prod_dv <= '0'; if(matrix_dv = '1' and enable_s = '1') then prod00 <= "00000001" * signed('0' & p00); -- w00 = 1 prod01 <= "00000010" * signed('0' & p01); -- w01 = 2 prod02 <= "00000001" * signed('0' & p02); -- w02 = 1 prod10 <= "00000010" * signed('0' & p10); -- w10 = 2 prod11 <= "00000100" * signed('0' & p11); -- w11 = 4 prod12 <= "00000010" * signed('0' & p12); -- w12 = 2 prod20 <= "00000001" * signed('0' & p20); -- w20 = 1 prod21 <= "00000010" * signed('0' & p21); -- w21 = 2 prod22 <= "00000001" * signed('0' & p22); -- w22 = 1 prod_dv <= '1'; end if; value_dv <= '0'; if(prod_dv='1' and enable_s = '1') then sum := prod00 + prod01 + prod02 + prod10 + prod11 + prod12 + prod20 + prod21 + prod22; if (sum(sum'left) = '1') then sum := (others => '0'); end if; value_data <= std_logic_vector(shift_right(unsigned(sum),4))(OUT_SIZE -1 downto 0); value_dv <= '1'; end if; end if; end process; out_fv <= enable_s and out_fv_s; end rtl;
entity concat is end entity; architecture t of concat is type int_array is array (integer range <>) of integer; begin process variable w : int_array(1 to 4); variable x, y : int_array(1 to 3); variable z : int_array(1 to 6); variable s : string(1 to 5); variable t : int_array(1 to 2); variable b : bit_vector(1 to 3); variable c : bit_vector(1 to 4); begin x := ( 1, 2, 3 ); y := ( 4, 5, 6 ); z := x & y; -- OK w := 1 & x; -- OK w := y & 5; -- OK s := 'h' & string'("ello"); -- OK s := 1 & string'("ello"); -- Error t := 6 & 7; -- OK t := 7 & character'( 'x' ); -- Error c := bit_vector(b & '1'); -- OK assert "10" = ("1" & b(1)); -- OK assert ("1" & b(1)) = "10"; -- OK assert "10" = (b(1) & "0"); -- OK wait; end process; process type mem_type is array (1 to 128) of bit_vector(7 downto 0); variable mem : mem_type; variable byte : bit_vector(7 downto 0); begin mem := mem(1 to 127) & byte; -- OK wait; end process; end architecture;
entity concat is end entity; architecture t of concat is type int_array is array (integer range <>) of integer; begin process variable w : int_array(1 to 4); variable x, y : int_array(1 to 3); variable z : int_array(1 to 6); variable s : string(1 to 5); variable t : int_array(1 to 2); variable b : bit_vector(1 to 3); variable c : bit_vector(1 to 4); begin x := ( 1, 2, 3 ); y := ( 4, 5, 6 ); z := x & y; -- OK w := 1 & x; -- OK w := y & 5; -- OK s := 'h' & string'("ello"); -- OK s := 1 & string'("ello"); -- Error t := 6 & 7; -- OK t := 7 & character'( 'x' ); -- Error c := bit_vector(b & '1'); -- OK assert "10" = ("1" & b(1)); -- OK assert ("1" & b(1)) = "10"; -- OK assert "10" = (b(1) & "0"); -- OK wait; end process; process type mem_type is array (1 to 128) of bit_vector(7 downto 0); variable mem : mem_type; variable byte : bit_vector(7 downto 0); begin mem := mem(1 to 127) & byte; -- OK wait; end process; end architecture;
entity concat is end entity; architecture t of concat is type int_array is array (integer range <>) of integer; begin process variable w : int_array(1 to 4); variable x, y : int_array(1 to 3); variable z : int_array(1 to 6); variable s : string(1 to 5); variable t : int_array(1 to 2); variable b : bit_vector(1 to 3); variable c : bit_vector(1 to 4); begin x := ( 1, 2, 3 ); y := ( 4, 5, 6 ); z := x & y; -- OK w := 1 & x; -- OK w := y & 5; -- OK s := 'h' & string'("ello"); -- OK s := 1 & string'("ello"); -- Error t := 6 & 7; -- OK t := 7 & character'( 'x' ); -- Error c := bit_vector(b & '1'); -- OK assert "10" = ("1" & b(1)); -- OK assert ("1" & b(1)) = "10"; -- OK assert "10" = (b(1) & "0"); -- OK wait; end process; process type mem_type is array (1 to 128) of bit_vector(7 downto 0); variable mem : mem_type; variable byte : bit_vector(7 downto 0); begin mem := mem(1 to 127) & byte; -- OK wait; end process; end architecture;
entity concat is end entity; architecture t of concat is type int_array is array (integer range <>) of integer; begin process variable w : int_array(1 to 4); variable x, y : int_array(1 to 3); variable z : int_array(1 to 6); variable s : string(1 to 5); variable t : int_array(1 to 2); variable b : bit_vector(1 to 3); variable c : bit_vector(1 to 4); begin x := ( 1, 2, 3 ); y := ( 4, 5, 6 ); z := x & y; -- OK w := 1 & x; -- OK w := y & 5; -- OK s := 'h' & string'("ello"); -- OK s := 1 & string'("ello"); -- Error t := 6 & 7; -- OK t := 7 & character'( 'x' ); -- Error c := bit_vector(b & '1'); -- OK assert "10" = ("1" & b(1)); -- OK assert ("1" & b(1)) = "10"; -- OK assert "10" = (b(1) & "0"); -- OK wait; end process; process type mem_type is array (1 to 128) of bit_vector(7 downto 0); variable mem : mem_type; variable byte : bit_vector(7 downto 0); begin mem := mem(1 to 127) & byte; -- OK wait; end process; end architecture;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 16:55:43 04/04/2013 -- Design Name: -- Module Name: MUX4x1 - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity MUX4x1 is Port ( a : in STD_LOGIC_VECTOR (3 downto 0); b : in bit_VECTOR (1 downto 0); c : out STD_LOGIC); end MUX4x1; architecture Behavioral of MUX4x1 is begin process(b) begin case b is when "00" => c <= a(0); when "01" => c <= a(1); when "10" => c <= a(2); when "11" => c <= a(3); end case; end process; end Behavioral;
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_mm2s_sm.vhd -- Description: This entity contains the MM2S DMA Controller State Machine -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_dma_v7_1; use axi_dma_v7_1.axi_dma_pkg.all; library proc_common_v4_0; use proc_common_v4_0.proc_common_pkg.clog2; ------------------------------------------------------------------------------- entity axi_dma_mm2s_sm is generic ( C_M_AXI_MM2S_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for MM2S Read Port C_SG_LENGTH_WIDTH : integer range 8 to 23 := 14; -- Width of Buffer Length, Transferred Bytes, and BTT fields C_SG_INCLUDE_DESC_QUEUE : integer range 0 to 1 := 0; -- Include or Exclude Scatter Gather Descriptor Queuing -- 0 = Exclude SG Descriptor Queuing -- 1 = Include SG Descriptor Queuing C_PRMY_CMDFIFO_DEPTH : integer range 1 to 16 := 1; -- Depth of DataMover command FIFO C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0 ); port ( m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- -- Channel 1 Control and Status -- mm2s_run_stop : in std_logic ; -- mm2s_keyhole : in std_logic ; mm2s_ftch_idle : in std_logic ; -- mm2s_stop : in std_logic ; -- mm2s_cmnd_idle : out std_logic ; -- mm2s_sts_idle : out std_logic ; -- mm2s_desc_flush : out std_logic ; -- -- -- MM2S Descriptor Fetch Request (from mm2s_sm) -- desc_available : in std_logic ; -- desc_fetch_req : out std_logic ; -- desc_fetch_done : in std_logic ; -- desc_update_done : in std_logic ; -- updt_pending : in std_logic ; packet_in_progress : in std_logic ; -- -- -- DataMover Command -- mm2s_cmnd_wr : out std_logic ; -- mm2s_cmnd_data : out std_logic_vector -- ((2*C_M_AXI_MM2S_ADDR_WIDTH+CMD_BASE_WIDTH+46)-1 downto 0); -- mm2s_cmnd_pending : in std_logic ; -- -- -- Descriptor Fields -- mm2s_cache_info : in std_logic_vector (C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0); -- mm2s_desc_baddress : in std_logic_vector -- (C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0); -- mm2s_desc_blength : in std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0) ; -- mm2s_desc_blength_v : in std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0) ; -- mm2s_desc_blength_s : in std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0) ; -- mm2s_desc_eof : in std_logic ; -- mm2s_desc_sof : in std_logic -- ); end axi_dma_mm2s_sm; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_mm2s_sm is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; attribute mark_debug : string; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- DataMover Commmand TAG constant MM2S_CMD_TAG : std_logic_vector(2 downto 0) := (others => '0'); -- DataMover Command Destination Stream Offset constant MM2S_CMD_DSA : std_logic_vector(5 downto 0) := (others => '0'); -- DataMover Cmnd Reserved Bits constant MM2S_CMD_RSVD : std_logic_vector( DATAMOVER_CMD_RSVMSB_BOFST + C_M_AXI_MM2S_ADDR_WIDTH downto DATAMOVER_CMD_RSVLSB_BOFST + C_M_AXI_MM2S_ADDR_WIDTH) := (others => '0'); -- Queued commands counter width constant COUNTER_WIDTH : integer := clog2(C_PRMY_CMDFIFO_DEPTH+1); -- Queued commands zero count constant ZERO_COUNT : std_logic_vector(COUNTER_WIDTH - 1 downto 0) := (others => '0'); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- type SG_MM2S_STATE_TYPE is ( IDLE, FETCH_DESCRIPTOR, -- EXECUTE_XFER, WAIT_STATUS ); signal mm2s_cs : SG_MM2S_STATE_TYPE; signal mm2s_ns : SG_MM2S_STATE_TYPE; -- State Machine Signals signal desc_fetch_req_cmb : std_logic := '0'; signal write_cmnd_cmb : std_logic := '0'; signal mm2s_cmnd_wr_i : std_logic := '0'; attribute mark_debug of mm2s_cmnd_wr_i : signal is "true"; signal cmnds_queued : std_logic_vector(COUNTER_WIDTH - 1 downto 0) := (others => '0'); signal cmnds_queued_shift : std_logic_vector(C_PRMY_CMDFIFO_DEPTH - 1 downto 0) := (others => '0'); signal count_incr : std_logic := '0'; signal count_decr : std_logic := '0'; signal mm2s_desc_flush_i : std_logic := '0'; signal queue_more : std_logic := '0'; signal burst_type : std_logic; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin mm2s_cmnd_wr <= mm2s_cmnd_wr_i; mm2s_desc_flush <= mm2s_desc_flush_i; -- Flush any fetch descriptors if stopped due to errors or soft reset -- or if not in middle of packet and run/stop clears mm2s_desc_flush_i <= '1' when (mm2s_stop = '1') or (packet_in_progress = '0' and mm2s_run_stop = '0') else '0'; burst_type <= '1' and (not mm2s_keyhole); -- A 0 on mm2s_kyhole means increment type burst -- 1 means fixed burst ------------------------------------------------------------------------------- -- MM2S Transfer State Machine ------------------------------------------------------------------------------- MM2S_MACHINE : process(mm2s_cs, mm2s_run_stop, packet_in_progress, desc_available, updt_pending, -- desc_fetch_done, desc_update_done, mm2s_cmnd_pending, mm2s_stop, mm2s_desc_flush_i -- queue_more ) begin -- Default signal assignment desc_fetch_req_cmb <= '0'; write_cmnd_cmb <= '0'; mm2s_cmnd_idle <= '0'; mm2s_ns <= mm2s_cs; case mm2s_cs is ------------------------------------------------------------------- when IDLE => -- Running or Stopped but in middle of xfer and Descriptor -- data available, No errors logged, and Room to queue more -- commands, then fetch descriptor -- if (updt_pending = '1') then -- mm2s_ns <= IDLE; if( (mm2s_run_stop = '1' or packet_in_progress = '1') -- and desc_available = '1' and mm2s_stop = '0' and queue_more = '1' and updt_pending = '0') then and desc_available = '1' and mm2s_stop = '0' and updt_pending = '0') then if (C_SG_INCLUDE_DESC_QUEUE = 0) then mm2s_ns <= WAIT_STATUS; write_cmnd_cmb <= '1'; else mm2s_ns <= FETCH_DESCRIPTOR; desc_fetch_req_cmb <= '1'; end if; else mm2s_cmnd_idle <= '1'; write_cmnd_cmb <= '0'; end if; ------------------------------------------------------------------- when FETCH_DESCRIPTOR => -- error detected or run/stop cleared if(mm2s_desc_flush_i = '1' or mm2s_stop = '1')then mm2s_ns <= IDLE; -- descriptor fetch complete -- elsif(desc_fetch_done = '1')then -- desc_fetch_req_cmb <= '0'; -- mm2s_ns <= EXECUTE_XFER; elsif(mm2s_cmnd_pending = '0')then desc_fetch_req_cmb <= '0'; if (updt_pending = '0') then if(C_SG_INCLUDE_DESC_QUEUE = 1)then mm2s_ns <= IDLE; write_cmnd_cmb <= '1'; else mm2s_ns <= WAIT_STATUS; end if; end if; else mm2s_ns <= FETCH_DESCRIPTOR; desc_fetch_req_cmb <= '0'; end if; ------------------------------------------------------------------- -- when EXECUTE_XFER => -- -- error detected -- if(mm2s_stop = '1')then -- mm2s_ns <= IDLE; -- -- Write another command if there is not one already pending -- elsif(mm2s_cmnd_pending = '0')then -- if (updt_pending = '0') then -- write_cmnd_cmb <= '1'; -- end if; -- if(C_SG_INCLUDE_DESC_QUEUE = 1)then -- mm2s_ns <= IDLE; -- else -- mm2s_ns <= WAIT_STATUS; -- end if; -- else -- mm2s_ns <= EXECUTE_XFER; -- end if; -- ------------------------------------------------------------------- when WAIT_STATUS => -- wait until desc update complete or error occurs if(desc_update_done = '1' or mm2s_stop = '1')then mm2s_ns <= IDLE; else mm2s_ns <= WAIT_STATUS; end if; ------------------------------------------------------------------- -- coverage off when others => mm2s_ns <= IDLE; -- coverage on end case; end process MM2S_MACHINE; ------------------------------------------------------------------------------- -- register state machine states ------------------------------------------------------------------------------- REGISTER_STATE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then mm2s_cs <= IDLE; else mm2s_cs <= mm2s_ns; end if; end if; end process REGISTER_STATE; ------------------------------------------------------------------------------- -- register state machine signals ------------------------------------------------------------------------------- --SM_SIG_REGISTER : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- if(m_axi_sg_aresetn = '0')then -- desc_fetch_req <= '0' ; -- else -- if (C_SG_INCLUDE_DESC_QUEUE = 0) then -- desc_fetch_req <= '1'; --desc_fetch_req_cmb ; -- else -- desc_fetch_req <= desc_fetch_req_cmb ; -- end if; -- end if; -- end if; -- end process SM_SIG_REGISTER; desc_fetch_req <= '1' when (C_SG_INCLUDE_DESC_QUEUE = 0) else desc_fetch_req_cmb ; ------------------------------------------------------------------------------- -- Build DataMover command ------------------------------------------------------------------------------- -- If Bytes To Transfer (BTT) width less than 23, need to add pad GEN_CMD_BTT_LESS_23 : if C_SG_LENGTH_WIDTH < 23 generate constant PAD_VALUE : std_logic_vector(22 - C_SG_LENGTH_WIDTH downto 0) := (others => '0'); begin -- When command by sm, drive command to mm2s_cmdsts_if GEN_DATAMOVER_CMND : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then mm2s_cmnd_wr_i <= '0'; -- mm2s_cmnd_data <= (others => '0'); -- Fetch SM issued a command write -- -- Note: change to mode where EOF generates IOC interrupt as -- opposed to a IOC bit in the descriptor negated need for an -- EOF and IOC tag. Given time, these two bits could be combined -- into 1. Associated logic in SG engine would also need to be -- modified as well as in mm2s_sg_if. elsif(write_cmnd_cmb = '1')then mm2s_cmnd_wr_i <= '1'; -- mm2s_cmnd_data <= mm2s_cache_info -- & mm2s_desc_blength_v -- & mm2s_desc_blength_s -- & MM2S_CMD_RSVD -- -- Command Tag -- & '0' -- & '0' -- & mm2s_desc_eof -- Cat. EOF to CMD Tag -- & mm2s_desc_eof -- Cat. IOC to CMD Tag -- -- Command -- & mm2s_desc_baddress -- & mm2s_desc_sof -- & mm2s_desc_eof -- & MM2S_CMD_DSA -- & burst_type -- key Hole operation'1' -- mm2s_desc_type IR#545697 -- & PAD_VALUE -- & mm2s_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0); else mm2s_cmnd_wr_i <= '0'; end if; end if; end process GEN_DATAMOVER_CMND; mm2s_cmnd_data <= mm2s_cache_info & mm2s_desc_blength_v & mm2s_desc_blength_s & MM2S_CMD_RSVD -- Command Tag & '0' & '0' & mm2s_desc_eof -- Cat. EOF to CMD Tag & mm2s_desc_eof -- Cat. IOC to CMD Tag -- Command & mm2s_desc_baddress & mm2s_desc_sof & mm2s_desc_eof & MM2S_CMD_DSA & burst_type -- key Hole operation'1' -- mm2s_desc_type IR#545697 & PAD_VALUE & mm2s_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0); end generate GEN_CMD_BTT_LESS_23; -- If Bytes To Transfer (BTT) width equal 23, no required pad GEN_CMD_BTT_EQL_23 : if C_SG_LENGTH_WIDTH = 23 generate begin -- When command by sm, drive command to mm2s_cmdsts_if GEN_DATAMOVER_CMND : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then mm2s_cmnd_wr_i <= '0'; -- mm2s_cmnd_data <= (others => '0'); -- Fetch SM issued a command write -- -- Note: change to mode where EOF generates IOC interrupt as -- opposed to a IOC bit in the descriptor negated need for an -- EOF and IOC tag. Given time, these two bits could be combined -- into 1. Associated logic in SG engine would also need to be -- modified as well as in mm2s_sg_if. elsif(write_cmnd_cmb = '1')then mm2s_cmnd_wr_i <= '1'; -- mm2s_cmnd_data <= mm2s_cache_info -- & mm2s_desc_blength_v -- & mm2s_desc_blength_s -- & MM2S_CMD_RSVD -- -- Command Tag -- & '0' -- & '0' -- & mm2s_desc_eof -- Cat. EOF to CMD Tag -- & mm2s_desc_eof -- Cat. IOC to CMD Tag (ioc changed to EOF) -- -- Command -- & mm2s_desc_baddress -- & mm2s_desc_sof -- & mm2s_desc_eof -- & MM2S_CMD_DSA -- & burst_type -- key Hole Operation'1' -- mm2s_desc_type IR#545697 -- & mm2s_desc_blength; else mm2s_cmnd_wr_i <= '0'; end if; end if; end process GEN_DATAMOVER_CMND; mm2s_cmnd_data <= mm2s_cache_info & mm2s_desc_blength_v & mm2s_desc_blength_s & MM2S_CMD_RSVD -- Command Tag & '0' & '0' & mm2s_desc_eof -- Cat. EOF to CMD Tag & mm2s_desc_eof -- Cat. IOC to CMD Tag (ioc changed to EOF) -- Command & mm2s_desc_baddress & mm2s_desc_sof & mm2s_desc_eof & MM2S_CMD_DSA & burst_type -- key Hole Operation'1' -- mm2s_desc_type IR#545697 & mm2s_desc_blength; end generate GEN_CMD_BTT_EQL_23; ------------------------------------------------------------------------------- -- Counter for keepting track of pending commands/status in primary datamover -- Use this to determine if primary datamover for mm2s is Idle. ------------------------------------------------------------------------------- -- increment with each command written count_incr <= '1' when mm2s_cmnd_wr_i = '1' and desc_update_done = '0' else '0'; -- decrement with each status received count_decr <= '1' when mm2s_cmnd_wr_i = '0' and desc_update_done = '1' else '0'; -- count number of queued commands to keep track of what datamover is still -- working on --CMD2STS_COUNTER : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- if(m_axi_sg_aresetn = '0' or mm2s_stop = '1')then -- cmnds_queued <= (others => '0'); -- elsif(count_incr = '1')then -- cmnds_queued <= std_logic_vector(unsigned(cmnds_queued(COUNTER_WIDTH - 1 downto 0)) + 1); -- elsif(count_decr = '1')then -- cmnds_queued <= std_logic_vector(unsigned(cmnds_queued(COUNTER_WIDTH - 1 downto 0)) - 1); -- end if; -- end if; -- end process CMD2STS_COUNTER; QUEUE_COUNT : if C_SG_INCLUDE_DESC_QUEUE = 1 generate begin CMD2STS_COUNTER1 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or mm2s_stop = '1')then cmnds_queued_shift <= (others => '0'); elsif(count_incr = '1')then cmnds_queued_shift <= cmnds_queued_shift (2 downto 0) & '1'; elsif(count_decr = '1')then cmnds_queued_shift <= '0' & cmnds_queued_shift (3 downto 1); end if; end if; end process CMD2STS_COUNTER1; end generate QUEUE_COUNT; NOQUEUE_COUNT : if C_SG_INCLUDE_DESC_QUEUE = 0 generate begin CMD2STS_COUNTER1 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or mm2s_stop = '1')then cmnds_queued_shift(0) <= '0'; elsif(count_incr = '1')then cmnds_queued_shift (0) <= '1'; elsif(count_decr = '1')then cmnds_queued_shift (0) <= '0'; end if; end if; end process CMD2STS_COUNTER1; end generate NOQUEUE_COUNT; -- Indicate status is idle when no cmnd/sts queued --mm2s_sts_idle <= '1' when cmnds_queued_shift = "0000" -- else '0'; mm2s_sts_idle <= not cmnds_queued_shift (0); ------------------------------------------------------------------------------- -- Queue only the amount of commands that can be queued on descriptor update -- else lock up can occur. Note datamover command fifo depth is set to number -- of descriptors to queue. ------------------------------------------------------------------------------- --QUEUE_MORE_PROCESS : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- if(m_axi_sg_aresetn = '0')then -- queue_more <= '0'; -- elsif(cmnds_queued < std_logic_vector(to_unsigned(C_PRMY_CMDFIFO_DEPTH,COUNTER_WIDTH)))then -- queue_more <= '1'; -- else -- queue_more <= '0'; -- end if; -- end if; -- end process QUEUE_MORE_PROCESS; QUEUE_MORE_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then queue_more <= '0'; -- elsif(cmnds_queued_shift(3) /= '1') then -- < std_logic_vector(to_unsigned(C_PRMY_CMDFIFO_DEPTH,COUNTER_WIDTH)))then -- queue_more <= '1'; else queue_more <= not (cmnds_queued_shift(C_PRMY_CMDFIFO_DEPTH-1)); end if; end if; end process QUEUE_MORE_PROCESS; end implementation;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 06.12.2015 15:39:44 -- Design Name: -- Module Name: topmodule - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity topmodule is Port ( clk : IN STD_LOGIC; JA0 : INOUT STD_LOGIC; JA1 : INOUT STD_LOGIC; JA2 : OUT STD_LOGIC; JA3 : OUT STD_LOGIC; --RsRx : IN STD_LOGIC; RsTx : OUT STD_LOGIC; --RsCts : --RsRts : btnCpuReset : IN STD_LOGIC ); end topmodule; architecture Behavioral of topmodule is -- internal reset signal resetin, reset, reset_n: std_logic; -- serial to serialLoader signals signal txd, ready, send: std_logic; signal serialData: std_logic_vector (7 downto 0); -- FIFO Signals serial loader to FIFO signals signal FIFO_Empty, FIFO_Full, FIFO_ReadEn, FIFO_WriteEn: std_logic; signal FIFO_DataOut, FIFO_DataIn: std_logic_vector (7 downto 0); -- i2c internal signals signal sda,scl :std_logic; -- i2c control signal signal ena,rw,busy,ack_error : std_logic; signal addr : std_logic_vector (6 downto 0); signal data_rd : std_logic_vector (7 downto 0); signal data_wr : std_logic_vector (7 downto 0):= "00000000"; begin --wire up the global reset resetDebounce_unit: entity work.debouncer(Behavioral) port map( resetin => resetin, resetout => reset, resetout_n => reset_n ); resetin <= btnCpuReset; serial_unit: entity work.UART_TX_CTRL(Behavioral) port map( SEND => send, DATA => serialData, CLK => clk, READY => ready, UART_TX => txd ); RsTx <= txd; serialLoader_unit: entity work.serialLoader(Behavioral) port map ( -- global clock clk => clk, reset => reset, --serial control signals S_Send => send, S_DataOut => serialData, S_Ready => ready, --FIFO DATA FIFO_Empty => FIFO_Empty, FIFO_Data => FIFO_DataOut, FIFO_ReadEn => FIFO_ReadEn ); serialFIFObuffer_unit: entity work.STD_FIFO(Behavioral) port map ( CLK => clk, RST => reset, WriteEn => FIFO_WriteEn, DataIn => FIFO_DataIn, ReadEn => FIFO_ReadEn, DataOut => FIFO_DataOut, Empty => FIFO_Empty, Full => FIFO_Full ); i2cControl_unit: entity work.i2c_controller(Behavioral) port map ( clk => clk, reset_n => reset_n, FIFO_WriteEn => FIFO_WriteEn, FIFO_DataIn => FIFO_DataIn, FIFO_Full => FIFO_Full, ena => ena, addr => addr, rw => rw, busy => busy, data_rd => data_rd, ack_error => ack_error ); i2ccomms_unit: entity work.i2c_master(logic) port map ( clk => clk, reset_n => reset_n, ena => ena, addr => addr, rw => rw, data_wr => data_wr, busy => busy, data_rd => data_rd, ack_error => ack_error, sda => JA1,-- sda, scl => JA0 --scl ); JA2 <= ack_error; JA3 <= ena; end Behavioral;
entity test is end test; architecture only of test is begin -- only p: process variable x : integer; begin -- process p for i in 1 to 10 loop x := i; end loop; -- i assert x = 10 report "TEST FAILED x was " & integer'image(x) severity ERROR; report "TEST PASSED" severity NOTE; wait; end process p; end only;
entity test is end test; architecture only of test is begin -- only p: process variable x : integer; begin -- process p for i in 1 to 10 loop x := i; end loop; -- i assert x = 10 report "TEST FAILED x was " & integer'image(x) severity ERROR; report "TEST PASSED" severity NOTE; wait; end process p; end only;
entity test is end test; architecture only of test is begin -- only p: process variable x : integer; begin -- process p for i in 1 to 10 loop x := i; end loop; -- i assert x = 10 report "TEST FAILED x was " & integer'image(x) severity ERROR; report "TEST PASSED" severity NOTE; wait; end process p; end only;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: generic_ddr_phy -- File: ddr_phy_inferred.vhd -- Author: Nils-Johan Wessman - Gaisler Research -- Modified: Magnus Hjorth - Aeroflex Gaisler -- Description: Generic DDR PHY (simulation only) ------------------------------------------------------------------------------ --################################################################################### -- Generic DDR1 PHY --################################################################################### library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; library grlib; use grlib.stdlib.all; entity generic_ddr_phy_wo_pads is generic (MHz : integer := 100; rstdelay : integer := 200; dbits : integer := 16; clk_mul : integer := 2 ; clk_div : integer := 2; rskew : integer := 0; mobile : integer := 0; abits: integer := 14; nclk: integer := 3; ncs: integer := 2); port( rst : in std_ulogic; clk : in std_logic; -- input clock clkout : out std_ulogic; -- system clock clk0r : in std_ulogic; lock : out std_ulogic; -- DCM locked ddr_clk : out std_logic_vector(nclk-1 downto 0); ddr_clkb : out std_logic_vector(nclk-1 downto 0); ddr_clk_fb_out : out std_logic; ddr_clk_fb: in std_logic; ddr_cke : out std_logic_vector(ncs-1 downto 0); ddr_csb : out std_logic_vector(ncs-1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm ddr_dqs_in : in std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_dqs_out : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_dqs_oen : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address ddr_ba : out std_logic_vector (1 downto 0); -- ddr bank address ddr_dq_in : in std_logic_vector (dbits-1 downto 0); -- ddr data ddr_dq_out : out std_logic_vector (dbits-1 downto 0); -- ddr data ddr_dq_oen : out std_logic_vector (dbits-1 downto 0); -- ddr data addr : in std_logic_vector (abits-1 downto 0); -- data mask ba : in std_logic_vector ( 1 downto 0); -- data mask dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr input data dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr input data dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask oen : in std_ulogic; dqs : in std_ulogic; dqsoen : in std_ulogic; rasn : in std_ulogic; casn : in std_ulogic; wen : in std_ulogic; csn : in std_logic_vector(ncs-1 downto 0); cke : in std_logic_vector(ncs-1 downto 0); ck : in std_logic_vector(nclk-1 downto 0); moben : in std_logic -- Mobile DDR enable ); end; architecture rtl of generic_ddr_phy_wo_pads is component sim_pll generic ( clkmul: integer := 1; clkdiv1: integer := 1; clkphase1: integer := 0; clkdiv2: integer := 1; clkphase2: integer := 0; clkdiv3: integer := 1; clkphase3: integer := 0; clkdiv4: integer := 1; clkphase4: integer := 0; minfreq: integer := 0; maxfreq: integer := 10000000 ); port ( i: in std_logic; o1: out std_logic; o2: out std_logic; o3: out std_logic; o4: out std_logic; lock: out std_logic; rst: in std_logic ); end component; constant freq_khz: integer := (1000*MHz*clk_mul)/(clk_div); constant freq_mhz: integer := freq_khz / 1000; constant td90: time := 250 us * (1.0 / real(freq_khz)); signal vcc, gnd : std_logic; -- VCC and GND signal clk0, clk90r, clk180r, clk270r : std_ulogic; signal lockl,vlockl,locked: std_ulogic; signal dqs90,dqs90n: std_logic_vector(dbits/8-1 downto 0); signal ckl: std_logic_vector(nclk-1 downto 0); signal ckel: std_logic_vector(ncs-1 downto 0); begin vcc <= '1'; gnd <= '0'; ----------------------------------------------------------------------------------- -- Clock generation (Only for simulation) ----------------------------------------------------------------------------------- -- Phase shifted clocks --pragma translate_off -- To avoid jitter problems when using ddr without sync regs we shift -- 10 degrees extra. pll0: sim_pll generic map ( clkmul => clk_mul, clkdiv1 => clk_div, clkphase1 => 0-10+360, clkdiv2 => clk_div, clkphase2 => 90-10, clkdiv3 => clk_div, clkphase3 => 180-10, clkdiv4 => clk_div, clkphase4 => 270-10, minfreq => MHz*1000, maxfreq => MHz*1000 ) port map ( i => clk, o1 => clk0, o2 => clk90r, o3 => clk180r, o4 => clk270r, lock => lockl, rst => rst); --pragma translate_on -- Clock to DDR controller clkout <= clk0; ddr_clk_fb_out <= '0'; ----------------------------------------------------------------------------------- -- Lock delay ----------------------------------------------------------------------------------- rdel : if rstdelay /= 0 generate rcnt : process (clk0r, lockl, rst) variable cnt : std_logic_vector(15 downto 0); variable vlock, co : std_ulogic; begin if rising_edge(clk0r) then co := cnt(15); vlockl <= vlock; if lockl = '0' then cnt := conv_std_logic_vector(rstdelay*FREQ_MHZ, 16); vlock := '0'; else if vlock = '0' then cnt := cnt -1; vlock := cnt(15) and not co; end if; end if; end if; if lockl = '0' or rst='0' then vlock := '0'; end if; end process; end generate; locked <= lockl when rstdelay = 0 else vlockl; lock <= locked; ----------------------------------------------------------------------------- -- DQS shifting ----------------------------------------------------------------------------- -- pragma translate_off dqs90 <= transport ddr_dqs_in after td90; dqs90n <= not dqs90; -- pragma translate_on ----------------------------------------------------------------------------- -- Data path ----------------------------------------------------------------------------- -- For mobile SDRAM, force Cke high during reset and reset-delay, -- For regular SDRAM, force Cke low -- also disable outgoing clock until we have achieved PLL lock mobgen: if mobile > 1 generate ckel <= cke or (cke'range => not locked); end generate; nmobgen: if mobile < 2 generate ckel <= cke and (cke'range => locked); end generate; ckl <= ck and (ck'range => lockl); dp0: ddrphy_datapath generic map ( regtech => inferred, dbits => dbits, abits => abits, bankbits => 2, ncs => ncs, nclk => nclk, resync => 2 ) port map ( clk0 => clk0r, clk90 => clk90r, clk180 => clk180r, clk270 => clk270r, clkresync => gnd, ddr_clk => ddr_clk, ddr_clkb => ddr_clkb, ddr_dq_in => ddr_dq_in, ddr_dq_out => ddr_dq_out, ddr_dq_oen => ddr_dq_oen, ddr_dqs_in90 => dqs90, ddr_dqs_in90n => dqs90n, ddr_dqs_out => ddr_dqs_out, ddr_dqs_oen => ddr_dqs_oen, ddr_cke => ddr_cke, ddr_csb => ddr_csb, ddr_web => ddr_web, ddr_rasb => ddr_rasb, ddr_casb => ddr_casb, ddr_ad => ddr_ad, ddr_ba => ddr_ba, ddr_dm => ddr_dm, ddr_odt => open, dqin => dqin, dqout => dqout, addr => addr, ba => ba, dm => dm, oen => oen, rasn => rasn, casn => casn, wen => wen, csn => csn, cke => ckel, odt => (others => '0'), dqs_en => dqs, dqs_oen => dqsoen, ddrclk_en => ckl ); end; --################################################################################### -- Generic DDR2 PHY --################################################################################### library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; library grlib; use grlib.stdlib.all; entity generic_ddr2_phy_wo_pads is generic (MHz : integer := 100; rstdelay : integer := 200; dbits : integer := 16; clk_mul : integer := 2 ; clk_div : integer := 2; rskew : integer := 0; eightbanks: integer := 0; abits: integer := 14; cben: integer := 0; chkbits: integer := 8; nclk: integer := 3; ncs: integer := 2); port( rst : in std_ulogic; clk : in std_logic; -- input clock clkout : out std_ulogic; -- system clock clk0r : in std_ulogic; -- system clock returned lock : out std_ulogic; -- DCM locked ddr_clk : out std_logic_vector(nclk-1 downto 0); ddr_clkb : out std_logic_vector(nclk-1 downto 0); ddr_clk_fb_out : out std_logic; ddr_clk_fb : in std_logic; ddr_cke : out std_logic_vector(ncs-1 downto 0); ddr_csb : out std_logic_vector(ncs-1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm ddr_dqs_in : in std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_dqs_out : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_dqs_oen : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address ddr_ba : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address ddr_dq_in : in std_logic_vector (dbits-1 downto 0); -- ddr data ddr_dq_out : out std_logic_vector (dbits-1 downto 0); -- ddr data ddr_dq_oen : out std_logic_vector (dbits-1 downto 0); -- ddr data ddr_odt : out std_logic_vector(ncs-1 downto 0); -- ddr odt addr : in std_logic_vector (abits-1 downto 0); -- data mask ba : in std_logic_vector (2 downto 0); -- data mask dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr input data dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr input data dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask oen : in std_ulogic; dqs : in std_ulogic; dqsoen : in std_ulogic; rasn : in std_ulogic; casn : in std_ulogic; wen : in std_ulogic; csn : in std_logic_vector(ncs-1 downto 0); cke : in std_logic_vector(ncs-1 downto 0); ck : in std_logic_vector(2 downto 0); odt : in std_logic_vector(1 downto 0) ); end; architecture rtl of generic_ddr2_phy_wo_pads is component sim_pll generic ( clkmul: integer := 1; clkdiv1: integer := 1; clkphase1: integer := 0; clkdiv2: integer := 1; clkphase2: integer := 0; clkdiv3: integer := 1; clkphase3: integer := 0; clkdiv4: integer := 1; clkphase4: integer := 0; minfreq: integer := 0; maxfreq: integer := 10000000 ); port ( i: in std_logic; o1: out std_logic; o2: out std_logic; o3: out std_logic; o4: out std_logic; lock: out std_logic; rst: in std_logic ); end component; constant freq_khz: integer := (1000*MHz*clk_mul)/(clk_div); constant freq_mhz: integer := freq_khz / 1000; constant td90: time := 250 us * (1.0 / real(freq_khz)); signal vcc, gnd : std_logic; -- VCC and GND signal clk0, clk90r, clk180r, clk270r : std_ulogic; signal lockl,vlockl,locked: std_ulogic; signal dqs90,dqs90n: std_logic_vector(dbits/8-1 downto 0); begin vcc <= '1'; gnd <= '0'; ----------------------------------------------------------------------------------- -- Clock generation (Only for simulation) ----------------------------------------------------------------------------------- -- Phase shifted clocks --pragma translate_off -- To avoid jitter problems when using ddr2 without sync regs we shift -- 10 degrees extra. pll0: sim_pll generic map ( clkmul => clk_mul, clkdiv1 => clk_div, clkphase1 => 0-10+360, clkdiv2 => clk_div, clkphase2 => 90-10, clkdiv3 => clk_div, clkphase3 => 180-10, clkdiv4 => clk_div, clkphase4 => 270-10, minfreq => MHz*1000, maxfreq => MHz*1000 ) port map ( i => clk, o1 => clk0, o2 => clk90r, o3 => clk180r, o4 => clk270r, lock => lockl, rst => rst); --pragma translate_on -- Clock to DDR controller clkout <= clk0; ddr_clk_fb_out <= '0'; ----------------------------------------------------------------------------------- -- Lock delay ----------------------------------------------------------------------------------- rdel : if rstdelay /= 0 generate rcnt : process (clk0r, lockl) variable cnt : std_logic_vector(15 downto 0); variable vlock, co : std_ulogic; begin if rising_edge(clk0r) then co := cnt(15); vlockl <= vlock; if lockl = '0' then cnt := conv_std_logic_vector(rstdelay*FREQ_MHZ, 16); vlock := '0'; else if vlock = '0' then cnt := cnt -1; vlock := cnt(15) and not co; end if; end if; end if; if lockl = '0' then vlock := '0'; end if; end process; end generate; locked <= lockl when rstdelay = 0 else vlockl; lock <= locked; ----------------------------------------------------------------------------- -- DQS shifting ----------------------------------------------------------------------------- -- pragma translate_off dqs90 <= transport ddr_dqs_in after td90; dqs90n <= not dqs90; -- pragma translate_on ----------------------------------------------------------------------------- -- Data path ----------------------------------------------------------------------------- dp0: ddrphy_datapath generic map ( regtech => inferred, dbits => dbits, abits => abits, bankbits => 2+EIGHTBANKS, ncs => ncs, nclk => nclk, resync => 0 ) port map ( clk0 => clk0r, clk90 => clk90r, clk180 => clk180r, clk270 => clk270r, clkresync => gnd, ddr_clk => ddr_clk, ddr_clkb => ddr_clkb, ddr_dq_in => ddr_dq_in, ddr_dq_out => ddr_dq_out, ddr_dq_oen => ddr_dq_oen, ddr_dqs_in90 => dqs90, ddr_dqs_in90n => dqs90n, ddr_dqs_out => ddr_dqs_out, ddr_dqs_oen => ddr_dqs_oen, ddr_cke => ddr_cke, ddr_csb => ddr_csb, ddr_web => ddr_web, ddr_rasb => ddr_rasb, ddr_casb => ddr_casb, ddr_ad => ddr_ad, ddr_ba => ddr_ba, ddr_dm => ddr_dm, ddr_odt => ddr_odt, dqin => dqin, dqout => dqout, addr => addr, ba => ba(1+eightbanks downto 0), dm => dm, oen => oen, rasn => rasn, casn => casn, wen => wen, csn => csn, cke => cke, odt => odt, dqs_en => dqs, dqs_oen => dqsoen, ddrclk_en => ck(nclk-1 downto 0) ); end;
------------------------------------------------------------------------------ -- LEON3 Demonstration design test bench -- Copyright (C) 2004 Jiri Gaisler, Gaisler Research ------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library gaisler; use gaisler.libdcom.all; use gaisler.sim.all; library techmap; use techmap.gencomp.all; library micron; use micron.components.all; use work.debug.all; use work.config.all; -- configuration entity testbench is generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; clktech : integer := CFG_CLKTECH; ncpu : integer := CFG_NCPU; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW; clkperiod : integer := 20; -- system clock period romwidth : integer := 8; -- rom data width (8/32) romdepth : integer := 23; -- rom address depth sramwidth : integer := 32; -- ram data width (8/16/32) sramdepth : integer := 20; -- ram address depth srambanks : integer := 1 -- number of ram banks ); end; architecture behav of testbench is constant promfile : string := "prom.srec"; -- rom contents constant sramfile : string := "ram.srec"; -- ram contents constant sdramfile : string := "ram.srec"; -- sdram contents component leon3mp generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; clktech : integer := CFG_CLKTECH; ncpu : integer := CFG_NCPU; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW ); port ( resetn : in std_ulogic; clk : in std_ulogic; clkout : out std_ulogic; pllref : in std_ulogic; errorn : out std_ulogic; address : out std_logic_vector(27 downto 0); data : inout std_logic_vector(31 downto 0); ramsn : out std_ulogic; ramoen : out std_ulogic; rwen : out std_ulogic; mben : out std_logic_vector (3 downto 0); iosn : out std_ulogic; romsn : out std_ulogic; oen : out std_ulogic; writen : out std_ulogic; sa : out std_logic_vector(11 downto 0); sd : inout std_logic_vector(31 downto 0); sdclk : out std_ulogic; sdcke : out std_ulogic; -- sdram clock enable sdcsn : out std_ulogic; -- sdram chip select sdwen : out std_ulogic; -- sdram write enable sdrasn : out std_ulogic; -- sdram ras sdcasn : out std_ulogic; -- sdram cas sddqm : out std_logic_vector (3 downto 0); -- sdram dqm sdba : out std_logic_vector (1 downto 0); dsutx : out std_ulogic; -- DSU tx data dsurx : in std_ulogic; -- DSU rx data dsubren : in std_ulogic; dsuact : out std_ulogic; rxd1 : in std_ulogic; -- UART1 rx data txd1 : out std_ulogic; -- UART1 tx data -- for smc lan chip eth_aen : out std_ulogic; eth_readn : out std_ulogic; eth_writen : out std_ulogic; eth_nbe : out std_logic_vector (3 downto 0); eth_lclk : out std_ulogic; eth_nads : out std_logic; eth_ncycle : out std_logic; eth_wnr : out std_logic; eth_nvlbus : out std_logic; eth_nrdyrtn : out std_logic; eth_ndatacs : out std_logic ); end component; signal clk : std_logic := '0'; signal clkout, pllref : std_ulogic; signal Rst : std_logic := '0'; -- Reset constant ct : integer := clkperiod/2; signal address : std_logic_vector(27 downto 0); signal data : std_logic_vector(31 downto 0); signal ramsn : std_ulogic; signal ramoen : std_ulogic; signal rwen : std_ulogic; signal mben : std_logic_vector(3 downto 0); --signal rwenx : std_logic_vector(3 downto 0); signal romsn : std_ulogic; signal iosn : std_ulogic; signal oen : std_ulogic; --signal read : std_ulogic; signal writen : std_ulogic; signal brdyn : std_ulogic; signal bexcn : std_ulogic; signal wdog : std_ulogic; signal dsuen, dsutx, dsurx, dsubren, dsuact : std_ulogic; signal dsurst : std_ulogic; signal test : std_ulogic; signal error : std_logic; signal gpio : std_logic_vector(7 downto 0); signal GND : std_ulogic := '0'; signal VCC : std_ulogic := '1'; signal NC : std_ulogic := 'Z'; signal clk2 : std_ulogic := '1'; signal sdcke : std_ulogic; -- clk en signal sdcsn : std_ulogic; -- chip sel signal sdwen : std_ulogic; -- write en signal sdrasn : std_ulogic; -- row addr stb signal sdcasn : std_ulogic; -- col addr stb signal sddqm : std_logic_vector (3 downto 0); -- data i/o mask signal sdclk : std_ulogic; signal sdba : std_logic_vector(1 downto 0); signal plllock : std_ulogic; signal txd1, rxd1 : std_ulogic; --signal txd2, rxd2 : std_ulogic; -- for smc lan chip signal eth_aen : std_ulogic; -- for smsc eth signal eth_readn : std_ulogic; -- for smsc eth signal eth_writen : std_ulogic; -- for smsc eth signal eth_nbe : std_logic_vector(3 downto 0); -- for smsc eth signal eth_datacsn : std_ulogic; constant lresp : boolean := false; signal sa : std_logic_vector(14 downto 0); signal sd : std_logic_vector(31 downto 0); begin -- clock and reset clk <= not clk after ct * 1 ns; rst <= dsurst; dsubren <= '1'; rxd1 <= '1'; pllref <= clkout; d3 : leon3mp generic map ( fabtech, memtech, padtech, clktech, ncpu, disas, dbguart, pclow ) port map (rst, clk, clkout, pllref, error, address, data, ramsn, ramoen, rwen, mben, iosn, romsn, oen, writen, sa(11 downto 0), sd, sdclk, sdcke, sdcsn, sdwen, sdrasn, sdcasn, sddqm, sdba, dsutx, dsurx, dsubren, dsuact, rxd1, txd1, eth_aen, eth_readn, eth_writen, eth_nbe); -- optional sdram sd1 : if (CFG_MCTRL_SDEN = 1) and (CFG_MCTRL_SEPBUS = 1) generate u0: mt48lc16m16a2 generic map (index => 0, fname => sdramfile) PORT MAP( Dq => sd(31 downto 16), Addr => sa(12 downto 0), Ba => sdba, Clk => sdclk, Cke => sdcke, Cs_n => sdcsn, Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(3 downto 2)); u1: mt48lc16m16a2 generic map (index => 16, fname => sdramfile) PORT MAP( Dq => sd(15 downto 0), Addr => sa(12 downto 0), Ba => sdba, Clk => sdclk, Cke => sdcke, Cs_n => sdcsn, Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(1 downto 0)); end generate; -- 8 bit prom prom0 : sram generic map (index => 6, abits => romdepth, fname => promfile) port map (address(romdepth-1 downto 0), data(31 downto 24), romsn, rwen, oen); sram0 : for i in 0 to (sramwidth/8)-1 generate sr0 : sram generic map (index => i, abits => sramdepth, fname => sramfile) port map (address(sramdepth+1 downto 2), data(31-i*8 downto 24-i*8), ramsn, rwen, ramoen); end generate; error <= 'H'; -- ERROR pull-up iuerr : process begin wait for 2500 ns; if to_x01(error) = '1' then wait on error; end if; assert (to_x01(error) = '1') report "*** IU in error mode, simulation halted ***" severity failure ; end process; data <= buskeep(data), (others => 'H') after 250 ns; sd <= buskeep(sd), (others => 'H') after 250 ns; test0 : grtestmod port map ( rst, clk, error, address(21 downto 2), data, iosn, oen, writen, brdyn); dsucom : process procedure dsucfg(signal dsurx : in std_ulogic; signal dsutx : out std_ulogic) is variable w32 : std_logic_vector(31 downto 0); variable c8 : std_logic_vector(7 downto 0); constant txp : time := 160 * 1 ns; begin dsutx <= '1'; dsurst <= '0'; wait for 500 ns; dsurst <= '1'; wait; wait for 5000 ns; txc(dsutx, 16#55#, txp); -- sync uart -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#02#, 16#ae#, txp); -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#91#, 16#00#, 16#00#, 16#00#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#06#, 16#ae#, txp); -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#24#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#06#, 16#03#, txp); -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#06#, 16#fc#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#2f#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#91#, 16#00#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#6f#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#11#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#00#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#04#, txp); txa(dsutx, 16#00#, 16#02#, 16#20#, 16#01#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#02#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0f#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#40#, 16#00#, 16#43#, 16#10#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0f#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#91#, 16#40#, 16#00#, 16#24#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#24#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#91#, 16#70#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#03#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); txa(dsutx, 16#00#, 16#00#, 16#ff#, 16#ff#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#48#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#12#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#60#, txp); txa(dsutx, 16#00#, 16#00#, 16#12#, 16#10#, txp); txc(dsutx, 16#80#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); rxi(dsurx, w32, txp, lresp); txc(dsutx, 16#a0#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#00#, txp); rxi(dsurx, w32, txp, lresp); end; begin dsucfg(dsutx, dsurx); wait; end process; end ;
library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; -------------------------------------------------------- -- x ^113 + x ^9 + 1 entity serial_multiplier_113 is generic ( NUM_BITS : positive := 113 -- The order of the finite field ); port( ax : in std_logic_vector(NUM_BITS-1 downto 0); bx : in std_logic_vector(NUM_BITS-1 downto 0); cx : out std_logic_vector(NUM_BITS-1 downto 0); -- cx = ax*bx mod Fx reset : in std_logic; clk : in std_logic; done : out std_logic ); end serial_multiplier_113; ----------------------------------------------------------- architecture behave of serial_multiplier_113 is ----------------------------------------------------------- signal bx_shift : std_logic_vector(NUM_BITS-1 downto 0); -- B and C shifted one position to the rigth signal bx_int : std_logic_vector(NUM_BITS-1 downto 0); -- Internal registers signal cx_int : std_logic_vector(NUM_BITS-1 downto 0); -- Internal registers signal counter: std_logic_vector(7 downto 0); -- 8-bit counter, controling the number of iterations: m --señales para las xor de la reduccion: signal xor_1 : std_logic; ----------------------------------------------------------- -- States for the finite state machine ----------------------------------------------------------- type CurrentState_type is (NOTHING, END_STATE, MUL_STATE); signal CurrentState: CurrentState_type; ----------------------------------------------------------- begin ----------------------------------------------------------- -- Result of the multiplication xor_1 <= Cx_int(8) xor Cx_int(NUM_BITS-1); Bx_shift <= bx_int(NUM_BITS-2 downto 0)& '0'; -- Shift Bx to left one position ------------------------------------------------------------ -- The finite state machine, it takes m cycles to compute -- the multiplication, a counter is used to keep this count ------------------------------------------------------------ CELL_0: ENTITY work.basic_cell(behave) PORT MAP(Ax(0),Bx_int(NUM_BITS-1),Cx_int(NUM_BITS-1),clk,reset,Cx_int(0)); CELL_1: ENTITY work.basic_cell(behave) PORT MAP(Ax(1),Bx_int(NUM_BITS-1),Cx_int(0),clk,reset,Cx_int(1)); CELL_2: ENTITY work.basic_cell(behave) PORT MAP(Ax(2),Bx_int(NUM_BITS-1),Cx_int(1),clk,reset,Cx_int(2)); CELL_3: ENTITY work.basic_cell(behave) PORT MAP(Ax(3),Bx_int(NUM_BITS-1),Cx_int(2),clk,reset,Cx_int(3)); CELL_4: ENTITY work.basic_cell(behave) PORT MAP(Ax(4),Bx_int(NUM_BITS-1),Cx_int(3),clk,reset,Cx_int(4)); CELL_5: ENTITY work.basic_cell(behave) PORT MAP(Ax(5),Bx_int(NUM_BITS-1),Cx_int(4),clk,reset,Cx_int(5)); CELL_6: ENTITY work.basic_cell(behave) PORT MAP(Ax(6),Bx_int(NUM_BITS-1),Cx_int(5),clk,reset,Cx_int(6)); CELL_7: ENTITY work.basic_cell(behave) PORT MAP(Ax(7),Bx_int(NUM_BITS-1),Cx_int(6),clk,reset,Cx_int(7)); CELL_8: ENTITY work.basic_cell(behave) PORT MAP(Ax(8),Bx_int(NUM_BITS-1),Cx_int(7),clk,reset,Cx_int(8)); CELL_9: ENTITY work.basic_cell(behave) PORT MAP(Ax(9),Bx_int(NUM_BITS-1),xor_1,clk,reset,Cx_int(9)); CELL_10: ENTITY work.basic_cell(behave) PORT MAP(Ax(10),Bx_int(NUM_BITS-1),Cx_int(9),clk,reset,Cx_int(10)); CELL_11: ENTITY work.basic_cell(behave) PORT MAP(Ax(11),Bx_int(NUM_BITS-1),Cx_int(10),clk,reset,Cx_int(11)); CELL_12: ENTITY work.basic_cell(behave) PORT MAP(Ax(12),Bx_int(NUM_BITS-1),Cx_int(11),clk,reset,Cx_int(12)); CELL_13: ENTITY work.basic_cell(behave) PORT MAP(Ax(13),Bx_int(NUM_BITS-1),Cx_int(12),clk,reset,Cx_int(13)); CELL_14: ENTITY work.basic_cell(behave) PORT MAP(Ax(14),Bx_int(NUM_BITS-1),Cx_int(13),clk,reset,Cx_int(14)); CELL_15: ENTITY work.basic_cell(behave) PORT MAP(Ax(15),Bx_int(NUM_BITS-1),Cx_int(14),clk,reset,Cx_int(15)); CELL_16: ENTITY work.basic_cell(behave) PORT MAP(Ax(16),Bx_int(NUM_BITS-1),Cx_int(15),clk,reset,Cx_int(16)); CELL_17: ENTITY work.basic_cell(behave) PORT MAP(Ax(17),Bx_int(NUM_BITS-1),Cx_int(16),clk,reset,Cx_int(17)); CELL_18: ENTITY work.basic_cell(behave) PORT MAP(Ax(18),Bx_int(NUM_BITS-1),Cx_int(17),clk,reset,Cx_int(18)); CELL_19: ENTITY work.basic_cell(behave) PORT MAP(Ax(19),Bx_int(NUM_BITS-1),Cx_int(18),clk,reset,Cx_int(19)); CELL_20: ENTITY work.basic_cell(behave) PORT MAP(Ax(20),Bx_int(NUM_BITS-1),Cx_int(19),clk,reset,Cx_int(20)); CELL_21: ENTITY work.basic_cell(behave) PORT MAP(Ax(21),Bx_int(NUM_BITS-1),Cx_int(20),clk,reset,Cx_int(21)); CELL_22: ENTITY work.basic_cell(behave) PORT MAP(Ax(22),Bx_int(NUM_BITS-1),Cx_int(21),clk,reset,Cx_int(22)); CELL_23: ENTITY work.basic_cell(behave) PORT MAP(Ax(23),Bx_int(NUM_BITS-1),Cx_int(22),clk,reset,Cx_int(23)); CELL_24: ENTITY work.basic_cell(behave) PORT MAP(Ax(24),Bx_int(NUM_BITS-1),Cx_int(23),clk,reset,Cx_int(24)); CELL_25: ENTITY work.basic_cell(behave) PORT MAP(Ax(25),Bx_int(NUM_BITS-1),Cx_int(24),clk,reset,Cx_int(25)); CELL_26: ENTITY work.basic_cell(behave) PORT MAP(Ax(26),Bx_int(NUM_BITS-1),Cx_int(25),clk,reset,Cx_int(26)); CELL_27: ENTITY work.basic_cell(behave) PORT MAP(Ax(27),Bx_int(NUM_BITS-1),Cx_int(26),clk,reset,Cx_int(27)); CELL_28: ENTITY work.basic_cell(behave) PORT MAP(Ax(28),Bx_int(NUM_BITS-1),Cx_int(27),clk,reset,Cx_int(28)); CELL_29: ENTITY work.basic_cell(behave) PORT MAP(Ax(29),Bx_int(NUM_BITS-1),Cx_int(28),clk,reset,Cx_int(29)); CELL_30: ENTITY work.basic_cell(behave) PORT MAP(Ax(30),Bx_int(NUM_BITS-1),Cx_int(29),clk,reset,Cx_int(30)); CELL_31: ENTITY work.basic_cell(behave) PORT MAP(Ax(31),Bx_int(NUM_BITS-1),Cx_int(30),clk,reset,Cx_int(31)); CELL_32: ENTITY work.basic_cell(behave) PORT MAP(Ax(32),Bx_int(NUM_BITS-1),Cx_int(31),clk,reset,Cx_int(32)); CELL_33: ENTITY work.basic_cell(behave) PORT MAP(Ax(33),Bx_int(NUM_BITS-1),Cx_int(32),clk,reset,Cx_int(33)); CELL_34: ENTITY work.basic_cell(behave) PORT MAP(Ax(34),Bx_int(NUM_BITS-1),Cx_int(33),clk,reset,Cx_int(34)); CELL_35: ENTITY work.basic_cell(behave) PORT MAP(Ax(35),Bx_int(NUM_BITS-1),Cx_int(34),clk,reset,Cx_int(35)); CELL_36: ENTITY work.basic_cell(behave) PORT MAP(Ax(36),Bx_int(NUM_BITS-1),Cx_int(35),clk,reset,Cx_int(36)); CELL_37: ENTITY work.basic_cell(behave) PORT MAP(Ax(37),Bx_int(NUM_BITS-1),Cx_int(36),clk,reset,Cx_int(37)); CELL_38: ENTITY work.basic_cell(behave) PORT MAP(Ax(38),Bx_int(NUM_BITS-1),Cx_int(37),clk,reset,Cx_int(38)); CELL_39: ENTITY work.basic_cell(behave) PORT MAP(Ax(39),Bx_int(NUM_BITS-1),Cx_int(38),clk,reset,Cx_int(39)); CELL_40: ENTITY work.basic_cell(behave) PORT MAP(Ax(40),Bx_int(NUM_BITS-1),Cx_int(39),clk,reset,Cx_int(40)); CELL_41: ENTITY work.basic_cell(behave) PORT MAP(Ax(41),Bx_int(NUM_BITS-1),Cx_int(40),clk,reset,Cx_int(41)); CELL_42: ENTITY work.basic_cell(behave) PORT MAP(Ax(42),Bx_int(NUM_BITS-1),Cx_int(41),clk,reset,Cx_int(42)); CELL_43: ENTITY work.basic_cell(behave) PORT MAP(Ax(43),Bx_int(NUM_BITS-1),Cx_int(42),clk,reset,Cx_int(43)); CELL_44: ENTITY work.basic_cell(behave) PORT MAP(Ax(44),Bx_int(NUM_BITS-1),Cx_int(43),clk,reset,Cx_int(44)); CELL_45: ENTITY work.basic_cell(behave) PORT MAP(Ax(45),Bx_int(NUM_BITS-1),Cx_int(44),clk,reset,Cx_int(45)); CELL_46: ENTITY work.basic_cell(behave) PORT MAP(Ax(46),Bx_int(NUM_BITS-1),Cx_int(45),clk,reset,Cx_int(46)); CELL_47: ENTITY work.basic_cell(behave) PORT MAP(Ax(47),Bx_int(NUM_BITS-1),Cx_int(46),clk,reset,Cx_int(47)); CELL_48: ENTITY work.basic_cell(behave) PORT MAP(Ax(48),Bx_int(NUM_BITS-1),Cx_int(47),clk,reset,Cx_int(48)); CELL_49: ENTITY work.basic_cell(behave) PORT MAP(Ax(49),Bx_int(NUM_BITS-1),Cx_int(48),clk,reset,Cx_int(49)); CELL_50: ENTITY work.basic_cell(behave) PORT MAP(Ax(50),Bx_int(NUM_BITS-1),Cx_int(49),clk,reset,Cx_int(50)); CELL_51: ENTITY work.basic_cell(behave) PORT MAP(Ax(51),Bx_int(NUM_BITS-1),Cx_int(50),clk,reset,Cx_int(51)); CELL_52: ENTITY work.basic_cell(behave) PORT MAP(Ax(52),Bx_int(NUM_BITS-1),Cx_int(51),clk,reset,Cx_int(52)); CELL_53: ENTITY work.basic_cell(behave) PORT MAP(Ax(53),Bx_int(NUM_BITS-1),Cx_int(52),clk,reset,Cx_int(53)); CELL_54: ENTITY work.basic_cell(behave) PORT MAP(Ax(54),Bx_int(NUM_BITS-1),Cx_int(53),clk,reset,Cx_int(54)); CELL_55: ENTITY work.basic_cell(behave) PORT MAP(Ax(55),Bx_int(NUM_BITS-1),Cx_int(54),clk,reset,Cx_int(55)); CELL_56: ENTITY work.basic_cell(behave) PORT MAP(Ax(56),Bx_int(NUM_BITS-1),Cx_int(55),clk,reset,Cx_int(56)); CELL_57: ENTITY work.basic_cell(behave) PORT MAP(Ax(57),Bx_int(NUM_BITS-1),Cx_int(56),clk,reset,Cx_int(57)); CELL_58: ENTITY work.basic_cell(behave) PORT MAP(Ax(58),Bx_int(NUM_BITS-1),Cx_int(57),clk,reset,Cx_int(58)); CELL_59: ENTITY work.basic_cell(behave) PORT MAP(Ax(59),Bx_int(NUM_BITS-1),Cx_int(58),clk,reset,Cx_int(59)); CELL_60: ENTITY work.basic_cell(behave) PORT MAP(Ax(60),Bx_int(NUM_BITS-1),Cx_int(59),clk,reset,Cx_int(60)); CELL_61: ENTITY work.basic_cell(behave) PORT MAP(Ax(61),Bx_int(NUM_BITS-1),Cx_int(60),clk,reset,Cx_int(61)); CELL_62: ENTITY work.basic_cell(behave) PORT MAP(Ax(62),Bx_int(NUM_BITS-1),Cx_int(61),clk,reset,Cx_int(62)); CELL_63: ENTITY work.basic_cell(behave) PORT MAP(Ax(63),Bx_int(NUM_BITS-1),Cx_int(62),clk,reset,Cx_int(63)); CELL_64: ENTITY work.basic_cell(behave) PORT MAP(Ax(64),Bx_int(NUM_BITS-1),Cx_int(63),clk,reset,Cx_int(64)); CELL_65: ENTITY work.basic_cell(behave) PORT MAP(Ax(65),Bx_int(NUM_BITS-1),Cx_int(64),clk,reset,Cx_int(65)); CELL_66: ENTITY work.basic_cell(behave) PORT MAP(Ax(66),Bx_int(NUM_BITS-1),Cx_int(65),clk,reset,Cx_int(66)); CELL_67: ENTITY work.basic_cell(behave) PORT MAP(Ax(67),Bx_int(NUM_BITS-1),Cx_int(66),clk,reset,Cx_int(67)); CELL_68: ENTITY work.basic_cell(behave) PORT MAP(Ax(68),Bx_int(NUM_BITS-1),Cx_int(67),clk,reset,Cx_int(68)); CELL_69: ENTITY work.basic_cell(behave) PORT MAP(Ax(69),Bx_int(NUM_BITS-1),Cx_int(68),clk,reset,Cx_int(69)); CELL_70: ENTITY work.basic_cell(behave) PORT MAP(Ax(70),Bx_int(NUM_BITS-1),Cx_int(69),clk,reset,Cx_int(70)); CELL_71: ENTITY work.basic_cell(behave) PORT MAP(Ax(71),Bx_int(NUM_BITS-1),Cx_int(70),clk,reset,Cx_int(71)); CELL_72: ENTITY work.basic_cell(behave) PORT MAP(Ax(72),Bx_int(NUM_BITS-1),Cx_int(71),clk,reset,Cx_int(72)); CELL_73: ENTITY work.basic_cell(behave) PORT MAP(Ax(73),Bx_int(NUM_BITS-1),Cx_int(72),clk,reset,Cx_int(73)); CELL_74: ENTITY work.basic_cell(behave) PORT MAP(Ax(74),Bx_int(NUM_BITS-1),Cx_int(73),clk,reset,Cx_int(74)); CELL_75: ENTITY work.basic_cell(behave) PORT MAP(Ax(75),Bx_int(NUM_BITS-1),Cx_int(74),clk,reset,Cx_int(75)); CELL_76: ENTITY work.basic_cell(behave) PORT MAP(Ax(76),Bx_int(NUM_BITS-1),Cx_int(75),clk,reset,Cx_int(76)); CELL_77: ENTITY work.basic_cell(behave) PORT MAP(Ax(77),Bx_int(NUM_BITS-1),Cx_int(76),clk,reset,Cx_int(77)); CELL_78: ENTITY work.basic_cell(behave) PORT MAP(Ax(78),Bx_int(NUM_BITS-1),Cx_int(77),clk,reset,Cx_int(78)); CELL_79: ENTITY work.basic_cell(behave) PORT MAP(Ax(79),Bx_int(NUM_BITS-1),Cx_int(78),clk,reset,Cx_int(79)); CELL_80: ENTITY work.basic_cell(behave) PORT MAP(Ax(80),Bx_int(NUM_BITS-1),Cx_int(79),clk,reset,Cx_int(80)); CELL_81: ENTITY work.basic_cell(behave) PORT MAP(Ax(81),Bx_int(NUM_BITS-1),Cx_int(80),clk,reset,Cx_int(81)); CELL_82: ENTITY work.basic_cell(behave) PORT MAP(Ax(82),Bx_int(NUM_BITS-1),Cx_int(81),clk,reset,Cx_int(82)); CELL_83: ENTITY work.basic_cell(behave) PORT MAP(Ax(83),Bx_int(NUM_BITS-1),Cx_int(82),clk,reset,Cx_int(83)); CELL_84: ENTITY work.basic_cell(behave) PORT MAP(Ax(84),Bx_int(NUM_BITS-1),Cx_int(83),clk,reset,Cx_int(84)); CELL_85: ENTITY work.basic_cell(behave) PORT MAP(Ax(85),Bx_int(NUM_BITS-1),Cx_int(84),clk,reset,Cx_int(85)); CELL_86: ENTITY work.basic_cell(behave) PORT MAP(Ax(86),Bx_int(NUM_BITS-1),Cx_int(85),clk,reset,Cx_int(86)); CELL_87: ENTITY work.basic_cell(behave) PORT MAP(Ax(87),Bx_int(NUM_BITS-1),Cx_int(86),clk,reset,Cx_int(87)); CELL_88: ENTITY work.basic_cell(behave) PORT MAP(Ax(88),Bx_int(NUM_BITS-1),Cx_int(87),clk,reset,Cx_int(88)); CELL_89: ENTITY work.basic_cell(behave) PORT MAP(Ax(89),Bx_int(NUM_BITS-1),Cx_int(88),clk,reset,Cx_int(89)); CELL_90: ENTITY work.basic_cell(behave) PORT MAP(Ax(90),Bx_int(NUM_BITS-1),Cx_int(89),clk,reset,Cx_int(90)); CELL_91: ENTITY work.basic_cell(behave) PORT MAP(Ax(91),Bx_int(NUM_BITS-1),Cx_int(90),clk,reset,Cx_int(91)); CELL_92: ENTITY work.basic_cell(behave) PORT MAP(Ax(92),Bx_int(NUM_BITS-1),Cx_int(91),clk,reset,Cx_int(92)); CELL_93: ENTITY work.basic_cell(behave) PORT MAP(Ax(93),Bx_int(NUM_BITS-1),Cx_int(92),clk,reset,Cx_int(93)); CELL_94: ENTITY work.basic_cell(behave) PORT MAP(Ax(94),Bx_int(NUM_BITS-1),Cx_int(93),clk,reset,Cx_int(94)); CELL_95: ENTITY work.basic_cell(behave) PORT MAP(Ax(95),Bx_int(NUM_BITS-1),Cx_int(94),clk,reset,Cx_int(95)); CELL_96: ENTITY work.basic_cell(behave) PORT MAP(Ax(96),Bx_int(NUM_BITS-1),Cx_int(95),clk,reset,Cx_int(96)); CELL_97: ENTITY work.basic_cell(behave) PORT MAP(Ax(97),Bx_int(NUM_BITS-1),Cx_int(96),clk,reset,Cx_int(97)); CELL_98: ENTITY work.basic_cell(behave) PORT MAP(Ax(98),Bx_int(NUM_BITS-1),Cx_int(97),clk,reset,Cx_int(98)); CELL_99: ENTITY work.basic_cell(behave) PORT MAP(Ax(99),Bx_int(NUM_BITS-1),Cx_int(98),clk,reset,Cx_int(99)); CELL_100: ENTITY work.basic_cell(behave) PORT MAP(Ax(100),Bx_int(NUM_BITS-1),Cx_int(99),clk,reset,Cx_int(100)); CELL_101: ENTITY work.basic_cell(behave) PORT MAP(Ax(101),Bx_int(NUM_BITS-1),Cx_int(100),clk,reset,Cx_int(101)); CELL_102: ENTITY work.basic_cell(behave) PORT MAP(Ax(102),Bx_int(NUM_BITS-1),Cx_int(101),clk,reset,Cx_int(102)); CELL_103: ENTITY work.basic_cell(behave) PORT MAP(Ax(103),Bx_int(NUM_BITS-1),Cx_int(102),clk,reset,Cx_int(103)); CELL_104: ENTITY work.basic_cell(behave) PORT MAP(Ax(104),Bx_int(NUM_BITS-1),Cx_int(103),clk,reset,Cx_int(104)); CELL_105: ENTITY work.basic_cell(behave) PORT MAP(Ax(105),Bx_int(NUM_BITS-1),Cx_int(104),clk,reset,Cx_int(105)); CELL_106: ENTITY work.basic_cell(behave) PORT MAP(Ax(106),Bx_int(NUM_BITS-1),Cx_int(105),clk,reset,Cx_int(106)); CELL_107: ENTITY work.basic_cell(behave) PORT MAP(Ax(107),Bx_int(NUM_BITS-1),Cx_int(106),clk,reset,Cx_int(107)); CELL_108: ENTITY work.basic_cell(behave) PORT MAP(Ax(108),Bx_int(NUM_BITS-1),Cx_int(107),clk,reset,Cx_int(108)); CELL_109: ENTITY work.basic_cell(behave) PORT MAP(Ax(109),Bx_int(NUM_BITS-1),Cx_int(108),clk,reset,Cx_int(109)); CELL_110: ENTITY work.basic_cell(behave) PORT MAP(Ax(110),Bx_int(NUM_BITS-1),Cx_int(109),clk,reset,Cx_int(110)); CELL_111: ENTITY work.basic_cell(behave) PORT MAP(Ax(111),Bx_int(NUM_BITS-1),Cx_int(110),clk,reset,Cx_int(111)); CELL_112: ENTITY work.basic_cell(behave) PORT MAP(Ax(112),Bx_int(NUM_BITS-1),Cx_int(111),clk,reset,Cx_int(112)); FSM_MUL: process (CLK) Begin if CLK'event and CLK = '1' then if Reset = '1' then counter <= "11100000"; -- m-1 value, in this case, it is 112, be sure to set the correct value bx_int <= bx; cx <= (others => '0'); Done <= '0'; CurrentState <= MUL_STATE; else case CurrentState is when MUL_STATE => -- processes a bit of bx counter <= counter - 1; if counter = "00000000" then -- The done signal is asserted at the same time that the result is computed. CurrentState <= END_STATE; else bx_int <= bx_shift; end if; when END_STATE => Cx <= Cx_int; Done <= '1'; CurrentState <= NOTHING; when others => null; end case; end if; end if; end process; end behave;
------------------------------------------------------------------------------- -- axi_datamover_ms_strb_set.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_ms_strb_set.vhd -- -- Description: -- This module implements a function to detect the most significant strobe -- bit asserted and outputs the index value of that strobe bit. It can only -- be used in applications where the asserted strobe bits are contiguous and -- always asserted from LS to MS bit positions, -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity axi_datamover_ms_strb_set is generic ( C_STRB_WIDTH : Integer := 8; -- Sets the width of the input strobe port C_INDEX_WIDTH : Integer := 3 -- Sets the width of the ms_strb_index output port -- Should be log2(C_STRB_WIDTH) ); port ( -- Input strobe value ------------------------------------------------ strbs_in : in std_logic_vector(C_STRB_WIDTH-1 downto 0); -- ---------------------------------------------------------------------- -- Specifies the index of the most significant strobe set ------------ ms_strb_index : out std_logic_vector(C_INDEX_WIDTH-1 downto 0); -- ---------------------------------------------------------------------- -- Invalid strobe input Indcation ------------------------------------ strb_error : Out std_logic -- -- Indicates an error with the strobe input, either a hole in the -- -- asserted strobes or not asserted from LS bits upwards. -- ---------------------------------------------------------------------- ); end entity axi_datamover_ms_strb_set; architecture implementation of axi_datamover_ms_strb_set is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_2 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 2-bit wide strobe value. -- ------------------------------------------------------------------- function get_ms_index_2 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(1 downto 0); begin var_strb_value := input_strobe(1 downto 0); case var_strb_value is when "01" => var_ms_strb_index := 0; when "11" | "10" => var_ms_strb_index := 1; when others => var_ms_strb_index := 2; end case; Return (var_ms_strb_index); end function get_ms_index_2; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_4 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 4-bit wide strobe value. -- ------------------------------------------------------------------- function get_ms_index_4 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(3 downto 0); begin var_strb_value := input_strobe(3 downto 0); case var_strb_value is when "0001" => var_ms_strb_index := 0; when "0011" | "0010" => var_ms_strb_index := 1; when "0111" | "0110" | "0100" => var_ms_strb_index := 2; when "1111" | "1110" | "1100" | "1000"=> var_ms_strb_index := 3; when others => var_ms_strb_index := 4; end case; Return (var_ms_strb_index); end function get_ms_index_4; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_8 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 8-bit wide strobe value. -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_8 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(7 downto 0); begin var_strb_value := input_strobe(7 downto 0); case var_strb_value is when "00000001" => var_ms_strb_index := 0; when "00000010" => var_ms_strb_index := 1; when "00000100" => var_ms_strb_index := 2; when "00001000" => var_ms_strb_index := 3; when "00010000" => var_ms_strb_index := 4; when "00100000" => var_ms_strb_index := 5; when "01000000" => var_ms_strb_index := 6; when "10000000" => var_ms_strb_index := 7; when others => var_ms_strb_index := 8; end case; Return (var_ms_strb_index); end function get_ms_index_8; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_16 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 16-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_16 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(15 downto 0); begin var_strb_value := input_strobe(15 downto 0); case var_strb_value is when "0000000000000001" => var_ms_strb_index := 0; when "0000000000000010" => var_ms_strb_index := 1; when "0000000000000100" => var_ms_strb_index := 2; when "0000000000001000" => var_ms_strb_index := 3; when "0000000000010000" => var_ms_strb_index := 4; when "0000000000100000" => var_ms_strb_index := 5; when "0000000001000000" => var_ms_strb_index := 6; when "0000000010000000" => var_ms_strb_index := 7; when "0000000100000000" => var_ms_strb_index := 8; when "0000001000000000" => var_ms_strb_index := 9; when "0000010000000000" => var_ms_strb_index := 10; when "0000100000000000" => var_ms_strb_index := 11; when "0001000000000000" => var_ms_strb_index := 12; when "0010000000000000" => var_ms_strb_index := 13; when "0100000000000000" => var_ms_strb_index := 14; when "1000000000000000" => var_ms_strb_index := 15; when others => var_ms_strb_index := 16; end case; Return (var_ms_strb_index); end function get_ms_index_16; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_32 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 32-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_32 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(31 downto 0); begin var_strb_value := input_strobe(31 downto 0); case var_strb_value is when "00000000000000000000000000000001" => var_ms_strb_index := 0; when "00000000000000000000000000000010" => var_ms_strb_index := 1; when "00000000000000000000000000000100" => var_ms_strb_index := 2; when "00000000000000000000000000001000" => var_ms_strb_index := 3; when "00000000000000000000000000010000" => var_ms_strb_index := 4; when "00000000000000000000000000100000" => var_ms_strb_index := 5; when "00000000000000000000000001000000" => var_ms_strb_index := 6; when "00000000000000000000000010000000" => var_ms_strb_index := 7; when "00000000000000000000000100000000" => var_ms_strb_index := 8; when "00000000000000000000001000000000" => var_ms_strb_index := 9; when "00000000000000000000010000000000" => var_ms_strb_index := 10; when "00000000000000000000100000000000" => var_ms_strb_index := 11; when "00000000000000000001000000000000" => var_ms_strb_index := 12; when "00000000000000000010000000000000" => var_ms_strb_index := 13; when "00000000000000000100000000000000" => var_ms_strb_index := 14; when "00000000000000001000000000000000" => var_ms_strb_index := 15; when "00000000000000010000000000000000" => var_ms_strb_index := 16; when "00000000000000100000000000000000" => var_ms_strb_index := 17; when "00000000000001000000000000000000" => var_ms_strb_index := 18; when "00000000000010000000000000000000" => var_ms_strb_index := 19; when "00000000000100000000000000000000" => var_ms_strb_index := 20; when "00000000001000000000000000000000" => var_ms_strb_index := 21; when "00000000010000000000000000000000" => var_ms_strb_index := 22; when "00000000100000000000000000000000" => var_ms_strb_index := 23; when "00000001000000000000000000000000" => var_ms_strb_index := 24; when "00000010000000000000000000000000" => var_ms_strb_index := 25; when "00000100000000000000000000000000" => var_ms_strb_index := 26; when "00001000000000000000000000000000" => var_ms_strb_index := 27; when "00010000000000000000000000000000" => var_ms_strb_index := 28; when "00100000000000000000000000000000" => var_ms_strb_index := 29; when "01000000000000000000000000000000" => var_ms_strb_index := 30; when "10000000000000000000000000000000" => var_ms_strb_index := 31; when others => var_ms_strb_index := 32; end case; Return (var_ms_strb_index); end function get_ms_index_32; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_64 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 64-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_64 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(63 downto 0); begin var_strb_value := input_strobe(63 downto 0); case var_strb_value is when "0000000000000000000000000000000000000000000000000000000000000001" => var_ms_strb_index := 0; when "0000000000000000000000000000000000000000000000000000000000000010" => var_ms_strb_index := 1; when "0000000000000000000000000000000000000000000000000000000000000100" => var_ms_strb_index := 2; when "0000000000000000000000000000000000000000000000000000000000001000" => var_ms_strb_index := 3; when "0000000000000000000000000000000000000000000000000000000000010000" => var_ms_strb_index := 4; when "0000000000000000000000000000000000000000000000000000000000100000" => var_ms_strb_index := 5; when "0000000000000000000000000000000000000000000000000000000001000000" => var_ms_strb_index := 6; when "0000000000000000000000000000000000000000000000000000000010000000" => var_ms_strb_index := 7; when "0000000000000000000000000000000000000000000000000000000100000000" => var_ms_strb_index := 8; when "0000000000000000000000000000000000000000000000000000001000000000" => var_ms_strb_index := 9; when "0000000000000000000000000000000000000000000000000000010000000000" => var_ms_strb_index := 10; when "0000000000000000000000000000000000000000000000000000100000000000" => var_ms_strb_index := 11; when "0000000000000000000000000000000000000000000000000001000000000000" => var_ms_strb_index := 12; when "0000000000000000000000000000000000000000000000000010000000000000" => var_ms_strb_index := 13; when "0000000000000000000000000000000000000000000000000100000000000000" => var_ms_strb_index := 14; when "0000000000000000000000000000000000000000000000001000000000000000" => var_ms_strb_index := 15; when "0000000000000000000000000000000000000000000000010000000000000000" => var_ms_strb_index := 16; when "0000000000000000000000000000000000000000000000100000000000000000" => var_ms_strb_index := 17; when "0000000000000000000000000000000000000000000001000000000000000000" => var_ms_strb_index := 18; when "0000000000000000000000000000000000000000000010000000000000000000" => var_ms_strb_index := 19; when "0000000000000000000000000000000000000000000100000000000000000000" => var_ms_strb_index := 20; when "0000000000000000000000000000000000000000001000000000000000000000" => var_ms_strb_index := 21; when "0000000000000000000000000000000000000000010000000000000000000000" => var_ms_strb_index := 22; when "0000000000000000000000000000000000000000100000000000000000000000" => var_ms_strb_index := 23; when "0000000000000000000000000000000000000001000000000000000000000000" => var_ms_strb_index := 24; when "0000000000000000000000000000000000000010000000000000000000000000" => var_ms_strb_index := 25; when "0000000000000000000000000000000000000100000000000000000000000000" => var_ms_strb_index := 26; when "0000000000000000000000000000000000001000000000000000000000000000" => var_ms_strb_index := 27; when "0000000000000000000000000000000000010000000000000000000000000000" => var_ms_strb_index := 28; when "0000000000000000000000000000000000100000000000000000000000000000" => var_ms_strb_index := 29; when "0000000000000000000000000000000001000000000000000000000000000000" => var_ms_strb_index := 30; when "0000000000000000000000000000000010000000000000000000000000000000" => var_ms_strb_index := 31; when "0000000000000000000000000000000100000000000000000000000000000000" => var_ms_strb_index := 32; when "0000000000000000000000000000001000000000000000000000000000000000" => var_ms_strb_index := 33; when "0000000000000000000000000000010000000000000000000000000000000000" => var_ms_strb_index := 34; when "0000000000000000000000000000100000000000000000000000000000000000" => var_ms_strb_index := 35; when "0000000000000000000000000001000000000000000000000000000000000000" => var_ms_strb_index := 36; when "0000000000000000000000000010000000000000000000000000000000000000" => var_ms_strb_index := 37; when "0000000000000000000000000100000000000000000000000000000000000000" => var_ms_strb_index := 38; when "0000000000000000000000001000000000000000000000000000000000000000" => var_ms_strb_index := 39; when "0000000000000000000000010000000000000000000000000000000000000000" => var_ms_strb_index := 40; when "0000000000000000000000100000000000000000000000000000000000000000" => var_ms_strb_index := 41; when "0000000000000000000001000000000000000000000000000000000000000000" => var_ms_strb_index := 42; when "0000000000000000000010000000000000000000000000000000000000000000" => var_ms_strb_index := 43; when "0000000000000000000100000000000000000000000000000000000000000000" => var_ms_strb_index := 44; when "0000000000000000001000000000000000000000000000000000000000000000" => var_ms_strb_index := 45; when "0000000000000000010000000000000000000000000000000000000000000000" => var_ms_strb_index := 46; when "0000000000000000100000000000000000000000000000000000000000000000" => var_ms_strb_index := 47; when "0000000000000001000000000000000000000000000000000000000000000000" => var_ms_strb_index := 48; when "0000000000000010000000000000000000000000000000000000000000000000" => var_ms_strb_index := 49; when "0000000000000100000000000000000000000000000000000000000000000000" => var_ms_strb_index := 50; when "0000000000001000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 51; when "0000000000010000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 52; when "0000000000100000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 53; when "0000000001000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 54; when "0000000010000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 55; when "0000000100000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 56; when "0000001000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 57; when "0000010000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 58; when "0000100000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 59; when "0001000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 60; when "0010000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 61; when "0100000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 62; when "1000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 63; when others => var_ms_strb_index := 64; end case; Return (var_ms_strb_index); end function get_ms_index_64; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_128 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 64-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_128 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(127 downto 0); begin var_strb_value := input_strobe(127 downto 0); case var_strb_value is when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001" => var_ms_strb_index := 0; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010" => var_ms_strb_index := 1; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100" => var_ms_strb_index := 2; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000" => var_ms_strb_index := 3; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000" => var_ms_strb_index := 4; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000" => var_ms_strb_index := 5; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000" => var_ms_strb_index := 6; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000" => var_ms_strb_index := 7; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000" => var_ms_strb_index := 8; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000" => var_ms_strb_index := 9; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000" => var_ms_strb_index := 10; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000" => var_ms_strb_index := 11; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000" => var_ms_strb_index := 12; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000" => var_ms_strb_index := 13; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000" => var_ms_strb_index := 14; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000" => var_ms_strb_index := 15; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000" => var_ms_strb_index := 16; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000" => var_ms_strb_index := 17; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000" => var_ms_strb_index := 18; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000" => var_ms_strb_index := 19; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000" => var_ms_strb_index := 20; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000" => var_ms_strb_index := 21; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000" => var_ms_strb_index := 22; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000" => var_ms_strb_index := 23; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000" => var_ms_strb_index := 24; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000" => var_ms_strb_index := 25; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000" => var_ms_strb_index := 26; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000" => var_ms_strb_index := 27; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000" => var_ms_strb_index := 28; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000" => var_ms_strb_index := 29; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000" => var_ms_strb_index := 30; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000" => var_ms_strb_index := 31; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000" => var_ms_strb_index := 32; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000" => var_ms_strb_index := 33; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000" => var_ms_strb_index := 34; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000" => var_ms_strb_index := 35; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000" => var_ms_strb_index := 36; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000" => var_ms_strb_index := 37; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000" => var_ms_strb_index := 38; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000" => var_ms_strb_index := 39; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000" => var_ms_strb_index := 40; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000" => var_ms_strb_index := 41; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000" => var_ms_strb_index := 42; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000" => var_ms_strb_index := 43; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000" => var_ms_strb_index := 44; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000" => var_ms_strb_index := 45; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000" => var_ms_strb_index := 46; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000" => var_ms_strb_index := 47; when "00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000" => var_ms_strb_index := 48; when "00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000" => var_ms_strb_index := 49; when "00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000" => var_ms_strb_index := 50; when "00000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 51; when "00000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 52; when "00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 53; when "00000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 54; when "00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 55; when "00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 56; when "00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 57; when "00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 58; when "00000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 59; when "00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 60; when "00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 61; when "00000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 62; when "00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 63; when "00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 64; when "00000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 65; when "00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 66; when "00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 67; when "00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 68; when "00000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 69; when "00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 70; when "00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 71; when "00000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 72; when "00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 73; when "00000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 74; when "00000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 75; when "00000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 76; when "00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 77; when "00000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 78; when "00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 79; when "00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 80; when "00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 81; when "00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 82; when "00000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 83; when "00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 84; when "00000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 85; when "00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 86; when "00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 87; when "00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 88; when "00000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 89; when "00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 90; when "00000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 91; when "00000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 92; when "00000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 93; when "00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 94; when "00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 95; when "00000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 96; when "00000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 97; when "00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 98; when "00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 99; when "00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 100; when "00000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 101; when "00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 102; when "00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 103; when "00000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 104; when "00000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 105; when "00000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 106; when "00000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 107; when "00000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 108; when "00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 109; when "00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 110; when "00000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 111; when "00000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 112; when "00000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 113; when "00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 114; when "00000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 115; when "00000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 116; when "00000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 117; when "00000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 118; when "00000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 119; when "00000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 120; when "00000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 121; when "00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 122; when "00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 123; when "00010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 124; when "00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 125; when "01000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 126; when "10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 127; when others => var_ms_strb_index := 128; end case; Return (var_ms_strb_index); end function get_ms_index_128; -- Constants Constant ERROR_INDEX : natural := C_STRB_WIDTH; Constant TEMP_NAT_MAX : natural := 255; -- allows for a 0 to 255 strobe index value Constant TEMP_UN_WIDTH : natural := 8; -- 8 bits allows for a 0 to 255 index value -- Signals signal sig_input_stbs : std_logic_vector(C_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_ms_asserted_index_un : unsigned(C_INDEX_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_error : std_logic := '0'; signal sig_temp_ms_index_un : unsigned(TEMP_UN_WIDTH-1 downto 0) := (others => '0'); signal sig_temp_ms_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin --(architecture implementation) -- Assign the ms asserted strobe value ms_strb_index <= STD_LOGIC_VECTOR(sig_ms_asserted_index_un); -- Assign the input strobe sig_input_stbs <= strbs_in ; -- assign input strobes -- Assign the strobe eror output strb_error <= sig_strb_error ; -- assign the strobe error output -- Rip the valid index bits sig_ms_asserted_index_un <= sig_temp_ms_index_un(C_INDEX_WIDTH-1 downto 0); -- Assert the Strobe Error output if an out of range index is returned sig_temp_ms_index_nat <= TO_INTEGER(sig_ms_asserted_index_un) ; sig_strb_error <= '1' When (sig_temp_ms_index_nat >= ERROR_INDEX) else '0'; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_1BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 1-bit strobe width case. -- -- ------------------------------------------------------------ GEN_1BIT_CASE : if (C_STRB_WIDTH = 1) generate begin sig_temp_ms_index_un <= TO_UNSIGNED( 0, TEMP_UN_WIDTH); end generate GEN_1BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_2BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 2-bit strobe width case. -- -- ------------------------------------------------------------ GEN_2BIT_CASE : if (C_STRB_WIDTH = 2) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin lsig_ms_asserted_index_nat <= get_ms_index_2(sig_input_stbs); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_2BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_4BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 4-bit strobe width case. -- ------------------------------------------------------------ GEN_4BIT_CASE : if (C_STRB_WIDTH = 4) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin lsig_ms_asserted_index_nat <= get_ms_index_4(sig_input_stbs); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_4BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_8BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 8-bit strobe width case. -- ------------------------------------------------------------ GEN_8BIT_CASE : if (C_STRB_WIDTH = 8) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_8(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_8BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_16BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 16-bit strobe width case. -- -- ------------------------------------------------------------ GEN_16BIT_CASE : if (C_STRB_WIDTH = 16) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_16(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_16BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_32BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 32-bit strobe width case. -- ------------------------------------------------------------ GEN_32BIT_CASE : if (C_STRB_WIDTH = 32) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_32(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_32BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_64BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 64-bit strobe width case. -- ------------------------------------------------------------ GEN_64BIT_CASE : if (C_STRB_WIDTH = 64) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_64(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_64BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_128BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 128-bit strobe width case. -- ------------------------------------------------------------ GEN_128BIT_CASE : if (C_STRB_WIDTH = 128) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_128(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_128BIT_CASE; end implementation;
------------------------------------------------------------------------------- -- axi_datamover_ms_strb_set.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_ms_strb_set.vhd -- -- Description: -- This module implements a function to detect the most significant strobe -- bit asserted and outputs the index value of that strobe bit. It can only -- be used in applications where the asserted strobe bits are contiguous and -- always asserted from LS to MS bit positions, -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity axi_datamover_ms_strb_set is generic ( C_STRB_WIDTH : Integer := 8; -- Sets the width of the input strobe port C_INDEX_WIDTH : Integer := 3 -- Sets the width of the ms_strb_index output port -- Should be log2(C_STRB_WIDTH) ); port ( -- Input strobe value ------------------------------------------------ strbs_in : in std_logic_vector(C_STRB_WIDTH-1 downto 0); -- ---------------------------------------------------------------------- -- Specifies the index of the most significant strobe set ------------ ms_strb_index : out std_logic_vector(C_INDEX_WIDTH-1 downto 0); -- ---------------------------------------------------------------------- -- Invalid strobe input Indcation ------------------------------------ strb_error : Out std_logic -- -- Indicates an error with the strobe input, either a hole in the -- -- asserted strobes or not asserted from LS bits upwards. -- ---------------------------------------------------------------------- ); end entity axi_datamover_ms_strb_set; architecture implementation of axi_datamover_ms_strb_set is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_2 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 2-bit wide strobe value. -- ------------------------------------------------------------------- function get_ms_index_2 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(1 downto 0); begin var_strb_value := input_strobe(1 downto 0); case var_strb_value is when "01" => var_ms_strb_index := 0; when "11" | "10" => var_ms_strb_index := 1; when others => var_ms_strb_index := 2; end case; Return (var_ms_strb_index); end function get_ms_index_2; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_4 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 4-bit wide strobe value. -- ------------------------------------------------------------------- function get_ms_index_4 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(3 downto 0); begin var_strb_value := input_strobe(3 downto 0); case var_strb_value is when "0001" => var_ms_strb_index := 0; when "0011" | "0010" => var_ms_strb_index := 1; when "0111" | "0110" | "0100" => var_ms_strb_index := 2; when "1111" | "1110" | "1100" | "1000"=> var_ms_strb_index := 3; when others => var_ms_strb_index := 4; end case; Return (var_ms_strb_index); end function get_ms_index_4; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_8 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 8-bit wide strobe value. -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_8 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(7 downto 0); begin var_strb_value := input_strobe(7 downto 0); case var_strb_value is when "00000001" => var_ms_strb_index := 0; when "00000010" => var_ms_strb_index := 1; when "00000100" => var_ms_strb_index := 2; when "00001000" => var_ms_strb_index := 3; when "00010000" => var_ms_strb_index := 4; when "00100000" => var_ms_strb_index := 5; when "01000000" => var_ms_strb_index := 6; when "10000000" => var_ms_strb_index := 7; when others => var_ms_strb_index := 8; end case; Return (var_ms_strb_index); end function get_ms_index_8; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_16 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 16-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_16 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(15 downto 0); begin var_strb_value := input_strobe(15 downto 0); case var_strb_value is when "0000000000000001" => var_ms_strb_index := 0; when "0000000000000010" => var_ms_strb_index := 1; when "0000000000000100" => var_ms_strb_index := 2; when "0000000000001000" => var_ms_strb_index := 3; when "0000000000010000" => var_ms_strb_index := 4; when "0000000000100000" => var_ms_strb_index := 5; when "0000000001000000" => var_ms_strb_index := 6; when "0000000010000000" => var_ms_strb_index := 7; when "0000000100000000" => var_ms_strb_index := 8; when "0000001000000000" => var_ms_strb_index := 9; when "0000010000000000" => var_ms_strb_index := 10; when "0000100000000000" => var_ms_strb_index := 11; when "0001000000000000" => var_ms_strb_index := 12; when "0010000000000000" => var_ms_strb_index := 13; when "0100000000000000" => var_ms_strb_index := 14; when "1000000000000000" => var_ms_strb_index := 15; when others => var_ms_strb_index := 16; end case; Return (var_ms_strb_index); end function get_ms_index_16; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_32 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 32-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_32 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(31 downto 0); begin var_strb_value := input_strobe(31 downto 0); case var_strb_value is when "00000000000000000000000000000001" => var_ms_strb_index := 0; when "00000000000000000000000000000010" => var_ms_strb_index := 1; when "00000000000000000000000000000100" => var_ms_strb_index := 2; when "00000000000000000000000000001000" => var_ms_strb_index := 3; when "00000000000000000000000000010000" => var_ms_strb_index := 4; when "00000000000000000000000000100000" => var_ms_strb_index := 5; when "00000000000000000000000001000000" => var_ms_strb_index := 6; when "00000000000000000000000010000000" => var_ms_strb_index := 7; when "00000000000000000000000100000000" => var_ms_strb_index := 8; when "00000000000000000000001000000000" => var_ms_strb_index := 9; when "00000000000000000000010000000000" => var_ms_strb_index := 10; when "00000000000000000000100000000000" => var_ms_strb_index := 11; when "00000000000000000001000000000000" => var_ms_strb_index := 12; when "00000000000000000010000000000000" => var_ms_strb_index := 13; when "00000000000000000100000000000000" => var_ms_strb_index := 14; when "00000000000000001000000000000000" => var_ms_strb_index := 15; when "00000000000000010000000000000000" => var_ms_strb_index := 16; when "00000000000000100000000000000000" => var_ms_strb_index := 17; when "00000000000001000000000000000000" => var_ms_strb_index := 18; when "00000000000010000000000000000000" => var_ms_strb_index := 19; when "00000000000100000000000000000000" => var_ms_strb_index := 20; when "00000000001000000000000000000000" => var_ms_strb_index := 21; when "00000000010000000000000000000000" => var_ms_strb_index := 22; when "00000000100000000000000000000000" => var_ms_strb_index := 23; when "00000001000000000000000000000000" => var_ms_strb_index := 24; when "00000010000000000000000000000000" => var_ms_strb_index := 25; when "00000100000000000000000000000000" => var_ms_strb_index := 26; when "00001000000000000000000000000000" => var_ms_strb_index := 27; when "00010000000000000000000000000000" => var_ms_strb_index := 28; when "00100000000000000000000000000000" => var_ms_strb_index := 29; when "01000000000000000000000000000000" => var_ms_strb_index := 30; when "10000000000000000000000000000000" => var_ms_strb_index := 31; when others => var_ms_strb_index := 32; end case; Return (var_ms_strb_index); end function get_ms_index_32; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_64 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 64-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_64 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(63 downto 0); begin var_strb_value := input_strobe(63 downto 0); case var_strb_value is when "0000000000000000000000000000000000000000000000000000000000000001" => var_ms_strb_index := 0; when "0000000000000000000000000000000000000000000000000000000000000010" => var_ms_strb_index := 1; when "0000000000000000000000000000000000000000000000000000000000000100" => var_ms_strb_index := 2; when "0000000000000000000000000000000000000000000000000000000000001000" => var_ms_strb_index := 3; when "0000000000000000000000000000000000000000000000000000000000010000" => var_ms_strb_index := 4; when "0000000000000000000000000000000000000000000000000000000000100000" => var_ms_strb_index := 5; when "0000000000000000000000000000000000000000000000000000000001000000" => var_ms_strb_index := 6; when "0000000000000000000000000000000000000000000000000000000010000000" => var_ms_strb_index := 7; when "0000000000000000000000000000000000000000000000000000000100000000" => var_ms_strb_index := 8; when "0000000000000000000000000000000000000000000000000000001000000000" => var_ms_strb_index := 9; when "0000000000000000000000000000000000000000000000000000010000000000" => var_ms_strb_index := 10; when "0000000000000000000000000000000000000000000000000000100000000000" => var_ms_strb_index := 11; when "0000000000000000000000000000000000000000000000000001000000000000" => var_ms_strb_index := 12; when "0000000000000000000000000000000000000000000000000010000000000000" => var_ms_strb_index := 13; when "0000000000000000000000000000000000000000000000000100000000000000" => var_ms_strb_index := 14; when "0000000000000000000000000000000000000000000000001000000000000000" => var_ms_strb_index := 15; when "0000000000000000000000000000000000000000000000010000000000000000" => var_ms_strb_index := 16; when "0000000000000000000000000000000000000000000000100000000000000000" => var_ms_strb_index := 17; when "0000000000000000000000000000000000000000000001000000000000000000" => var_ms_strb_index := 18; when "0000000000000000000000000000000000000000000010000000000000000000" => var_ms_strb_index := 19; when "0000000000000000000000000000000000000000000100000000000000000000" => var_ms_strb_index := 20; when "0000000000000000000000000000000000000000001000000000000000000000" => var_ms_strb_index := 21; when "0000000000000000000000000000000000000000010000000000000000000000" => var_ms_strb_index := 22; when "0000000000000000000000000000000000000000100000000000000000000000" => var_ms_strb_index := 23; when "0000000000000000000000000000000000000001000000000000000000000000" => var_ms_strb_index := 24; when "0000000000000000000000000000000000000010000000000000000000000000" => var_ms_strb_index := 25; when "0000000000000000000000000000000000000100000000000000000000000000" => var_ms_strb_index := 26; when "0000000000000000000000000000000000001000000000000000000000000000" => var_ms_strb_index := 27; when "0000000000000000000000000000000000010000000000000000000000000000" => var_ms_strb_index := 28; when "0000000000000000000000000000000000100000000000000000000000000000" => var_ms_strb_index := 29; when "0000000000000000000000000000000001000000000000000000000000000000" => var_ms_strb_index := 30; when "0000000000000000000000000000000010000000000000000000000000000000" => var_ms_strb_index := 31; when "0000000000000000000000000000000100000000000000000000000000000000" => var_ms_strb_index := 32; when "0000000000000000000000000000001000000000000000000000000000000000" => var_ms_strb_index := 33; when "0000000000000000000000000000010000000000000000000000000000000000" => var_ms_strb_index := 34; when "0000000000000000000000000000100000000000000000000000000000000000" => var_ms_strb_index := 35; when "0000000000000000000000000001000000000000000000000000000000000000" => var_ms_strb_index := 36; when "0000000000000000000000000010000000000000000000000000000000000000" => var_ms_strb_index := 37; when "0000000000000000000000000100000000000000000000000000000000000000" => var_ms_strb_index := 38; when "0000000000000000000000001000000000000000000000000000000000000000" => var_ms_strb_index := 39; when "0000000000000000000000010000000000000000000000000000000000000000" => var_ms_strb_index := 40; when "0000000000000000000000100000000000000000000000000000000000000000" => var_ms_strb_index := 41; when "0000000000000000000001000000000000000000000000000000000000000000" => var_ms_strb_index := 42; when "0000000000000000000010000000000000000000000000000000000000000000" => var_ms_strb_index := 43; when "0000000000000000000100000000000000000000000000000000000000000000" => var_ms_strb_index := 44; when "0000000000000000001000000000000000000000000000000000000000000000" => var_ms_strb_index := 45; when "0000000000000000010000000000000000000000000000000000000000000000" => var_ms_strb_index := 46; when "0000000000000000100000000000000000000000000000000000000000000000" => var_ms_strb_index := 47; when "0000000000000001000000000000000000000000000000000000000000000000" => var_ms_strb_index := 48; when "0000000000000010000000000000000000000000000000000000000000000000" => var_ms_strb_index := 49; when "0000000000000100000000000000000000000000000000000000000000000000" => var_ms_strb_index := 50; when "0000000000001000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 51; when "0000000000010000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 52; when "0000000000100000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 53; when "0000000001000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 54; when "0000000010000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 55; when "0000000100000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 56; when "0000001000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 57; when "0000010000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 58; when "0000100000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 59; when "0001000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 60; when "0010000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 61; when "0100000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 62; when "1000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 63; when others => var_ms_strb_index := 64; end case; Return (var_ms_strb_index); end function get_ms_index_64; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_128 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 64-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_128 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(127 downto 0); begin var_strb_value := input_strobe(127 downto 0); case var_strb_value is when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001" => var_ms_strb_index := 0; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010" => var_ms_strb_index := 1; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100" => var_ms_strb_index := 2; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000" => var_ms_strb_index := 3; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000" => var_ms_strb_index := 4; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000" => var_ms_strb_index := 5; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000" => var_ms_strb_index := 6; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000" => var_ms_strb_index := 7; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000" => var_ms_strb_index := 8; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000" => var_ms_strb_index := 9; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000" => var_ms_strb_index := 10; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000" => var_ms_strb_index := 11; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000" => var_ms_strb_index := 12; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000" => var_ms_strb_index := 13; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000" => var_ms_strb_index := 14; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000" => var_ms_strb_index := 15; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000" => var_ms_strb_index := 16; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000" => var_ms_strb_index := 17; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000" => var_ms_strb_index := 18; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000" => var_ms_strb_index := 19; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000" => var_ms_strb_index := 20; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000" => var_ms_strb_index := 21; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000" => var_ms_strb_index := 22; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000" => var_ms_strb_index := 23; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000" => var_ms_strb_index := 24; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000" => var_ms_strb_index := 25; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000" => var_ms_strb_index := 26; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000" => var_ms_strb_index := 27; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000" => var_ms_strb_index := 28; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000" => var_ms_strb_index := 29; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000" => var_ms_strb_index := 30; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000" => var_ms_strb_index := 31; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000" => var_ms_strb_index := 32; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000" => var_ms_strb_index := 33; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000" => var_ms_strb_index := 34; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000" => var_ms_strb_index := 35; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000" => var_ms_strb_index := 36; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000" => var_ms_strb_index := 37; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000" => var_ms_strb_index := 38; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000" => var_ms_strb_index := 39; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000" => var_ms_strb_index := 40; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000" => var_ms_strb_index := 41; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000" => var_ms_strb_index := 42; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000" => var_ms_strb_index := 43; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000" => var_ms_strb_index := 44; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000" => var_ms_strb_index := 45; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000" => var_ms_strb_index := 46; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000" => var_ms_strb_index := 47; when "00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000" => var_ms_strb_index := 48; when "00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000" => var_ms_strb_index := 49; when "00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000" => var_ms_strb_index := 50; when "00000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 51; when "00000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 52; when "00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 53; when "00000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 54; when "00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 55; when "00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 56; when "00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 57; when "00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 58; when "00000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 59; when "00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 60; when "00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 61; when "00000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 62; when "00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 63; when "00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 64; when "00000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 65; when "00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 66; when "00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 67; when "00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 68; when "00000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 69; when "00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 70; when "00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 71; when "00000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 72; when "00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 73; when "00000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 74; when "00000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 75; when "00000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 76; when "00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 77; when "00000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 78; when "00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 79; when "00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 80; when "00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 81; when "00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 82; when "00000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 83; when "00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 84; when "00000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 85; when "00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 86; when "00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 87; when "00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 88; when "00000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 89; when "00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 90; when "00000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 91; when "00000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 92; when "00000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 93; when "00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 94; when "00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 95; when "00000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 96; when "00000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 97; when "00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 98; when "00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 99; when "00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 100; when "00000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 101; when "00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 102; when "00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 103; when "00000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 104; when "00000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 105; when "00000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 106; when "00000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 107; when "00000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 108; when "00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 109; when "00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 110; when "00000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 111; when "00000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 112; when "00000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 113; when "00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 114; when "00000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 115; when "00000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 116; when "00000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 117; when "00000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 118; when "00000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 119; when "00000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 120; when "00000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 121; when "00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 122; when "00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 123; when "00010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 124; when "00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 125; when "01000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 126; when "10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 127; when others => var_ms_strb_index := 128; end case; Return (var_ms_strb_index); end function get_ms_index_128; -- Constants Constant ERROR_INDEX : natural := C_STRB_WIDTH; Constant TEMP_NAT_MAX : natural := 255; -- allows for a 0 to 255 strobe index value Constant TEMP_UN_WIDTH : natural := 8; -- 8 bits allows for a 0 to 255 index value -- Signals signal sig_input_stbs : std_logic_vector(C_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_ms_asserted_index_un : unsigned(C_INDEX_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_error : std_logic := '0'; signal sig_temp_ms_index_un : unsigned(TEMP_UN_WIDTH-1 downto 0) := (others => '0'); signal sig_temp_ms_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin --(architecture implementation) -- Assign the ms asserted strobe value ms_strb_index <= STD_LOGIC_VECTOR(sig_ms_asserted_index_un); -- Assign the input strobe sig_input_stbs <= strbs_in ; -- assign input strobes -- Assign the strobe eror output strb_error <= sig_strb_error ; -- assign the strobe error output -- Rip the valid index bits sig_ms_asserted_index_un <= sig_temp_ms_index_un(C_INDEX_WIDTH-1 downto 0); -- Assert the Strobe Error output if an out of range index is returned sig_temp_ms_index_nat <= TO_INTEGER(sig_ms_asserted_index_un) ; sig_strb_error <= '1' When (sig_temp_ms_index_nat >= ERROR_INDEX) else '0'; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_1BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 1-bit strobe width case. -- -- ------------------------------------------------------------ GEN_1BIT_CASE : if (C_STRB_WIDTH = 1) generate begin sig_temp_ms_index_un <= TO_UNSIGNED( 0, TEMP_UN_WIDTH); end generate GEN_1BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_2BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 2-bit strobe width case. -- -- ------------------------------------------------------------ GEN_2BIT_CASE : if (C_STRB_WIDTH = 2) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin lsig_ms_asserted_index_nat <= get_ms_index_2(sig_input_stbs); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_2BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_4BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 4-bit strobe width case. -- ------------------------------------------------------------ GEN_4BIT_CASE : if (C_STRB_WIDTH = 4) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin lsig_ms_asserted_index_nat <= get_ms_index_4(sig_input_stbs); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_4BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_8BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 8-bit strobe width case. -- ------------------------------------------------------------ GEN_8BIT_CASE : if (C_STRB_WIDTH = 8) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_8(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_8BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_16BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 16-bit strobe width case. -- -- ------------------------------------------------------------ GEN_16BIT_CASE : if (C_STRB_WIDTH = 16) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_16(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_16BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_32BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 32-bit strobe width case. -- ------------------------------------------------------------ GEN_32BIT_CASE : if (C_STRB_WIDTH = 32) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_32(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_32BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_64BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 64-bit strobe width case. -- ------------------------------------------------------------ GEN_64BIT_CASE : if (C_STRB_WIDTH = 64) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_64(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_64BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_128BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 128-bit strobe width case. -- ------------------------------------------------------------ GEN_128BIT_CASE : if (C_STRB_WIDTH = 128) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_128(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_128BIT_CASE; end implementation;
------------------------------------------------------------------------------- -- axi_datamover_ms_strb_set.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_ms_strb_set.vhd -- -- Description: -- This module implements a function to detect the most significant strobe -- bit asserted and outputs the index value of that strobe bit. It can only -- be used in applications where the asserted strobe bits are contiguous and -- always asserted from LS to MS bit positions, -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity axi_datamover_ms_strb_set is generic ( C_STRB_WIDTH : Integer := 8; -- Sets the width of the input strobe port C_INDEX_WIDTH : Integer := 3 -- Sets the width of the ms_strb_index output port -- Should be log2(C_STRB_WIDTH) ); port ( -- Input strobe value ------------------------------------------------ strbs_in : in std_logic_vector(C_STRB_WIDTH-1 downto 0); -- ---------------------------------------------------------------------- -- Specifies the index of the most significant strobe set ------------ ms_strb_index : out std_logic_vector(C_INDEX_WIDTH-1 downto 0); -- ---------------------------------------------------------------------- -- Invalid strobe input Indcation ------------------------------------ strb_error : Out std_logic -- -- Indicates an error with the strobe input, either a hole in the -- -- asserted strobes or not asserted from LS bits upwards. -- ---------------------------------------------------------------------- ); end entity axi_datamover_ms_strb_set; architecture implementation of axi_datamover_ms_strb_set is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_2 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 2-bit wide strobe value. -- ------------------------------------------------------------------- function get_ms_index_2 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(1 downto 0); begin var_strb_value := input_strobe(1 downto 0); case var_strb_value is when "01" => var_ms_strb_index := 0; when "11" | "10" => var_ms_strb_index := 1; when others => var_ms_strb_index := 2; end case; Return (var_ms_strb_index); end function get_ms_index_2; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_4 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 4-bit wide strobe value. -- ------------------------------------------------------------------- function get_ms_index_4 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(3 downto 0); begin var_strb_value := input_strobe(3 downto 0); case var_strb_value is when "0001" => var_ms_strb_index := 0; when "0011" | "0010" => var_ms_strb_index := 1; when "0111" | "0110" | "0100" => var_ms_strb_index := 2; when "1111" | "1110" | "1100" | "1000"=> var_ms_strb_index := 3; when others => var_ms_strb_index := 4; end case; Return (var_ms_strb_index); end function get_ms_index_4; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_8 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 8-bit wide strobe value. -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_8 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(7 downto 0); begin var_strb_value := input_strobe(7 downto 0); case var_strb_value is when "00000001" => var_ms_strb_index := 0; when "00000010" => var_ms_strb_index := 1; when "00000100" => var_ms_strb_index := 2; when "00001000" => var_ms_strb_index := 3; when "00010000" => var_ms_strb_index := 4; when "00100000" => var_ms_strb_index := 5; when "01000000" => var_ms_strb_index := 6; when "10000000" => var_ms_strb_index := 7; when others => var_ms_strb_index := 8; end case; Return (var_ms_strb_index); end function get_ms_index_8; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_16 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 16-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_16 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(15 downto 0); begin var_strb_value := input_strobe(15 downto 0); case var_strb_value is when "0000000000000001" => var_ms_strb_index := 0; when "0000000000000010" => var_ms_strb_index := 1; when "0000000000000100" => var_ms_strb_index := 2; when "0000000000001000" => var_ms_strb_index := 3; when "0000000000010000" => var_ms_strb_index := 4; when "0000000000100000" => var_ms_strb_index := 5; when "0000000001000000" => var_ms_strb_index := 6; when "0000000010000000" => var_ms_strb_index := 7; when "0000000100000000" => var_ms_strb_index := 8; when "0000001000000000" => var_ms_strb_index := 9; when "0000010000000000" => var_ms_strb_index := 10; when "0000100000000000" => var_ms_strb_index := 11; when "0001000000000000" => var_ms_strb_index := 12; when "0010000000000000" => var_ms_strb_index := 13; when "0100000000000000" => var_ms_strb_index := 14; when "1000000000000000" => var_ms_strb_index := 15; when others => var_ms_strb_index := 16; end case; Return (var_ms_strb_index); end function get_ms_index_16; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_32 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 32-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_32 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(31 downto 0); begin var_strb_value := input_strobe(31 downto 0); case var_strb_value is when "00000000000000000000000000000001" => var_ms_strb_index := 0; when "00000000000000000000000000000010" => var_ms_strb_index := 1; when "00000000000000000000000000000100" => var_ms_strb_index := 2; when "00000000000000000000000000001000" => var_ms_strb_index := 3; when "00000000000000000000000000010000" => var_ms_strb_index := 4; when "00000000000000000000000000100000" => var_ms_strb_index := 5; when "00000000000000000000000001000000" => var_ms_strb_index := 6; when "00000000000000000000000010000000" => var_ms_strb_index := 7; when "00000000000000000000000100000000" => var_ms_strb_index := 8; when "00000000000000000000001000000000" => var_ms_strb_index := 9; when "00000000000000000000010000000000" => var_ms_strb_index := 10; when "00000000000000000000100000000000" => var_ms_strb_index := 11; when "00000000000000000001000000000000" => var_ms_strb_index := 12; when "00000000000000000010000000000000" => var_ms_strb_index := 13; when "00000000000000000100000000000000" => var_ms_strb_index := 14; when "00000000000000001000000000000000" => var_ms_strb_index := 15; when "00000000000000010000000000000000" => var_ms_strb_index := 16; when "00000000000000100000000000000000" => var_ms_strb_index := 17; when "00000000000001000000000000000000" => var_ms_strb_index := 18; when "00000000000010000000000000000000" => var_ms_strb_index := 19; when "00000000000100000000000000000000" => var_ms_strb_index := 20; when "00000000001000000000000000000000" => var_ms_strb_index := 21; when "00000000010000000000000000000000" => var_ms_strb_index := 22; when "00000000100000000000000000000000" => var_ms_strb_index := 23; when "00000001000000000000000000000000" => var_ms_strb_index := 24; when "00000010000000000000000000000000" => var_ms_strb_index := 25; when "00000100000000000000000000000000" => var_ms_strb_index := 26; when "00001000000000000000000000000000" => var_ms_strb_index := 27; when "00010000000000000000000000000000" => var_ms_strb_index := 28; when "00100000000000000000000000000000" => var_ms_strb_index := 29; when "01000000000000000000000000000000" => var_ms_strb_index := 30; when "10000000000000000000000000000000" => var_ms_strb_index := 31; when others => var_ms_strb_index := 32; end case; Return (var_ms_strb_index); end function get_ms_index_32; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_64 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 64-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_64 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(63 downto 0); begin var_strb_value := input_strobe(63 downto 0); case var_strb_value is when "0000000000000000000000000000000000000000000000000000000000000001" => var_ms_strb_index := 0; when "0000000000000000000000000000000000000000000000000000000000000010" => var_ms_strb_index := 1; when "0000000000000000000000000000000000000000000000000000000000000100" => var_ms_strb_index := 2; when "0000000000000000000000000000000000000000000000000000000000001000" => var_ms_strb_index := 3; when "0000000000000000000000000000000000000000000000000000000000010000" => var_ms_strb_index := 4; when "0000000000000000000000000000000000000000000000000000000000100000" => var_ms_strb_index := 5; when "0000000000000000000000000000000000000000000000000000000001000000" => var_ms_strb_index := 6; when "0000000000000000000000000000000000000000000000000000000010000000" => var_ms_strb_index := 7; when "0000000000000000000000000000000000000000000000000000000100000000" => var_ms_strb_index := 8; when "0000000000000000000000000000000000000000000000000000001000000000" => var_ms_strb_index := 9; when "0000000000000000000000000000000000000000000000000000010000000000" => var_ms_strb_index := 10; when "0000000000000000000000000000000000000000000000000000100000000000" => var_ms_strb_index := 11; when "0000000000000000000000000000000000000000000000000001000000000000" => var_ms_strb_index := 12; when "0000000000000000000000000000000000000000000000000010000000000000" => var_ms_strb_index := 13; when "0000000000000000000000000000000000000000000000000100000000000000" => var_ms_strb_index := 14; when "0000000000000000000000000000000000000000000000001000000000000000" => var_ms_strb_index := 15; when "0000000000000000000000000000000000000000000000010000000000000000" => var_ms_strb_index := 16; when "0000000000000000000000000000000000000000000000100000000000000000" => var_ms_strb_index := 17; when "0000000000000000000000000000000000000000000001000000000000000000" => var_ms_strb_index := 18; when "0000000000000000000000000000000000000000000010000000000000000000" => var_ms_strb_index := 19; when "0000000000000000000000000000000000000000000100000000000000000000" => var_ms_strb_index := 20; when "0000000000000000000000000000000000000000001000000000000000000000" => var_ms_strb_index := 21; when "0000000000000000000000000000000000000000010000000000000000000000" => var_ms_strb_index := 22; when "0000000000000000000000000000000000000000100000000000000000000000" => var_ms_strb_index := 23; when "0000000000000000000000000000000000000001000000000000000000000000" => var_ms_strb_index := 24; when "0000000000000000000000000000000000000010000000000000000000000000" => var_ms_strb_index := 25; when "0000000000000000000000000000000000000100000000000000000000000000" => var_ms_strb_index := 26; when "0000000000000000000000000000000000001000000000000000000000000000" => var_ms_strb_index := 27; when "0000000000000000000000000000000000010000000000000000000000000000" => var_ms_strb_index := 28; when "0000000000000000000000000000000000100000000000000000000000000000" => var_ms_strb_index := 29; when "0000000000000000000000000000000001000000000000000000000000000000" => var_ms_strb_index := 30; when "0000000000000000000000000000000010000000000000000000000000000000" => var_ms_strb_index := 31; when "0000000000000000000000000000000100000000000000000000000000000000" => var_ms_strb_index := 32; when "0000000000000000000000000000001000000000000000000000000000000000" => var_ms_strb_index := 33; when "0000000000000000000000000000010000000000000000000000000000000000" => var_ms_strb_index := 34; when "0000000000000000000000000000100000000000000000000000000000000000" => var_ms_strb_index := 35; when "0000000000000000000000000001000000000000000000000000000000000000" => var_ms_strb_index := 36; when "0000000000000000000000000010000000000000000000000000000000000000" => var_ms_strb_index := 37; when "0000000000000000000000000100000000000000000000000000000000000000" => var_ms_strb_index := 38; when "0000000000000000000000001000000000000000000000000000000000000000" => var_ms_strb_index := 39; when "0000000000000000000000010000000000000000000000000000000000000000" => var_ms_strb_index := 40; when "0000000000000000000000100000000000000000000000000000000000000000" => var_ms_strb_index := 41; when "0000000000000000000001000000000000000000000000000000000000000000" => var_ms_strb_index := 42; when "0000000000000000000010000000000000000000000000000000000000000000" => var_ms_strb_index := 43; when "0000000000000000000100000000000000000000000000000000000000000000" => var_ms_strb_index := 44; when "0000000000000000001000000000000000000000000000000000000000000000" => var_ms_strb_index := 45; when "0000000000000000010000000000000000000000000000000000000000000000" => var_ms_strb_index := 46; when "0000000000000000100000000000000000000000000000000000000000000000" => var_ms_strb_index := 47; when "0000000000000001000000000000000000000000000000000000000000000000" => var_ms_strb_index := 48; when "0000000000000010000000000000000000000000000000000000000000000000" => var_ms_strb_index := 49; when "0000000000000100000000000000000000000000000000000000000000000000" => var_ms_strb_index := 50; when "0000000000001000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 51; when "0000000000010000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 52; when "0000000000100000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 53; when "0000000001000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 54; when "0000000010000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 55; when "0000000100000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 56; when "0000001000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 57; when "0000010000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 58; when "0000100000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 59; when "0001000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 60; when "0010000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 61; when "0100000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 62; when "1000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 63; when others => var_ms_strb_index := 64; end case; Return (var_ms_strb_index); end function get_ms_index_64; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_128 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 64-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_128 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(127 downto 0); begin var_strb_value := input_strobe(127 downto 0); case var_strb_value is when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001" => var_ms_strb_index := 0; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010" => var_ms_strb_index := 1; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100" => var_ms_strb_index := 2; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000" => var_ms_strb_index := 3; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000" => var_ms_strb_index := 4; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000" => var_ms_strb_index := 5; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000" => var_ms_strb_index := 6; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000" => var_ms_strb_index := 7; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000" => var_ms_strb_index := 8; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000" => var_ms_strb_index := 9; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000" => var_ms_strb_index := 10; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000" => var_ms_strb_index := 11; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000" => var_ms_strb_index := 12; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000" => var_ms_strb_index := 13; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000" => var_ms_strb_index := 14; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000" => var_ms_strb_index := 15; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000" => var_ms_strb_index := 16; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000" => var_ms_strb_index := 17; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000" => var_ms_strb_index := 18; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000" => var_ms_strb_index := 19; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000" => var_ms_strb_index := 20; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000" => var_ms_strb_index := 21; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000" => var_ms_strb_index := 22; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000" => var_ms_strb_index := 23; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000" => var_ms_strb_index := 24; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000" => var_ms_strb_index := 25; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000" => var_ms_strb_index := 26; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000" => var_ms_strb_index := 27; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000" => var_ms_strb_index := 28; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000" => var_ms_strb_index := 29; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000" => var_ms_strb_index := 30; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000" => var_ms_strb_index := 31; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000" => var_ms_strb_index := 32; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000" => var_ms_strb_index := 33; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000" => var_ms_strb_index := 34; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000" => var_ms_strb_index := 35; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000" => var_ms_strb_index := 36; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000" => var_ms_strb_index := 37; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000" => var_ms_strb_index := 38; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000" => var_ms_strb_index := 39; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000" => var_ms_strb_index := 40; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000" => var_ms_strb_index := 41; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000" => var_ms_strb_index := 42; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000" => var_ms_strb_index := 43; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000" => var_ms_strb_index := 44; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000" => var_ms_strb_index := 45; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000" => var_ms_strb_index := 46; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000" => var_ms_strb_index := 47; when "00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000" => var_ms_strb_index := 48; when "00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000" => var_ms_strb_index := 49; when "00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000" => var_ms_strb_index := 50; when "00000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 51; when "00000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 52; when "00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 53; when "00000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 54; when "00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 55; when "00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 56; when "00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 57; when "00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 58; when "00000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 59; when "00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 60; when "00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 61; when "00000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 62; when "00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 63; when "00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 64; when "00000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 65; when "00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 66; when "00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 67; when "00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 68; when "00000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 69; when "00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 70; when "00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 71; when "00000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 72; when "00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 73; when "00000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 74; when "00000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 75; when "00000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 76; when "00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 77; when "00000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 78; when "00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 79; when "00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 80; when "00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 81; when "00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 82; when "00000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 83; when "00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 84; when "00000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 85; when "00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 86; when "00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 87; when "00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 88; when "00000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 89; when "00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 90; when "00000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 91; when "00000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 92; when "00000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 93; when "00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 94; when "00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 95; when "00000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 96; when "00000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 97; when "00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 98; when "00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 99; when "00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 100; when "00000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 101; when "00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 102; when "00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 103; when "00000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 104; when "00000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 105; when "00000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 106; when "00000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 107; when "00000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 108; when "00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 109; when "00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 110; when "00000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 111; when "00000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 112; when "00000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 113; when "00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 114; when "00000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 115; when "00000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 116; when "00000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 117; when "00000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 118; when "00000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 119; when "00000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 120; when "00000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 121; when "00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 122; when "00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 123; when "00010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 124; when "00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 125; when "01000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 126; when "10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 127; when others => var_ms_strb_index := 128; end case; Return (var_ms_strb_index); end function get_ms_index_128; -- Constants Constant ERROR_INDEX : natural := C_STRB_WIDTH; Constant TEMP_NAT_MAX : natural := 255; -- allows for a 0 to 255 strobe index value Constant TEMP_UN_WIDTH : natural := 8; -- 8 bits allows for a 0 to 255 index value -- Signals signal sig_input_stbs : std_logic_vector(C_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_ms_asserted_index_un : unsigned(C_INDEX_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_error : std_logic := '0'; signal sig_temp_ms_index_un : unsigned(TEMP_UN_WIDTH-1 downto 0) := (others => '0'); signal sig_temp_ms_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin --(architecture implementation) -- Assign the ms asserted strobe value ms_strb_index <= STD_LOGIC_VECTOR(sig_ms_asserted_index_un); -- Assign the input strobe sig_input_stbs <= strbs_in ; -- assign input strobes -- Assign the strobe eror output strb_error <= sig_strb_error ; -- assign the strobe error output -- Rip the valid index bits sig_ms_asserted_index_un <= sig_temp_ms_index_un(C_INDEX_WIDTH-1 downto 0); -- Assert the Strobe Error output if an out of range index is returned sig_temp_ms_index_nat <= TO_INTEGER(sig_ms_asserted_index_un) ; sig_strb_error <= '1' When (sig_temp_ms_index_nat >= ERROR_INDEX) else '0'; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_1BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 1-bit strobe width case. -- -- ------------------------------------------------------------ GEN_1BIT_CASE : if (C_STRB_WIDTH = 1) generate begin sig_temp_ms_index_un <= TO_UNSIGNED( 0, TEMP_UN_WIDTH); end generate GEN_1BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_2BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 2-bit strobe width case. -- -- ------------------------------------------------------------ GEN_2BIT_CASE : if (C_STRB_WIDTH = 2) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin lsig_ms_asserted_index_nat <= get_ms_index_2(sig_input_stbs); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_2BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_4BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 4-bit strobe width case. -- ------------------------------------------------------------ GEN_4BIT_CASE : if (C_STRB_WIDTH = 4) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin lsig_ms_asserted_index_nat <= get_ms_index_4(sig_input_stbs); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_4BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_8BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 8-bit strobe width case. -- ------------------------------------------------------------ GEN_8BIT_CASE : if (C_STRB_WIDTH = 8) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_8(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_8BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_16BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 16-bit strobe width case. -- -- ------------------------------------------------------------ GEN_16BIT_CASE : if (C_STRB_WIDTH = 16) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_16(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_16BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_32BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 32-bit strobe width case. -- ------------------------------------------------------------ GEN_32BIT_CASE : if (C_STRB_WIDTH = 32) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_32(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_32BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_64BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 64-bit strobe width case. -- ------------------------------------------------------------ GEN_64BIT_CASE : if (C_STRB_WIDTH = 64) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_64(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_64BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_128BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 128-bit strobe width case. -- ------------------------------------------------------------ GEN_128BIT_CASE : if (C_STRB_WIDTH = 128) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_128(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_128BIT_CASE; end implementation;
------------------------------------------------------------------------------- -- axi_datamover_ms_strb_set.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_ms_strb_set.vhd -- -- Description: -- This module implements a function to detect the most significant strobe -- bit asserted and outputs the index value of that strobe bit. It can only -- be used in applications where the asserted strobe bits are contiguous and -- always asserted from LS to MS bit positions, -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity axi_datamover_ms_strb_set is generic ( C_STRB_WIDTH : Integer := 8; -- Sets the width of the input strobe port C_INDEX_WIDTH : Integer := 3 -- Sets the width of the ms_strb_index output port -- Should be log2(C_STRB_WIDTH) ); port ( -- Input strobe value ------------------------------------------------ strbs_in : in std_logic_vector(C_STRB_WIDTH-1 downto 0); -- ---------------------------------------------------------------------- -- Specifies the index of the most significant strobe set ------------ ms_strb_index : out std_logic_vector(C_INDEX_WIDTH-1 downto 0); -- ---------------------------------------------------------------------- -- Invalid strobe input Indcation ------------------------------------ strb_error : Out std_logic -- -- Indicates an error with the strobe input, either a hole in the -- -- asserted strobes or not asserted from LS bits upwards. -- ---------------------------------------------------------------------- ); end entity axi_datamover_ms_strb_set; architecture implementation of axi_datamover_ms_strb_set is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_2 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 2-bit wide strobe value. -- ------------------------------------------------------------------- function get_ms_index_2 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(1 downto 0); begin var_strb_value := input_strobe(1 downto 0); case var_strb_value is when "01" => var_ms_strb_index := 0; when "11" | "10" => var_ms_strb_index := 1; when others => var_ms_strb_index := 2; end case; Return (var_ms_strb_index); end function get_ms_index_2; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_4 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 4-bit wide strobe value. -- ------------------------------------------------------------------- function get_ms_index_4 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(3 downto 0); begin var_strb_value := input_strobe(3 downto 0); case var_strb_value is when "0001" => var_ms_strb_index := 0; when "0011" | "0010" => var_ms_strb_index := 1; when "0111" | "0110" | "0100" => var_ms_strb_index := 2; when "1111" | "1110" | "1100" | "1000"=> var_ms_strb_index := 3; when others => var_ms_strb_index := 4; end case; Return (var_ms_strb_index); end function get_ms_index_4; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_8 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 8-bit wide strobe value. -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_8 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(7 downto 0); begin var_strb_value := input_strobe(7 downto 0); case var_strb_value is when "00000001" => var_ms_strb_index := 0; when "00000010" => var_ms_strb_index := 1; when "00000100" => var_ms_strb_index := 2; when "00001000" => var_ms_strb_index := 3; when "00010000" => var_ms_strb_index := 4; when "00100000" => var_ms_strb_index := 5; when "01000000" => var_ms_strb_index := 6; when "10000000" => var_ms_strb_index := 7; when others => var_ms_strb_index := 8; end case; Return (var_ms_strb_index); end function get_ms_index_8; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_16 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 16-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_16 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(15 downto 0); begin var_strb_value := input_strobe(15 downto 0); case var_strb_value is when "0000000000000001" => var_ms_strb_index := 0; when "0000000000000010" => var_ms_strb_index := 1; when "0000000000000100" => var_ms_strb_index := 2; when "0000000000001000" => var_ms_strb_index := 3; when "0000000000010000" => var_ms_strb_index := 4; when "0000000000100000" => var_ms_strb_index := 5; when "0000000001000000" => var_ms_strb_index := 6; when "0000000010000000" => var_ms_strb_index := 7; when "0000000100000000" => var_ms_strb_index := 8; when "0000001000000000" => var_ms_strb_index := 9; when "0000010000000000" => var_ms_strb_index := 10; when "0000100000000000" => var_ms_strb_index := 11; when "0001000000000000" => var_ms_strb_index := 12; when "0010000000000000" => var_ms_strb_index := 13; when "0100000000000000" => var_ms_strb_index := 14; when "1000000000000000" => var_ms_strb_index := 15; when others => var_ms_strb_index := 16; end case; Return (var_ms_strb_index); end function get_ms_index_16; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_32 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 32-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_32 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(31 downto 0); begin var_strb_value := input_strobe(31 downto 0); case var_strb_value is when "00000000000000000000000000000001" => var_ms_strb_index := 0; when "00000000000000000000000000000010" => var_ms_strb_index := 1; when "00000000000000000000000000000100" => var_ms_strb_index := 2; when "00000000000000000000000000001000" => var_ms_strb_index := 3; when "00000000000000000000000000010000" => var_ms_strb_index := 4; when "00000000000000000000000000100000" => var_ms_strb_index := 5; when "00000000000000000000000001000000" => var_ms_strb_index := 6; when "00000000000000000000000010000000" => var_ms_strb_index := 7; when "00000000000000000000000100000000" => var_ms_strb_index := 8; when "00000000000000000000001000000000" => var_ms_strb_index := 9; when "00000000000000000000010000000000" => var_ms_strb_index := 10; when "00000000000000000000100000000000" => var_ms_strb_index := 11; when "00000000000000000001000000000000" => var_ms_strb_index := 12; when "00000000000000000010000000000000" => var_ms_strb_index := 13; when "00000000000000000100000000000000" => var_ms_strb_index := 14; when "00000000000000001000000000000000" => var_ms_strb_index := 15; when "00000000000000010000000000000000" => var_ms_strb_index := 16; when "00000000000000100000000000000000" => var_ms_strb_index := 17; when "00000000000001000000000000000000" => var_ms_strb_index := 18; when "00000000000010000000000000000000" => var_ms_strb_index := 19; when "00000000000100000000000000000000" => var_ms_strb_index := 20; when "00000000001000000000000000000000" => var_ms_strb_index := 21; when "00000000010000000000000000000000" => var_ms_strb_index := 22; when "00000000100000000000000000000000" => var_ms_strb_index := 23; when "00000001000000000000000000000000" => var_ms_strb_index := 24; when "00000010000000000000000000000000" => var_ms_strb_index := 25; when "00000100000000000000000000000000" => var_ms_strb_index := 26; when "00001000000000000000000000000000" => var_ms_strb_index := 27; when "00010000000000000000000000000000" => var_ms_strb_index := 28; when "00100000000000000000000000000000" => var_ms_strb_index := 29; when "01000000000000000000000000000000" => var_ms_strb_index := 30; when "10000000000000000000000000000000" => var_ms_strb_index := 31; when others => var_ms_strb_index := 32; end case; Return (var_ms_strb_index); end function get_ms_index_32; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_64 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 64-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_64 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(63 downto 0); begin var_strb_value := input_strobe(63 downto 0); case var_strb_value is when "0000000000000000000000000000000000000000000000000000000000000001" => var_ms_strb_index := 0; when "0000000000000000000000000000000000000000000000000000000000000010" => var_ms_strb_index := 1; when "0000000000000000000000000000000000000000000000000000000000000100" => var_ms_strb_index := 2; when "0000000000000000000000000000000000000000000000000000000000001000" => var_ms_strb_index := 3; when "0000000000000000000000000000000000000000000000000000000000010000" => var_ms_strb_index := 4; when "0000000000000000000000000000000000000000000000000000000000100000" => var_ms_strb_index := 5; when "0000000000000000000000000000000000000000000000000000000001000000" => var_ms_strb_index := 6; when "0000000000000000000000000000000000000000000000000000000010000000" => var_ms_strb_index := 7; when "0000000000000000000000000000000000000000000000000000000100000000" => var_ms_strb_index := 8; when "0000000000000000000000000000000000000000000000000000001000000000" => var_ms_strb_index := 9; when "0000000000000000000000000000000000000000000000000000010000000000" => var_ms_strb_index := 10; when "0000000000000000000000000000000000000000000000000000100000000000" => var_ms_strb_index := 11; when "0000000000000000000000000000000000000000000000000001000000000000" => var_ms_strb_index := 12; when "0000000000000000000000000000000000000000000000000010000000000000" => var_ms_strb_index := 13; when "0000000000000000000000000000000000000000000000000100000000000000" => var_ms_strb_index := 14; when "0000000000000000000000000000000000000000000000001000000000000000" => var_ms_strb_index := 15; when "0000000000000000000000000000000000000000000000010000000000000000" => var_ms_strb_index := 16; when "0000000000000000000000000000000000000000000000100000000000000000" => var_ms_strb_index := 17; when "0000000000000000000000000000000000000000000001000000000000000000" => var_ms_strb_index := 18; when "0000000000000000000000000000000000000000000010000000000000000000" => var_ms_strb_index := 19; when "0000000000000000000000000000000000000000000100000000000000000000" => var_ms_strb_index := 20; when "0000000000000000000000000000000000000000001000000000000000000000" => var_ms_strb_index := 21; when "0000000000000000000000000000000000000000010000000000000000000000" => var_ms_strb_index := 22; when "0000000000000000000000000000000000000000100000000000000000000000" => var_ms_strb_index := 23; when "0000000000000000000000000000000000000001000000000000000000000000" => var_ms_strb_index := 24; when "0000000000000000000000000000000000000010000000000000000000000000" => var_ms_strb_index := 25; when "0000000000000000000000000000000000000100000000000000000000000000" => var_ms_strb_index := 26; when "0000000000000000000000000000000000001000000000000000000000000000" => var_ms_strb_index := 27; when "0000000000000000000000000000000000010000000000000000000000000000" => var_ms_strb_index := 28; when "0000000000000000000000000000000000100000000000000000000000000000" => var_ms_strb_index := 29; when "0000000000000000000000000000000001000000000000000000000000000000" => var_ms_strb_index := 30; when "0000000000000000000000000000000010000000000000000000000000000000" => var_ms_strb_index := 31; when "0000000000000000000000000000000100000000000000000000000000000000" => var_ms_strb_index := 32; when "0000000000000000000000000000001000000000000000000000000000000000" => var_ms_strb_index := 33; when "0000000000000000000000000000010000000000000000000000000000000000" => var_ms_strb_index := 34; when "0000000000000000000000000000100000000000000000000000000000000000" => var_ms_strb_index := 35; when "0000000000000000000000000001000000000000000000000000000000000000" => var_ms_strb_index := 36; when "0000000000000000000000000010000000000000000000000000000000000000" => var_ms_strb_index := 37; when "0000000000000000000000000100000000000000000000000000000000000000" => var_ms_strb_index := 38; when "0000000000000000000000001000000000000000000000000000000000000000" => var_ms_strb_index := 39; when "0000000000000000000000010000000000000000000000000000000000000000" => var_ms_strb_index := 40; when "0000000000000000000000100000000000000000000000000000000000000000" => var_ms_strb_index := 41; when "0000000000000000000001000000000000000000000000000000000000000000" => var_ms_strb_index := 42; when "0000000000000000000010000000000000000000000000000000000000000000" => var_ms_strb_index := 43; when "0000000000000000000100000000000000000000000000000000000000000000" => var_ms_strb_index := 44; when "0000000000000000001000000000000000000000000000000000000000000000" => var_ms_strb_index := 45; when "0000000000000000010000000000000000000000000000000000000000000000" => var_ms_strb_index := 46; when "0000000000000000100000000000000000000000000000000000000000000000" => var_ms_strb_index := 47; when "0000000000000001000000000000000000000000000000000000000000000000" => var_ms_strb_index := 48; when "0000000000000010000000000000000000000000000000000000000000000000" => var_ms_strb_index := 49; when "0000000000000100000000000000000000000000000000000000000000000000" => var_ms_strb_index := 50; when "0000000000001000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 51; when "0000000000010000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 52; when "0000000000100000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 53; when "0000000001000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 54; when "0000000010000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 55; when "0000000100000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 56; when "0000001000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 57; when "0000010000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 58; when "0000100000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 59; when "0001000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 60; when "0010000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 61; when "0100000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 62; when "1000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 63; when others => var_ms_strb_index := 64; end case; Return (var_ms_strb_index); end function get_ms_index_64; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_128 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 64-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_128 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(127 downto 0); begin var_strb_value := input_strobe(127 downto 0); case var_strb_value is when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001" => var_ms_strb_index := 0; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010" => var_ms_strb_index := 1; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100" => var_ms_strb_index := 2; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000" => var_ms_strb_index := 3; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000" => var_ms_strb_index := 4; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000" => var_ms_strb_index := 5; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000" => var_ms_strb_index := 6; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000" => var_ms_strb_index := 7; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000" => var_ms_strb_index := 8; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000" => var_ms_strb_index := 9; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000" => var_ms_strb_index := 10; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000" => var_ms_strb_index := 11; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000" => var_ms_strb_index := 12; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000" => var_ms_strb_index := 13; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000" => var_ms_strb_index := 14; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000" => var_ms_strb_index := 15; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000" => var_ms_strb_index := 16; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000" => var_ms_strb_index := 17; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000" => var_ms_strb_index := 18; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000" => var_ms_strb_index := 19; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000" => var_ms_strb_index := 20; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000" => var_ms_strb_index := 21; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000" => var_ms_strb_index := 22; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000" => var_ms_strb_index := 23; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000" => var_ms_strb_index := 24; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000" => var_ms_strb_index := 25; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000" => var_ms_strb_index := 26; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000" => var_ms_strb_index := 27; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000" => var_ms_strb_index := 28; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000" => var_ms_strb_index := 29; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000" => var_ms_strb_index := 30; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000" => var_ms_strb_index := 31; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000" => var_ms_strb_index := 32; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000" => var_ms_strb_index := 33; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000" => var_ms_strb_index := 34; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000" => var_ms_strb_index := 35; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000" => var_ms_strb_index := 36; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000" => var_ms_strb_index := 37; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000" => var_ms_strb_index := 38; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000" => var_ms_strb_index := 39; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000" => var_ms_strb_index := 40; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000" => var_ms_strb_index := 41; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000" => var_ms_strb_index := 42; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000" => var_ms_strb_index := 43; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000" => var_ms_strb_index := 44; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000" => var_ms_strb_index := 45; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000" => var_ms_strb_index := 46; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000" => var_ms_strb_index := 47; when "00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000" => var_ms_strb_index := 48; when "00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000" => var_ms_strb_index := 49; when "00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000" => var_ms_strb_index := 50; when "00000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 51; when "00000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 52; when "00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 53; when "00000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 54; when "00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 55; when "00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 56; when "00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 57; when "00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 58; when "00000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 59; when "00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 60; when "00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 61; when "00000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 62; when "00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 63; when "00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 64; when "00000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 65; when "00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 66; when "00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 67; when "00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 68; when "00000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 69; when "00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 70; when "00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 71; when "00000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 72; when "00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 73; when "00000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 74; when "00000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 75; when "00000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 76; when "00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 77; when "00000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 78; when "00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 79; when "00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 80; when "00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 81; when "00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 82; when "00000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 83; when "00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 84; when "00000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 85; when "00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 86; when "00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 87; when "00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 88; when "00000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 89; when "00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 90; when "00000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 91; when "00000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 92; when "00000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 93; when "00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 94; when "00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 95; when "00000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 96; when "00000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 97; when "00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 98; when "00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 99; when "00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 100; when "00000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 101; when "00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 102; when "00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 103; when "00000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 104; when "00000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 105; when "00000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 106; when "00000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 107; when "00000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 108; when "00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 109; when "00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 110; when "00000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 111; when "00000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 112; when "00000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 113; when "00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 114; when "00000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 115; when "00000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 116; when "00000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 117; when "00000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 118; when "00000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 119; when "00000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 120; when "00000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 121; when "00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 122; when "00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 123; when "00010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 124; when "00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 125; when "01000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 126; when "10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 127; when others => var_ms_strb_index := 128; end case; Return (var_ms_strb_index); end function get_ms_index_128; -- Constants Constant ERROR_INDEX : natural := C_STRB_WIDTH; Constant TEMP_NAT_MAX : natural := 255; -- allows for a 0 to 255 strobe index value Constant TEMP_UN_WIDTH : natural := 8; -- 8 bits allows for a 0 to 255 index value -- Signals signal sig_input_stbs : std_logic_vector(C_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_ms_asserted_index_un : unsigned(C_INDEX_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_error : std_logic := '0'; signal sig_temp_ms_index_un : unsigned(TEMP_UN_WIDTH-1 downto 0) := (others => '0'); signal sig_temp_ms_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin --(architecture implementation) -- Assign the ms asserted strobe value ms_strb_index <= STD_LOGIC_VECTOR(sig_ms_asserted_index_un); -- Assign the input strobe sig_input_stbs <= strbs_in ; -- assign input strobes -- Assign the strobe eror output strb_error <= sig_strb_error ; -- assign the strobe error output -- Rip the valid index bits sig_ms_asserted_index_un <= sig_temp_ms_index_un(C_INDEX_WIDTH-1 downto 0); -- Assert the Strobe Error output if an out of range index is returned sig_temp_ms_index_nat <= TO_INTEGER(sig_ms_asserted_index_un) ; sig_strb_error <= '1' When (sig_temp_ms_index_nat >= ERROR_INDEX) else '0'; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_1BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 1-bit strobe width case. -- -- ------------------------------------------------------------ GEN_1BIT_CASE : if (C_STRB_WIDTH = 1) generate begin sig_temp_ms_index_un <= TO_UNSIGNED( 0, TEMP_UN_WIDTH); end generate GEN_1BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_2BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 2-bit strobe width case. -- -- ------------------------------------------------------------ GEN_2BIT_CASE : if (C_STRB_WIDTH = 2) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin lsig_ms_asserted_index_nat <= get_ms_index_2(sig_input_stbs); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_2BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_4BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 4-bit strobe width case. -- ------------------------------------------------------------ GEN_4BIT_CASE : if (C_STRB_WIDTH = 4) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin lsig_ms_asserted_index_nat <= get_ms_index_4(sig_input_stbs); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_4BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_8BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 8-bit strobe width case. -- ------------------------------------------------------------ GEN_8BIT_CASE : if (C_STRB_WIDTH = 8) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_8(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_8BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_16BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 16-bit strobe width case. -- -- ------------------------------------------------------------ GEN_16BIT_CASE : if (C_STRB_WIDTH = 16) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_16(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_16BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_32BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 32-bit strobe width case. -- ------------------------------------------------------------ GEN_32BIT_CASE : if (C_STRB_WIDTH = 32) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_32(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_32BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_64BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 64-bit strobe width case. -- ------------------------------------------------------------ GEN_64BIT_CASE : if (C_STRB_WIDTH = 64) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_64(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_64BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_128BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 128-bit strobe width case. -- ------------------------------------------------------------ GEN_128BIT_CASE : if (C_STRB_WIDTH = 128) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_128(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_128BIT_CASE; end implementation;
------------------------------------------------------------------------------- -- axi_datamover_ms_strb_set.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_ms_strb_set.vhd -- -- Description: -- This module implements a function to detect the most significant strobe -- bit asserted and outputs the index value of that strobe bit. It can only -- be used in applications where the asserted strobe bits are contiguous and -- always asserted from LS to MS bit positions, -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity axi_datamover_ms_strb_set is generic ( C_STRB_WIDTH : Integer := 8; -- Sets the width of the input strobe port C_INDEX_WIDTH : Integer := 3 -- Sets the width of the ms_strb_index output port -- Should be log2(C_STRB_WIDTH) ); port ( -- Input strobe value ------------------------------------------------ strbs_in : in std_logic_vector(C_STRB_WIDTH-1 downto 0); -- ---------------------------------------------------------------------- -- Specifies the index of the most significant strobe set ------------ ms_strb_index : out std_logic_vector(C_INDEX_WIDTH-1 downto 0); -- ---------------------------------------------------------------------- -- Invalid strobe input Indcation ------------------------------------ strb_error : Out std_logic -- -- Indicates an error with the strobe input, either a hole in the -- -- asserted strobes or not asserted from LS bits upwards. -- ---------------------------------------------------------------------- ); end entity axi_datamover_ms_strb_set; architecture implementation of axi_datamover_ms_strb_set is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_2 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 2-bit wide strobe value. -- ------------------------------------------------------------------- function get_ms_index_2 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(1 downto 0); begin var_strb_value := input_strobe(1 downto 0); case var_strb_value is when "01" => var_ms_strb_index := 0; when "11" | "10" => var_ms_strb_index := 1; when others => var_ms_strb_index := 2; end case; Return (var_ms_strb_index); end function get_ms_index_2; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_4 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 4-bit wide strobe value. -- ------------------------------------------------------------------- function get_ms_index_4 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(3 downto 0); begin var_strb_value := input_strobe(3 downto 0); case var_strb_value is when "0001" => var_ms_strb_index := 0; when "0011" | "0010" => var_ms_strb_index := 1; when "0111" | "0110" | "0100" => var_ms_strb_index := 2; when "1111" | "1110" | "1100" | "1000"=> var_ms_strb_index := 3; when others => var_ms_strb_index := 4; end case; Return (var_ms_strb_index); end function get_ms_index_4; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_8 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 8-bit wide strobe value. -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_8 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(7 downto 0); begin var_strb_value := input_strobe(7 downto 0); case var_strb_value is when "00000001" => var_ms_strb_index := 0; when "00000010" => var_ms_strb_index := 1; when "00000100" => var_ms_strb_index := 2; when "00001000" => var_ms_strb_index := 3; when "00010000" => var_ms_strb_index := 4; when "00100000" => var_ms_strb_index := 5; when "01000000" => var_ms_strb_index := 6; when "10000000" => var_ms_strb_index := 7; when others => var_ms_strb_index := 8; end case; Return (var_ms_strb_index); end function get_ms_index_8; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_16 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 16-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_16 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(15 downto 0); begin var_strb_value := input_strobe(15 downto 0); case var_strb_value is when "0000000000000001" => var_ms_strb_index := 0; when "0000000000000010" => var_ms_strb_index := 1; when "0000000000000100" => var_ms_strb_index := 2; when "0000000000001000" => var_ms_strb_index := 3; when "0000000000010000" => var_ms_strb_index := 4; when "0000000000100000" => var_ms_strb_index := 5; when "0000000001000000" => var_ms_strb_index := 6; when "0000000010000000" => var_ms_strb_index := 7; when "0000000100000000" => var_ms_strb_index := 8; when "0000001000000000" => var_ms_strb_index := 9; when "0000010000000000" => var_ms_strb_index := 10; when "0000100000000000" => var_ms_strb_index := 11; when "0001000000000000" => var_ms_strb_index := 12; when "0010000000000000" => var_ms_strb_index := 13; when "0100000000000000" => var_ms_strb_index := 14; when "1000000000000000" => var_ms_strb_index := 15; when others => var_ms_strb_index := 16; end case; Return (var_ms_strb_index); end function get_ms_index_16; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_32 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 32-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_32 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(31 downto 0); begin var_strb_value := input_strobe(31 downto 0); case var_strb_value is when "00000000000000000000000000000001" => var_ms_strb_index := 0; when "00000000000000000000000000000010" => var_ms_strb_index := 1; when "00000000000000000000000000000100" => var_ms_strb_index := 2; when "00000000000000000000000000001000" => var_ms_strb_index := 3; when "00000000000000000000000000010000" => var_ms_strb_index := 4; when "00000000000000000000000000100000" => var_ms_strb_index := 5; when "00000000000000000000000001000000" => var_ms_strb_index := 6; when "00000000000000000000000010000000" => var_ms_strb_index := 7; when "00000000000000000000000100000000" => var_ms_strb_index := 8; when "00000000000000000000001000000000" => var_ms_strb_index := 9; when "00000000000000000000010000000000" => var_ms_strb_index := 10; when "00000000000000000000100000000000" => var_ms_strb_index := 11; when "00000000000000000001000000000000" => var_ms_strb_index := 12; when "00000000000000000010000000000000" => var_ms_strb_index := 13; when "00000000000000000100000000000000" => var_ms_strb_index := 14; when "00000000000000001000000000000000" => var_ms_strb_index := 15; when "00000000000000010000000000000000" => var_ms_strb_index := 16; when "00000000000000100000000000000000" => var_ms_strb_index := 17; when "00000000000001000000000000000000" => var_ms_strb_index := 18; when "00000000000010000000000000000000" => var_ms_strb_index := 19; when "00000000000100000000000000000000" => var_ms_strb_index := 20; when "00000000001000000000000000000000" => var_ms_strb_index := 21; when "00000000010000000000000000000000" => var_ms_strb_index := 22; when "00000000100000000000000000000000" => var_ms_strb_index := 23; when "00000001000000000000000000000000" => var_ms_strb_index := 24; when "00000010000000000000000000000000" => var_ms_strb_index := 25; when "00000100000000000000000000000000" => var_ms_strb_index := 26; when "00001000000000000000000000000000" => var_ms_strb_index := 27; when "00010000000000000000000000000000" => var_ms_strb_index := 28; when "00100000000000000000000000000000" => var_ms_strb_index := 29; when "01000000000000000000000000000000" => var_ms_strb_index := 30; when "10000000000000000000000000000000" => var_ms_strb_index := 31; when others => var_ms_strb_index := 32; end case; Return (var_ms_strb_index); end function get_ms_index_32; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_64 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 64-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_64 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(63 downto 0); begin var_strb_value := input_strobe(63 downto 0); case var_strb_value is when "0000000000000000000000000000000000000000000000000000000000000001" => var_ms_strb_index := 0; when "0000000000000000000000000000000000000000000000000000000000000010" => var_ms_strb_index := 1; when "0000000000000000000000000000000000000000000000000000000000000100" => var_ms_strb_index := 2; when "0000000000000000000000000000000000000000000000000000000000001000" => var_ms_strb_index := 3; when "0000000000000000000000000000000000000000000000000000000000010000" => var_ms_strb_index := 4; when "0000000000000000000000000000000000000000000000000000000000100000" => var_ms_strb_index := 5; when "0000000000000000000000000000000000000000000000000000000001000000" => var_ms_strb_index := 6; when "0000000000000000000000000000000000000000000000000000000010000000" => var_ms_strb_index := 7; when "0000000000000000000000000000000000000000000000000000000100000000" => var_ms_strb_index := 8; when "0000000000000000000000000000000000000000000000000000001000000000" => var_ms_strb_index := 9; when "0000000000000000000000000000000000000000000000000000010000000000" => var_ms_strb_index := 10; when "0000000000000000000000000000000000000000000000000000100000000000" => var_ms_strb_index := 11; when "0000000000000000000000000000000000000000000000000001000000000000" => var_ms_strb_index := 12; when "0000000000000000000000000000000000000000000000000010000000000000" => var_ms_strb_index := 13; when "0000000000000000000000000000000000000000000000000100000000000000" => var_ms_strb_index := 14; when "0000000000000000000000000000000000000000000000001000000000000000" => var_ms_strb_index := 15; when "0000000000000000000000000000000000000000000000010000000000000000" => var_ms_strb_index := 16; when "0000000000000000000000000000000000000000000000100000000000000000" => var_ms_strb_index := 17; when "0000000000000000000000000000000000000000000001000000000000000000" => var_ms_strb_index := 18; when "0000000000000000000000000000000000000000000010000000000000000000" => var_ms_strb_index := 19; when "0000000000000000000000000000000000000000000100000000000000000000" => var_ms_strb_index := 20; when "0000000000000000000000000000000000000000001000000000000000000000" => var_ms_strb_index := 21; when "0000000000000000000000000000000000000000010000000000000000000000" => var_ms_strb_index := 22; when "0000000000000000000000000000000000000000100000000000000000000000" => var_ms_strb_index := 23; when "0000000000000000000000000000000000000001000000000000000000000000" => var_ms_strb_index := 24; when "0000000000000000000000000000000000000010000000000000000000000000" => var_ms_strb_index := 25; when "0000000000000000000000000000000000000100000000000000000000000000" => var_ms_strb_index := 26; when "0000000000000000000000000000000000001000000000000000000000000000" => var_ms_strb_index := 27; when "0000000000000000000000000000000000010000000000000000000000000000" => var_ms_strb_index := 28; when "0000000000000000000000000000000000100000000000000000000000000000" => var_ms_strb_index := 29; when "0000000000000000000000000000000001000000000000000000000000000000" => var_ms_strb_index := 30; when "0000000000000000000000000000000010000000000000000000000000000000" => var_ms_strb_index := 31; when "0000000000000000000000000000000100000000000000000000000000000000" => var_ms_strb_index := 32; when "0000000000000000000000000000001000000000000000000000000000000000" => var_ms_strb_index := 33; when "0000000000000000000000000000010000000000000000000000000000000000" => var_ms_strb_index := 34; when "0000000000000000000000000000100000000000000000000000000000000000" => var_ms_strb_index := 35; when "0000000000000000000000000001000000000000000000000000000000000000" => var_ms_strb_index := 36; when "0000000000000000000000000010000000000000000000000000000000000000" => var_ms_strb_index := 37; when "0000000000000000000000000100000000000000000000000000000000000000" => var_ms_strb_index := 38; when "0000000000000000000000001000000000000000000000000000000000000000" => var_ms_strb_index := 39; when "0000000000000000000000010000000000000000000000000000000000000000" => var_ms_strb_index := 40; when "0000000000000000000000100000000000000000000000000000000000000000" => var_ms_strb_index := 41; when "0000000000000000000001000000000000000000000000000000000000000000" => var_ms_strb_index := 42; when "0000000000000000000010000000000000000000000000000000000000000000" => var_ms_strb_index := 43; when "0000000000000000000100000000000000000000000000000000000000000000" => var_ms_strb_index := 44; when "0000000000000000001000000000000000000000000000000000000000000000" => var_ms_strb_index := 45; when "0000000000000000010000000000000000000000000000000000000000000000" => var_ms_strb_index := 46; when "0000000000000000100000000000000000000000000000000000000000000000" => var_ms_strb_index := 47; when "0000000000000001000000000000000000000000000000000000000000000000" => var_ms_strb_index := 48; when "0000000000000010000000000000000000000000000000000000000000000000" => var_ms_strb_index := 49; when "0000000000000100000000000000000000000000000000000000000000000000" => var_ms_strb_index := 50; when "0000000000001000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 51; when "0000000000010000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 52; when "0000000000100000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 53; when "0000000001000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 54; when "0000000010000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 55; when "0000000100000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 56; when "0000001000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 57; when "0000010000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 58; when "0000100000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 59; when "0001000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 60; when "0010000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 61; when "0100000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 62; when "1000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 63; when others => var_ms_strb_index := 64; end case; Return (var_ms_strb_index); end function get_ms_index_64; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_128 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 64-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_128 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(127 downto 0); begin var_strb_value := input_strobe(127 downto 0); case var_strb_value is when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001" => var_ms_strb_index := 0; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010" => var_ms_strb_index := 1; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100" => var_ms_strb_index := 2; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000" => var_ms_strb_index := 3; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000" => var_ms_strb_index := 4; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000" => var_ms_strb_index := 5; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000" => var_ms_strb_index := 6; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000" => var_ms_strb_index := 7; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000" => var_ms_strb_index := 8; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000" => var_ms_strb_index := 9; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000" => var_ms_strb_index := 10; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000" => var_ms_strb_index := 11; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000" => var_ms_strb_index := 12; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000" => var_ms_strb_index := 13; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000" => var_ms_strb_index := 14; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000" => var_ms_strb_index := 15; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000" => var_ms_strb_index := 16; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000" => var_ms_strb_index := 17; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000" => var_ms_strb_index := 18; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000" => var_ms_strb_index := 19; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000" => var_ms_strb_index := 20; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000" => var_ms_strb_index := 21; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000" => var_ms_strb_index := 22; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000" => var_ms_strb_index := 23; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000" => var_ms_strb_index := 24; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000" => var_ms_strb_index := 25; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000" => var_ms_strb_index := 26; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000" => var_ms_strb_index := 27; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000" => var_ms_strb_index := 28; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000" => var_ms_strb_index := 29; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000" => var_ms_strb_index := 30; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000" => var_ms_strb_index := 31; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000" => var_ms_strb_index := 32; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000" => var_ms_strb_index := 33; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000" => var_ms_strb_index := 34; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000" => var_ms_strb_index := 35; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000" => var_ms_strb_index := 36; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000" => var_ms_strb_index := 37; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000" => var_ms_strb_index := 38; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000" => var_ms_strb_index := 39; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000" => var_ms_strb_index := 40; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000" => var_ms_strb_index := 41; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000" => var_ms_strb_index := 42; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000" => var_ms_strb_index := 43; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000" => var_ms_strb_index := 44; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000" => var_ms_strb_index := 45; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000" => var_ms_strb_index := 46; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000" => var_ms_strb_index := 47; when "00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000" => var_ms_strb_index := 48; when "00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000" => var_ms_strb_index := 49; when "00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000" => var_ms_strb_index := 50; when "00000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 51; when "00000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 52; when "00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 53; when "00000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 54; when "00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 55; when "00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 56; when "00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 57; when "00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 58; when "00000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 59; when "00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 60; when "00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 61; when "00000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 62; when "00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 63; when "00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 64; when "00000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 65; when "00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 66; when "00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 67; when "00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 68; when "00000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 69; when "00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 70; when "00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 71; when "00000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 72; when "00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 73; when "00000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 74; when "00000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 75; when "00000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 76; when "00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 77; when "00000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 78; when "00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 79; when "00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 80; when "00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 81; when "00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 82; when "00000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 83; when "00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 84; when "00000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 85; when "00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 86; when "00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 87; when "00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 88; when "00000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 89; when "00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 90; when "00000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 91; when "00000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 92; when "00000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 93; when "00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 94; when "00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 95; when "00000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 96; when "00000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 97; when "00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 98; when "00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 99; when "00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 100; when "00000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 101; when "00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 102; when "00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 103; when "00000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 104; when "00000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 105; when "00000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 106; when "00000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 107; when "00000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 108; when "00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 109; when "00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 110; when "00000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 111; when "00000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 112; when "00000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 113; when "00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 114; when "00000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 115; when "00000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 116; when "00000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 117; when "00000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 118; when "00000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 119; when "00000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 120; when "00000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 121; when "00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 122; when "00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 123; when "00010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 124; when "00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 125; when "01000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 126; when "10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 127; when others => var_ms_strb_index := 128; end case; Return (var_ms_strb_index); end function get_ms_index_128; -- Constants Constant ERROR_INDEX : natural := C_STRB_WIDTH; Constant TEMP_NAT_MAX : natural := 255; -- allows for a 0 to 255 strobe index value Constant TEMP_UN_WIDTH : natural := 8; -- 8 bits allows for a 0 to 255 index value -- Signals signal sig_input_stbs : std_logic_vector(C_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_ms_asserted_index_un : unsigned(C_INDEX_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_error : std_logic := '0'; signal sig_temp_ms_index_un : unsigned(TEMP_UN_WIDTH-1 downto 0) := (others => '0'); signal sig_temp_ms_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin --(architecture implementation) -- Assign the ms asserted strobe value ms_strb_index <= STD_LOGIC_VECTOR(sig_ms_asserted_index_un); -- Assign the input strobe sig_input_stbs <= strbs_in ; -- assign input strobes -- Assign the strobe eror output strb_error <= sig_strb_error ; -- assign the strobe error output -- Rip the valid index bits sig_ms_asserted_index_un <= sig_temp_ms_index_un(C_INDEX_WIDTH-1 downto 0); -- Assert the Strobe Error output if an out of range index is returned sig_temp_ms_index_nat <= TO_INTEGER(sig_ms_asserted_index_un) ; sig_strb_error <= '1' When (sig_temp_ms_index_nat >= ERROR_INDEX) else '0'; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_1BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 1-bit strobe width case. -- -- ------------------------------------------------------------ GEN_1BIT_CASE : if (C_STRB_WIDTH = 1) generate begin sig_temp_ms_index_un <= TO_UNSIGNED( 0, TEMP_UN_WIDTH); end generate GEN_1BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_2BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 2-bit strobe width case. -- -- ------------------------------------------------------------ GEN_2BIT_CASE : if (C_STRB_WIDTH = 2) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin lsig_ms_asserted_index_nat <= get_ms_index_2(sig_input_stbs); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_2BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_4BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 4-bit strobe width case. -- ------------------------------------------------------------ GEN_4BIT_CASE : if (C_STRB_WIDTH = 4) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin lsig_ms_asserted_index_nat <= get_ms_index_4(sig_input_stbs); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_4BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_8BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 8-bit strobe width case. -- ------------------------------------------------------------ GEN_8BIT_CASE : if (C_STRB_WIDTH = 8) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_8(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_8BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_16BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 16-bit strobe width case. -- -- ------------------------------------------------------------ GEN_16BIT_CASE : if (C_STRB_WIDTH = 16) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_16(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_16BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_32BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 32-bit strobe width case. -- ------------------------------------------------------------ GEN_32BIT_CASE : if (C_STRB_WIDTH = 32) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_32(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_32BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_64BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 64-bit strobe width case. -- ------------------------------------------------------------ GEN_64BIT_CASE : if (C_STRB_WIDTH = 64) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_64(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_64BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_128BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 128-bit strobe width case. -- ------------------------------------------------------------ GEN_128BIT_CASE : if (C_STRB_WIDTH = 128) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_128(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_128BIT_CASE; end implementation;
------------------------------------------------------------------------------- -- axi_datamover_ms_strb_set.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_ms_strb_set.vhd -- -- Description: -- This module implements a function to detect the most significant strobe -- bit asserted and outputs the index value of that strobe bit. It can only -- be used in applications where the asserted strobe bits are contiguous and -- always asserted from LS to MS bit positions, -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity axi_datamover_ms_strb_set is generic ( C_STRB_WIDTH : Integer := 8; -- Sets the width of the input strobe port C_INDEX_WIDTH : Integer := 3 -- Sets the width of the ms_strb_index output port -- Should be log2(C_STRB_WIDTH) ); port ( -- Input strobe value ------------------------------------------------ strbs_in : in std_logic_vector(C_STRB_WIDTH-1 downto 0); -- ---------------------------------------------------------------------- -- Specifies the index of the most significant strobe set ------------ ms_strb_index : out std_logic_vector(C_INDEX_WIDTH-1 downto 0); -- ---------------------------------------------------------------------- -- Invalid strobe input Indcation ------------------------------------ strb_error : Out std_logic -- -- Indicates an error with the strobe input, either a hole in the -- -- asserted strobes or not asserted from LS bits upwards. -- ---------------------------------------------------------------------- ); end entity axi_datamover_ms_strb_set; architecture implementation of axi_datamover_ms_strb_set is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_2 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 2-bit wide strobe value. -- ------------------------------------------------------------------- function get_ms_index_2 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(1 downto 0); begin var_strb_value := input_strobe(1 downto 0); case var_strb_value is when "01" => var_ms_strb_index := 0; when "11" | "10" => var_ms_strb_index := 1; when others => var_ms_strb_index := 2; end case; Return (var_ms_strb_index); end function get_ms_index_2; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_4 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 4-bit wide strobe value. -- ------------------------------------------------------------------- function get_ms_index_4 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(3 downto 0); begin var_strb_value := input_strobe(3 downto 0); case var_strb_value is when "0001" => var_ms_strb_index := 0; when "0011" | "0010" => var_ms_strb_index := 1; when "0111" | "0110" | "0100" => var_ms_strb_index := 2; when "1111" | "1110" | "1100" | "1000"=> var_ms_strb_index := 3; when others => var_ms_strb_index := 4; end case; Return (var_ms_strb_index); end function get_ms_index_4; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_8 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 8-bit wide strobe value. -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_8 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(7 downto 0); begin var_strb_value := input_strobe(7 downto 0); case var_strb_value is when "00000001" => var_ms_strb_index := 0; when "00000010" => var_ms_strb_index := 1; when "00000100" => var_ms_strb_index := 2; when "00001000" => var_ms_strb_index := 3; when "00010000" => var_ms_strb_index := 4; when "00100000" => var_ms_strb_index := 5; when "01000000" => var_ms_strb_index := 6; when "10000000" => var_ms_strb_index := 7; when others => var_ms_strb_index := 8; end case; Return (var_ms_strb_index); end function get_ms_index_8; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_16 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 16-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_16 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(15 downto 0); begin var_strb_value := input_strobe(15 downto 0); case var_strb_value is when "0000000000000001" => var_ms_strb_index := 0; when "0000000000000010" => var_ms_strb_index := 1; when "0000000000000100" => var_ms_strb_index := 2; when "0000000000001000" => var_ms_strb_index := 3; when "0000000000010000" => var_ms_strb_index := 4; when "0000000000100000" => var_ms_strb_index := 5; when "0000000001000000" => var_ms_strb_index := 6; when "0000000010000000" => var_ms_strb_index := 7; when "0000000100000000" => var_ms_strb_index := 8; when "0000001000000000" => var_ms_strb_index := 9; when "0000010000000000" => var_ms_strb_index := 10; when "0000100000000000" => var_ms_strb_index := 11; when "0001000000000000" => var_ms_strb_index := 12; when "0010000000000000" => var_ms_strb_index := 13; when "0100000000000000" => var_ms_strb_index := 14; when "1000000000000000" => var_ms_strb_index := 15; when others => var_ms_strb_index := 16; end case; Return (var_ms_strb_index); end function get_ms_index_16; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_32 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 32-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_32 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(31 downto 0); begin var_strb_value := input_strobe(31 downto 0); case var_strb_value is when "00000000000000000000000000000001" => var_ms_strb_index := 0; when "00000000000000000000000000000010" => var_ms_strb_index := 1; when "00000000000000000000000000000100" => var_ms_strb_index := 2; when "00000000000000000000000000001000" => var_ms_strb_index := 3; when "00000000000000000000000000010000" => var_ms_strb_index := 4; when "00000000000000000000000000100000" => var_ms_strb_index := 5; when "00000000000000000000000001000000" => var_ms_strb_index := 6; when "00000000000000000000000010000000" => var_ms_strb_index := 7; when "00000000000000000000000100000000" => var_ms_strb_index := 8; when "00000000000000000000001000000000" => var_ms_strb_index := 9; when "00000000000000000000010000000000" => var_ms_strb_index := 10; when "00000000000000000000100000000000" => var_ms_strb_index := 11; when "00000000000000000001000000000000" => var_ms_strb_index := 12; when "00000000000000000010000000000000" => var_ms_strb_index := 13; when "00000000000000000100000000000000" => var_ms_strb_index := 14; when "00000000000000001000000000000000" => var_ms_strb_index := 15; when "00000000000000010000000000000000" => var_ms_strb_index := 16; when "00000000000000100000000000000000" => var_ms_strb_index := 17; when "00000000000001000000000000000000" => var_ms_strb_index := 18; when "00000000000010000000000000000000" => var_ms_strb_index := 19; when "00000000000100000000000000000000" => var_ms_strb_index := 20; when "00000000001000000000000000000000" => var_ms_strb_index := 21; when "00000000010000000000000000000000" => var_ms_strb_index := 22; when "00000000100000000000000000000000" => var_ms_strb_index := 23; when "00000001000000000000000000000000" => var_ms_strb_index := 24; when "00000010000000000000000000000000" => var_ms_strb_index := 25; when "00000100000000000000000000000000" => var_ms_strb_index := 26; when "00001000000000000000000000000000" => var_ms_strb_index := 27; when "00010000000000000000000000000000" => var_ms_strb_index := 28; when "00100000000000000000000000000000" => var_ms_strb_index := 29; when "01000000000000000000000000000000" => var_ms_strb_index := 30; when "10000000000000000000000000000000" => var_ms_strb_index := 31; when others => var_ms_strb_index := 32; end case; Return (var_ms_strb_index); end function get_ms_index_32; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_64 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 64-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_64 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(63 downto 0); begin var_strb_value := input_strobe(63 downto 0); case var_strb_value is when "0000000000000000000000000000000000000000000000000000000000000001" => var_ms_strb_index := 0; when "0000000000000000000000000000000000000000000000000000000000000010" => var_ms_strb_index := 1; when "0000000000000000000000000000000000000000000000000000000000000100" => var_ms_strb_index := 2; when "0000000000000000000000000000000000000000000000000000000000001000" => var_ms_strb_index := 3; when "0000000000000000000000000000000000000000000000000000000000010000" => var_ms_strb_index := 4; when "0000000000000000000000000000000000000000000000000000000000100000" => var_ms_strb_index := 5; when "0000000000000000000000000000000000000000000000000000000001000000" => var_ms_strb_index := 6; when "0000000000000000000000000000000000000000000000000000000010000000" => var_ms_strb_index := 7; when "0000000000000000000000000000000000000000000000000000000100000000" => var_ms_strb_index := 8; when "0000000000000000000000000000000000000000000000000000001000000000" => var_ms_strb_index := 9; when "0000000000000000000000000000000000000000000000000000010000000000" => var_ms_strb_index := 10; when "0000000000000000000000000000000000000000000000000000100000000000" => var_ms_strb_index := 11; when "0000000000000000000000000000000000000000000000000001000000000000" => var_ms_strb_index := 12; when "0000000000000000000000000000000000000000000000000010000000000000" => var_ms_strb_index := 13; when "0000000000000000000000000000000000000000000000000100000000000000" => var_ms_strb_index := 14; when "0000000000000000000000000000000000000000000000001000000000000000" => var_ms_strb_index := 15; when "0000000000000000000000000000000000000000000000010000000000000000" => var_ms_strb_index := 16; when "0000000000000000000000000000000000000000000000100000000000000000" => var_ms_strb_index := 17; when "0000000000000000000000000000000000000000000001000000000000000000" => var_ms_strb_index := 18; when "0000000000000000000000000000000000000000000010000000000000000000" => var_ms_strb_index := 19; when "0000000000000000000000000000000000000000000100000000000000000000" => var_ms_strb_index := 20; when "0000000000000000000000000000000000000000001000000000000000000000" => var_ms_strb_index := 21; when "0000000000000000000000000000000000000000010000000000000000000000" => var_ms_strb_index := 22; when "0000000000000000000000000000000000000000100000000000000000000000" => var_ms_strb_index := 23; when "0000000000000000000000000000000000000001000000000000000000000000" => var_ms_strb_index := 24; when "0000000000000000000000000000000000000010000000000000000000000000" => var_ms_strb_index := 25; when "0000000000000000000000000000000000000100000000000000000000000000" => var_ms_strb_index := 26; when "0000000000000000000000000000000000001000000000000000000000000000" => var_ms_strb_index := 27; when "0000000000000000000000000000000000010000000000000000000000000000" => var_ms_strb_index := 28; when "0000000000000000000000000000000000100000000000000000000000000000" => var_ms_strb_index := 29; when "0000000000000000000000000000000001000000000000000000000000000000" => var_ms_strb_index := 30; when "0000000000000000000000000000000010000000000000000000000000000000" => var_ms_strb_index := 31; when "0000000000000000000000000000000100000000000000000000000000000000" => var_ms_strb_index := 32; when "0000000000000000000000000000001000000000000000000000000000000000" => var_ms_strb_index := 33; when "0000000000000000000000000000010000000000000000000000000000000000" => var_ms_strb_index := 34; when "0000000000000000000000000000100000000000000000000000000000000000" => var_ms_strb_index := 35; when "0000000000000000000000000001000000000000000000000000000000000000" => var_ms_strb_index := 36; when "0000000000000000000000000010000000000000000000000000000000000000" => var_ms_strb_index := 37; when "0000000000000000000000000100000000000000000000000000000000000000" => var_ms_strb_index := 38; when "0000000000000000000000001000000000000000000000000000000000000000" => var_ms_strb_index := 39; when "0000000000000000000000010000000000000000000000000000000000000000" => var_ms_strb_index := 40; when "0000000000000000000000100000000000000000000000000000000000000000" => var_ms_strb_index := 41; when "0000000000000000000001000000000000000000000000000000000000000000" => var_ms_strb_index := 42; when "0000000000000000000010000000000000000000000000000000000000000000" => var_ms_strb_index := 43; when "0000000000000000000100000000000000000000000000000000000000000000" => var_ms_strb_index := 44; when "0000000000000000001000000000000000000000000000000000000000000000" => var_ms_strb_index := 45; when "0000000000000000010000000000000000000000000000000000000000000000" => var_ms_strb_index := 46; when "0000000000000000100000000000000000000000000000000000000000000000" => var_ms_strb_index := 47; when "0000000000000001000000000000000000000000000000000000000000000000" => var_ms_strb_index := 48; when "0000000000000010000000000000000000000000000000000000000000000000" => var_ms_strb_index := 49; when "0000000000000100000000000000000000000000000000000000000000000000" => var_ms_strb_index := 50; when "0000000000001000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 51; when "0000000000010000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 52; when "0000000000100000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 53; when "0000000001000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 54; when "0000000010000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 55; when "0000000100000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 56; when "0000001000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 57; when "0000010000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 58; when "0000100000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 59; when "0001000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 60; when "0010000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 61; when "0100000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 62; when "1000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 63; when others => var_ms_strb_index := 64; end case; Return (var_ms_strb_index); end function get_ms_index_64; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_128 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 64-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_128 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(127 downto 0); begin var_strb_value := input_strobe(127 downto 0); case var_strb_value is when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001" => var_ms_strb_index := 0; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010" => var_ms_strb_index := 1; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100" => var_ms_strb_index := 2; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000" => var_ms_strb_index := 3; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000" => var_ms_strb_index := 4; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000" => var_ms_strb_index := 5; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000" => var_ms_strb_index := 6; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000" => var_ms_strb_index := 7; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000" => var_ms_strb_index := 8; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000" => var_ms_strb_index := 9; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000" => var_ms_strb_index := 10; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000" => var_ms_strb_index := 11; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000" => var_ms_strb_index := 12; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000" => var_ms_strb_index := 13; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000" => var_ms_strb_index := 14; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000" => var_ms_strb_index := 15; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000" => var_ms_strb_index := 16; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000" => var_ms_strb_index := 17; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000" => var_ms_strb_index := 18; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000" => var_ms_strb_index := 19; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000" => var_ms_strb_index := 20; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000" => var_ms_strb_index := 21; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000" => var_ms_strb_index := 22; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000" => var_ms_strb_index := 23; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000" => var_ms_strb_index := 24; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000" => var_ms_strb_index := 25; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000" => var_ms_strb_index := 26; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000" => var_ms_strb_index := 27; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000" => var_ms_strb_index := 28; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000" => var_ms_strb_index := 29; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000" => var_ms_strb_index := 30; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000" => var_ms_strb_index := 31; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000" => var_ms_strb_index := 32; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000" => var_ms_strb_index := 33; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000" => var_ms_strb_index := 34; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000" => var_ms_strb_index := 35; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000" => var_ms_strb_index := 36; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000" => var_ms_strb_index := 37; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000" => var_ms_strb_index := 38; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000" => var_ms_strb_index := 39; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000" => var_ms_strb_index := 40; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000" => var_ms_strb_index := 41; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000" => var_ms_strb_index := 42; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000" => var_ms_strb_index := 43; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000" => var_ms_strb_index := 44; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000" => var_ms_strb_index := 45; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000" => var_ms_strb_index := 46; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000" => var_ms_strb_index := 47; when "00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000" => var_ms_strb_index := 48; when "00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000" => var_ms_strb_index := 49; when "00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000" => var_ms_strb_index := 50; when "00000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 51; when "00000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 52; when "00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 53; when "00000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 54; when "00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 55; when "00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 56; when "00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 57; when "00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 58; when "00000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 59; when "00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 60; when "00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 61; when "00000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 62; when "00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 63; when "00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 64; when "00000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 65; when "00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 66; when "00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 67; when "00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 68; when "00000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 69; when "00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 70; when "00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 71; when "00000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 72; when "00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 73; when "00000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 74; when "00000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 75; when "00000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 76; when "00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 77; when "00000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 78; when "00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 79; when "00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 80; when "00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 81; when "00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 82; when "00000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 83; when "00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 84; when "00000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 85; when "00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 86; when "00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 87; when "00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 88; when "00000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 89; when "00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 90; when "00000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 91; when "00000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 92; when "00000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 93; when "00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 94; when "00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 95; when "00000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 96; when "00000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 97; when "00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 98; when "00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 99; when "00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 100; when "00000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 101; when "00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 102; when "00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 103; when "00000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 104; when "00000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 105; when "00000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 106; when "00000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 107; when "00000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 108; when "00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 109; when "00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 110; when "00000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 111; when "00000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 112; when "00000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 113; when "00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 114; when "00000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 115; when "00000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 116; when "00000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 117; when "00000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 118; when "00000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 119; when "00000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 120; when "00000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 121; when "00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 122; when "00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 123; when "00010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 124; when "00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 125; when "01000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 126; when "10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 127; when others => var_ms_strb_index := 128; end case; Return (var_ms_strb_index); end function get_ms_index_128; -- Constants Constant ERROR_INDEX : natural := C_STRB_WIDTH; Constant TEMP_NAT_MAX : natural := 255; -- allows for a 0 to 255 strobe index value Constant TEMP_UN_WIDTH : natural := 8; -- 8 bits allows for a 0 to 255 index value -- Signals signal sig_input_stbs : std_logic_vector(C_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_ms_asserted_index_un : unsigned(C_INDEX_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_error : std_logic := '0'; signal sig_temp_ms_index_un : unsigned(TEMP_UN_WIDTH-1 downto 0) := (others => '0'); signal sig_temp_ms_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin --(architecture implementation) -- Assign the ms asserted strobe value ms_strb_index <= STD_LOGIC_VECTOR(sig_ms_asserted_index_un); -- Assign the input strobe sig_input_stbs <= strbs_in ; -- assign input strobes -- Assign the strobe eror output strb_error <= sig_strb_error ; -- assign the strobe error output -- Rip the valid index bits sig_ms_asserted_index_un <= sig_temp_ms_index_un(C_INDEX_WIDTH-1 downto 0); -- Assert the Strobe Error output if an out of range index is returned sig_temp_ms_index_nat <= TO_INTEGER(sig_ms_asserted_index_un) ; sig_strb_error <= '1' When (sig_temp_ms_index_nat >= ERROR_INDEX) else '0'; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_1BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 1-bit strobe width case. -- -- ------------------------------------------------------------ GEN_1BIT_CASE : if (C_STRB_WIDTH = 1) generate begin sig_temp_ms_index_un <= TO_UNSIGNED( 0, TEMP_UN_WIDTH); end generate GEN_1BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_2BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 2-bit strobe width case. -- -- ------------------------------------------------------------ GEN_2BIT_CASE : if (C_STRB_WIDTH = 2) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin lsig_ms_asserted_index_nat <= get_ms_index_2(sig_input_stbs); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_2BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_4BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 4-bit strobe width case. -- ------------------------------------------------------------ GEN_4BIT_CASE : if (C_STRB_WIDTH = 4) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin lsig_ms_asserted_index_nat <= get_ms_index_4(sig_input_stbs); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_4BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_8BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 8-bit strobe width case. -- ------------------------------------------------------------ GEN_8BIT_CASE : if (C_STRB_WIDTH = 8) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_8(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_8BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_16BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 16-bit strobe width case. -- -- ------------------------------------------------------------ GEN_16BIT_CASE : if (C_STRB_WIDTH = 16) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_16(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_16BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_32BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 32-bit strobe width case. -- ------------------------------------------------------------ GEN_32BIT_CASE : if (C_STRB_WIDTH = 32) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_32(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_32BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_64BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 64-bit strobe width case. -- ------------------------------------------------------------ GEN_64BIT_CASE : if (C_STRB_WIDTH = 64) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_64(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_64BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_128BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 128-bit strobe width case. -- ------------------------------------------------------------ GEN_128BIT_CASE : if (C_STRB_WIDTH = 128) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_128(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_128BIT_CASE; end implementation;
------------------------------------------------------------------------------- -- axi_datamover_ms_strb_set.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_ms_strb_set.vhd -- -- Description: -- This module implements a function to detect the most significant strobe -- bit asserted and outputs the index value of that strobe bit. It can only -- be used in applications where the asserted strobe bits are contiguous and -- always asserted from LS to MS bit positions, -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity axi_datamover_ms_strb_set is generic ( C_STRB_WIDTH : Integer := 8; -- Sets the width of the input strobe port C_INDEX_WIDTH : Integer := 3 -- Sets the width of the ms_strb_index output port -- Should be log2(C_STRB_WIDTH) ); port ( -- Input strobe value ------------------------------------------------ strbs_in : in std_logic_vector(C_STRB_WIDTH-1 downto 0); -- ---------------------------------------------------------------------- -- Specifies the index of the most significant strobe set ------------ ms_strb_index : out std_logic_vector(C_INDEX_WIDTH-1 downto 0); -- ---------------------------------------------------------------------- -- Invalid strobe input Indcation ------------------------------------ strb_error : Out std_logic -- -- Indicates an error with the strobe input, either a hole in the -- -- asserted strobes or not asserted from LS bits upwards. -- ---------------------------------------------------------------------- ); end entity axi_datamover_ms_strb_set; architecture implementation of axi_datamover_ms_strb_set is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_2 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 2-bit wide strobe value. -- ------------------------------------------------------------------- function get_ms_index_2 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(1 downto 0); begin var_strb_value := input_strobe(1 downto 0); case var_strb_value is when "01" => var_ms_strb_index := 0; when "11" | "10" => var_ms_strb_index := 1; when others => var_ms_strb_index := 2; end case; Return (var_ms_strb_index); end function get_ms_index_2; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_4 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 4-bit wide strobe value. -- ------------------------------------------------------------------- function get_ms_index_4 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(3 downto 0); begin var_strb_value := input_strobe(3 downto 0); case var_strb_value is when "0001" => var_ms_strb_index := 0; when "0011" | "0010" => var_ms_strb_index := 1; when "0111" | "0110" | "0100" => var_ms_strb_index := 2; when "1111" | "1110" | "1100" | "1000"=> var_ms_strb_index := 3; when others => var_ms_strb_index := 4; end case; Return (var_ms_strb_index); end function get_ms_index_4; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_8 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 8-bit wide strobe value. -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_8 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(7 downto 0); begin var_strb_value := input_strobe(7 downto 0); case var_strb_value is when "00000001" => var_ms_strb_index := 0; when "00000010" => var_ms_strb_index := 1; when "00000100" => var_ms_strb_index := 2; when "00001000" => var_ms_strb_index := 3; when "00010000" => var_ms_strb_index := 4; when "00100000" => var_ms_strb_index := 5; when "01000000" => var_ms_strb_index := 6; when "10000000" => var_ms_strb_index := 7; when others => var_ms_strb_index := 8; end case; Return (var_ms_strb_index); end function get_ms_index_8; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_16 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 16-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_16 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(15 downto 0); begin var_strb_value := input_strobe(15 downto 0); case var_strb_value is when "0000000000000001" => var_ms_strb_index := 0; when "0000000000000010" => var_ms_strb_index := 1; when "0000000000000100" => var_ms_strb_index := 2; when "0000000000001000" => var_ms_strb_index := 3; when "0000000000010000" => var_ms_strb_index := 4; when "0000000000100000" => var_ms_strb_index := 5; when "0000000001000000" => var_ms_strb_index := 6; when "0000000010000000" => var_ms_strb_index := 7; when "0000000100000000" => var_ms_strb_index := 8; when "0000001000000000" => var_ms_strb_index := 9; when "0000010000000000" => var_ms_strb_index := 10; when "0000100000000000" => var_ms_strb_index := 11; when "0001000000000000" => var_ms_strb_index := 12; when "0010000000000000" => var_ms_strb_index := 13; when "0100000000000000" => var_ms_strb_index := 14; when "1000000000000000" => var_ms_strb_index := 15; when others => var_ms_strb_index := 16; end case; Return (var_ms_strb_index); end function get_ms_index_16; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_32 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 32-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_32 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(31 downto 0); begin var_strb_value := input_strobe(31 downto 0); case var_strb_value is when "00000000000000000000000000000001" => var_ms_strb_index := 0; when "00000000000000000000000000000010" => var_ms_strb_index := 1; when "00000000000000000000000000000100" => var_ms_strb_index := 2; when "00000000000000000000000000001000" => var_ms_strb_index := 3; when "00000000000000000000000000010000" => var_ms_strb_index := 4; when "00000000000000000000000000100000" => var_ms_strb_index := 5; when "00000000000000000000000001000000" => var_ms_strb_index := 6; when "00000000000000000000000010000000" => var_ms_strb_index := 7; when "00000000000000000000000100000000" => var_ms_strb_index := 8; when "00000000000000000000001000000000" => var_ms_strb_index := 9; when "00000000000000000000010000000000" => var_ms_strb_index := 10; when "00000000000000000000100000000000" => var_ms_strb_index := 11; when "00000000000000000001000000000000" => var_ms_strb_index := 12; when "00000000000000000010000000000000" => var_ms_strb_index := 13; when "00000000000000000100000000000000" => var_ms_strb_index := 14; when "00000000000000001000000000000000" => var_ms_strb_index := 15; when "00000000000000010000000000000000" => var_ms_strb_index := 16; when "00000000000000100000000000000000" => var_ms_strb_index := 17; when "00000000000001000000000000000000" => var_ms_strb_index := 18; when "00000000000010000000000000000000" => var_ms_strb_index := 19; when "00000000000100000000000000000000" => var_ms_strb_index := 20; when "00000000001000000000000000000000" => var_ms_strb_index := 21; when "00000000010000000000000000000000" => var_ms_strb_index := 22; when "00000000100000000000000000000000" => var_ms_strb_index := 23; when "00000001000000000000000000000000" => var_ms_strb_index := 24; when "00000010000000000000000000000000" => var_ms_strb_index := 25; when "00000100000000000000000000000000" => var_ms_strb_index := 26; when "00001000000000000000000000000000" => var_ms_strb_index := 27; when "00010000000000000000000000000000" => var_ms_strb_index := 28; when "00100000000000000000000000000000" => var_ms_strb_index := 29; when "01000000000000000000000000000000" => var_ms_strb_index := 30; when "10000000000000000000000000000000" => var_ms_strb_index := 31; when others => var_ms_strb_index := 32; end case; Return (var_ms_strb_index); end function get_ms_index_32; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_64 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 64-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_64 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(63 downto 0); begin var_strb_value := input_strobe(63 downto 0); case var_strb_value is when "0000000000000000000000000000000000000000000000000000000000000001" => var_ms_strb_index := 0; when "0000000000000000000000000000000000000000000000000000000000000010" => var_ms_strb_index := 1; when "0000000000000000000000000000000000000000000000000000000000000100" => var_ms_strb_index := 2; when "0000000000000000000000000000000000000000000000000000000000001000" => var_ms_strb_index := 3; when "0000000000000000000000000000000000000000000000000000000000010000" => var_ms_strb_index := 4; when "0000000000000000000000000000000000000000000000000000000000100000" => var_ms_strb_index := 5; when "0000000000000000000000000000000000000000000000000000000001000000" => var_ms_strb_index := 6; when "0000000000000000000000000000000000000000000000000000000010000000" => var_ms_strb_index := 7; when "0000000000000000000000000000000000000000000000000000000100000000" => var_ms_strb_index := 8; when "0000000000000000000000000000000000000000000000000000001000000000" => var_ms_strb_index := 9; when "0000000000000000000000000000000000000000000000000000010000000000" => var_ms_strb_index := 10; when "0000000000000000000000000000000000000000000000000000100000000000" => var_ms_strb_index := 11; when "0000000000000000000000000000000000000000000000000001000000000000" => var_ms_strb_index := 12; when "0000000000000000000000000000000000000000000000000010000000000000" => var_ms_strb_index := 13; when "0000000000000000000000000000000000000000000000000100000000000000" => var_ms_strb_index := 14; when "0000000000000000000000000000000000000000000000001000000000000000" => var_ms_strb_index := 15; when "0000000000000000000000000000000000000000000000010000000000000000" => var_ms_strb_index := 16; when "0000000000000000000000000000000000000000000000100000000000000000" => var_ms_strb_index := 17; when "0000000000000000000000000000000000000000000001000000000000000000" => var_ms_strb_index := 18; when "0000000000000000000000000000000000000000000010000000000000000000" => var_ms_strb_index := 19; when "0000000000000000000000000000000000000000000100000000000000000000" => var_ms_strb_index := 20; when "0000000000000000000000000000000000000000001000000000000000000000" => var_ms_strb_index := 21; when "0000000000000000000000000000000000000000010000000000000000000000" => var_ms_strb_index := 22; when "0000000000000000000000000000000000000000100000000000000000000000" => var_ms_strb_index := 23; when "0000000000000000000000000000000000000001000000000000000000000000" => var_ms_strb_index := 24; when "0000000000000000000000000000000000000010000000000000000000000000" => var_ms_strb_index := 25; when "0000000000000000000000000000000000000100000000000000000000000000" => var_ms_strb_index := 26; when "0000000000000000000000000000000000001000000000000000000000000000" => var_ms_strb_index := 27; when "0000000000000000000000000000000000010000000000000000000000000000" => var_ms_strb_index := 28; when "0000000000000000000000000000000000100000000000000000000000000000" => var_ms_strb_index := 29; when "0000000000000000000000000000000001000000000000000000000000000000" => var_ms_strb_index := 30; when "0000000000000000000000000000000010000000000000000000000000000000" => var_ms_strb_index := 31; when "0000000000000000000000000000000100000000000000000000000000000000" => var_ms_strb_index := 32; when "0000000000000000000000000000001000000000000000000000000000000000" => var_ms_strb_index := 33; when "0000000000000000000000000000010000000000000000000000000000000000" => var_ms_strb_index := 34; when "0000000000000000000000000000100000000000000000000000000000000000" => var_ms_strb_index := 35; when "0000000000000000000000000001000000000000000000000000000000000000" => var_ms_strb_index := 36; when "0000000000000000000000000010000000000000000000000000000000000000" => var_ms_strb_index := 37; when "0000000000000000000000000100000000000000000000000000000000000000" => var_ms_strb_index := 38; when "0000000000000000000000001000000000000000000000000000000000000000" => var_ms_strb_index := 39; when "0000000000000000000000010000000000000000000000000000000000000000" => var_ms_strb_index := 40; when "0000000000000000000000100000000000000000000000000000000000000000" => var_ms_strb_index := 41; when "0000000000000000000001000000000000000000000000000000000000000000" => var_ms_strb_index := 42; when "0000000000000000000010000000000000000000000000000000000000000000" => var_ms_strb_index := 43; when "0000000000000000000100000000000000000000000000000000000000000000" => var_ms_strb_index := 44; when "0000000000000000001000000000000000000000000000000000000000000000" => var_ms_strb_index := 45; when "0000000000000000010000000000000000000000000000000000000000000000" => var_ms_strb_index := 46; when "0000000000000000100000000000000000000000000000000000000000000000" => var_ms_strb_index := 47; when "0000000000000001000000000000000000000000000000000000000000000000" => var_ms_strb_index := 48; when "0000000000000010000000000000000000000000000000000000000000000000" => var_ms_strb_index := 49; when "0000000000000100000000000000000000000000000000000000000000000000" => var_ms_strb_index := 50; when "0000000000001000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 51; when "0000000000010000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 52; when "0000000000100000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 53; when "0000000001000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 54; when "0000000010000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 55; when "0000000100000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 56; when "0000001000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 57; when "0000010000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 58; when "0000100000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 59; when "0001000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 60; when "0010000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 61; when "0100000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 62; when "1000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 63; when others => var_ms_strb_index := 64; end case; Return (var_ms_strb_index); end function get_ms_index_64; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_128 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 64-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_128 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(127 downto 0); begin var_strb_value := input_strobe(127 downto 0); case var_strb_value is when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001" => var_ms_strb_index := 0; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010" => var_ms_strb_index := 1; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100" => var_ms_strb_index := 2; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000" => var_ms_strb_index := 3; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000" => var_ms_strb_index := 4; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000" => var_ms_strb_index := 5; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000" => var_ms_strb_index := 6; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000" => var_ms_strb_index := 7; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000" => var_ms_strb_index := 8; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000" => var_ms_strb_index := 9; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000" => var_ms_strb_index := 10; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000" => var_ms_strb_index := 11; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000" => var_ms_strb_index := 12; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000" => var_ms_strb_index := 13; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000" => var_ms_strb_index := 14; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000" => var_ms_strb_index := 15; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000" => var_ms_strb_index := 16; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000" => var_ms_strb_index := 17; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000" => var_ms_strb_index := 18; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000" => var_ms_strb_index := 19; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000" => var_ms_strb_index := 20; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000" => var_ms_strb_index := 21; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000" => var_ms_strb_index := 22; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000" => var_ms_strb_index := 23; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000" => var_ms_strb_index := 24; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000" => var_ms_strb_index := 25; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000" => var_ms_strb_index := 26; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000" => var_ms_strb_index := 27; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000" => var_ms_strb_index := 28; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000" => var_ms_strb_index := 29; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000" => var_ms_strb_index := 30; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000" => var_ms_strb_index := 31; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000" => var_ms_strb_index := 32; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000" => var_ms_strb_index := 33; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000" => var_ms_strb_index := 34; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000" => var_ms_strb_index := 35; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000" => var_ms_strb_index := 36; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000" => var_ms_strb_index := 37; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000" => var_ms_strb_index := 38; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000" => var_ms_strb_index := 39; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000" => var_ms_strb_index := 40; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000" => var_ms_strb_index := 41; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000" => var_ms_strb_index := 42; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000" => var_ms_strb_index := 43; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000" => var_ms_strb_index := 44; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000" => var_ms_strb_index := 45; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000" => var_ms_strb_index := 46; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000" => var_ms_strb_index := 47; when "00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000" => var_ms_strb_index := 48; when "00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000" => var_ms_strb_index := 49; when "00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000" => var_ms_strb_index := 50; when "00000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 51; when "00000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 52; when "00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 53; when "00000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 54; when "00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 55; when "00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 56; when "00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 57; when "00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 58; when "00000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 59; when "00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 60; when "00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 61; when "00000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 62; when "00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 63; when "00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 64; when "00000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 65; when "00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 66; when "00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 67; when "00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 68; when "00000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 69; when "00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 70; when "00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 71; when "00000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 72; when "00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 73; when "00000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 74; when "00000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 75; when "00000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 76; when "00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 77; when "00000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 78; when "00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 79; when "00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 80; when "00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 81; when "00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 82; when "00000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 83; when "00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 84; when "00000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 85; when "00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 86; when "00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 87; when "00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 88; when "00000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 89; when "00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 90; when "00000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 91; when "00000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 92; when "00000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 93; when "00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 94; when "00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 95; when "00000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 96; when "00000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 97; when "00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 98; when "00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 99; when "00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 100; when "00000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 101; when "00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 102; when "00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 103; when "00000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 104; when "00000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 105; when "00000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 106; when "00000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 107; when "00000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 108; when "00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 109; when "00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 110; when "00000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 111; when "00000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 112; when "00000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 113; when "00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 114; when "00000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 115; when "00000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 116; when "00000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 117; when "00000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 118; when "00000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 119; when "00000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 120; when "00000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 121; when "00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 122; when "00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 123; when "00010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 124; when "00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 125; when "01000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 126; when "10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 127; when others => var_ms_strb_index := 128; end case; Return (var_ms_strb_index); end function get_ms_index_128; -- Constants Constant ERROR_INDEX : natural := C_STRB_WIDTH; Constant TEMP_NAT_MAX : natural := 255; -- allows for a 0 to 255 strobe index value Constant TEMP_UN_WIDTH : natural := 8; -- 8 bits allows for a 0 to 255 index value -- Signals signal sig_input_stbs : std_logic_vector(C_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_ms_asserted_index_un : unsigned(C_INDEX_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_error : std_logic := '0'; signal sig_temp_ms_index_un : unsigned(TEMP_UN_WIDTH-1 downto 0) := (others => '0'); signal sig_temp_ms_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin --(architecture implementation) -- Assign the ms asserted strobe value ms_strb_index <= STD_LOGIC_VECTOR(sig_ms_asserted_index_un); -- Assign the input strobe sig_input_stbs <= strbs_in ; -- assign input strobes -- Assign the strobe eror output strb_error <= sig_strb_error ; -- assign the strobe error output -- Rip the valid index bits sig_ms_asserted_index_un <= sig_temp_ms_index_un(C_INDEX_WIDTH-1 downto 0); -- Assert the Strobe Error output if an out of range index is returned sig_temp_ms_index_nat <= TO_INTEGER(sig_ms_asserted_index_un) ; sig_strb_error <= '1' When (sig_temp_ms_index_nat >= ERROR_INDEX) else '0'; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_1BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 1-bit strobe width case. -- -- ------------------------------------------------------------ GEN_1BIT_CASE : if (C_STRB_WIDTH = 1) generate begin sig_temp_ms_index_un <= TO_UNSIGNED( 0, TEMP_UN_WIDTH); end generate GEN_1BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_2BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 2-bit strobe width case. -- -- ------------------------------------------------------------ GEN_2BIT_CASE : if (C_STRB_WIDTH = 2) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin lsig_ms_asserted_index_nat <= get_ms_index_2(sig_input_stbs); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_2BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_4BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 4-bit strobe width case. -- ------------------------------------------------------------ GEN_4BIT_CASE : if (C_STRB_WIDTH = 4) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin lsig_ms_asserted_index_nat <= get_ms_index_4(sig_input_stbs); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_4BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_8BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 8-bit strobe width case. -- ------------------------------------------------------------ GEN_8BIT_CASE : if (C_STRB_WIDTH = 8) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_8(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_8BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_16BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 16-bit strobe width case. -- -- ------------------------------------------------------------ GEN_16BIT_CASE : if (C_STRB_WIDTH = 16) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_16(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_16BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_32BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 32-bit strobe width case. -- ------------------------------------------------------------ GEN_32BIT_CASE : if (C_STRB_WIDTH = 32) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_32(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_32BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_64BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 64-bit strobe width case. -- ------------------------------------------------------------ GEN_64BIT_CASE : if (C_STRB_WIDTH = 64) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_64(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_64BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_128BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 128-bit strobe width case. -- ------------------------------------------------------------ GEN_128BIT_CASE : if (C_STRB_WIDTH = 128) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_128(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_128BIT_CASE; end implementation;
------------------------------------------------------------------------------- -- axi_datamover_ms_strb_set.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_ms_strb_set.vhd -- -- Description: -- This module implements a function to detect the most significant strobe -- bit asserted and outputs the index value of that strobe bit. It can only -- be used in applications where the asserted strobe bits are contiguous and -- always asserted from LS to MS bit positions, -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity axi_datamover_ms_strb_set is generic ( C_STRB_WIDTH : Integer := 8; -- Sets the width of the input strobe port C_INDEX_WIDTH : Integer := 3 -- Sets the width of the ms_strb_index output port -- Should be log2(C_STRB_WIDTH) ); port ( -- Input strobe value ------------------------------------------------ strbs_in : in std_logic_vector(C_STRB_WIDTH-1 downto 0); -- ---------------------------------------------------------------------- -- Specifies the index of the most significant strobe set ------------ ms_strb_index : out std_logic_vector(C_INDEX_WIDTH-1 downto 0); -- ---------------------------------------------------------------------- -- Invalid strobe input Indcation ------------------------------------ strb_error : Out std_logic -- -- Indicates an error with the strobe input, either a hole in the -- -- asserted strobes or not asserted from LS bits upwards. -- ---------------------------------------------------------------------- ); end entity axi_datamover_ms_strb_set; architecture implementation of axi_datamover_ms_strb_set is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_2 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 2-bit wide strobe value. -- ------------------------------------------------------------------- function get_ms_index_2 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(1 downto 0); begin var_strb_value := input_strobe(1 downto 0); case var_strb_value is when "01" => var_ms_strb_index := 0; when "11" | "10" => var_ms_strb_index := 1; when others => var_ms_strb_index := 2; end case; Return (var_ms_strb_index); end function get_ms_index_2; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_4 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 4-bit wide strobe value. -- ------------------------------------------------------------------- function get_ms_index_4 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(3 downto 0); begin var_strb_value := input_strobe(3 downto 0); case var_strb_value is when "0001" => var_ms_strb_index := 0; when "0011" | "0010" => var_ms_strb_index := 1; when "0111" | "0110" | "0100" => var_ms_strb_index := 2; when "1111" | "1110" | "1100" | "1000"=> var_ms_strb_index := 3; when others => var_ms_strb_index := 4; end case; Return (var_ms_strb_index); end function get_ms_index_4; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_8 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 8-bit wide strobe value. -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_8 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(7 downto 0); begin var_strb_value := input_strobe(7 downto 0); case var_strb_value is when "00000001" => var_ms_strb_index := 0; when "00000010" => var_ms_strb_index := 1; when "00000100" => var_ms_strb_index := 2; when "00001000" => var_ms_strb_index := 3; when "00010000" => var_ms_strb_index := 4; when "00100000" => var_ms_strb_index := 5; when "01000000" => var_ms_strb_index := 6; when "10000000" => var_ms_strb_index := 7; when others => var_ms_strb_index := 8; end case; Return (var_ms_strb_index); end function get_ms_index_8; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_16 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 16-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_16 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(15 downto 0); begin var_strb_value := input_strobe(15 downto 0); case var_strb_value is when "0000000000000001" => var_ms_strb_index := 0; when "0000000000000010" => var_ms_strb_index := 1; when "0000000000000100" => var_ms_strb_index := 2; when "0000000000001000" => var_ms_strb_index := 3; when "0000000000010000" => var_ms_strb_index := 4; when "0000000000100000" => var_ms_strb_index := 5; when "0000000001000000" => var_ms_strb_index := 6; when "0000000010000000" => var_ms_strb_index := 7; when "0000000100000000" => var_ms_strb_index := 8; when "0000001000000000" => var_ms_strb_index := 9; when "0000010000000000" => var_ms_strb_index := 10; when "0000100000000000" => var_ms_strb_index := 11; when "0001000000000000" => var_ms_strb_index := 12; when "0010000000000000" => var_ms_strb_index := 13; when "0100000000000000" => var_ms_strb_index := 14; when "1000000000000000" => var_ms_strb_index := 15; when others => var_ms_strb_index := 16; end case; Return (var_ms_strb_index); end function get_ms_index_16; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_32 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 32-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_32 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(31 downto 0); begin var_strb_value := input_strobe(31 downto 0); case var_strb_value is when "00000000000000000000000000000001" => var_ms_strb_index := 0; when "00000000000000000000000000000010" => var_ms_strb_index := 1; when "00000000000000000000000000000100" => var_ms_strb_index := 2; when "00000000000000000000000000001000" => var_ms_strb_index := 3; when "00000000000000000000000000010000" => var_ms_strb_index := 4; when "00000000000000000000000000100000" => var_ms_strb_index := 5; when "00000000000000000000000001000000" => var_ms_strb_index := 6; when "00000000000000000000000010000000" => var_ms_strb_index := 7; when "00000000000000000000000100000000" => var_ms_strb_index := 8; when "00000000000000000000001000000000" => var_ms_strb_index := 9; when "00000000000000000000010000000000" => var_ms_strb_index := 10; when "00000000000000000000100000000000" => var_ms_strb_index := 11; when "00000000000000000001000000000000" => var_ms_strb_index := 12; when "00000000000000000010000000000000" => var_ms_strb_index := 13; when "00000000000000000100000000000000" => var_ms_strb_index := 14; when "00000000000000001000000000000000" => var_ms_strb_index := 15; when "00000000000000010000000000000000" => var_ms_strb_index := 16; when "00000000000000100000000000000000" => var_ms_strb_index := 17; when "00000000000001000000000000000000" => var_ms_strb_index := 18; when "00000000000010000000000000000000" => var_ms_strb_index := 19; when "00000000000100000000000000000000" => var_ms_strb_index := 20; when "00000000001000000000000000000000" => var_ms_strb_index := 21; when "00000000010000000000000000000000" => var_ms_strb_index := 22; when "00000000100000000000000000000000" => var_ms_strb_index := 23; when "00000001000000000000000000000000" => var_ms_strb_index := 24; when "00000010000000000000000000000000" => var_ms_strb_index := 25; when "00000100000000000000000000000000" => var_ms_strb_index := 26; when "00001000000000000000000000000000" => var_ms_strb_index := 27; when "00010000000000000000000000000000" => var_ms_strb_index := 28; when "00100000000000000000000000000000" => var_ms_strb_index := 29; when "01000000000000000000000000000000" => var_ms_strb_index := 30; when "10000000000000000000000000000000" => var_ms_strb_index := 31; when others => var_ms_strb_index := 32; end case; Return (var_ms_strb_index); end function get_ms_index_32; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_64 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 64-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_64 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(63 downto 0); begin var_strb_value := input_strobe(63 downto 0); case var_strb_value is when "0000000000000000000000000000000000000000000000000000000000000001" => var_ms_strb_index := 0; when "0000000000000000000000000000000000000000000000000000000000000010" => var_ms_strb_index := 1; when "0000000000000000000000000000000000000000000000000000000000000100" => var_ms_strb_index := 2; when "0000000000000000000000000000000000000000000000000000000000001000" => var_ms_strb_index := 3; when "0000000000000000000000000000000000000000000000000000000000010000" => var_ms_strb_index := 4; when "0000000000000000000000000000000000000000000000000000000000100000" => var_ms_strb_index := 5; when "0000000000000000000000000000000000000000000000000000000001000000" => var_ms_strb_index := 6; when "0000000000000000000000000000000000000000000000000000000010000000" => var_ms_strb_index := 7; when "0000000000000000000000000000000000000000000000000000000100000000" => var_ms_strb_index := 8; when "0000000000000000000000000000000000000000000000000000001000000000" => var_ms_strb_index := 9; when "0000000000000000000000000000000000000000000000000000010000000000" => var_ms_strb_index := 10; when "0000000000000000000000000000000000000000000000000000100000000000" => var_ms_strb_index := 11; when "0000000000000000000000000000000000000000000000000001000000000000" => var_ms_strb_index := 12; when "0000000000000000000000000000000000000000000000000010000000000000" => var_ms_strb_index := 13; when "0000000000000000000000000000000000000000000000000100000000000000" => var_ms_strb_index := 14; when "0000000000000000000000000000000000000000000000001000000000000000" => var_ms_strb_index := 15; when "0000000000000000000000000000000000000000000000010000000000000000" => var_ms_strb_index := 16; when "0000000000000000000000000000000000000000000000100000000000000000" => var_ms_strb_index := 17; when "0000000000000000000000000000000000000000000001000000000000000000" => var_ms_strb_index := 18; when "0000000000000000000000000000000000000000000010000000000000000000" => var_ms_strb_index := 19; when "0000000000000000000000000000000000000000000100000000000000000000" => var_ms_strb_index := 20; when "0000000000000000000000000000000000000000001000000000000000000000" => var_ms_strb_index := 21; when "0000000000000000000000000000000000000000010000000000000000000000" => var_ms_strb_index := 22; when "0000000000000000000000000000000000000000100000000000000000000000" => var_ms_strb_index := 23; when "0000000000000000000000000000000000000001000000000000000000000000" => var_ms_strb_index := 24; when "0000000000000000000000000000000000000010000000000000000000000000" => var_ms_strb_index := 25; when "0000000000000000000000000000000000000100000000000000000000000000" => var_ms_strb_index := 26; when "0000000000000000000000000000000000001000000000000000000000000000" => var_ms_strb_index := 27; when "0000000000000000000000000000000000010000000000000000000000000000" => var_ms_strb_index := 28; when "0000000000000000000000000000000000100000000000000000000000000000" => var_ms_strb_index := 29; when "0000000000000000000000000000000001000000000000000000000000000000" => var_ms_strb_index := 30; when "0000000000000000000000000000000010000000000000000000000000000000" => var_ms_strb_index := 31; when "0000000000000000000000000000000100000000000000000000000000000000" => var_ms_strb_index := 32; when "0000000000000000000000000000001000000000000000000000000000000000" => var_ms_strb_index := 33; when "0000000000000000000000000000010000000000000000000000000000000000" => var_ms_strb_index := 34; when "0000000000000000000000000000100000000000000000000000000000000000" => var_ms_strb_index := 35; when "0000000000000000000000000001000000000000000000000000000000000000" => var_ms_strb_index := 36; when "0000000000000000000000000010000000000000000000000000000000000000" => var_ms_strb_index := 37; when "0000000000000000000000000100000000000000000000000000000000000000" => var_ms_strb_index := 38; when "0000000000000000000000001000000000000000000000000000000000000000" => var_ms_strb_index := 39; when "0000000000000000000000010000000000000000000000000000000000000000" => var_ms_strb_index := 40; when "0000000000000000000000100000000000000000000000000000000000000000" => var_ms_strb_index := 41; when "0000000000000000000001000000000000000000000000000000000000000000" => var_ms_strb_index := 42; when "0000000000000000000010000000000000000000000000000000000000000000" => var_ms_strb_index := 43; when "0000000000000000000100000000000000000000000000000000000000000000" => var_ms_strb_index := 44; when "0000000000000000001000000000000000000000000000000000000000000000" => var_ms_strb_index := 45; when "0000000000000000010000000000000000000000000000000000000000000000" => var_ms_strb_index := 46; when "0000000000000000100000000000000000000000000000000000000000000000" => var_ms_strb_index := 47; when "0000000000000001000000000000000000000000000000000000000000000000" => var_ms_strb_index := 48; when "0000000000000010000000000000000000000000000000000000000000000000" => var_ms_strb_index := 49; when "0000000000000100000000000000000000000000000000000000000000000000" => var_ms_strb_index := 50; when "0000000000001000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 51; when "0000000000010000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 52; when "0000000000100000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 53; when "0000000001000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 54; when "0000000010000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 55; when "0000000100000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 56; when "0000001000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 57; when "0000010000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 58; when "0000100000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 59; when "0001000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 60; when "0010000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 61; when "0100000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 62; when "1000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 63; when others => var_ms_strb_index := 64; end case; Return (var_ms_strb_index); end function get_ms_index_64; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_128 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 64-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_128 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(127 downto 0); begin var_strb_value := input_strobe(127 downto 0); case var_strb_value is when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001" => var_ms_strb_index := 0; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010" => var_ms_strb_index := 1; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100" => var_ms_strb_index := 2; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000" => var_ms_strb_index := 3; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000" => var_ms_strb_index := 4; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000" => var_ms_strb_index := 5; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000" => var_ms_strb_index := 6; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000" => var_ms_strb_index := 7; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000" => var_ms_strb_index := 8; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000" => var_ms_strb_index := 9; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000" => var_ms_strb_index := 10; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000" => var_ms_strb_index := 11; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000" => var_ms_strb_index := 12; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000" => var_ms_strb_index := 13; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000" => var_ms_strb_index := 14; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000" => var_ms_strb_index := 15; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000" => var_ms_strb_index := 16; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000" => var_ms_strb_index := 17; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000" => var_ms_strb_index := 18; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000" => var_ms_strb_index := 19; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000" => var_ms_strb_index := 20; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000" => var_ms_strb_index := 21; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000" => var_ms_strb_index := 22; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000" => var_ms_strb_index := 23; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000" => var_ms_strb_index := 24; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000" => var_ms_strb_index := 25; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000" => var_ms_strb_index := 26; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000" => var_ms_strb_index := 27; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000" => var_ms_strb_index := 28; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000" => var_ms_strb_index := 29; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000" => var_ms_strb_index := 30; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000" => var_ms_strb_index := 31; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000" => var_ms_strb_index := 32; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000" => var_ms_strb_index := 33; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000" => var_ms_strb_index := 34; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000" => var_ms_strb_index := 35; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000" => var_ms_strb_index := 36; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000" => var_ms_strb_index := 37; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000" => var_ms_strb_index := 38; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000" => var_ms_strb_index := 39; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000" => var_ms_strb_index := 40; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000" => var_ms_strb_index := 41; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000" => var_ms_strb_index := 42; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000" => var_ms_strb_index := 43; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000" => var_ms_strb_index := 44; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000" => var_ms_strb_index := 45; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000" => var_ms_strb_index := 46; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000" => var_ms_strb_index := 47; when "00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000" => var_ms_strb_index := 48; when "00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000" => var_ms_strb_index := 49; when "00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000" => var_ms_strb_index := 50; when "00000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 51; when "00000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 52; when "00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 53; when "00000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 54; when "00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 55; when "00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 56; when "00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 57; when "00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 58; when "00000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 59; when "00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 60; when "00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 61; when "00000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 62; when "00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 63; when "00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 64; when "00000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 65; when "00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 66; when "00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 67; when "00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 68; when "00000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 69; when "00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 70; when "00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 71; when "00000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 72; when "00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 73; when "00000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 74; when "00000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 75; when "00000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 76; when "00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 77; when "00000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 78; when "00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 79; when "00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 80; when "00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 81; when "00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 82; when "00000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 83; when "00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 84; when "00000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 85; when "00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 86; when "00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 87; when "00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 88; when "00000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 89; when "00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 90; when "00000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 91; when "00000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 92; when "00000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 93; when "00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 94; when "00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 95; when "00000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 96; when "00000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 97; when "00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 98; when "00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 99; when "00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 100; when "00000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 101; when "00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 102; when "00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 103; when "00000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 104; when "00000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 105; when "00000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 106; when "00000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 107; when "00000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 108; when "00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 109; when "00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 110; when "00000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 111; when "00000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 112; when "00000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 113; when "00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 114; when "00000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 115; when "00000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 116; when "00000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 117; when "00000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 118; when "00000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 119; when "00000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 120; when "00000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 121; when "00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 122; when "00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 123; when "00010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 124; when "00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 125; when "01000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 126; when "10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 127; when others => var_ms_strb_index := 128; end case; Return (var_ms_strb_index); end function get_ms_index_128; -- Constants Constant ERROR_INDEX : natural := C_STRB_WIDTH; Constant TEMP_NAT_MAX : natural := 255; -- allows for a 0 to 255 strobe index value Constant TEMP_UN_WIDTH : natural := 8; -- 8 bits allows for a 0 to 255 index value -- Signals signal sig_input_stbs : std_logic_vector(C_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_ms_asserted_index_un : unsigned(C_INDEX_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_error : std_logic := '0'; signal sig_temp_ms_index_un : unsigned(TEMP_UN_WIDTH-1 downto 0) := (others => '0'); signal sig_temp_ms_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin --(architecture implementation) -- Assign the ms asserted strobe value ms_strb_index <= STD_LOGIC_VECTOR(sig_ms_asserted_index_un); -- Assign the input strobe sig_input_stbs <= strbs_in ; -- assign input strobes -- Assign the strobe eror output strb_error <= sig_strb_error ; -- assign the strobe error output -- Rip the valid index bits sig_ms_asserted_index_un <= sig_temp_ms_index_un(C_INDEX_WIDTH-1 downto 0); -- Assert the Strobe Error output if an out of range index is returned sig_temp_ms_index_nat <= TO_INTEGER(sig_ms_asserted_index_un) ; sig_strb_error <= '1' When (sig_temp_ms_index_nat >= ERROR_INDEX) else '0'; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_1BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 1-bit strobe width case. -- -- ------------------------------------------------------------ GEN_1BIT_CASE : if (C_STRB_WIDTH = 1) generate begin sig_temp_ms_index_un <= TO_UNSIGNED( 0, TEMP_UN_WIDTH); end generate GEN_1BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_2BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 2-bit strobe width case. -- -- ------------------------------------------------------------ GEN_2BIT_CASE : if (C_STRB_WIDTH = 2) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin lsig_ms_asserted_index_nat <= get_ms_index_2(sig_input_stbs); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_2BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_4BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 4-bit strobe width case. -- ------------------------------------------------------------ GEN_4BIT_CASE : if (C_STRB_WIDTH = 4) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin lsig_ms_asserted_index_nat <= get_ms_index_4(sig_input_stbs); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_4BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_8BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 8-bit strobe width case. -- ------------------------------------------------------------ GEN_8BIT_CASE : if (C_STRB_WIDTH = 8) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_8(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_8BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_16BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 16-bit strobe width case. -- -- ------------------------------------------------------------ GEN_16BIT_CASE : if (C_STRB_WIDTH = 16) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_16(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_16BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_32BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 32-bit strobe width case. -- ------------------------------------------------------------ GEN_32BIT_CASE : if (C_STRB_WIDTH = 32) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_32(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_32BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_64BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 64-bit strobe width case. -- ------------------------------------------------------------ GEN_64BIT_CASE : if (C_STRB_WIDTH = 64) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_64(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_64BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_128BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 128-bit strobe width case. -- ------------------------------------------------------------ GEN_128BIT_CASE : if (C_STRB_WIDTH = 128) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_128(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_128BIT_CASE; end implementation;
------------------------------------------------------------------------------- -- axi_datamover_ms_strb_set.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_ms_strb_set.vhd -- -- Description: -- This module implements a function to detect the most significant strobe -- bit asserted and outputs the index value of that strobe bit. It can only -- be used in applications where the asserted strobe bits are contiguous and -- always asserted from LS to MS bit positions, -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity axi_datamover_ms_strb_set is generic ( C_STRB_WIDTH : Integer := 8; -- Sets the width of the input strobe port C_INDEX_WIDTH : Integer := 3 -- Sets the width of the ms_strb_index output port -- Should be log2(C_STRB_WIDTH) ); port ( -- Input strobe value ------------------------------------------------ strbs_in : in std_logic_vector(C_STRB_WIDTH-1 downto 0); -- ---------------------------------------------------------------------- -- Specifies the index of the most significant strobe set ------------ ms_strb_index : out std_logic_vector(C_INDEX_WIDTH-1 downto 0); -- ---------------------------------------------------------------------- -- Invalid strobe input Indcation ------------------------------------ strb_error : Out std_logic -- -- Indicates an error with the strobe input, either a hole in the -- -- asserted strobes or not asserted from LS bits upwards. -- ---------------------------------------------------------------------- ); end entity axi_datamover_ms_strb_set; architecture implementation of axi_datamover_ms_strb_set is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_2 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 2-bit wide strobe value. -- ------------------------------------------------------------------- function get_ms_index_2 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(1 downto 0); begin var_strb_value := input_strobe(1 downto 0); case var_strb_value is when "01" => var_ms_strb_index := 0; when "11" | "10" => var_ms_strb_index := 1; when others => var_ms_strb_index := 2; end case; Return (var_ms_strb_index); end function get_ms_index_2; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_4 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 4-bit wide strobe value. -- ------------------------------------------------------------------- function get_ms_index_4 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(3 downto 0); begin var_strb_value := input_strobe(3 downto 0); case var_strb_value is when "0001" => var_ms_strb_index := 0; when "0011" | "0010" => var_ms_strb_index := 1; when "0111" | "0110" | "0100" => var_ms_strb_index := 2; when "1111" | "1110" | "1100" | "1000"=> var_ms_strb_index := 3; when others => var_ms_strb_index := 4; end case; Return (var_ms_strb_index); end function get_ms_index_4; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_8 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 8-bit wide strobe value. -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_8 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(7 downto 0); begin var_strb_value := input_strobe(7 downto 0); case var_strb_value is when "00000001" => var_ms_strb_index := 0; when "00000010" => var_ms_strb_index := 1; when "00000100" => var_ms_strb_index := 2; when "00001000" => var_ms_strb_index := 3; when "00010000" => var_ms_strb_index := 4; when "00100000" => var_ms_strb_index := 5; when "01000000" => var_ms_strb_index := 6; when "10000000" => var_ms_strb_index := 7; when others => var_ms_strb_index := 8; end case; Return (var_ms_strb_index); end function get_ms_index_8; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_16 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 16-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_16 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(15 downto 0); begin var_strb_value := input_strobe(15 downto 0); case var_strb_value is when "0000000000000001" => var_ms_strb_index := 0; when "0000000000000010" => var_ms_strb_index := 1; when "0000000000000100" => var_ms_strb_index := 2; when "0000000000001000" => var_ms_strb_index := 3; when "0000000000010000" => var_ms_strb_index := 4; when "0000000000100000" => var_ms_strb_index := 5; when "0000000001000000" => var_ms_strb_index := 6; when "0000000010000000" => var_ms_strb_index := 7; when "0000000100000000" => var_ms_strb_index := 8; when "0000001000000000" => var_ms_strb_index := 9; when "0000010000000000" => var_ms_strb_index := 10; when "0000100000000000" => var_ms_strb_index := 11; when "0001000000000000" => var_ms_strb_index := 12; when "0010000000000000" => var_ms_strb_index := 13; when "0100000000000000" => var_ms_strb_index := 14; when "1000000000000000" => var_ms_strb_index := 15; when others => var_ms_strb_index := 16; end case; Return (var_ms_strb_index); end function get_ms_index_16; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_32 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 32-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_32 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(31 downto 0); begin var_strb_value := input_strobe(31 downto 0); case var_strb_value is when "00000000000000000000000000000001" => var_ms_strb_index := 0; when "00000000000000000000000000000010" => var_ms_strb_index := 1; when "00000000000000000000000000000100" => var_ms_strb_index := 2; when "00000000000000000000000000001000" => var_ms_strb_index := 3; when "00000000000000000000000000010000" => var_ms_strb_index := 4; when "00000000000000000000000000100000" => var_ms_strb_index := 5; when "00000000000000000000000001000000" => var_ms_strb_index := 6; when "00000000000000000000000010000000" => var_ms_strb_index := 7; when "00000000000000000000000100000000" => var_ms_strb_index := 8; when "00000000000000000000001000000000" => var_ms_strb_index := 9; when "00000000000000000000010000000000" => var_ms_strb_index := 10; when "00000000000000000000100000000000" => var_ms_strb_index := 11; when "00000000000000000001000000000000" => var_ms_strb_index := 12; when "00000000000000000010000000000000" => var_ms_strb_index := 13; when "00000000000000000100000000000000" => var_ms_strb_index := 14; when "00000000000000001000000000000000" => var_ms_strb_index := 15; when "00000000000000010000000000000000" => var_ms_strb_index := 16; when "00000000000000100000000000000000" => var_ms_strb_index := 17; when "00000000000001000000000000000000" => var_ms_strb_index := 18; when "00000000000010000000000000000000" => var_ms_strb_index := 19; when "00000000000100000000000000000000" => var_ms_strb_index := 20; when "00000000001000000000000000000000" => var_ms_strb_index := 21; when "00000000010000000000000000000000" => var_ms_strb_index := 22; when "00000000100000000000000000000000" => var_ms_strb_index := 23; when "00000001000000000000000000000000" => var_ms_strb_index := 24; when "00000010000000000000000000000000" => var_ms_strb_index := 25; when "00000100000000000000000000000000" => var_ms_strb_index := 26; when "00001000000000000000000000000000" => var_ms_strb_index := 27; when "00010000000000000000000000000000" => var_ms_strb_index := 28; when "00100000000000000000000000000000" => var_ms_strb_index := 29; when "01000000000000000000000000000000" => var_ms_strb_index := 30; when "10000000000000000000000000000000" => var_ms_strb_index := 31; when others => var_ms_strb_index := 32; end case; Return (var_ms_strb_index); end function get_ms_index_32; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_64 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 64-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_64 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(63 downto 0); begin var_strb_value := input_strobe(63 downto 0); case var_strb_value is when "0000000000000000000000000000000000000000000000000000000000000001" => var_ms_strb_index := 0; when "0000000000000000000000000000000000000000000000000000000000000010" => var_ms_strb_index := 1; when "0000000000000000000000000000000000000000000000000000000000000100" => var_ms_strb_index := 2; when "0000000000000000000000000000000000000000000000000000000000001000" => var_ms_strb_index := 3; when "0000000000000000000000000000000000000000000000000000000000010000" => var_ms_strb_index := 4; when "0000000000000000000000000000000000000000000000000000000000100000" => var_ms_strb_index := 5; when "0000000000000000000000000000000000000000000000000000000001000000" => var_ms_strb_index := 6; when "0000000000000000000000000000000000000000000000000000000010000000" => var_ms_strb_index := 7; when "0000000000000000000000000000000000000000000000000000000100000000" => var_ms_strb_index := 8; when "0000000000000000000000000000000000000000000000000000001000000000" => var_ms_strb_index := 9; when "0000000000000000000000000000000000000000000000000000010000000000" => var_ms_strb_index := 10; when "0000000000000000000000000000000000000000000000000000100000000000" => var_ms_strb_index := 11; when "0000000000000000000000000000000000000000000000000001000000000000" => var_ms_strb_index := 12; when "0000000000000000000000000000000000000000000000000010000000000000" => var_ms_strb_index := 13; when "0000000000000000000000000000000000000000000000000100000000000000" => var_ms_strb_index := 14; when "0000000000000000000000000000000000000000000000001000000000000000" => var_ms_strb_index := 15; when "0000000000000000000000000000000000000000000000010000000000000000" => var_ms_strb_index := 16; when "0000000000000000000000000000000000000000000000100000000000000000" => var_ms_strb_index := 17; when "0000000000000000000000000000000000000000000001000000000000000000" => var_ms_strb_index := 18; when "0000000000000000000000000000000000000000000010000000000000000000" => var_ms_strb_index := 19; when "0000000000000000000000000000000000000000000100000000000000000000" => var_ms_strb_index := 20; when "0000000000000000000000000000000000000000001000000000000000000000" => var_ms_strb_index := 21; when "0000000000000000000000000000000000000000010000000000000000000000" => var_ms_strb_index := 22; when "0000000000000000000000000000000000000000100000000000000000000000" => var_ms_strb_index := 23; when "0000000000000000000000000000000000000001000000000000000000000000" => var_ms_strb_index := 24; when "0000000000000000000000000000000000000010000000000000000000000000" => var_ms_strb_index := 25; when "0000000000000000000000000000000000000100000000000000000000000000" => var_ms_strb_index := 26; when "0000000000000000000000000000000000001000000000000000000000000000" => var_ms_strb_index := 27; when "0000000000000000000000000000000000010000000000000000000000000000" => var_ms_strb_index := 28; when "0000000000000000000000000000000000100000000000000000000000000000" => var_ms_strb_index := 29; when "0000000000000000000000000000000001000000000000000000000000000000" => var_ms_strb_index := 30; when "0000000000000000000000000000000010000000000000000000000000000000" => var_ms_strb_index := 31; when "0000000000000000000000000000000100000000000000000000000000000000" => var_ms_strb_index := 32; when "0000000000000000000000000000001000000000000000000000000000000000" => var_ms_strb_index := 33; when "0000000000000000000000000000010000000000000000000000000000000000" => var_ms_strb_index := 34; when "0000000000000000000000000000100000000000000000000000000000000000" => var_ms_strb_index := 35; when "0000000000000000000000000001000000000000000000000000000000000000" => var_ms_strb_index := 36; when "0000000000000000000000000010000000000000000000000000000000000000" => var_ms_strb_index := 37; when "0000000000000000000000000100000000000000000000000000000000000000" => var_ms_strb_index := 38; when "0000000000000000000000001000000000000000000000000000000000000000" => var_ms_strb_index := 39; when "0000000000000000000000010000000000000000000000000000000000000000" => var_ms_strb_index := 40; when "0000000000000000000000100000000000000000000000000000000000000000" => var_ms_strb_index := 41; when "0000000000000000000001000000000000000000000000000000000000000000" => var_ms_strb_index := 42; when "0000000000000000000010000000000000000000000000000000000000000000" => var_ms_strb_index := 43; when "0000000000000000000100000000000000000000000000000000000000000000" => var_ms_strb_index := 44; when "0000000000000000001000000000000000000000000000000000000000000000" => var_ms_strb_index := 45; when "0000000000000000010000000000000000000000000000000000000000000000" => var_ms_strb_index := 46; when "0000000000000000100000000000000000000000000000000000000000000000" => var_ms_strb_index := 47; when "0000000000000001000000000000000000000000000000000000000000000000" => var_ms_strb_index := 48; when "0000000000000010000000000000000000000000000000000000000000000000" => var_ms_strb_index := 49; when "0000000000000100000000000000000000000000000000000000000000000000" => var_ms_strb_index := 50; when "0000000000001000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 51; when "0000000000010000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 52; when "0000000000100000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 53; when "0000000001000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 54; when "0000000010000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 55; when "0000000100000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 56; when "0000001000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 57; when "0000010000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 58; when "0000100000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 59; when "0001000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 60; when "0010000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 61; when "0100000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 62; when "1000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 63; when others => var_ms_strb_index := 64; end case; Return (var_ms_strb_index); end function get_ms_index_64; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_128 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 64-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_128 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(127 downto 0); begin var_strb_value := input_strobe(127 downto 0); case var_strb_value is when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001" => var_ms_strb_index := 0; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010" => var_ms_strb_index := 1; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100" => var_ms_strb_index := 2; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000" => var_ms_strb_index := 3; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000" => var_ms_strb_index := 4; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000" => var_ms_strb_index := 5; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000" => var_ms_strb_index := 6; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000" => var_ms_strb_index := 7; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000" => var_ms_strb_index := 8; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000" => var_ms_strb_index := 9; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000" => var_ms_strb_index := 10; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000" => var_ms_strb_index := 11; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000" => var_ms_strb_index := 12; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000" => var_ms_strb_index := 13; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000" => var_ms_strb_index := 14; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000" => var_ms_strb_index := 15; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000" => var_ms_strb_index := 16; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000" => var_ms_strb_index := 17; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000" => var_ms_strb_index := 18; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000" => var_ms_strb_index := 19; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000" => var_ms_strb_index := 20; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000" => var_ms_strb_index := 21; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000" => var_ms_strb_index := 22; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000" => var_ms_strb_index := 23; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000" => var_ms_strb_index := 24; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000" => var_ms_strb_index := 25; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000" => var_ms_strb_index := 26; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000" => var_ms_strb_index := 27; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000" => var_ms_strb_index := 28; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000" => var_ms_strb_index := 29; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000" => var_ms_strb_index := 30; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000" => var_ms_strb_index := 31; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000" => var_ms_strb_index := 32; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000" => var_ms_strb_index := 33; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000" => var_ms_strb_index := 34; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000" => var_ms_strb_index := 35; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000" => var_ms_strb_index := 36; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000" => var_ms_strb_index := 37; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000" => var_ms_strb_index := 38; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000" => var_ms_strb_index := 39; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000" => var_ms_strb_index := 40; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000" => var_ms_strb_index := 41; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000" => var_ms_strb_index := 42; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000" => var_ms_strb_index := 43; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000" => var_ms_strb_index := 44; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000" => var_ms_strb_index := 45; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000" => var_ms_strb_index := 46; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000" => var_ms_strb_index := 47; when "00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000" => var_ms_strb_index := 48; when "00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000" => var_ms_strb_index := 49; when "00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000" => var_ms_strb_index := 50; when "00000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 51; when "00000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 52; when "00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 53; when "00000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 54; when "00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 55; when "00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 56; when "00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 57; when "00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 58; when "00000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 59; when "00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 60; when "00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 61; when "00000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 62; when "00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 63; when "00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 64; when "00000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 65; when "00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 66; when "00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 67; when "00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 68; when "00000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 69; when "00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 70; when "00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 71; when "00000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 72; when "00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 73; when "00000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 74; when "00000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 75; when "00000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 76; when "00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 77; when "00000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 78; when "00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 79; when "00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 80; when "00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 81; when "00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 82; when "00000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 83; when "00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 84; when "00000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 85; when "00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 86; when "00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 87; when "00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 88; when "00000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 89; when "00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 90; when "00000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 91; when "00000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 92; when "00000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 93; when "00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 94; when "00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 95; when "00000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 96; when "00000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 97; when "00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 98; when "00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 99; when "00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 100; when "00000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 101; when "00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 102; when "00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 103; when "00000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 104; when "00000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 105; when "00000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 106; when "00000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 107; when "00000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 108; when "00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 109; when "00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 110; when "00000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 111; when "00000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 112; when "00000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 113; when "00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 114; when "00000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 115; when "00000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 116; when "00000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 117; when "00000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 118; when "00000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 119; when "00000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 120; when "00000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 121; when "00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 122; when "00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 123; when "00010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 124; when "00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 125; when "01000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 126; when "10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 127; when others => var_ms_strb_index := 128; end case; Return (var_ms_strb_index); end function get_ms_index_128; -- Constants Constant ERROR_INDEX : natural := C_STRB_WIDTH; Constant TEMP_NAT_MAX : natural := 255; -- allows for a 0 to 255 strobe index value Constant TEMP_UN_WIDTH : natural := 8; -- 8 bits allows for a 0 to 255 index value -- Signals signal sig_input_stbs : std_logic_vector(C_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_ms_asserted_index_un : unsigned(C_INDEX_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_error : std_logic := '0'; signal sig_temp_ms_index_un : unsigned(TEMP_UN_WIDTH-1 downto 0) := (others => '0'); signal sig_temp_ms_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin --(architecture implementation) -- Assign the ms asserted strobe value ms_strb_index <= STD_LOGIC_VECTOR(sig_ms_asserted_index_un); -- Assign the input strobe sig_input_stbs <= strbs_in ; -- assign input strobes -- Assign the strobe eror output strb_error <= sig_strb_error ; -- assign the strobe error output -- Rip the valid index bits sig_ms_asserted_index_un <= sig_temp_ms_index_un(C_INDEX_WIDTH-1 downto 0); -- Assert the Strobe Error output if an out of range index is returned sig_temp_ms_index_nat <= TO_INTEGER(sig_ms_asserted_index_un) ; sig_strb_error <= '1' When (sig_temp_ms_index_nat >= ERROR_INDEX) else '0'; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_1BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 1-bit strobe width case. -- -- ------------------------------------------------------------ GEN_1BIT_CASE : if (C_STRB_WIDTH = 1) generate begin sig_temp_ms_index_un <= TO_UNSIGNED( 0, TEMP_UN_WIDTH); end generate GEN_1BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_2BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 2-bit strobe width case. -- -- ------------------------------------------------------------ GEN_2BIT_CASE : if (C_STRB_WIDTH = 2) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin lsig_ms_asserted_index_nat <= get_ms_index_2(sig_input_stbs); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_2BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_4BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 4-bit strobe width case. -- ------------------------------------------------------------ GEN_4BIT_CASE : if (C_STRB_WIDTH = 4) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin lsig_ms_asserted_index_nat <= get_ms_index_4(sig_input_stbs); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_4BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_8BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 8-bit strobe width case. -- ------------------------------------------------------------ GEN_8BIT_CASE : if (C_STRB_WIDTH = 8) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_8(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_8BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_16BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 16-bit strobe width case. -- -- ------------------------------------------------------------ GEN_16BIT_CASE : if (C_STRB_WIDTH = 16) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_16(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_16BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_32BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 32-bit strobe width case. -- ------------------------------------------------------------ GEN_32BIT_CASE : if (C_STRB_WIDTH = 32) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_32(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_32BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_64BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 64-bit strobe width case. -- ------------------------------------------------------------ GEN_64BIT_CASE : if (C_STRB_WIDTH = 64) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_64(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_64BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_128BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 128-bit strobe width case. -- ------------------------------------------------------------ GEN_128BIT_CASE : if (C_STRB_WIDTH = 128) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_128(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_128BIT_CASE; end implementation;
------------------------------------------------------------------------------- -- axi_datamover_ms_strb_set.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_ms_strb_set.vhd -- -- Description: -- This module implements a function to detect the most significant strobe -- bit asserted and outputs the index value of that strobe bit. It can only -- be used in applications where the asserted strobe bits are contiguous and -- always asserted from LS to MS bit positions, -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity axi_datamover_ms_strb_set is generic ( C_STRB_WIDTH : Integer := 8; -- Sets the width of the input strobe port C_INDEX_WIDTH : Integer := 3 -- Sets the width of the ms_strb_index output port -- Should be log2(C_STRB_WIDTH) ); port ( -- Input strobe value ------------------------------------------------ strbs_in : in std_logic_vector(C_STRB_WIDTH-1 downto 0); -- ---------------------------------------------------------------------- -- Specifies the index of the most significant strobe set ------------ ms_strb_index : out std_logic_vector(C_INDEX_WIDTH-1 downto 0); -- ---------------------------------------------------------------------- -- Invalid strobe input Indcation ------------------------------------ strb_error : Out std_logic -- -- Indicates an error with the strobe input, either a hole in the -- -- asserted strobes or not asserted from LS bits upwards. -- ---------------------------------------------------------------------- ); end entity axi_datamover_ms_strb_set; architecture implementation of axi_datamover_ms_strb_set is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_2 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 2-bit wide strobe value. -- ------------------------------------------------------------------- function get_ms_index_2 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(1 downto 0); begin var_strb_value := input_strobe(1 downto 0); case var_strb_value is when "01" => var_ms_strb_index := 0; when "11" | "10" => var_ms_strb_index := 1; when others => var_ms_strb_index := 2; end case; Return (var_ms_strb_index); end function get_ms_index_2; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_4 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 4-bit wide strobe value. -- ------------------------------------------------------------------- function get_ms_index_4 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(3 downto 0); begin var_strb_value := input_strobe(3 downto 0); case var_strb_value is when "0001" => var_ms_strb_index := 0; when "0011" | "0010" => var_ms_strb_index := 1; when "0111" | "0110" | "0100" => var_ms_strb_index := 2; when "1111" | "1110" | "1100" | "1000"=> var_ms_strb_index := 3; when others => var_ms_strb_index := 4; end case; Return (var_ms_strb_index); end function get_ms_index_4; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_8 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 8-bit wide strobe value. -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_8 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(7 downto 0); begin var_strb_value := input_strobe(7 downto 0); case var_strb_value is when "00000001" => var_ms_strb_index := 0; when "00000010" => var_ms_strb_index := 1; when "00000100" => var_ms_strb_index := 2; when "00001000" => var_ms_strb_index := 3; when "00010000" => var_ms_strb_index := 4; when "00100000" => var_ms_strb_index := 5; when "01000000" => var_ms_strb_index := 6; when "10000000" => var_ms_strb_index := 7; when others => var_ms_strb_index := 8; end case; Return (var_ms_strb_index); end function get_ms_index_8; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_16 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 16-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_16 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(15 downto 0); begin var_strb_value := input_strobe(15 downto 0); case var_strb_value is when "0000000000000001" => var_ms_strb_index := 0; when "0000000000000010" => var_ms_strb_index := 1; when "0000000000000100" => var_ms_strb_index := 2; when "0000000000001000" => var_ms_strb_index := 3; when "0000000000010000" => var_ms_strb_index := 4; when "0000000000100000" => var_ms_strb_index := 5; when "0000000001000000" => var_ms_strb_index := 6; when "0000000010000000" => var_ms_strb_index := 7; when "0000000100000000" => var_ms_strb_index := 8; when "0000001000000000" => var_ms_strb_index := 9; when "0000010000000000" => var_ms_strb_index := 10; when "0000100000000000" => var_ms_strb_index := 11; when "0001000000000000" => var_ms_strb_index := 12; when "0010000000000000" => var_ms_strb_index := 13; when "0100000000000000" => var_ms_strb_index := 14; when "1000000000000000" => var_ms_strb_index := 15; when others => var_ms_strb_index := 16; end case; Return (var_ms_strb_index); end function get_ms_index_16; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_32 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 32-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_32 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(31 downto 0); begin var_strb_value := input_strobe(31 downto 0); case var_strb_value is when "00000000000000000000000000000001" => var_ms_strb_index := 0; when "00000000000000000000000000000010" => var_ms_strb_index := 1; when "00000000000000000000000000000100" => var_ms_strb_index := 2; when "00000000000000000000000000001000" => var_ms_strb_index := 3; when "00000000000000000000000000010000" => var_ms_strb_index := 4; when "00000000000000000000000000100000" => var_ms_strb_index := 5; when "00000000000000000000000001000000" => var_ms_strb_index := 6; when "00000000000000000000000010000000" => var_ms_strb_index := 7; when "00000000000000000000000100000000" => var_ms_strb_index := 8; when "00000000000000000000001000000000" => var_ms_strb_index := 9; when "00000000000000000000010000000000" => var_ms_strb_index := 10; when "00000000000000000000100000000000" => var_ms_strb_index := 11; when "00000000000000000001000000000000" => var_ms_strb_index := 12; when "00000000000000000010000000000000" => var_ms_strb_index := 13; when "00000000000000000100000000000000" => var_ms_strb_index := 14; when "00000000000000001000000000000000" => var_ms_strb_index := 15; when "00000000000000010000000000000000" => var_ms_strb_index := 16; when "00000000000000100000000000000000" => var_ms_strb_index := 17; when "00000000000001000000000000000000" => var_ms_strb_index := 18; when "00000000000010000000000000000000" => var_ms_strb_index := 19; when "00000000000100000000000000000000" => var_ms_strb_index := 20; when "00000000001000000000000000000000" => var_ms_strb_index := 21; when "00000000010000000000000000000000" => var_ms_strb_index := 22; when "00000000100000000000000000000000" => var_ms_strb_index := 23; when "00000001000000000000000000000000" => var_ms_strb_index := 24; when "00000010000000000000000000000000" => var_ms_strb_index := 25; when "00000100000000000000000000000000" => var_ms_strb_index := 26; when "00001000000000000000000000000000" => var_ms_strb_index := 27; when "00010000000000000000000000000000" => var_ms_strb_index := 28; when "00100000000000000000000000000000" => var_ms_strb_index := 29; when "01000000000000000000000000000000" => var_ms_strb_index := 30; when "10000000000000000000000000000000" => var_ms_strb_index := 31; when others => var_ms_strb_index := 32; end case; Return (var_ms_strb_index); end function get_ms_index_32; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_64 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 64-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_64 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(63 downto 0); begin var_strb_value := input_strobe(63 downto 0); case var_strb_value is when "0000000000000000000000000000000000000000000000000000000000000001" => var_ms_strb_index := 0; when "0000000000000000000000000000000000000000000000000000000000000010" => var_ms_strb_index := 1; when "0000000000000000000000000000000000000000000000000000000000000100" => var_ms_strb_index := 2; when "0000000000000000000000000000000000000000000000000000000000001000" => var_ms_strb_index := 3; when "0000000000000000000000000000000000000000000000000000000000010000" => var_ms_strb_index := 4; when "0000000000000000000000000000000000000000000000000000000000100000" => var_ms_strb_index := 5; when "0000000000000000000000000000000000000000000000000000000001000000" => var_ms_strb_index := 6; when "0000000000000000000000000000000000000000000000000000000010000000" => var_ms_strb_index := 7; when "0000000000000000000000000000000000000000000000000000000100000000" => var_ms_strb_index := 8; when "0000000000000000000000000000000000000000000000000000001000000000" => var_ms_strb_index := 9; when "0000000000000000000000000000000000000000000000000000010000000000" => var_ms_strb_index := 10; when "0000000000000000000000000000000000000000000000000000100000000000" => var_ms_strb_index := 11; when "0000000000000000000000000000000000000000000000000001000000000000" => var_ms_strb_index := 12; when "0000000000000000000000000000000000000000000000000010000000000000" => var_ms_strb_index := 13; when "0000000000000000000000000000000000000000000000000100000000000000" => var_ms_strb_index := 14; when "0000000000000000000000000000000000000000000000001000000000000000" => var_ms_strb_index := 15; when "0000000000000000000000000000000000000000000000010000000000000000" => var_ms_strb_index := 16; when "0000000000000000000000000000000000000000000000100000000000000000" => var_ms_strb_index := 17; when "0000000000000000000000000000000000000000000001000000000000000000" => var_ms_strb_index := 18; when "0000000000000000000000000000000000000000000010000000000000000000" => var_ms_strb_index := 19; when "0000000000000000000000000000000000000000000100000000000000000000" => var_ms_strb_index := 20; when "0000000000000000000000000000000000000000001000000000000000000000" => var_ms_strb_index := 21; when "0000000000000000000000000000000000000000010000000000000000000000" => var_ms_strb_index := 22; when "0000000000000000000000000000000000000000100000000000000000000000" => var_ms_strb_index := 23; when "0000000000000000000000000000000000000001000000000000000000000000" => var_ms_strb_index := 24; when "0000000000000000000000000000000000000010000000000000000000000000" => var_ms_strb_index := 25; when "0000000000000000000000000000000000000100000000000000000000000000" => var_ms_strb_index := 26; when "0000000000000000000000000000000000001000000000000000000000000000" => var_ms_strb_index := 27; when "0000000000000000000000000000000000010000000000000000000000000000" => var_ms_strb_index := 28; when "0000000000000000000000000000000000100000000000000000000000000000" => var_ms_strb_index := 29; when "0000000000000000000000000000000001000000000000000000000000000000" => var_ms_strb_index := 30; when "0000000000000000000000000000000010000000000000000000000000000000" => var_ms_strb_index := 31; when "0000000000000000000000000000000100000000000000000000000000000000" => var_ms_strb_index := 32; when "0000000000000000000000000000001000000000000000000000000000000000" => var_ms_strb_index := 33; when "0000000000000000000000000000010000000000000000000000000000000000" => var_ms_strb_index := 34; when "0000000000000000000000000000100000000000000000000000000000000000" => var_ms_strb_index := 35; when "0000000000000000000000000001000000000000000000000000000000000000" => var_ms_strb_index := 36; when "0000000000000000000000000010000000000000000000000000000000000000" => var_ms_strb_index := 37; when "0000000000000000000000000100000000000000000000000000000000000000" => var_ms_strb_index := 38; when "0000000000000000000000001000000000000000000000000000000000000000" => var_ms_strb_index := 39; when "0000000000000000000000010000000000000000000000000000000000000000" => var_ms_strb_index := 40; when "0000000000000000000000100000000000000000000000000000000000000000" => var_ms_strb_index := 41; when "0000000000000000000001000000000000000000000000000000000000000000" => var_ms_strb_index := 42; when "0000000000000000000010000000000000000000000000000000000000000000" => var_ms_strb_index := 43; when "0000000000000000000100000000000000000000000000000000000000000000" => var_ms_strb_index := 44; when "0000000000000000001000000000000000000000000000000000000000000000" => var_ms_strb_index := 45; when "0000000000000000010000000000000000000000000000000000000000000000" => var_ms_strb_index := 46; when "0000000000000000100000000000000000000000000000000000000000000000" => var_ms_strb_index := 47; when "0000000000000001000000000000000000000000000000000000000000000000" => var_ms_strb_index := 48; when "0000000000000010000000000000000000000000000000000000000000000000" => var_ms_strb_index := 49; when "0000000000000100000000000000000000000000000000000000000000000000" => var_ms_strb_index := 50; when "0000000000001000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 51; when "0000000000010000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 52; when "0000000000100000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 53; when "0000000001000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 54; when "0000000010000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 55; when "0000000100000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 56; when "0000001000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 57; when "0000010000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 58; when "0000100000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 59; when "0001000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 60; when "0010000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 61; when "0100000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 62; when "1000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 63; when others => var_ms_strb_index := 64; end case; Return (var_ms_strb_index); end function get_ms_index_64; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_128 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 64-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_128 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(127 downto 0); begin var_strb_value := input_strobe(127 downto 0); case var_strb_value is when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001" => var_ms_strb_index := 0; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010" => var_ms_strb_index := 1; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100" => var_ms_strb_index := 2; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000" => var_ms_strb_index := 3; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000" => var_ms_strb_index := 4; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000" => var_ms_strb_index := 5; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000" => var_ms_strb_index := 6; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000" => var_ms_strb_index := 7; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000" => var_ms_strb_index := 8; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000" => var_ms_strb_index := 9; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000" => var_ms_strb_index := 10; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000" => var_ms_strb_index := 11; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000" => var_ms_strb_index := 12; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000" => var_ms_strb_index := 13; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000" => var_ms_strb_index := 14; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000" => var_ms_strb_index := 15; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000" => var_ms_strb_index := 16; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000" => var_ms_strb_index := 17; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000" => var_ms_strb_index := 18; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000" => var_ms_strb_index := 19; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000" => var_ms_strb_index := 20; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000" => var_ms_strb_index := 21; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000" => var_ms_strb_index := 22; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000" => var_ms_strb_index := 23; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000" => var_ms_strb_index := 24; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000" => var_ms_strb_index := 25; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000" => var_ms_strb_index := 26; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000" => var_ms_strb_index := 27; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000" => var_ms_strb_index := 28; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000" => var_ms_strb_index := 29; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000" => var_ms_strb_index := 30; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000" => var_ms_strb_index := 31; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000" => var_ms_strb_index := 32; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000" => var_ms_strb_index := 33; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000" => var_ms_strb_index := 34; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000" => var_ms_strb_index := 35; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000" => var_ms_strb_index := 36; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000" => var_ms_strb_index := 37; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000" => var_ms_strb_index := 38; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000" => var_ms_strb_index := 39; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000" => var_ms_strb_index := 40; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000" => var_ms_strb_index := 41; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000" => var_ms_strb_index := 42; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000" => var_ms_strb_index := 43; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000" => var_ms_strb_index := 44; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000" => var_ms_strb_index := 45; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000" => var_ms_strb_index := 46; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000" => var_ms_strb_index := 47; when "00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000" => var_ms_strb_index := 48; when "00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000" => var_ms_strb_index := 49; when "00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000" => var_ms_strb_index := 50; when "00000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 51; when "00000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 52; when "00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 53; when "00000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 54; when "00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 55; when "00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 56; when "00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 57; when "00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 58; when "00000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 59; when "00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 60; when "00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 61; when "00000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 62; when "00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 63; when "00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 64; when "00000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 65; when "00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 66; when "00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 67; when "00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 68; when "00000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 69; when "00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 70; when "00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 71; when "00000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 72; when "00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 73; when "00000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 74; when "00000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 75; when "00000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 76; when "00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 77; when "00000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 78; when "00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 79; when "00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 80; when "00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 81; when "00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 82; when "00000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 83; when "00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 84; when "00000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 85; when "00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 86; when "00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 87; when "00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 88; when "00000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 89; when "00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 90; when "00000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 91; when "00000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 92; when "00000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 93; when "00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 94; when "00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 95; when "00000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 96; when "00000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 97; when "00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 98; when "00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 99; when "00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 100; when "00000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 101; when "00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 102; when "00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 103; when "00000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 104; when "00000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 105; when "00000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 106; when "00000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 107; when "00000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 108; when "00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 109; when "00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 110; when "00000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 111; when "00000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 112; when "00000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 113; when "00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 114; when "00000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 115; when "00000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 116; when "00000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 117; when "00000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 118; when "00000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 119; when "00000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 120; when "00000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 121; when "00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 122; when "00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 123; when "00010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 124; when "00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 125; when "01000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 126; when "10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 127; when others => var_ms_strb_index := 128; end case; Return (var_ms_strb_index); end function get_ms_index_128; -- Constants Constant ERROR_INDEX : natural := C_STRB_WIDTH; Constant TEMP_NAT_MAX : natural := 255; -- allows for a 0 to 255 strobe index value Constant TEMP_UN_WIDTH : natural := 8; -- 8 bits allows for a 0 to 255 index value -- Signals signal sig_input_stbs : std_logic_vector(C_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_ms_asserted_index_un : unsigned(C_INDEX_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_error : std_logic := '0'; signal sig_temp_ms_index_un : unsigned(TEMP_UN_WIDTH-1 downto 0) := (others => '0'); signal sig_temp_ms_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin --(architecture implementation) -- Assign the ms asserted strobe value ms_strb_index <= STD_LOGIC_VECTOR(sig_ms_asserted_index_un); -- Assign the input strobe sig_input_stbs <= strbs_in ; -- assign input strobes -- Assign the strobe eror output strb_error <= sig_strb_error ; -- assign the strobe error output -- Rip the valid index bits sig_ms_asserted_index_un <= sig_temp_ms_index_un(C_INDEX_WIDTH-1 downto 0); -- Assert the Strobe Error output if an out of range index is returned sig_temp_ms_index_nat <= TO_INTEGER(sig_ms_asserted_index_un) ; sig_strb_error <= '1' When (sig_temp_ms_index_nat >= ERROR_INDEX) else '0'; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_1BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 1-bit strobe width case. -- -- ------------------------------------------------------------ GEN_1BIT_CASE : if (C_STRB_WIDTH = 1) generate begin sig_temp_ms_index_un <= TO_UNSIGNED( 0, TEMP_UN_WIDTH); end generate GEN_1BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_2BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 2-bit strobe width case. -- -- ------------------------------------------------------------ GEN_2BIT_CASE : if (C_STRB_WIDTH = 2) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin lsig_ms_asserted_index_nat <= get_ms_index_2(sig_input_stbs); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_2BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_4BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 4-bit strobe width case. -- ------------------------------------------------------------ GEN_4BIT_CASE : if (C_STRB_WIDTH = 4) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin lsig_ms_asserted_index_nat <= get_ms_index_4(sig_input_stbs); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_4BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_8BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 8-bit strobe width case. -- ------------------------------------------------------------ GEN_8BIT_CASE : if (C_STRB_WIDTH = 8) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_8(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_8BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_16BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 16-bit strobe width case. -- -- ------------------------------------------------------------ GEN_16BIT_CASE : if (C_STRB_WIDTH = 16) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_16(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_16BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_32BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 32-bit strobe width case. -- ------------------------------------------------------------ GEN_32BIT_CASE : if (C_STRB_WIDTH = 32) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_32(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_32BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_64BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 64-bit strobe width case. -- ------------------------------------------------------------ GEN_64BIT_CASE : if (C_STRB_WIDTH = 64) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_64(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_64BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_128BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 128-bit strobe width case. -- ------------------------------------------------------------ GEN_128BIT_CASE : if (C_STRB_WIDTH = 128) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_128(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_128BIT_CASE; end implementation;
------------------------------------------------------------------------------- -- axi_datamover_ms_strb_set.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_ms_strb_set.vhd -- -- Description: -- This module implements a function to detect the most significant strobe -- bit asserted and outputs the index value of that strobe bit. It can only -- be used in applications where the asserted strobe bits are contiguous and -- always asserted from LS to MS bit positions, -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity axi_datamover_ms_strb_set is generic ( C_STRB_WIDTH : Integer := 8; -- Sets the width of the input strobe port C_INDEX_WIDTH : Integer := 3 -- Sets the width of the ms_strb_index output port -- Should be log2(C_STRB_WIDTH) ); port ( -- Input strobe value ------------------------------------------------ strbs_in : in std_logic_vector(C_STRB_WIDTH-1 downto 0); -- ---------------------------------------------------------------------- -- Specifies the index of the most significant strobe set ------------ ms_strb_index : out std_logic_vector(C_INDEX_WIDTH-1 downto 0); -- ---------------------------------------------------------------------- -- Invalid strobe input Indcation ------------------------------------ strb_error : Out std_logic -- -- Indicates an error with the strobe input, either a hole in the -- -- asserted strobes or not asserted from LS bits upwards. -- ---------------------------------------------------------------------- ); end entity axi_datamover_ms_strb_set; architecture implementation of axi_datamover_ms_strb_set is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_2 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 2-bit wide strobe value. -- ------------------------------------------------------------------- function get_ms_index_2 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(1 downto 0); begin var_strb_value := input_strobe(1 downto 0); case var_strb_value is when "01" => var_ms_strb_index := 0; when "11" | "10" => var_ms_strb_index := 1; when others => var_ms_strb_index := 2; end case; Return (var_ms_strb_index); end function get_ms_index_2; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_4 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 4-bit wide strobe value. -- ------------------------------------------------------------------- function get_ms_index_4 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(3 downto 0); begin var_strb_value := input_strobe(3 downto 0); case var_strb_value is when "0001" => var_ms_strb_index := 0; when "0011" | "0010" => var_ms_strb_index := 1; when "0111" | "0110" | "0100" => var_ms_strb_index := 2; when "1111" | "1110" | "1100" | "1000"=> var_ms_strb_index := 3; when others => var_ms_strb_index := 4; end case; Return (var_ms_strb_index); end function get_ms_index_4; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_8 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 8-bit wide strobe value. -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_8 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(7 downto 0); begin var_strb_value := input_strobe(7 downto 0); case var_strb_value is when "00000001" => var_ms_strb_index := 0; when "00000010" => var_ms_strb_index := 1; when "00000100" => var_ms_strb_index := 2; when "00001000" => var_ms_strb_index := 3; when "00010000" => var_ms_strb_index := 4; when "00100000" => var_ms_strb_index := 5; when "01000000" => var_ms_strb_index := 6; when "10000000" => var_ms_strb_index := 7; when others => var_ms_strb_index := 8; end case; Return (var_ms_strb_index); end function get_ms_index_8; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_16 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 16-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_16 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(15 downto 0); begin var_strb_value := input_strobe(15 downto 0); case var_strb_value is when "0000000000000001" => var_ms_strb_index := 0; when "0000000000000010" => var_ms_strb_index := 1; when "0000000000000100" => var_ms_strb_index := 2; when "0000000000001000" => var_ms_strb_index := 3; when "0000000000010000" => var_ms_strb_index := 4; when "0000000000100000" => var_ms_strb_index := 5; when "0000000001000000" => var_ms_strb_index := 6; when "0000000010000000" => var_ms_strb_index := 7; when "0000000100000000" => var_ms_strb_index := 8; when "0000001000000000" => var_ms_strb_index := 9; when "0000010000000000" => var_ms_strb_index := 10; when "0000100000000000" => var_ms_strb_index := 11; when "0001000000000000" => var_ms_strb_index := 12; when "0010000000000000" => var_ms_strb_index := 13; when "0100000000000000" => var_ms_strb_index := 14; when "1000000000000000" => var_ms_strb_index := 15; when others => var_ms_strb_index := 16; end case; Return (var_ms_strb_index); end function get_ms_index_16; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_32 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 32-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_32 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(31 downto 0); begin var_strb_value := input_strobe(31 downto 0); case var_strb_value is when "00000000000000000000000000000001" => var_ms_strb_index := 0; when "00000000000000000000000000000010" => var_ms_strb_index := 1; when "00000000000000000000000000000100" => var_ms_strb_index := 2; when "00000000000000000000000000001000" => var_ms_strb_index := 3; when "00000000000000000000000000010000" => var_ms_strb_index := 4; when "00000000000000000000000000100000" => var_ms_strb_index := 5; when "00000000000000000000000001000000" => var_ms_strb_index := 6; when "00000000000000000000000010000000" => var_ms_strb_index := 7; when "00000000000000000000000100000000" => var_ms_strb_index := 8; when "00000000000000000000001000000000" => var_ms_strb_index := 9; when "00000000000000000000010000000000" => var_ms_strb_index := 10; when "00000000000000000000100000000000" => var_ms_strb_index := 11; when "00000000000000000001000000000000" => var_ms_strb_index := 12; when "00000000000000000010000000000000" => var_ms_strb_index := 13; when "00000000000000000100000000000000" => var_ms_strb_index := 14; when "00000000000000001000000000000000" => var_ms_strb_index := 15; when "00000000000000010000000000000000" => var_ms_strb_index := 16; when "00000000000000100000000000000000" => var_ms_strb_index := 17; when "00000000000001000000000000000000" => var_ms_strb_index := 18; when "00000000000010000000000000000000" => var_ms_strb_index := 19; when "00000000000100000000000000000000" => var_ms_strb_index := 20; when "00000000001000000000000000000000" => var_ms_strb_index := 21; when "00000000010000000000000000000000" => var_ms_strb_index := 22; when "00000000100000000000000000000000" => var_ms_strb_index := 23; when "00000001000000000000000000000000" => var_ms_strb_index := 24; when "00000010000000000000000000000000" => var_ms_strb_index := 25; when "00000100000000000000000000000000" => var_ms_strb_index := 26; when "00001000000000000000000000000000" => var_ms_strb_index := 27; when "00010000000000000000000000000000" => var_ms_strb_index := 28; when "00100000000000000000000000000000" => var_ms_strb_index := 29; when "01000000000000000000000000000000" => var_ms_strb_index := 30; when "10000000000000000000000000000000" => var_ms_strb_index := 31; when others => var_ms_strb_index := 32; end case; Return (var_ms_strb_index); end function get_ms_index_32; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_64 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 64-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_64 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(63 downto 0); begin var_strb_value := input_strobe(63 downto 0); case var_strb_value is when "0000000000000000000000000000000000000000000000000000000000000001" => var_ms_strb_index := 0; when "0000000000000000000000000000000000000000000000000000000000000010" => var_ms_strb_index := 1; when "0000000000000000000000000000000000000000000000000000000000000100" => var_ms_strb_index := 2; when "0000000000000000000000000000000000000000000000000000000000001000" => var_ms_strb_index := 3; when "0000000000000000000000000000000000000000000000000000000000010000" => var_ms_strb_index := 4; when "0000000000000000000000000000000000000000000000000000000000100000" => var_ms_strb_index := 5; when "0000000000000000000000000000000000000000000000000000000001000000" => var_ms_strb_index := 6; when "0000000000000000000000000000000000000000000000000000000010000000" => var_ms_strb_index := 7; when "0000000000000000000000000000000000000000000000000000000100000000" => var_ms_strb_index := 8; when "0000000000000000000000000000000000000000000000000000001000000000" => var_ms_strb_index := 9; when "0000000000000000000000000000000000000000000000000000010000000000" => var_ms_strb_index := 10; when "0000000000000000000000000000000000000000000000000000100000000000" => var_ms_strb_index := 11; when "0000000000000000000000000000000000000000000000000001000000000000" => var_ms_strb_index := 12; when "0000000000000000000000000000000000000000000000000010000000000000" => var_ms_strb_index := 13; when "0000000000000000000000000000000000000000000000000100000000000000" => var_ms_strb_index := 14; when "0000000000000000000000000000000000000000000000001000000000000000" => var_ms_strb_index := 15; when "0000000000000000000000000000000000000000000000010000000000000000" => var_ms_strb_index := 16; when "0000000000000000000000000000000000000000000000100000000000000000" => var_ms_strb_index := 17; when "0000000000000000000000000000000000000000000001000000000000000000" => var_ms_strb_index := 18; when "0000000000000000000000000000000000000000000010000000000000000000" => var_ms_strb_index := 19; when "0000000000000000000000000000000000000000000100000000000000000000" => var_ms_strb_index := 20; when "0000000000000000000000000000000000000000001000000000000000000000" => var_ms_strb_index := 21; when "0000000000000000000000000000000000000000010000000000000000000000" => var_ms_strb_index := 22; when "0000000000000000000000000000000000000000100000000000000000000000" => var_ms_strb_index := 23; when "0000000000000000000000000000000000000001000000000000000000000000" => var_ms_strb_index := 24; when "0000000000000000000000000000000000000010000000000000000000000000" => var_ms_strb_index := 25; when "0000000000000000000000000000000000000100000000000000000000000000" => var_ms_strb_index := 26; when "0000000000000000000000000000000000001000000000000000000000000000" => var_ms_strb_index := 27; when "0000000000000000000000000000000000010000000000000000000000000000" => var_ms_strb_index := 28; when "0000000000000000000000000000000000100000000000000000000000000000" => var_ms_strb_index := 29; when "0000000000000000000000000000000001000000000000000000000000000000" => var_ms_strb_index := 30; when "0000000000000000000000000000000010000000000000000000000000000000" => var_ms_strb_index := 31; when "0000000000000000000000000000000100000000000000000000000000000000" => var_ms_strb_index := 32; when "0000000000000000000000000000001000000000000000000000000000000000" => var_ms_strb_index := 33; when "0000000000000000000000000000010000000000000000000000000000000000" => var_ms_strb_index := 34; when "0000000000000000000000000000100000000000000000000000000000000000" => var_ms_strb_index := 35; when "0000000000000000000000000001000000000000000000000000000000000000" => var_ms_strb_index := 36; when "0000000000000000000000000010000000000000000000000000000000000000" => var_ms_strb_index := 37; when "0000000000000000000000000100000000000000000000000000000000000000" => var_ms_strb_index := 38; when "0000000000000000000000001000000000000000000000000000000000000000" => var_ms_strb_index := 39; when "0000000000000000000000010000000000000000000000000000000000000000" => var_ms_strb_index := 40; when "0000000000000000000000100000000000000000000000000000000000000000" => var_ms_strb_index := 41; when "0000000000000000000001000000000000000000000000000000000000000000" => var_ms_strb_index := 42; when "0000000000000000000010000000000000000000000000000000000000000000" => var_ms_strb_index := 43; when "0000000000000000000100000000000000000000000000000000000000000000" => var_ms_strb_index := 44; when "0000000000000000001000000000000000000000000000000000000000000000" => var_ms_strb_index := 45; when "0000000000000000010000000000000000000000000000000000000000000000" => var_ms_strb_index := 46; when "0000000000000000100000000000000000000000000000000000000000000000" => var_ms_strb_index := 47; when "0000000000000001000000000000000000000000000000000000000000000000" => var_ms_strb_index := 48; when "0000000000000010000000000000000000000000000000000000000000000000" => var_ms_strb_index := 49; when "0000000000000100000000000000000000000000000000000000000000000000" => var_ms_strb_index := 50; when "0000000000001000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 51; when "0000000000010000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 52; when "0000000000100000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 53; when "0000000001000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 54; when "0000000010000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 55; when "0000000100000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 56; when "0000001000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 57; when "0000010000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 58; when "0000100000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 59; when "0001000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 60; when "0010000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 61; when "0100000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 62; when "1000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 63; when others => var_ms_strb_index := 64; end case; Return (var_ms_strb_index); end function get_ms_index_64; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_128 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 64-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_128 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(127 downto 0); begin var_strb_value := input_strobe(127 downto 0); case var_strb_value is when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001" => var_ms_strb_index := 0; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010" => var_ms_strb_index := 1; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100" => var_ms_strb_index := 2; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000" => var_ms_strb_index := 3; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000" => var_ms_strb_index := 4; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000" => var_ms_strb_index := 5; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000" => var_ms_strb_index := 6; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000" => var_ms_strb_index := 7; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000" => var_ms_strb_index := 8; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000" => var_ms_strb_index := 9; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000" => var_ms_strb_index := 10; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000" => var_ms_strb_index := 11; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000" => var_ms_strb_index := 12; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000" => var_ms_strb_index := 13; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000" => var_ms_strb_index := 14; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000" => var_ms_strb_index := 15; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000" => var_ms_strb_index := 16; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000" => var_ms_strb_index := 17; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000" => var_ms_strb_index := 18; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000" => var_ms_strb_index := 19; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000" => var_ms_strb_index := 20; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000" => var_ms_strb_index := 21; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000" => var_ms_strb_index := 22; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000" => var_ms_strb_index := 23; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000" => var_ms_strb_index := 24; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000" => var_ms_strb_index := 25; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000" => var_ms_strb_index := 26; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000" => var_ms_strb_index := 27; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000" => var_ms_strb_index := 28; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000" => var_ms_strb_index := 29; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000" => var_ms_strb_index := 30; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000" => var_ms_strb_index := 31; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000" => var_ms_strb_index := 32; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000" => var_ms_strb_index := 33; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000" => var_ms_strb_index := 34; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000" => var_ms_strb_index := 35; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000" => var_ms_strb_index := 36; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000" => var_ms_strb_index := 37; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000" => var_ms_strb_index := 38; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000" => var_ms_strb_index := 39; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000" => var_ms_strb_index := 40; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000" => var_ms_strb_index := 41; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000" => var_ms_strb_index := 42; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000" => var_ms_strb_index := 43; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000" => var_ms_strb_index := 44; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000" => var_ms_strb_index := 45; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000" => var_ms_strb_index := 46; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000" => var_ms_strb_index := 47; when "00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000" => var_ms_strb_index := 48; when "00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000" => var_ms_strb_index := 49; when "00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000" => var_ms_strb_index := 50; when "00000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 51; when "00000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 52; when "00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 53; when "00000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 54; when "00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 55; when "00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 56; when "00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 57; when "00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 58; when "00000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 59; when "00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 60; when "00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 61; when "00000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 62; when "00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 63; when "00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 64; when "00000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 65; when "00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 66; when "00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 67; when "00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 68; when "00000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 69; when "00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 70; when "00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 71; when "00000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 72; when "00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 73; when "00000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 74; when "00000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 75; when "00000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 76; when "00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 77; when "00000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 78; when "00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 79; when "00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 80; when "00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 81; when "00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 82; when "00000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 83; when "00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 84; when "00000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 85; when "00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 86; when "00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 87; when "00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 88; when "00000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 89; when "00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 90; when "00000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 91; when "00000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 92; when "00000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 93; when "00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 94; when "00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 95; when "00000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 96; when "00000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 97; when "00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 98; when "00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 99; when "00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 100; when "00000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 101; when "00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 102; when "00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 103; when "00000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 104; when "00000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 105; when "00000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 106; when "00000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 107; when "00000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 108; when "00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 109; when "00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 110; when "00000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 111; when "00000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 112; when "00000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 113; when "00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 114; when "00000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 115; when "00000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 116; when "00000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 117; when "00000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 118; when "00000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 119; when "00000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 120; when "00000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 121; when "00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 122; when "00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 123; when "00010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 124; when "00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 125; when "01000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 126; when "10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 127; when others => var_ms_strb_index := 128; end case; Return (var_ms_strb_index); end function get_ms_index_128; -- Constants Constant ERROR_INDEX : natural := C_STRB_WIDTH; Constant TEMP_NAT_MAX : natural := 255; -- allows for a 0 to 255 strobe index value Constant TEMP_UN_WIDTH : natural := 8; -- 8 bits allows for a 0 to 255 index value -- Signals signal sig_input_stbs : std_logic_vector(C_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_ms_asserted_index_un : unsigned(C_INDEX_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_error : std_logic := '0'; signal sig_temp_ms_index_un : unsigned(TEMP_UN_WIDTH-1 downto 0) := (others => '0'); signal sig_temp_ms_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin --(architecture implementation) -- Assign the ms asserted strobe value ms_strb_index <= STD_LOGIC_VECTOR(sig_ms_asserted_index_un); -- Assign the input strobe sig_input_stbs <= strbs_in ; -- assign input strobes -- Assign the strobe eror output strb_error <= sig_strb_error ; -- assign the strobe error output -- Rip the valid index bits sig_ms_asserted_index_un <= sig_temp_ms_index_un(C_INDEX_WIDTH-1 downto 0); -- Assert the Strobe Error output if an out of range index is returned sig_temp_ms_index_nat <= TO_INTEGER(sig_ms_asserted_index_un) ; sig_strb_error <= '1' When (sig_temp_ms_index_nat >= ERROR_INDEX) else '0'; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_1BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 1-bit strobe width case. -- -- ------------------------------------------------------------ GEN_1BIT_CASE : if (C_STRB_WIDTH = 1) generate begin sig_temp_ms_index_un <= TO_UNSIGNED( 0, TEMP_UN_WIDTH); end generate GEN_1BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_2BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 2-bit strobe width case. -- -- ------------------------------------------------------------ GEN_2BIT_CASE : if (C_STRB_WIDTH = 2) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin lsig_ms_asserted_index_nat <= get_ms_index_2(sig_input_stbs); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_2BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_4BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 4-bit strobe width case. -- ------------------------------------------------------------ GEN_4BIT_CASE : if (C_STRB_WIDTH = 4) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin lsig_ms_asserted_index_nat <= get_ms_index_4(sig_input_stbs); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_4BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_8BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 8-bit strobe width case. -- ------------------------------------------------------------ GEN_8BIT_CASE : if (C_STRB_WIDTH = 8) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_8(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_8BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_16BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 16-bit strobe width case. -- -- ------------------------------------------------------------ GEN_16BIT_CASE : if (C_STRB_WIDTH = 16) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_16(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_16BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_32BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 32-bit strobe width case. -- ------------------------------------------------------------ GEN_32BIT_CASE : if (C_STRB_WIDTH = 32) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_32(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_32BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_64BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 64-bit strobe width case. -- ------------------------------------------------------------ GEN_64BIT_CASE : if (C_STRB_WIDTH = 64) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_64(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_64BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_128BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 128-bit strobe width case. -- ------------------------------------------------------------ GEN_128BIT_CASE : if (C_STRB_WIDTH = 128) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_128(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_128BIT_CASE; end implementation;
------------------------------------------------------------------------------- -- axi_datamover_ms_strb_set.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_ms_strb_set.vhd -- -- Description: -- This module implements a function to detect the most significant strobe -- bit asserted and outputs the index value of that strobe bit. It can only -- be used in applications where the asserted strobe bits are contiguous and -- always asserted from LS to MS bit positions, -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity axi_datamover_ms_strb_set is generic ( C_STRB_WIDTH : Integer := 8; -- Sets the width of the input strobe port C_INDEX_WIDTH : Integer := 3 -- Sets the width of the ms_strb_index output port -- Should be log2(C_STRB_WIDTH) ); port ( -- Input strobe value ------------------------------------------------ strbs_in : in std_logic_vector(C_STRB_WIDTH-1 downto 0); -- ---------------------------------------------------------------------- -- Specifies the index of the most significant strobe set ------------ ms_strb_index : out std_logic_vector(C_INDEX_WIDTH-1 downto 0); -- ---------------------------------------------------------------------- -- Invalid strobe input Indcation ------------------------------------ strb_error : Out std_logic -- -- Indicates an error with the strobe input, either a hole in the -- -- asserted strobes or not asserted from LS bits upwards. -- ---------------------------------------------------------------------- ); end entity axi_datamover_ms_strb_set; architecture implementation of axi_datamover_ms_strb_set is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_2 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 2-bit wide strobe value. -- ------------------------------------------------------------------- function get_ms_index_2 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(1 downto 0); begin var_strb_value := input_strobe(1 downto 0); case var_strb_value is when "01" => var_ms_strb_index := 0; when "11" | "10" => var_ms_strb_index := 1; when others => var_ms_strb_index := 2; end case; Return (var_ms_strb_index); end function get_ms_index_2; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_4 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 4-bit wide strobe value. -- ------------------------------------------------------------------- function get_ms_index_4 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(3 downto 0); begin var_strb_value := input_strobe(3 downto 0); case var_strb_value is when "0001" => var_ms_strb_index := 0; when "0011" | "0010" => var_ms_strb_index := 1; when "0111" | "0110" | "0100" => var_ms_strb_index := 2; when "1111" | "1110" | "1100" | "1000"=> var_ms_strb_index := 3; when others => var_ms_strb_index := 4; end case; Return (var_ms_strb_index); end function get_ms_index_4; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_8 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 8-bit wide strobe value. -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_8 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(7 downto 0); begin var_strb_value := input_strobe(7 downto 0); case var_strb_value is when "00000001" => var_ms_strb_index := 0; when "00000010" => var_ms_strb_index := 1; when "00000100" => var_ms_strb_index := 2; when "00001000" => var_ms_strb_index := 3; when "00010000" => var_ms_strb_index := 4; when "00100000" => var_ms_strb_index := 5; when "01000000" => var_ms_strb_index := 6; when "10000000" => var_ms_strb_index := 7; when others => var_ms_strb_index := 8; end case; Return (var_ms_strb_index); end function get_ms_index_8; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_16 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 16-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_16 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(15 downto 0); begin var_strb_value := input_strobe(15 downto 0); case var_strb_value is when "0000000000000001" => var_ms_strb_index := 0; when "0000000000000010" => var_ms_strb_index := 1; when "0000000000000100" => var_ms_strb_index := 2; when "0000000000001000" => var_ms_strb_index := 3; when "0000000000010000" => var_ms_strb_index := 4; when "0000000000100000" => var_ms_strb_index := 5; when "0000000001000000" => var_ms_strb_index := 6; when "0000000010000000" => var_ms_strb_index := 7; when "0000000100000000" => var_ms_strb_index := 8; when "0000001000000000" => var_ms_strb_index := 9; when "0000010000000000" => var_ms_strb_index := 10; when "0000100000000000" => var_ms_strb_index := 11; when "0001000000000000" => var_ms_strb_index := 12; when "0010000000000000" => var_ms_strb_index := 13; when "0100000000000000" => var_ms_strb_index := 14; when "1000000000000000" => var_ms_strb_index := 15; when others => var_ms_strb_index := 16; end case; Return (var_ms_strb_index); end function get_ms_index_16; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_32 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 32-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_32 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(31 downto 0); begin var_strb_value := input_strobe(31 downto 0); case var_strb_value is when "00000000000000000000000000000001" => var_ms_strb_index := 0; when "00000000000000000000000000000010" => var_ms_strb_index := 1; when "00000000000000000000000000000100" => var_ms_strb_index := 2; when "00000000000000000000000000001000" => var_ms_strb_index := 3; when "00000000000000000000000000010000" => var_ms_strb_index := 4; when "00000000000000000000000000100000" => var_ms_strb_index := 5; when "00000000000000000000000001000000" => var_ms_strb_index := 6; when "00000000000000000000000010000000" => var_ms_strb_index := 7; when "00000000000000000000000100000000" => var_ms_strb_index := 8; when "00000000000000000000001000000000" => var_ms_strb_index := 9; when "00000000000000000000010000000000" => var_ms_strb_index := 10; when "00000000000000000000100000000000" => var_ms_strb_index := 11; when "00000000000000000001000000000000" => var_ms_strb_index := 12; when "00000000000000000010000000000000" => var_ms_strb_index := 13; when "00000000000000000100000000000000" => var_ms_strb_index := 14; when "00000000000000001000000000000000" => var_ms_strb_index := 15; when "00000000000000010000000000000000" => var_ms_strb_index := 16; when "00000000000000100000000000000000" => var_ms_strb_index := 17; when "00000000000001000000000000000000" => var_ms_strb_index := 18; when "00000000000010000000000000000000" => var_ms_strb_index := 19; when "00000000000100000000000000000000" => var_ms_strb_index := 20; when "00000000001000000000000000000000" => var_ms_strb_index := 21; when "00000000010000000000000000000000" => var_ms_strb_index := 22; when "00000000100000000000000000000000" => var_ms_strb_index := 23; when "00000001000000000000000000000000" => var_ms_strb_index := 24; when "00000010000000000000000000000000" => var_ms_strb_index := 25; when "00000100000000000000000000000000" => var_ms_strb_index := 26; when "00001000000000000000000000000000" => var_ms_strb_index := 27; when "00010000000000000000000000000000" => var_ms_strb_index := 28; when "00100000000000000000000000000000" => var_ms_strb_index := 29; when "01000000000000000000000000000000" => var_ms_strb_index := 30; when "10000000000000000000000000000000" => var_ms_strb_index := 31; when others => var_ms_strb_index := 32; end case; Return (var_ms_strb_index); end function get_ms_index_32; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_64 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 64-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_64 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(63 downto 0); begin var_strb_value := input_strobe(63 downto 0); case var_strb_value is when "0000000000000000000000000000000000000000000000000000000000000001" => var_ms_strb_index := 0; when "0000000000000000000000000000000000000000000000000000000000000010" => var_ms_strb_index := 1; when "0000000000000000000000000000000000000000000000000000000000000100" => var_ms_strb_index := 2; when "0000000000000000000000000000000000000000000000000000000000001000" => var_ms_strb_index := 3; when "0000000000000000000000000000000000000000000000000000000000010000" => var_ms_strb_index := 4; when "0000000000000000000000000000000000000000000000000000000000100000" => var_ms_strb_index := 5; when "0000000000000000000000000000000000000000000000000000000001000000" => var_ms_strb_index := 6; when "0000000000000000000000000000000000000000000000000000000010000000" => var_ms_strb_index := 7; when "0000000000000000000000000000000000000000000000000000000100000000" => var_ms_strb_index := 8; when "0000000000000000000000000000000000000000000000000000001000000000" => var_ms_strb_index := 9; when "0000000000000000000000000000000000000000000000000000010000000000" => var_ms_strb_index := 10; when "0000000000000000000000000000000000000000000000000000100000000000" => var_ms_strb_index := 11; when "0000000000000000000000000000000000000000000000000001000000000000" => var_ms_strb_index := 12; when "0000000000000000000000000000000000000000000000000010000000000000" => var_ms_strb_index := 13; when "0000000000000000000000000000000000000000000000000100000000000000" => var_ms_strb_index := 14; when "0000000000000000000000000000000000000000000000001000000000000000" => var_ms_strb_index := 15; when "0000000000000000000000000000000000000000000000010000000000000000" => var_ms_strb_index := 16; when "0000000000000000000000000000000000000000000000100000000000000000" => var_ms_strb_index := 17; when "0000000000000000000000000000000000000000000001000000000000000000" => var_ms_strb_index := 18; when "0000000000000000000000000000000000000000000010000000000000000000" => var_ms_strb_index := 19; when "0000000000000000000000000000000000000000000100000000000000000000" => var_ms_strb_index := 20; when "0000000000000000000000000000000000000000001000000000000000000000" => var_ms_strb_index := 21; when "0000000000000000000000000000000000000000010000000000000000000000" => var_ms_strb_index := 22; when "0000000000000000000000000000000000000000100000000000000000000000" => var_ms_strb_index := 23; when "0000000000000000000000000000000000000001000000000000000000000000" => var_ms_strb_index := 24; when "0000000000000000000000000000000000000010000000000000000000000000" => var_ms_strb_index := 25; when "0000000000000000000000000000000000000100000000000000000000000000" => var_ms_strb_index := 26; when "0000000000000000000000000000000000001000000000000000000000000000" => var_ms_strb_index := 27; when "0000000000000000000000000000000000010000000000000000000000000000" => var_ms_strb_index := 28; when "0000000000000000000000000000000000100000000000000000000000000000" => var_ms_strb_index := 29; when "0000000000000000000000000000000001000000000000000000000000000000" => var_ms_strb_index := 30; when "0000000000000000000000000000000010000000000000000000000000000000" => var_ms_strb_index := 31; when "0000000000000000000000000000000100000000000000000000000000000000" => var_ms_strb_index := 32; when "0000000000000000000000000000001000000000000000000000000000000000" => var_ms_strb_index := 33; when "0000000000000000000000000000010000000000000000000000000000000000" => var_ms_strb_index := 34; when "0000000000000000000000000000100000000000000000000000000000000000" => var_ms_strb_index := 35; when "0000000000000000000000000001000000000000000000000000000000000000" => var_ms_strb_index := 36; when "0000000000000000000000000010000000000000000000000000000000000000" => var_ms_strb_index := 37; when "0000000000000000000000000100000000000000000000000000000000000000" => var_ms_strb_index := 38; when "0000000000000000000000001000000000000000000000000000000000000000" => var_ms_strb_index := 39; when "0000000000000000000000010000000000000000000000000000000000000000" => var_ms_strb_index := 40; when "0000000000000000000000100000000000000000000000000000000000000000" => var_ms_strb_index := 41; when "0000000000000000000001000000000000000000000000000000000000000000" => var_ms_strb_index := 42; when "0000000000000000000010000000000000000000000000000000000000000000" => var_ms_strb_index := 43; when "0000000000000000000100000000000000000000000000000000000000000000" => var_ms_strb_index := 44; when "0000000000000000001000000000000000000000000000000000000000000000" => var_ms_strb_index := 45; when "0000000000000000010000000000000000000000000000000000000000000000" => var_ms_strb_index := 46; when "0000000000000000100000000000000000000000000000000000000000000000" => var_ms_strb_index := 47; when "0000000000000001000000000000000000000000000000000000000000000000" => var_ms_strb_index := 48; when "0000000000000010000000000000000000000000000000000000000000000000" => var_ms_strb_index := 49; when "0000000000000100000000000000000000000000000000000000000000000000" => var_ms_strb_index := 50; when "0000000000001000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 51; when "0000000000010000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 52; when "0000000000100000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 53; when "0000000001000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 54; when "0000000010000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 55; when "0000000100000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 56; when "0000001000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 57; when "0000010000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 58; when "0000100000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 59; when "0001000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 60; when "0010000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 61; when "0100000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 62; when "1000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 63; when others => var_ms_strb_index := 64; end case; Return (var_ms_strb_index); end function get_ms_index_64; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_128 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 64-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_128 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(127 downto 0); begin var_strb_value := input_strobe(127 downto 0); case var_strb_value is when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001" => var_ms_strb_index := 0; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010" => var_ms_strb_index := 1; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100" => var_ms_strb_index := 2; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000" => var_ms_strb_index := 3; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000" => var_ms_strb_index := 4; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000" => var_ms_strb_index := 5; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000" => var_ms_strb_index := 6; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000" => var_ms_strb_index := 7; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000" => var_ms_strb_index := 8; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000" => var_ms_strb_index := 9; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000" => var_ms_strb_index := 10; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000" => var_ms_strb_index := 11; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000" => var_ms_strb_index := 12; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000" => var_ms_strb_index := 13; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000" => var_ms_strb_index := 14; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000" => var_ms_strb_index := 15; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000" => var_ms_strb_index := 16; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000" => var_ms_strb_index := 17; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000" => var_ms_strb_index := 18; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000" => var_ms_strb_index := 19; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000" => var_ms_strb_index := 20; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000" => var_ms_strb_index := 21; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000" => var_ms_strb_index := 22; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000" => var_ms_strb_index := 23; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000" => var_ms_strb_index := 24; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000" => var_ms_strb_index := 25; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000" => var_ms_strb_index := 26; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000" => var_ms_strb_index := 27; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000" => var_ms_strb_index := 28; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000" => var_ms_strb_index := 29; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000" => var_ms_strb_index := 30; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000" => var_ms_strb_index := 31; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000" => var_ms_strb_index := 32; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000" => var_ms_strb_index := 33; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000" => var_ms_strb_index := 34; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000" => var_ms_strb_index := 35; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000" => var_ms_strb_index := 36; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000" => var_ms_strb_index := 37; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000" => var_ms_strb_index := 38; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000" => var_ms_strb_index := 39; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000" => var_ms_strb_index := 40; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000" => var_ms_strb_index := 41; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000" => var_ms_strb_index := 42; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000" => var_ms_strb_index := 43; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000" => var_ms_strb_index := 44; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000" => var_ms_strb_index := 45; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000" => var_ms_strb_index := 46; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000" => var_ms_strb_index := 47; when "00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000" => var_ms_strb_index := 48; when "00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000" => var_ms_strb_index := 49; when "00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000" => var_ms_strb_index := 50; when "00000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 51; when "00000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 52; when "00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 53; when "00000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 54; when "00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 55; when "00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 56; when "00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 57; when "00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 58; when "00000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 59; when "00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 60; when "00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 61; when "00000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 62; when "00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 63; when "00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 64; when "00000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 65; when "00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 66; when "00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 67; when "00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 68; when "00000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 69; when "00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 70; when "00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 71; when "00000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 72; when "00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 73; when "00000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 74; when "00000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 75; when "00000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 76; when "00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 77; when "00000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 78; when "00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 79; when "00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 80; when "00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 81; when "00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 82; when "00000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 83; when "00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 84; when "00000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 85; when "00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 86; when "00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 87; when "00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 88; when "00000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 89; when "00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 90; when "00000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 91; when "00000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 92; when "00000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 93; when "00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 94; when "00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 95; when "00000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 96; when "00000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 97; when "00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 98; when "00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 99; when "00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 100; when "00000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 101; when "00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 102; when "00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 103; when "00000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 104; when "00000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 105; when "00000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 106; when "00000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 107; when "00000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 108; when "00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 109; when "00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 110; when "00000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 111; when "00000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 112; when "00000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 113; when "00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 114; when "00000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 115; when "00000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 116; when "00000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 117; when "00000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 118; when "00000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 119; when "00000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 120; when "00000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 121; when "00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 122; when "00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 123; when "00010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 124; when "00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 125; when "01000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 126; when "10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 127; when others => var_ms_strb_index := 128; end case; Return (var_ms_strb_index); end function get_ms_index_128; -- Constants Constant ERROR_INDEX : natural := C_STRB_WIDTH; Constant TEMP_NAT_MAX : natural := 255; -- allows for a 0 to 255 strobe index value Constant TEMP_UN_WIDTH : natural := 8; -- 8 bits allows for a 0 to 255 index value -- Signals signal sig_input_stbs : std_logic_vector(C_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_ms_asserted_index_un : unsigned(C_INDEX_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_error : std_logic := '0'; signal sig_temp_ms_index_un : unsigned(TEMP_UN_WIDTH-1 downto 0) := (others => '0'); signal sig_temp_ms_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin --(architecture implementation) -- Assign the ms asserted strobe value ms_strb_index <= STD_LOGIC_VECTOR(sig_ms_asserted_index_un); -- Assign the input strobe sig_input_stbs <= strbs_in ; -- assign input strobes -- Assign the strobe eror output strb_error <= sig_strb_error ; -- assign the strobe error output -- Rip the valid index bits sig_ms_asserted_index_un <= sig_temp_ms_index_un(C_INDEX_WIDTH-1 downto 0); -- Assert the Strobe Error output if an out of range index is returned sig_temp_ms_index_nat <= TO_INTEGER(sig_ms_asserted_index_un) ; sig_strb_error <= '1' When (sig_temp_ms_index_nat >= ERROR_INDEX) else '0'; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_1BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 1-bit strobe width case. -- -- ------------------------------------------------------------ GEN_1BIT_CASE : if (C_STRB_WIDTH = 1) generate begin sig_temp_ms_index_un <= TO_UNSIGNED( 0, TEMP_UN_WIDTH); end generate GEN_1BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_2BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 2-bit strobe width case. -- -- ------------------------------------------------------------ GEN_2BIT_CASE : if (C_STRB_WIDTH = 2) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin lsig_ms_asserted_index_nat <= get_ms_index_2(sig_input_stbs); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_2BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_4BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 4-bit strobe width case. -- ------------------------------------------------------------ GEN_4BIT_CASE : if (C_STRB_WIDTH = 4) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin lsig_ms_asserted_index_nat <= get_ms_index_4(sig_input_stbs); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_4BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_8BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 8-bit strobe width case. -- ------------------------------------------------------------ GEN_8BIT_CASE : if (C_STRB_WIDTH = 8) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_8(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_8BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_16BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 16-bit strobe width case. -- -- ------------------------------------------------------------ GEN_16BIT_CASE : if (C_STRB_WIDTH = 16) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_16(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_16BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_32BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 32-bit strobe width case. -- ------------------------------------------------------------ GEN_32BIT_CASE : if (C_STRB_WIDTH = 32) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_32(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_32BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_64BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 64-bit strobe width case. -- ------------------------------------------------------------ GEN_64BIT_CASE : if (C_STRB_WIDTH = 64) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_64(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_64BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_128BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 128-bit strobe width case. -- ------------------------------------------------------------ GEN_128BIT_CASE : if (C_STRB_WIDTH = 128) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_128(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_128BIT_CASE; end implementation;
------------------------------------------------------------------------------- -- axi_datamover_ms_strb_set.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_ms_strb_set.vhd -- -- Description: -- This module implements a function to detect the most significant strobe -- bit asserted and outputs the index value of that strobe bit. It can only -- be used in applications where the asserted strobe bits are contiguous and -- always asserted from LS to MS bit positions, -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity axi_datamover_ms_strb_set is generic ( C_STRB_WIDTH : Integer := 8; -- Sets the width of the input strobe port C_INDEX_WIDTH : Integer := 3 -- Sets the width of the ms_strb_index output port -- Should be log2(C_STRB_WIDTH) ); port ( -- Input strobe value ------------------------------------------------ strbs_in : in std_logic_vector(C_STRB_WIDTH-1 downto 0); -- ---------------------------------------------------------------------- -- Specifies the index of the most significant strobe set ------------ ms_strb_index : out std_logic_vector(C_INDEX_WIDTH-1 downto 0); -- ---------------------------------------------------------------------- -- Invalid strobe input Indcation ------------------------------------ strb_error : Out std_logic -- -- Indicates an error with the strobe input, either a hole in the -- -- asserted strobes or not asserted from LS bits upwards. -- ---------------------------------------------------------------------- ); end entity axi_datamover_ms_strb_set; architecture implementation of axi_datamover_ms_strb_set is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_2 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 2-bit wide strobe value. -- ------------------------------------------------------------------- function get_ms_index_2 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(1 downto 0); begin var_strb_value := input_strobe(1 downto 0); case var_strb_value is when "01" => var_ms_strb_index := 0; when "11" | "10" => var_ms_strb_index := 1; when others => var_ms_strb_index := 2; end case; Return (var_ms_strb_index); end function get_ms_index_2; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_4 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 4-bit wide strobe value. -- ------------------------------------------------------------------- function get_ms_index_4 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(3 downto 0); begin var_strb_value := input_strobe(3 downto 0); case var_strb_value is when "0001" => var_ms_strb_index := 0; when "0011" | "0010" => var_ms_strb_index := 1; when "0111" | "0110" | "0100" => var_ms_strb_index := 2; when "1111" | "1110" | "1100" | "1000"=> var_ms_strb_index := 3; when others => var_ms_strb_index := 4; end case; Return (var_ms_strb_index); end function get_ms_index_4; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_8 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 8-bit wide strobe value. -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_8 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(7 downto 0); begin var_strb_value := input_strobe(7 downto 0); case var_strb_value is when "00000001" => var_ms_strb_index := 0; when "00000010" => var_ms_strb_index := 1; when "00000100" => var_ms_strb_index := 2; when "00001000" => var_ms_strb_index := 3; when "00010000" => var_ms_strb_index := 4; when "00100000" => var_ms_strb_index := 5; when "01000000" => var_ms_strb_index := 6; when "10000000" => var_ms_strb_index := 7; when others => var_ms_strb_index := 8; end case; Return (var_ms_strb_index); end function get_ms_index_8; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_16 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 16-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_16 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(15 downto 0); begin var_strb_value := input_strobe(15 downto 0); case var_strb_value is when "0000000000000001" => var_ms_strb_index := 0; when "0000000000000010" => var_ms_strb_index := 1; when "0000000000000100" => var_ms_strb_index := 2; when "0000000000001000" => var_ms_strb_index := 3; when "0000000000010000" => var_ms_strb_index := 4; when "0000000000100000" => var_ms_strb_index := 5; when "0000000001000000" => var_ms_strb_index := 6; when "0000000010000000" => var_ms_strb_index := 7; when "0000000100000000" => var_ms_strb_index := 8; when "0000001000000000" => var_ms_strb_index := 9; when "0000010000000000" => var_ms_strb_index := 10; when "0000100000000000" => var_ms_strb_index := 11; when "0001000000000000" => var_ms_strb_index := 12; when "0010000000000000" => var_ms_strb_index := 13; when "0100000000000000" => var_ms_strb_index := 14; when "1000000000000000" => var_ms_strb_index := 15; when others => var_ms_strb_index := 16; end case; Return (var_ms_strb_index); end function get_ms_index_16; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_32 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 32-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_32 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(31 downto 0); begin var_strb_value := input_strobe(31 downto 0); case var_strb_value is when "00000000000000000000000000000001" => var_ms_strb_index := 0; when "00000000000000000000000000000010" => var_ms_strb_index := 1; when "00000000000000000000000000000100" => var_ms_strb_index := 2; when "00000000000000000000000000001000" => var_ms_strb_index := 3; when "00000000000000000000000000010000" => var_ms_strb_index := 4; when "00000000000000000000000000100000" => var_ms_strb_index := 5; when "00000000000000000000000001000000" => var_ms_strb_index := 6; when "00000000000000000000000010000000" => var_ms_strb_index := 7; when "00000000000000000000000100000000" => var_ms_strb_index := 8; when "00000000000000000000001000000000" => var_ms_strb_index := 9; when "00000000000000000000010000000000" => var_ms_strb_index := 10; when "00000000000000000000100000000000" => var_ms_strb_index := 11; when "00000000000000000001000000000000" => var_ms_strb_index := 12; when "00000000000000000010000000000000" => var_ms_strb_index := 13; when "00000000000000000100000000000000" => var_ms_strb_index := 14; when "00000000000000001000000000000000" => var_ms_strb_index := 15; when "00000000000000010000000000000000" => var_ms_strb_index := 16; when "00000000000000100000000000000000" => var_ms_strb_index := 17; when "00000000000001000000000000000000" => var_ms_strb_index := 18; when "00000000000010000000000000000000" => var_ms_strb_index := 19; when "00000000000100000000000000000000" => var_ms_strb_index := 20; when "00000000001000000000000000000000" => var_ms_strb_index := 21; when "00000000010000000000000000000000" => var_ms_strb_index := 22; when "00000000100000000000000000000000" => var_ms_strb_index := 23; when "00000001000000000000000000000000" => var_ms_strb_index := 24; when "00000010000000000000000000000000" => var_ms_strb_index := 25; when "00000100000000000000000000000000" => var_ms_strb_index := 26; when "00001000000000000000000000000000" => var_ms_strb_index := 27; when "00010000000000000000000000000000" => var_ms_strb_index := 28; when "00100000000000000000000000000000" => var_ms_strb_index := 29; when "01000000000000000000000000000000" => var_ms_strb_index := 30; when "10000000000000000000000000000000" => var_ms_strb_index := 31; when others => var_ms_strb_index := 32; end case; Return (var_ms_strb_index); end function get_ms_index_32; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_64 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 64-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_64 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(63 downto 0); begin var_strb_value := input_strobe(63 downto 0); case var_strb_value is when "0000000000000000000000000000000000000000000000000000000000000001" => var_ms_strb_index := 0; when "0000000000000000000000000000000000000000000000000000000000000010" => var_ms_strb_index := 1; when "0000000000000000000000000000000000000000000000000000000000000100" => var_ms_strb_index := 2; when "0000000000000000000000000000000000000000000000000000000000001000" => var_ms_strb_index := 3; when "0000000000000000000000000000000000000000000000000000000000010000" => var_ms_strb_index := 4; when "0000000000000000000000000000000000000000000000000000000000100000" => var_ms_strb_index := 5; when "0000000000000000000000000000000000000000000000000000000001000000" => var_ms_strb_index := 6; when "0000000000000000000000000000000000000000000000000000000010000000" => var_ms_strb_index := 7; when "0000000000000000000000000000000000000000000000000000000100000000" => var_ms_strb_index := 8; when "0000000000000000000000000000000000000000000000000000001000000000" => var_ms_strb_index := 9; when "0000000000000000000000000000000000000000000000000000010000000000" => var_ms_strb_index := 10; when "0000000000000000000000000000000000000000000000000000100000000000" => var_ms_strb_index := 11; when "0000000000000000000000000000000000000000000000000001000000000000" => var_ms_strb_index := 12; when "0000000000000000000000000000000000000000000000000010000000000000" => var_ms_strb_index := 13; when "0000000000000000000000000000000000000000000000000100000000000000" => var_ms_strb_index := 14; when "0000000000000000000000000000000000000000000000001000000000000000" => var_ms_strb_index := 15; when "0000000000000000000000000000000000000000000000010000000000000000" => var_ms_strb_index := 16; when "0000000000000000000000000000000000000000000000100000000000000000" => var_ms_strb_index := 17; when "0000000000000000000000000000000000000000000001000000000000000000" => var_ms_strb_index := 18; when "0000000000000000000000000000000000000000000010000000000000000000" => var_ms_strb_index := 19; when "0000000000000000000000000000000000000000000100000000000000000000" => var_ms_strb_index := 20; when "0000000000000000000000000000000000000000001000000000000000000000" => var_ms_strb_index := 21; when "0000000000000000000000000000000000000000010000000000000000000000" => var_ms_strb_index := 22; when "0000000000000000000000000000000000000000100000000000000000000000" => var_ms_strb_index := 23; when "0000000000000000000000000000000000000001000000000000000000000000" => var_ms_strb_index := 24; when "0000000000000000000000000000000000000010000000000000000000000000" => var_ms_strb_index := 25; when "0000000000000000000000000000000000000100000000000000000000000000" => var_ms_strb_index := 26; when "0000000000000000000000000000000000001000000000000000000000000000" => var_ms_strb_index := 27; when "0000000000000000000000000000000000010000000000000000000000000000" => var_ms_strb_index := 28; when "0000000000000000000000000000000000100000000000000000000000000000" => var_ms_strb_index := 29; when "0000000000000000000000000000000001000000000000000000000000000000" => var_ms_strb_index := 30; when "0000000000000000000000000000000010000000000000000000000000000000" => var_ms_strb_index := 31; when "0000000000000000000000000000000100000000000000000000000000000000" => var_ms_strb_index := 32; when "0000000000000000000000000000001000000000000000000000000000000000" => var_ms_strb_index := 33; when "0000000000000000000000000000010000000000000000000000000000000000" => var_ms_strb_index := 34; when "0000000000000000000000000000100000000000000000000000000000000000" => var_ms_strb_index := 35; when "0000000000000000000000000001000000000000000000000000000000000000" => var_ms_strb_index := 36; when "0000000000000000000000000010000000000000000000000000000000000000" => var_ms_strb_index := 37; when "0000000000000000000000000100000000000000000000000000000000000000" => var_ms_strb_index := 38; when "0000000000000000000000001000000000000000000000000000000000000000" => var_ms_strb_index := 39; when "0000000000000000000000010000000000000000000000000000000000000000" => var_ms_strb_index := 40; when "0000000000000000000000100000000000000000000000000000000000000000" => var_ms_strb_index := 41; when "0000000000000000000001000000000000000000000000000000000000000000" => var_ms_strb_index := 42; when "0000000000000000000010000000000000000000000000000000000000000000" => var_ms_strb_index := 43; when "0000000000000000000100000000000000000000000000000000000000000000" => var_ms_strb_index := 44; when "0000000000000000001000000000000000000000000000000000000000000000" => var_ms_strb_index := 45; when "0000000000000000010000000000000000000000000000000000000000000000" => var_ms_strb_index := 46; when "0000000000000000100000000000000000000000000000000000000000000000" => var_ms_strb_index := 47; when "0000000000000001000000000000000000000000000000000000000000000000" => var_ms_strb_index := 48; when "0000000000000010000000000000000000000000000000000000000000000000" => var_ms_strb_index := 49; when "0000000000000100000000000000000000000000000000000000000000000000" => var_ms_strb_index := 50; when "0000000000001000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 51; when "0000000000010000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 52; when "0000000000100000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 53; when "0000000001000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 54; when "0000000010000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 55; when "0000000100000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 56; when "0000001000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 57; when "0000010000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 58; when "0000100000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 59; when "0001000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 60; when "0010000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 61; when "0100000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 62; when "1000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 63; when others => var_ms_strb_index := 64; end case; Return (var_ms_strb_index); end function get_ms_index_64; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_128 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 64-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_128 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(127 downto 0); begin var_strb_value := input_strobe(127 downto 0); case var_strb_value is when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001" => var_ms_strb_index := 0; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010" => var_ms_strb_index := 1; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100" => var_ms_strb_index := 2; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000" => var_ms_strb_index := 3; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000" => var_ms_strb_index := 4; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000" => var_ms_strb_index := 5; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000" => var_ms_strb_index := 6; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000" => var_ms_strb_index := 7; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000" => var_ms_strb_index := 8; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000" => var_ms_strb_index := 9; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000" => var_ms_strb_index := 10; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000" => var_ms_strb_index := 11; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000" => var_ms_strb_index := 12; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000" => var_ms_strb_index := 13; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000" => var_ms_strb_index := 14; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000" => var_ms_strb_index := 15; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000" => var_ms_strb_index := 16; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000" => var_ms_strb_index := 17; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000" => var_ms_strb_index := 18; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000" => var_ms_strb_index := 19; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000" => var_ms_strb_index := 20; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000" => var_ms_strb_index := 21; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000" => var_ms_strb_index := 22; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000" => var_ms_strb_index := 23; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000" => var_ms_strb_index := 24; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000" => var_ms_strb_index := 25; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000" => var_ms_strb_index := 26; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000" => var_ms_strb_index := 27; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000" => var_ms_strb_index := 28; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000" => var_ms_strb_index := 29; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000" => var_ms_strb_index := 30; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000" => var_ms_strb_index := 31; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000" => var_ms_strb_index := 32; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000" => var_ms_strb_index := 33; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000" => var_ms_strb_index := 34; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000" => var_ms_strb_index := 35; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000" => var_ms_strb_index := 36; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000" => var_ms_strb_index := 37; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000" => var_ms_strb_index := 38; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000" => var_ms_strb_index := 39; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000" => var_ms_strb_index := 40; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000" => var_ms_strb_index := 41; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000" => var_ms_strb_index := 42; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000" => var_ms_strb_index := 43; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000" => var_ms_strb_index := 44; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000" => var_ms_strb_index := 45; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000" => var_ms_strb_index := 46; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000" => var_ms_strb_index := 47; when "00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000" => var_ms_strb_index := 48; when "00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000" => var_ms_strb_index := 49; when "00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000" => var_ms_strb_index := 50; when "00000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 51; when "00000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 52; when "00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 53; when "00000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 54; when "00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 55; when "00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 56; when "00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 57; when "00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 58; when "00000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 59; when "00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 60; when "00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 61; when "00000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 62; when "00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 63; when "00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 64; when "00000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 65; when "00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 66; when "00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 67; when "00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 68; when "00000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 69; when "00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 70; when "00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 71; when "00000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 72; when "00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 73; when "00000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 74; when "00000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 75; when "00000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 76; when "00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 77; when "00000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 78; when "00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 79; when "00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 80; when "00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 81; when "00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 82; when "00000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 83; when "00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 84; when "00000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 85; when "00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 86; when "00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 87; when "00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 88; when "00000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 89; when "00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 90; when "00000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 91; when "00000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 92; when "00000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 93; when "00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 94; when "00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 95; when "00000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 96; when "00000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 97; when "00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 98; when "00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 99; when "00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 100; when "00000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 101; when "00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 102; when "00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 103; when "00000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 104; when "00000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 105; when "00000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 106; when "00000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 107; when "00000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 108; when "00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 109; when "00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 110; when "00000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 111; when "00000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 112; when "00000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 113; when "00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 114; when "00000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 115; when "00000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 116; when "00000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 117; when "00000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 118; when "00000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 119; when "00000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 120; when "00000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 121; when "00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 122; when "00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 123; when "00010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 124; when "00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 125; when "01000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 126; when "10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 127; when others => var_ms_strb_index := 128; end case; Return (var_ms_strb_index); end function get_ms_index_128; -- Constants Constant ERROR_INDEX : natural := C_STRB_WIDTH; Constant TEMP_NAT_MAX : natural := 255; -- allows for a 0 to 255 strobe index value Constant TEMP_UN_WIDTH : natural := 8; -- 8 bits allows for a 0 to 255 index value -- Signals signal sig_input_stbs : std_logic_vector(C_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_ms_asserted_index_un : unsigned(C_INDEX_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_error : std_logic := '0'; signal sig_temp_ms_index_un : unsigned(TEMP_UN_WIDTH-1 downto 0) := (others => '0'); signal sig_temp_ms_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin --(architecture implementation) -- Assign the ms asserted strobe value ms_strb_index <= STD_LOGIC_VECTOR(sig_ms_asserted_index_un); -- Assign the input strobe sig_input_stbs <= strbs_in ; -- assign input strobes -- Assign the strobe eror output strb_error <= sig_strb_error ; -- assign the strobe error output -- Rip the valid index bits sig_ms_asserted_index_un <= sig_temp_ms_index_un(C_INDEX_WIDTH-1 downto 0); -- Assert the Strobe Error output if an out of range index is returned sig_temp_ms_index_nat <= TO_INTEGER(sig_ms_asserted_index_un) ; sig_strb_error <= '1' When (sig_temp_ms_index_nat >= ERROR_INDEX) else '0'; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_1BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 1-bit strobe width case. -- -- ------------------------------------------------------------ GEN_1BIT_CASE : if (C_STRB_WIDTH = 1) generate begin sig_temp_ms_index_un <= TO_UNSIGNED( 0, TEMP_UN_WIDTH); end generate GEN_1BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_2BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 2-bit strobe width case. -- -- ------------------------------------------------------------ GEN_2BIT_CASE : if (C_STRB_WIDTH = 2) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin lsig_ms_asserted_index_nat <= get_ms_index_2(sig_input_stbs); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_2BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_4BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 4-bit strobe width case. -- ------------------------------------------------------------ GEN_4BIT_CASE : if (C_STRB_WIDTH = 4) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin lsig_ms_asserted_index_nat <= get_ms_index_4(sig_input_stbs); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_4BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_8BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 8-bit strobe width case. -- ------------------------------------------------------------ GEN_8BIT_CASE : if (C_STRB_WIDTH = 8) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_8(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_8BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_16BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 16-bit strobe width case. -- -- ------------------------------------------------------------ GEN_16BIT_CASE : if (C_STRB_WIDTH = 16) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_16(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_16BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_32BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 32-bit strobe width case. -- ------------------------------------------------------------ GEN_32BIT_CASE : if (C_STRB_WIDTH = 32) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_32(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_32BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_64BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 64-bit strobe width case. -- ------------------------------------------------------------ GEN_64BIT_CASE : if (C_STRB_WIDTH = 64) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_64(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_64BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_128BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 128-bit strobe width case. -- ------------------------------------------------------------ GEN_128BIT_CASE : if (C_STRB_WIDTH = 128) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_128(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_128BIT_CASE; end implementation;
------------------------------------------------------------------------------- -- axi_datamover_ms_strb_set.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_ms_strb_set.vhd -- -- Description: -- This module implements a function to detect the most significant strobe -- bit asserted and outputs the index value of that strobe bit. It can only -- be used in applications where the asserted strobe bits are contiguous and -- always asserted from LS to MS bit positions, -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity axi_datamover_ms_strb_set is generic ( C_STRB_WIDTH : Integer := 8; -- Sets the width of the input strobe port C_INDEX_WIDTH : Integer := 3 -- Sets the width of the ms_strb_index output port -- Should be log2(C_STRB_WIDTH) ); port ( -- Input strobe value ------------------------------------------------ strbs_in : in std_logic_vector(C_STRB_WIDTH-1 downto 0); -- ---------------------------------------------------------------------- -- Specifies the index of the most significant strobe set ------------ ms_strb_index : out std_logic_vector(C_INDEX_WIDTH-1 downto 0); -- ---------------------------------------------------------------------- -- Invalid strobe input Indcation ------------------------------------ strb_error : Out std_logic -- -- Indicates an error with the strobe input, either a hole in the -- -- asserted strobes or not asserted from LS bits upwards. -- ---------------------------------------------------------------------- ); end entity axi_datamover_ms_strb_set; architecture implementation of axi_datamover_ms_strb_set is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_2 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 2-bit wide strobe value. -- ------------------------------------------------------------------- function get_ms_index_2 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(1 downto 0); begin var_strb_value := input_strobe(1 downto 0); case var_strb_value is when "01" => var_ms_strb_index := 0; when "11" | "10" => var_ms_strb_index := 1; when others => var_ms_strb_index := 2; end case; Return (var_ms_strb_index); end function get_ms_index_2; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_4 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 4-bit wide strobe value. -- ------------------------------------------------------------------- function get_ms_index_4 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(3 downto 0); begin var_strb_value := input_strobe(3 downto 0); case var_strb_value is when "0001" => var_ms_strb_index := 0; when "0011" | "0010" => var_ms_strb_index := 1; when "0111" | "0110" | "0100" => var_ms_strb_index := 2; when "1111" | "1110" | "1100" | "1000"=> var_ms_strb_index := 3; when others => var_ms_strb_index := 4; end case; Return (var_ms_strb_index); end function get_ms_index_4; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_8 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 8-bit wide strobe value. -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_8 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(7 downto 0); begin var_strb_value := input_strobe(7 downto 0); case var_strb_value is when "00000001" => var_ms_strb_index := 0; when "00000010" => var_ms_strb_index := 1; when "00000100" => var_ms_strb_index := 2; when "00001000" => var_ms_strb_index := 3; when "00010000" => var_ms_strb_index := 4; when "00100000" => var_ms_strb_index := 5; when "01000000" => var_ms_strb_index := 6; when "10000000" => var_ms_strb_index := 7; when others => var_ms_strb_index := 8; end case; Return (var_ms_strb_index); end function get_ms_index_8; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_16 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 16-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_16 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(15 downto 0); begin var_strb_value := input_strobe(15 downto 0); case var_strb_value is when "0000000000000001" => var_ms_strb_index := 0; when "0000000000000010" => var_ms_strb_index := 1; when "0000000000000100" => var_ms_strb_index := 2; when "0000000000001000" => var_ms_strb_index := 3; when "0000000000010000" => var_ms_strb_index := 4; when "0000000000100000" => var_ms_strb_index := 5; when "0000000001000000" => var_ms_strb_index := 6; when "0000000010000000" => var_ms_strb_index := 7; when "0000000100000000" => var_ms_strb_index := 8; when "0000001000000000" => var_ms_strb_index := 9; when "0000010000000000" => var_ms_strb_index := 10; when "0000100000000000" => var_ms_strb_index := 11; when "0001000000000000" => var_ms_strb_index := 12; when "0010000000000000" => var_ms_strb_index := 13; when "0100000000000000" => var_ms_strb_index := 14; when "1000000000000000" => var_ms_strb_index := 15; when others => var_ms_strb_index := 16; end case; Return (var_ms_strb_index); end function get_ms_index_16; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_32 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 32-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_32 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(31 downto 0); begin var_strb_value := input_strobe(31 downto 0); case var_strb_value is when "00000000000000000000000000000001" => var_ms_strb_index := 0; when "00000000000000000000000000000010" => var_ms_strb_index := 1; when "00000000000000000000000000000100" => var_ms_strb_index := 2; when "00000000000000000000000000001000" => var_ms_strb_index := 3; when "00000000000000000000000000010000" => var_ms_strb_index := 4; when "00000000000000000000000000100000" => var_ms_strb_index := 5; when "00000000000000000000000001000000" => var_ms_strb_index := 6; when "00000000000000000000000010000000" => var_ms_strb_index := 7; when "00000000000000000000000100000000" => var_ms_strb_index := 8; when "00000000000000000000001000000000" => var_ms_strb_index := 9; when "00000000000000000000010000000000" => var_ms_strb_index := 10; when "00000000000000000000100000000000" => var_ms_strb_index := 11; when "00000000000000000001000000000000" => var_ms_strb_index := 12; when "00000000000000000010000000000000" => var_ms_strb_index := 13; when "00000000000000000100000000000000" => var_ms_strb_index := 14; when "00000000000000001000000000000000" => var_ms_strb_index := 15; when "00000000000000010000000000000000" => var_ms_strb_index := 16; when "00000000000000100000000000000000" => var_ms_strb_index := 17; when "00000000000001000000000000000000" => var_ms_strb_index := 18; when "00000000000010000000000000000000" => var_ms_strb_index := 19; when "00000000000100000000000000000000" => var_ms_strb_index := 20; when "00000000001000000000000000000000" => var_ms_strb_index := 21; when "00000000010000000000000000000000" => var_ms_strb_index := 22; when "00000000100000000000000000000000" => var_ms_strb_index := 23; when "00000001000000000000000000000000" => var_ms_strb_index := 24; when "00000010000000000000000000000000" => var_ms_strb_index := 25; when "00000100000000000000000000000000" => var_ms_strb_index := 26; when "00001000000000000000000000000000" => var_ms_strb_index := 27; when "00010000000000000000000000000000" => var_ms_strb_index := 28; when "00100000000000000000000000000000" => var_ms_strb_index := 29; when "01000000000000000000000000000000" => var_ms_strb_index := 30; when "10000000000000000000000000000000" => var_ms_strb_index := 31; when others => var_ms_strb_index := 32; end case; Return (var_ms_strb_index); end function get_ms_index_32; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_64 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 64-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_64 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(63 downto 0); begin var_strb_value := input_strobe(63 downto 0); case var_strb_value is when "0000000000000000000000000000000000000000000000000000000000000001" => var_ms_strb_index := 0; when "0000000000000000000000000000000000000000000000000000000000000010" => var_ms_strb_index := 1; when "0000000000000000000000000000000000000000000000000000000000000100" => var_ms_strb_index := 2; when "0000000000000000000000000000000000000000000000000000000000001000" => var_ms_strb_index := 3; when "0000000000000000000000000000000000000000000000000000000000010000" => var_ms_strb_index := 4; when "0000000000000000000000000000000000000000000000000000000000100000" => var_ms_strb_index := 5; when "0000000000000000000000000000000000000000000000000000000001000000" => var_ms_strb_index := 6; when "0000000000000000000000000000000000000000000000000000000010000000" => var_ms_strb_index := 7; when "0000000000000000000000000000000000000000000000000000000100000000" => var_ms_strb_index := 8; when "0000000000000000000000000000000000000000000000000000001000000000" => var_ms_strb_index := 9; when "0000000000000000000000000000000000000000000000000000010000000000" => var_ms_strb_index := 10; when "0000000000000000000000000000000000000000000000000000100000000000" => var_ms_strb_index := 11; when "0000000000000000000000000000000000000000000000000001000000000000" => var_ms_strb_index := 12; when "0000000000000000000000000000000000000000000000000010000000000000" => var_ms_strb_index := 13; when "0000000000000000000000000000000000000000000000000100000000000000" => var_ms_strb_index := 14; when "0000000000000000000000000000000000000000000000001000000000000000" => var_ms_strb_index := 15; when "0000000000000000000000000000000000000000000000010000000000000000" => var_ms_strb_index := 16; when "0000000000000000000000000000000000000000000000100000000000000000" => var_ms_strb_index := 17; when "0000000000000000000000000000000000000000000001000000000000000000" => var_ms_strb_index := 18; when "0000000000000000000000000000000000000000000010000000000000000000" => var_ms_strb_index := 19; when "0000000000000000000000000000000000000000000100000000000000000000" => var_ms_strb_index := 20; when "0000000000000000000000000000000000000000001000000000000000000000" => var_ms_strb_index := 21; when "0000000000000000000000000000000000000000010000000000000000000000" => var_ms_strb_index := 22; when "0000000000000000000000000000000000000000100000000000000000000000" => var_ms_strb_index := 23; when "0000000000000000000000000000000000000001000000000000000000000000" => var_ms_strb_index := 24; when "0000000000000000000000000000000000000010000000000000000000000000" => var_ms_strb_index := 25; when "0000000000000000000000000000000000000100000000000000000000000000" => var_ms_strb_index := 26; when "0000000000000000000000000000000000001000000000000000000000000000" => var_ms_strb_index := 27; when "0000000000000000000000000000000000010000000000000000000000000000" => var_ms_strb_index := 28; when "0000000000000000000000000000000000100000000000000000000000000000" => var_ms_strb_index := 29; when "0000000000000000000000000000000001000000000000000000000000000000" => var_ms_strb_index := 30; when "0000000000000000000000000000000010000000000000000000000000000000" => var_ms_strb_index := 31; when "0000000000000000000000000000000100000000000000000000000000000000" => var_ms_strb_index := 32; when "0000000000000000000000000000001000000000000000000000000000000000" => var_ms_strb_index := 33; when "0000000000000000000000000000010000000000000000000000000000000000" => var_ms_strb_index := 34; when "0000000000000000000000000000100000000000000000000000000000000000" => var_ms_strb_index := 35; when "0000000000000000000000000001000000000000000000000000000000000000" => var_ms_strb_index := 36; when "0000000000000000000000000010000000000000000000000000000000000000" => var_ms_strb_index := 37; when "0000000000000000000000000100000000000000000000000000000000000000" => var_ms_strb_index := 38; when "0000000000000000000000001000000000000000000000000000000000000000" => var_ms_strb_index := 39; when "0000000000000000000000010000000000000000000000000000000000000000" => var_ms_strb_index := 40; when "0000000000000000000000100000000000000000000000000000000000000000" => var_ms_strb_index := 41; when "0000000000000000000001000000000000000000000000000000000000000000" => var_ms_strb_index := 42; when "0000000000000000000010000000000000000000000000000000000000000000" => var_ms_strb_index := 43; when "0000000000000000000100000000000000000000000000000000000000000000" => var_ms_strb_index := 44; when "0000000000000000001000000000000000000000000000000000000000000000" => var_ms_strb_index := 45; when "0000000000000000010000000000000000000000000000000000000000000000" => var_ms_strb_index := 46; when "0000000000000000100000000000000000000000000000000000000000000000" => var_ms_strb_index := 47; when "0000000000000001000000000000000000000000000000000000000000000000" => var_ms_strb_index := 48; when "0000000000000010000000000000000000000000000000000000000000000000" => var_ms_strb_index := 49; when "0000000000000100000000000000000000000000000000000000000000000000" => var_ms_strb_index := 50; when "0000000000001000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 51; when "0000000000010000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 52; when "0000000000100000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 53; when "0000000001000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 54; when "0000000010000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 55; when "0000000100000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 56; when "0000001000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 57; when "0000010000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 58; when "0000100000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 59; when "0001000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 60; when "0010000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 61; when "0100000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 62; when "1000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 63; when others => var_ms_strb_index := 64; end case; Return (var_ms_strb_index); end function get_ms_index_64; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_128 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 64-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_128 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(127 downto 0); begin var_strb_value := input_strobe(127 downto 0); case var_strb_value is when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001" => var_ms_strb_index := 0; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010" => var_ms_strb_index := 1; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100" => var_ms_strb_index := 2; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000" => var_ms_strb_index := 3; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000" => var_ms_strb_index := 4; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000" => var_ms_strb_index := 5; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000" => var_ms_strb_index := 6; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000" => var_ms_strb_index := 7; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000" => var_ms_strb_index := 8; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000" => var_ms_strb_index := 9; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000" => var_ms_strb_index := 10; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000" => var_ms_strb_index := 11; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000" => var_ms_strb_index := 12; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000" => var_ms_strb_index := 13; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000" => var_ms_strb_index := 14; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000" => var_ms_strb_index := 15; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000" => var_ms_strb_index := 16; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000" => var_ms_strb_index := 17; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000" => var_ms_strb_index := 18; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000" => var_ms_strb_index := 19; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000" => var_ms_strb_index := 20; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000" => var_ms_strb_index := 21; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000" => var_ms_strb_index := 22; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000" => var_ms_strb_index := 23; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000" => var_ms_strb_index := 24; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000" => var_ms_strb_index := 25; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000" => var_ms_strb_index := 26; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000" => var_ms_strb_index := 27; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000" => var_ms_strb_index := 28; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000" => var_ms_strb_index := 29; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000" => var_ms_strb_index := 30; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000" => var_ms_strb_index := 31; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000" => var_ms_strb_index := 32; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000" => var_ms_strb_index := 33; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000" => var_ms_strb_index := 34; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000" => var_ms_strb_index := 35; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000" => var_ms_strb_index := 36; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000" => var_ms_strb_index := 37; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000" => var_ms_strb_index := 38; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000" => var_ms_strb_index := 39; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000" => var_ms_strb_index := 40; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000" => var_ms_strb_index := 41; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000" => var_ms_strb_index := 42; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000" => var_ms_strb_index := 43; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000" => var_ms_strb_index := 44; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000" => var_ms_strb_index := 45; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000" => var_ms_strb_index := 46; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000" => var_ms_strb_index := 47; when "00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000" => var_ms_strb_index := 48; when "00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000" => var_ms_strb_index := 49; when "00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000" => var_ms_strb_index := 50; when "00000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 51; when "00000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 52; when "00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 53; when "00000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 54; when "00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 55; when "00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 56; when "00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 57; when "00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 58; when "00000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 59; when "00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 60; when "00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 61; when "00000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 62; when "00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 63; when "00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 64; when "00000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 65; when "00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 66; when "00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 67; when "00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 68; when "00000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 69; when "00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 70; when "00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 71; when "00000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 72; when "00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 73; when "00000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 74; when "00000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 75; when "00000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 76; when "00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 77; when "00000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 78; when "00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 79; when "00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 80; when "00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 81; when "00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 82; when "00000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 83; when "00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 84; when "00000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 85; when "00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 86; when "00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 87; when "00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 88; when "00000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 89; when "00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 90; when "00000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 91; when "00000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 92; when "00000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 93; when "00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 94; when "00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 95; when "00000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 96; when "00000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 97; when "00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 98; when "00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 99; when "00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 100; when "00000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 101; when "00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 102; when "00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 103; when "00000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 104; when "00000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 105; when "00000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 106; when "00000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 107; when "00000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 108; when "00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 109; when "00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 110; when "00000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 111; when "00000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 112; when "00000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 113; when "00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 114; when "00000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 115; when "00000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 116; when "00000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 117; when "00000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 118; when "00000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 119; when "00000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 120; when "00000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 121; when "00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 122; when "00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 123; when "00010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 124; when "00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 125; when "01000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 126; when "10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 127; when others => var_ms_strb_index := 128; end case; Return (var_ms_strb_index); end function get_ms_index_128; -- Constants Constant ERROR_INDEX : natural := C_STRB_WIDTH; Constant TEMP_NAT_MAX : natural := 255; -- allows for a 0 to 255 strobe index value Constant TEMP_UN_WIDTH : natural := 8; -- 8 bits allows for a 0 to 255 index value -- Signals signal sig_input_stbs : std_logic_vector(C_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_ms_asserted_index_un : unsigned(C_INDEX_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_error : std_logic := '0'; signal sig_temp_ms_index_un : unsigned(TEMP_UN_WIDTH-1 downto 0) := (others => '0'); signal sig_temp_ms_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin --(architecture implementation) -- Assign the ms asserted strobe value ms_strb_index <= STD_LOGIC_VECTOR(sig_ms_asserted_index_un); -- Assign the input strobe sig_input_stbs <= strbs_in ; -- assign input strobes -- Assign the strobe eror output strb_error <= sig_strb_error ; -- assign the strobe error output -- Rip the valid index bits sig_ms_asserted_index_un <= sig_temp_ms_index_un(C_INDEX_WIDTH-1 downto 0); -- Assert the Strobe Error output if an out of range index is returned sig_temp_ms_index_nat <= TO_INTEGER(sig_ms_asserted_index_un) ; sig_strb_error <= '1' When (sig_temp_ms_index_nat >= ERROR_INDEX) else '0'; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_1BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 1-bit strobe width case. -- -- ------------------------------------------------------------ GEN_1BIT_CASE : if (C_STRB_WIDTH = 1) generate begin sig_temp_ms_index_un <= TO_UNSIGNED( 0, TEMP_UN_WIDTH); end generate GEN_1BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_2BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 2-bit strobe width case. -- -- ------------------------------------------------------------ GEN_2BIT_CASE : if (C_STRB_WIDTH = 2) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin lsig_ms_asserted_index_nat <= get_ms_index_2(sig_input_stbs); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_2BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_4BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 4-bit strobe width case. -- ------------------------------------------------------------ GEN_4BIT_CASE : if (C_STRB_WIDTH = 4) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin lsig_ms_asserted_index_nat <= get_ms_index_4(sig_input_stbs); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_4BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_8BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 8-bit strobe width case. -- ------------------------------------------------------------ GEN_8BIT_CASE : if (C_STRB_WIDTH = 8) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_8(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_8BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_16BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 16-bit strobe width case. -- -- ------------------------------------------------------------ GEN_16BIT_CASE : if (C_STRB_WIDTH = 16) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_16(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_16BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_32BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 32-bit strobe width case. -- ------------------------------------------------------------ GEN_32BIT_CASE : if (C_STRB_WIDTH = 32) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_32(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_32BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_64BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 64-bit strobe width case. -- ------------------------------------------------------------ GEN_64BIT_CASE : if (C_STRB_WIDTH = 64) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_64(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_64BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_128BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 128-bit strobe width case. -- ------------------------------------------------------------ GEN_128BIT_CASE : if (C_STRB_WIDTH = 128) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_128(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_128BIT_CASE; end implementation;
------------------------------------------------------------------------------- -- axi_datamover_ms_strb_set.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_ms_strb_set.vhd -- -- Description: -- This module implements a function to detect the most significant strobe -- bit asserted and outputs the index value of that strobe bit. It can only -- be used in applications where the asserted strobe bits are contiguous and -- always asserted from LS to MS bit positions, -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity axi_datamover_ms_strb_set is generic ( C_STRB_WIDTH : Integer := 8; -- Sets the width of the input strobe port C_INDEX_WIDTH : Integer := 3 -- Sets the width of the ms_strb_index output port -- Should be log2(C_STRB_WIDTH) ); port ( -- Input strobe value ------------------------------------------------ strbs_in : in std_logic_vector(C_STRB_WIDTH-1 downto 0); -- ---------------------------------------------------------------------- -- Specifies the index of the most significant strobe set ------------ ms_strb_index : out std_logic_vector(C_INDEX_WIDTH-1 downto 0); -- ---------------------------------------------------------------------- -- Invalid strobe input Indcation ------------------------------------ strb_error : Out std_logic -- -- Indicates an error with the strobe input, either a hole in the -- -- asserted strobes or not asserted from LS bits upwards. -- ---------------------------------------------------------------------- ); end entity axi_datamover_ms_strb_set; architecture implementation of axi_datamover_ms_strb_set is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_2 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 2-bit wide strobe value. -- ------------------------------------------------------------------- function get_ms_index_2 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(1 downto 0); begin var_strb_value := input_strobe(1 downto 0); case var_strb_value is when "01" => var_ms_strb_index := 0; when "11" | "10" => var_ms_strb_index := 1; when others => var_ms_strb_index := 2; end case; Return (var_ms_strb_index); end function get_ms_index_2; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_4 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 4-bit wide strobe value. -- ------------------------------------------------------------------- function get_ms_index_4 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(3 downto 0); begin var_strb_value := input_strobe(3 downto 0); case var_strb_value is when "0001" => var_ms_strb_index := 0; when "0011" | "0010" => var_ms_strb_index := 1; when "0111" | "0110" | "0100" => var_ms_strb_index := 2; when "1111" | "1110" | "1100" | "1000"=> var_ms_strb_index := 3; when others => var_ms_strb_index := 4; end case; Return (var_ms_strb_index); end function get_ms_index_4; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_8 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 8-bit wide strobe value. -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_8 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(7 downto 0); begin var_strb_value := input_strobe(7 downto 0); case var_strb_value is when "00000001" => var_ms_strb_index := 0; when "00000010" => var_ms_strb_index := 1; when "00000100" => var_ms_strb_index := 2; when "00001000" => var_ms_strb_index := 3; when "00010000" => var_ms_strb_index := 4; when "00100000" => var_ms_strb_index := 5; when "01000000" => var_ms_strb_index := 6; when "10000000" => var_ms_strb_index := 7; when others => var_ms_strb_index := 8; end case; Return (var_ms_strb_index); end function get_ms_index_8; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_16 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 16-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_16 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(15 downto 0); begin var_strb_value := input_strobe(15 downto 0); case var_strb_value is when "0000000000000001" => var_ms_strb_index := 0; when "0000000000000010" => var_ms_strb_index := 1; when "0000000000000100" => var_ms_strb_index := 2; when "0000000000001000" => var_ms_strb_index := 3; when "0000000000010000" => var_ms_strb_index := 4; when "0000000000100000" => var_ms_strb_index := 5; when "0000000001000000" => var_ms_strb_index := 6; when "0000000010000000" => var_ms_strb_index := 7; when "0000000100000000" => var_ms_strb_index := 8; when "0000001000000000" => var_ms_strb_index := 9; when "0000010000000000" => var_ms_strb_index := 10; when "0000100000000000" => var_ms_strb_index := 11; when "0001000000000000" => var_ms_strb_index := 12; when "0010000000000000" => var_ms_strb_index := 13; when "0100000000000000" => var_ms_strb_index := 14; when "1000000000000000" => var_ms_strb_index := 15; when others => var_ms_strb_index := 16; end case; Return (var_ms_strb_index); end function get_ms_index_16; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_32 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 32-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_32 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(31 downto 0); begin var_strb_value := input_strobe(31 downto 0); case var_strb_value is when "00000000000000000000000000000001" => var_ms_strb_index := 0; when "00000000000000000000000000000010" => var_ms_strb_index := 1; when "00000000000000000000000000000100" => var_ms_strb_index := 2; when "00000000000000000000000000001000" => var_ms_strb_index := 3; when "00000000000000000000000000010000" => var_ms_strb_index := 4; when "00000000000000000000000000100000" => var_ms_strb_index := 5; when "00000000000000000000000001000000" => var_ms_strb_index := 6; when "00000000000000000000000010000000" => var_ms_strb_index := 7; when "00000000000000000000000100000000" => var_ms_strb_index := 8; when "00000000000000000000001000000000" => var_ms_strb_index := 9; when "00000000000000000000010000000000" => var_ms_strb_index := 10; when "00000000000000000000100000000000" => var_ms_strb_index := 11; when "00000000000000000001000000000000" => var_ms_strb_index := 12; when "00000000000000000010000000000000" => var_ms_strb_index := 13; when "00000000000000000100000000000000" => var_ms_strb_index := 14; when "00000000000000001000000000000000" => var_ms_strb_index := 15; when "00000000000000010000000000000000" => var_ms_strb_index := 16; when "00000000000000100000000000000000" => var_ms_strb_index := 17; when "00000000000001000000000000000000" => var_ms_strb_index := 18; when "00000000000010000000000000000000" => var_ms_strb_index := 19; when "00000000000100000000000000000000" => var_ms_strb_index := 20; when "00000000001000000000000000000000" => var_ms_strb_index := 21; when "00000000010000000000000000000000" => var_ms_strb_index := 22; when "00000000100000000000000000000000" => var_ms_strb_index := 23; when "00000001000000000000000000000000" => var_ms_strb_index := 24; when "00000010000000000000000000000000" => var_ms_strb_index := 25; when "00000100000000000000000000000000" => var_ms_strb_index := 26; when "00001000000000000000000000000000" => var_ms_strb_index := 27; when "00010000000000000000000000000000" => var_ms_strb_index := 28; when "00100000000000000000000000000000" => var_ms_strb_index := 29; when "01000000000000000000000000000000" => var_ms_strb_index := 30; when "10000000000000000000000000000000" => var_ms_strb_index := 31; when others => var_ms_strb_index := 32; end case; Return (var_ms_strb_index); end function get_ms_index_32; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_64 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 64-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_64 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(63 downto 0); begin var_strb_value := input_strobe(63 downto 0); case var_strb_value is when "0000000000000000000000000000000000000000000000000000000000000001" => var_ms_strb_index := 0; when "0000000000000000000000000000000000000000000000000000000000000010" => var_ms_strb_index := 1; when "0000000000000000000000000000000000000000000000000000000000000100" => var_ms_strb_index := 2; when "0000000000000000000000000000000000000000000000000000000000001000" => var_ms_strb_index := 3; when "0000000000000000000000000000000000000000000000000000000000010000" => var_ms_strb_index := 4; when "0000000000000000000000000000000000000000000000000000000000100000" => var_ms_strb_index := 5; when "0000000000000000000000000000000000000000000000000000000001000000" => var_ms_strb_index := 6; when "0000000000000000000000000000000000000000000000000000000010000000" => var_ms_strb_index := 7; when "0000000000000000000000000000000000000000000000000000000100000000" => var_ms_strb_index := 8; when "0000000000000000000000000000000000000000000000000000001000000000" => var_ms_strb_index := 9; when "0000000000000000000000000000000000000000000000000000010000000000" => var_ms_strb_index := 10; when "0000000000000000000000000000000000000000000000000000100000000000" => var_ms_strb_index := 11; when "0000000000000000000000000000000000000000000000000001000000000000" => var_ms_strb_index := 12; when "0000000000000000000000000000000000000000000000000010000000000000" => var_ms_strb_index := 13; when "0000000000000000000000000000000000000000000000000100000000000000" => var_ms_strb_index := 14; when "0000000000000000000000000000000000000000000000001000000000000000" => var_ms_strb_index := 15; when "0000000000000000000000000000000000000000000000010000000000000000" => var_ms_strb_index := 16; when "0000000000000000000000000000000000000000000000100000000000000000" => var_ms_strb_index := 17; when "0000000000000000000000000000000000000000000001000000000000000000" => var_ms_strb_index := 18; when "0000000000000000000000000000000000000000000010000000000000000000" => var_ms_strb_index := 19; when "0000000000000000000000000000000000000000000100000000000000000000" => var_ms_strb_index := 20; when "0000000000000000000000000000000000000000001000000000000000000000" => var_ms_strb_index := 21; when "0000000000000000000000000000000000000000010000000000000000000000" => var_ms_strb_index := 22; when "0000000000000000000000000000000000000000100000000000000000000000" => var_ms_strb_index := 23; when "0000000000000000000000000000000000000001000000000000000000000000" => var_ms_strb_index := 24; when "0000000000000000000000000000000000000010000000000000000000000000" => var_ms_strb_index := 25; when "0000000000000000000000000000000000000100000000000000000000000000" => var_ms_strb_index := 26; when "0000000000000000000000000000000000001000000000000000000000000000" => var_ms_strb_index := 27; when "0000000000000000000000000000000000010000000000000000000000000000" => var_ms_strb_index := 28; when "0000000000000000000000000000000000100000000000000000000000000000" => var_ms_strb_index := 29; when "0000000000000000000000000000000001000000000000000000000000000000" => var_ms_strb_index := 30; when "0000000000000000000000000000000010000000000000000000000000000000" => var_ms_strb_index := 31; when "0000000000000000000000000000000100000000000000000000000000000000" => var_ms_strb_index := 32; when "0000000000000000000000000000001000000000000000000000000000000000" => var_ms_strb_index := 33; when "0000000000000000000000000000010000000000000000000000000000000000" => var_ms_strb_index := 34; when "0000000000000000000000000000100000000000000000000000000000000000" => var_ms_strb_index := 35; when "0000000000000000000000000001000000000000000000000000000000000000" => var_ms_strb_index := 36; when "0000000000000000000000000010000000000000000000000000000000000000" => var_ms_strb_index := 37; when "0000000000000000000000000100000000000000000000000000000000000000" => var_ms_strb_index := 38; when "0000000000000000000000001000000000000000000000000000000000000000" => var_ms_strb_index := 39; when "0000000000000000000000010000000000000000000000000000000000000000" => var_ms_strb_index := 40; when "0000000000000000000000100000000000000000000000000000000000000000" => var_ms_strb_index := 41; when "0000000000000000000001000000000000000000000000000000000000000000" => var_ms_strb_index := 42; when "0000000000000000000010000000000000000000000000000000000000000000" => var_ms_strb_index := 43; when "0000000000000000000100000000000000000000000000000000000000000000" => var_ms_strb_index := 44; when "0000000000000000001000000000000000000000000000000000000000000000" => var_ms_strb_index := 45; when "0000000000000000010000000000000000000000000000000000000000000000" => var_ms_strb_index := 46; when "0000000000000000100000000000000000000000000000000000000000000000" => var_ms_strb_index := 47; when "0000000000000001000000000000000000000000000000000000000000000000" => var_ms_strb_index := 48; when "0000000000000010000000000000000000000000000000000000000000000000" => var_ms_strb_index := 49; when "0000000000000100000000000000000000000000000000000000000000000000" => var_ms_strb_index := 50; when "0000000000001000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 51; when "0000000000010000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 52; when "0000000000100000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 53; when "0000000001000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 54; when "0000000010000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 55; when "0000000100000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 56; when "0000001000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 57; when "0000010000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 58; when "0000100000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 59; when "0001000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 60; when "0010000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 61; when "0100000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 62; when "1000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 63; when others => var_ms_strb_index := 64; end case; Return (var_ms_strb_index); end function get_ms_index_64; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_128 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 64-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_128 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(127 downto 0); begin var_strb_value := input_strobe(127 downto 0); case var_strb_value is when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001" => var_ms_strb_index := 0; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010" => var_ms_strb_index := 1; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100" => var_ms_strb_index := 2; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000" => var_ms_strb_index := 3; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000" => var_ms_strb_index := 4; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000" => var_ms_strb_index := 5; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000" => var_ms_strb_index := 6; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000" => var_ms_strb_index := 7; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000" => var_ms_strb_index := 8; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000" => var_ms_strb_index := 9; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000" => var_ms_strb_index := 10; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000" => var_ms_strb_index := 11; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000" => var_ms_strb_index := 12; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000" => var_ms_strb_index := 13; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000" => var_ms_strb_index := 14; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000" => var_ms_strb_index := 15; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000" => var_ms_strb_index := 16; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000" => var_ms_strb_index := 17; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000" => var_ms_strb_index := 18; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000" => var_ms_strb_index := 19; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000" => var_ms_strb_index := 20; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000" => var_ms_strb_index := 21; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000" => var_ms_strb_index := 22; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000" => var_ms_strb_index := 23; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000" => var_ms_strb_index := 24; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000" => var_ms_strb_index := 25; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000" => var_ms_strb_index := 26; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000" => var_ms_strb_index := 27; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000" => var_ms_strb_index := 28; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000" => var_ms_strb_index := 29; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000" => var_ms_strb_index := 30; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000" => var_ms_strb_index := 31; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000" => var_ms_strb_index := 32; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000" => var_ms_strb_index := 33; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000" => var_ms_strb_index := 34; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000" => var_ms_strb_index := 35; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000" => var_ms_strb_index := 36; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000" => var_ms_strb_index := 37; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000" => var_ms_strb_index := 38; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000" => var_ms_strb_index := 39; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000" => var_ms_strb_index := 40; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000" => var_ms_strb_index := 41; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000" => var_ms_strb_index := 42; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000" => var_ms_strb_index := 43; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000" => var_ms_strb_index := 44; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000" => var_ms_strb_index := 45; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000" => var_ms_strb_index := 46; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000" => var_ms_strb_index := 47; when "00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000" => var_ms_strb_index := 48; when "00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000" => var_ms_strb_index := 49; when "00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000" => var_ms_strb_index := 50; when "00000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 51; when "00000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 52; when "00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 53; when "00000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 54; when "00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 55; when "00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 56; when "00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 57; when "00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 58; when "00000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 59; when "00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 60; when "00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 61; when "00000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 62; when "00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 63; when "00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 64; when "00000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 65; when "00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 66; when "00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 67; when "00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 68; when "00000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 69; when "00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 70; when "00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 71; when "00000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 72; when "00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 73; when "00000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 74; when "00000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 75; when "00000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 76; when "00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 77; when "00000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 78; when "00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 79; when "00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 80; when "00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 81; when "00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 82; when "00000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 83; when "00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 84; when "00000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 85; when "00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 86; when "00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 87; when "00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 88; when "00000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 89; when "00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 90; when "00000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 91; when "00000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 92; when "00000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 93; when "00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 94; when "00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 95; when "00000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 96; when "00000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 97; when "00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 98; when "00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 99; when "00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 100; when "00000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 101; when "00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 102; when "00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 103; when "00000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 104; when "00000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 105; when "00000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 106; when "00000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 107; when "00000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 108; when "00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 109; when "00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 110; when "00000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 111; when "00000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 112; when "00000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 113; when "00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 114; when "00000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 115; when "00000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 116; when "00000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 117; when "00000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 118; when "00000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 119; when "00000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 120; when "00000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 121; when "00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 122; when "00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 123; when "00010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 124; when "00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 125; when "01000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 126; when "10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 127; when others => var_ms_strb_index := 128; end case; Return (var_ms_strb_index); end function get_ms_index_128; -- Constants Constant ERROR_INDEX : natural := C_STRB_WIDTH; Constant TEMP_NAT_MAX : natural := 255; -- allows for a 0 to 255 strobe index value Constant TEMP_UN_WIDTH : natural := 8; -- 8 bits allows for a 0 to 255 index value -- Signals signal sig_input_stbs : std_logic_vector(C_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_ms_asserted_index_un : unsigned(C_INDEX_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_error : std_logic := '0'; signal sig_temp_ms_index_un : unsigned(TEMP_UN_WIDTH-1 downto 0) := (others => '0'); signal sig_temp_ms_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin --(architecture implementation) -- Assign the ms asserted strobe value ms_strb_index <= STD_LOGIC_VECTOR(sig_ms_asserted_index_un); -- Assign the input strobe sig_input_stbs <= strbs_in ; -- assign input strobes -- Assign the strobe eror output strb_error <= sig_strb_error ; -- assign the strobe error output -- Rip the valid index bits sig_ms_asserted_index_un <= sig_temp_ms_index_un(C_INDEX_WIDTH-1 downto 0); -- Assert the Strobe Error output if an out of range index is returned sig_temp_ms_index_nat <= TO_INTEGER(sig_ms_asserted_index_un) ; sig_strb_error <= '1' When (sig_temp_ms_index_nat >= ERROR_INDEX) else '0'; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_1BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 1-bit strobe width case. -- -- ------------------------------------------------------------ GEN_1BIT_CASE : if (C_STRB_WIDTH = 1) generate begin sig_temp_ms_index_un <= TO_UNSIGNED( 0, TEMP_UN_WIDTH); end generate GEN_1BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_2BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 2-bit strobe width case. -- -- ------------------------------------------------------------ GEN_2BIT_CASE : if (C_STRB_WIDTH = 2) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin lsig_ms_asserted_index_nat <= get_ms_index_2(sig_input_stbs); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_2BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_4BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 4-bit strobe width case. -- ------------------------------------------------------------ GEN_4BIT_CASE : if (C_STRB_WIDTH = 4) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin lsig_ms_asserted_index_nat <= get_ms_index_4(sig_input_stbs); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_4BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_8BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 8-bit strobe width case. -- ------------------------------------------------------------ GEN_8BIT_CASE : if (C_STRB_WIDTH = 8) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_8(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_8BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_16BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 16-bit strobe width case. -- -- ------------------------------------------------------------ GEN_16BIT_CASE : if (C_STRB_WIDTH = 16) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_16(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_16BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_32BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 32-bit strobe width case. -- ------------------------------------------------------------ GEN_32BIT_CASE : if (C_STRB_WIDTH = 32) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_32(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_32BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_64BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 64-bit strobe width case. -- ------------------------------------------------------------ GEN_64BIT_CASE : if (C_STRB_WIDTH = 64) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_64(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_64BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_128BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 128-bit strobe width case. -- ------------------------------------------------------------ GEN_128BIT_CASE : if (C_STRB_WIDTH = 128) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_128(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_128BIT_CASE; end implementation;
------------------------------------------------------------------------------- -- axi_datamover_ms_strb_set.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_ms_strb_set.vhd -- -- Description: -- This module implements a function to detect the most significant strobe -- bit asserted and outputs the index value of that strobe bit. It can only -- be used in applications where the asserted strobe bits are contiguous and -- always asserted from LS to MS bit positions, -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity axi_datamover_ms_strb_set is generic ( C_STRB_WIDTH : Integer := 8; -- Sets the width of the input strobe port C_INDEX_WIDTH : Integer := 3 -- Sets the width of the ms_strb_index output port -- Should be log2(C_STRB_WIDTH) ); port ( -- Input strobe value ------------------------------------------------ strbs_in : in std_logic_vector(C_STRB_WIDTH-1 downto 0); -- ---------------------------------------------------------------------- -- Specifies the index of the most significant strobe set ------------ ms_strb_index : out std_logic_vector(C_INDEX_WIDTH-1 downto 0); -- ---------------------------------------------------------------------- -- Invalid strobe input Indcation ------------------------------------ strb_error : Out std_logic -- -- Indicates an error with the strobe input, either a hole in the -- -- asserted strobes or not asserted from LS bits upwards. -- ---------------------------------------------------------------------- ); end entity axi_datamover_ms_strb_set; architecture implementation of axi_datamover_ms_strb_set is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_2 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 2-bit wide strobe value. -- ------------------------------------------------------------------- function get_ms_index_2 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(1 downto 0); begin var_strb_value := input_strobe(1 downto 0); case var_strb_value is when "01" => var_ms_strb_index := 0; when "11" | "10" => var_ms_strb_index := 1; when others => var_ms_strb_index := 2; end case; Return (var_ms_strb_index); end function get_ms_index_2; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_4 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 4-bit wide strobe value. -- ------------------------------------------------------------------- function get_ms_index_4 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(3 downto 0); begin var_strb_value := input_strobe(3 downto 0); case var_strb_value is when "0001" => var_ms_strb_index := 0; when "0011" | "0010" => var_ms_strb_index := 1; when "0111" | "0110" | "0100" => var_ms_strb_index := 2; when "1111" | "1110" | "1100" | "1000"=> var_ms_strb_index := 3; when others => var_ms_strb_index := 4; end case; Return (var_ms_strb_index); end function get_ms_index_4; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_8 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 8-bit wide strobe value. -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_8 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(7 downto 0); begin var_strb_value := input_strobe(7 downto 0); case var_strb_value is when "00000001" => var_ms_strb_index := 0; when "00000010" => var_ms_strb_index := 1; when "00000100" => var_ms_strb_index := 2; when "00001000" => var_ms_strb_index := 3; when "00010000" => var_ms_strb_index := 4; when "00100000" => var_ms_strb_index := 5; when "01000000" => var_ms_strb_index := 6; when "10000000" => var_ms_strb_index := 7; when others => var_ms_strb_index := 8; end case; Return (var_ms_strb_index); end function get_ms_index_8; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_16 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 16-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_16 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(15 downto 0); begin var_strb_value := input_strobe(15 downto 0); case var_strb_value is when "0000000000000001" => var_ms_strb_index := 0; when "0000000000000010" => var_ms_strb_index := 1; when "0000000000000100" => var_ms_strb_index := 2; when "0000000000001000" => var_ms_strb_index := 3; when "0000000000010000" => var_ms_strb_index := 4; when "0000000000100000" => var_ms_strb_index := 5; when "0000000001000000" => var_ms_strb_index := 6; when "0000000010000000" => var_ms_strb_index := 7; when "0000000100000000" => var_ms_strb_index := 8; when "0000001000000000" => var_ms_strb_index := 9; when "0000010000000000" => var_ms_strb_index := 10; when "0000100000000000" => var_ms_strb_index := 11; when "0001000000000000" => var_ms_strb_index := 12; when "0010000000000000" => var_ms_strb_index := 13; when "0100000000000000" => var_ms_strb_index := 14; when "1000000000000000" => var_ms_strb_index := 15; when others => var_ms_strb_index := 16; end case; Return (var_ms_strb_index); end function get_ms_index_16; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_32 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 32-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_32 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(31 downto 0); begin var_strb_value := input_strobe(31 downto 0); case var_strb_value is when "00000000000000000000000000000001" => var_ms_strb_index := 0; when "00000000000000000000000000000010" => var_ms_strb_index := 1; when "00000000000000000000000000000100" => var_ms_strb_index := 2; when "00000000000000000000000000001000" => var_ms_strb_index := 3; when "00000000000000000000000000010000" => var_ms_strb_index := 4; when "00000000000000000000000000100000" => var_ms_strb_index := 5; when "00000000000000000000000001000000" => var_ms_strb_index := 6; when "00000000000000000000000010000000" => var_ms_strb_index := 7; when "00000000000000000000000100000000" => var_ms_strb_index := 8; when "00000000000000000000001000000000" => var_ms_strb_index := 9; when "00000000000000000000010000000000" => var_ms_strb_index := 10; when "00000000000000000000100000000000" => var_ms_strb_index := 11; when "00000000000000000001000000000000" => var_ms_strb_index := 12; when "00000000000000000010000000000000" => var_ms_strb_index := 13; when "00000000000000000100000000000000" => var_ms_strb_index := 14; when "00000000000000001000000000000000" => var_ms_strb_index := 15; when "00000000000000010000000000000000" => var_ms_strb_index := 16; when "00000000000000100000000000000000" => var_ms_strb_index := 17; when "00000000000001000000000000000000" => var_ms_strb_index := 18; when "00000000000010000000000000000000" => var_ms_strb_index := 19; when "00000000000100000000000000000000" => var_ms_strb_index := 20; when "00000000001000000000000000000000" => var_ms_strb_index := 21; when "00000000010000000000000000000000" => var_ms_strb_index := 22; when "00000000100000000000000000000000" => var_ms_strb_index := 23; when "00000001000000000000000000000000" => var_ms_strb_index := 24; when "00000010000000000000000000000000" => var_ms_strb_index := 25; when "00000100000000000000000000000000" => var_ms_strb_index := 26; when "00001000000000000000000000000000" => var_ms_strb_index := 27; when "00010000000000000000000000000000" => var_ms_strb_index := 28; when "00100000000000000000000000000000" => var_ms_strb_index := 29; when "01000000000000000000000000000000" => var_ms_strb_index := 30; when "10000000000000000000000000000000" => var_ms_strb_index := 31; when others => var_ms_strb_index := 32; end case; Return (var_ms_strb_index); end function get_ms_index_32; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_64 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 64-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_64 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(63 downto 0); begin var_strb_value := input_strobe(63 downto 0); case var_strb_value is when "0000000000000000000000000000000000000000000000000000000000000001" => var_ms_strb_index := 0; when "0000000000000000000000000000000000000000000000000000000000000010" => var_ms_strb_index := 1; when "0000000000000000000000000000000000000000000000000000000000000100" => var_ms_strb_index := 2; when "0000000000000000000000000000000000000000000000000000000000001000" => var_ms_strb_index := 3; when "0000000000000000000000000000000000000000000000000000000000010000" => var_ms_strb_index := 4; when "0000000000000000000000000000000000000000000000000000000000100000" => var_ms_strb_index := 5; when "0000000000000000000000000000000000000000000000000000000001000000" => var_ms_strb_index := 6; when "0000000000000000000000000000000000000000000000000000000010000000" => var_ms_strb_index := 7; when "0000000000000000000000000000000000000000000000000000000100000000" => var_ms_strb_index := 8; when "0000000000000000000000000000000000000000000000000000001000000000" => var_ms_strb_index := 9; when "0000000000000000000000000000000000000000000000000000010000000000" => var_ms_strb_index := 10; when "0000000000000000000000000000000000000000000000000000100000000000" => var_ms_strb_index := 11; when "0000000000000000000000000000000000000000000000000001000000000000" => var_ms_strb_index := 12; when "0000000000000000000000000000000000000000000000000010000000000000" => var_ms_strb_index := 13; when "0000000000000000000000000000000000000000000000000100000000000000" => var_ms_strb_index := 14; when "0000000000000000000000000000000000000000000000001000000000000000" => var_ms_strb_index := 15; when "0000000000000000000000000000000000000000000000010000000000000000" => var_ms_strb_index := 16; when "0000000000000000000000000000000000000000000000100000000000000000" => var_ms_strb_index := 17; when "0000000000000000000000000000000000000000000001000000000000000000" => var_ms_strb_index := 18; when "0000000000000000000000000000000000000000000010000000000000000000" => var_ms_strb_index := 19; when "0000000000000000000000000000000000000000000100000000000000000000" => var_ms_strb_index := 20; when "0000000000000000000000000000000000000000001000000000000000000000" => var_ms_strb_index := 21; when "0000000000000000000000000000000000000000010000000000000000000000" => var_ms_strb_index := 22; when "0000000000000000000000000000000000000000100000000000000000000000" => var_ms_strb_index := 23; when "0000000000000000000000000000000000000001000000000000000000000000" => var_ms_strb_index := 24; when "0000000000000000000000000000000000000010000000000000000000000000" => var_ms_strb_index := 25; when "0000000000000000000000000000000000000100000000000000000000000000" => var_ms_strb_index := 26; when "0000000000000000000000000000000000001000000000000000000000000000" => var_ms_strb_index := 27; when "0000000000000000000000000000000000010000000000000000000000000000" => var_ms_strb_index := 28; when "0000000000000000000000000000000000100000000000000000000000000000" => var_ms_strb_index := 29; when "0000000000000000000000000000000001000000000000000000000000000000" => var_ms_strb_index := 30; when "0000000000000000000000000000000010000000000000000000000000000000" => var_ms_strb_index := 31; when "0000000000000000000000000000000100000000000000000000000000000000" => var_ms_strb_index := 32; when "0000000000000000000000000000001000000000000000000000000000000000" => var_ms_strb_index := 33; when "0000000000000000000000000000010000000000000000000000000000000000" => var_ms_strb_index := 34; when "0000000000000000000000000000100000000000000000000000000000000000" => var_ms_strb_index := 35; when "0000000000000000000000000001000000000000000000000000000000000000" => var_ms_strb_index := 36; when "0000000000000000000000000010000000000000000000000000000000000000" => var_ms_strb_index := 37; when "0000000000000000000000000100000000000000000000000000000000000000" => var_ms_strb_index := 38; when "0000000000000000000000001000000000000000000000000000000000000000" => var_ms_strb_index := 39; when "0000000000000000000000010000000000000000000000000000000000000000" => var_ms_strb_index := 40; when "0000000000000000000000100000000000000000000000000000000000000000" => var_ms_strb_index := 41; when "0000000000000000000001000000000000000000000000000000000000000000" => var_ms_strb_index := 42; when "0000000000000000000010000000000000000000000000000000000000000000" => var_ms_strb_index := 43; when "0000000000000000000100000000000000000000000000000000000000000000" => var_ms_strb_index := 44; when "0000000000000000001000000000000000000000000000000000000000000000" => var_ms_strb_index := 45; when "0000000000000000010000000000000000000000000000000000000000000000" => var_ms_strb_index := 46; when "0000000000000000100000000000000000000000000000000000000000000000" => var_ms_strb_index := 47; when "0000000000000001000000000000000000000000000000000000000000000000" => var_ms_strb_index := 48; when "0000000000000010000000000000000000000000000000000000000000000000" => var_ms_strb_index := 49; when "0000000000000100000000000000000000000000000000000000000000000000" => var_ms_strb_index := 50; when "0000000000001000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 51; when "0000000000010000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 52; when "0000000000100000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 53; when "0000000001000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 54; when "0000000010000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 55; when "0000000100000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 56; when "0000001000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 57; when "0000010000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 58; when "0000100000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 59; when "0001000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 60; when "0010000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 61; when "0100000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 62; when "1000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 63; when others => var_ms_strb_index := 64; end case; Return (var_ms_strb_index); end function get_ms_index_64; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_128 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 64-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_128 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(127 downto 0); begin var_strb_value := input_strobe(127 downto 0); case var_strb_value is when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001" => var_ms_strb_index := 0; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010" => var_ms_strb_index := 1; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100" => var_ms_strb_index := 2; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000" => var_ms_strb_index := 3; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000" => var_ms_strb_index := 4; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000" => var_ms_strb_index := 5; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000" => var_ms_strb_index := 6; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000" => var_ms_strb_index := 7; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000" => var_ms_strb_index := 8; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000" => var_ms_strb_index := 9; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000" => var_ms_strb_index := 10; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000" => var_ms_strb_index := 11; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000" => var_ms_strb_index := 12; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000" => var_ms_strb_index := 13; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000" => var_ms_strb_index := 14; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000" => var_ms_strb_index := 15; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000" => var_ms_strb_index := 16; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000" => var_ms_strb_index := 17; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000" => var_ms_strb_index := 18; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000" => var_ms_strb_index := 19; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000" => var_ms_strb_index := 20; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000" => var_ms_strb_index := 21; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000" => var_ms_strb_index := 22; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000" => var_ms_strb_index := 23; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000" => var_ms_strb_index := 24; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000" => var_ms_strb_index := 25; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000" => var_ms_strb_index := 26; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000" => var_ms_strb_index := 27; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000" => var_ms_strb_index := 28; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000" => var_ms_strb_index := 29; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000" => var_ms_strb_index := 30; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000" => var_ms_strb_index := 31; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000" => var_ms_strb_index := 32; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000" => var_ms_strb_index := 33; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000" => var_ms_strb_index := 34; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000" => var_ms_strb_index := 35; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000" => var_ms_strb_index := 36; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000" => var_ms_strb_index := 37; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000" => var_ms_strb_index := 38; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000" => var_ms_strb_index := 39; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000" => var_ms_strb_index := 40; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000" => var_ms_strb_index := 41; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000" => var_ms_strb_index := 42; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000" => var_ms_strb_index := 43; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000" => var_ms_strb_index := 44; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000" => var_ms_strb_index := 45; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000" => var_ms_strb_index := 46; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000" => var_ms_strb_index := 47; when "00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000" => var_ms_strb_index := 48; when "00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000" => var_ms_strb_index := 49; when "00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000" => var_ms_strb_index := 50; when "00000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 51; when "00000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 52; when "00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 53; when "00000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 54; when "00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 55; when "00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 56; when "00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 57; when "00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 58; when "00000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 59; when "00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 60; when "00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 61; when "00000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 62; when "00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 63; when "00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 64; when "00000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 65; when "00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 66; when "00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 67; when "00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 68; when "00000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 69; when "00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 70; when "00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 71; when "00000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 72; when "00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 73; when "00000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 74; when "00000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 75; when "00000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 76; when "00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 77; when "00000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 78; when "00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 79; when "00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 80; when "00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 81; when "00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 82; when "00000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 83; when "00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 84; when "00000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 85; when "00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 86; when "00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 87; when "00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 88; when "00000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 89; when "00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 90; when "00000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 91; when "00000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 92; when "00000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 93; when "00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 94; when "00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 95; when "00000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 96; when "00000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 97; when "00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 98; when "00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 99; when "00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 100; when "00000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 101; when "00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 102; when "00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 103; when "00000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 104; when "00000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 105; when "00000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 106; when "00000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 107; when "00000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 108; when "00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 109; when "00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 110; when "00000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 111; when "00000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 112; when "00000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 113; when "00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 114; when "00000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 115; when "00000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 116; when "00000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 117; when "00000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 118; when "00000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 119; when "00000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 120; when "00000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 121; when "00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 122; when "00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 123; when "00010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 124; when "00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 125; when "01000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 126; when "10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 127; when others => var_ms_strb_index := 128; end case; Return (var_ms_strb_index); end function get_ms_index_128; -- Constants Constant ERROR_INDEX : natural := C_STRB_WIDTH; Constant TEMP_NAT_MAX : natural := 255; -- allows for a 0 to 255 strobe index value Constant TEMP_UN_WIDTH : natural := 8; -- 8 bits allows for a 0 to 255 index value -- Signals signal sig_input_stbs : std_logic_vector(C_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_ms_asserted_index_un : unsigned(C_INDEX_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_error : std_logic := '0'; signal sig_temp_ms_index_un : unsigned(TEMP_UN_WIDTH-1 downto 0) := (others => '0'); signal sig_temp_ms_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin --(architecture implementation) -- Assign the ms asserted strobe value ms_strb_index <= STD_LOGIC_VECTOR(sig_ms_asserted_index_un); -- Assign the input strobe sig_input_stbs <= strbs_in ; -- assign input strobes -- Assign the strobe eror output strb_error <= sig_strb_error ; -- assign the strobe error output -- Rip the valid index bits sig_ms_asserted_index_un <= sig_temp_ms_index_un(C_INDEX_WIDTH-1 downto 0); -- Assert the Strobe Error output if an out of range index is returned sig_temp_ms_index_nat <= TO_INTEGER(sig_ms_asserted_index_un) ; sig_strb_error <= '1' When (sig_temp_ms_index_nat >= ERROR_INDEX) else '0'; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_1BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 1-bit strobe width case. -- -- ------------------------------------------------------------ GEN_1BIT_CASE : if (C_STRB_WIDTH = 1) generate begin sig_temp_ms_index_un <= TO_UNSIGNED( 0, TEMP_UN_WIDTH); end generate GEN_1BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_2BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 2-bit strobe width case. -- -- ------------------------------------------------------------ GEN_2BIT_CASE : if (C_STRB_WIDTH = 2) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin lsig_ms_asserted_index_nat <= get_ms_index_2(sig_input_stbs); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_2BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_4BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 4-bit strobe width case. -- ------------------------------------------------------------ GEN_4BIT_CASE : if (C_STRB_WIDTH = 4) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin lsig_ms_asserted_index_nat <= get_ms_index_4(sig_input_stbs); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_4BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_8BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 8-bit strobe width case. -- ------------------------------------------------------------ GEN_8BIT_CASE : if (C_STRB_WIDTH = 8) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_8(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_8BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_16BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 16-bit strobe width case. -- -- ------------------------------------------------------------ GEN_16BIT_CASE : if (C_STRB_WIDTH = 16) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_16(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_16BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_32BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 32-bit strobe width case. -- ------------------------------------------------------------ GEN_32BIT_CASE : if (C_STRB_WIDTH = 32) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_32(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_32BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_64BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 64-bit strobe width case. -- ------------------------------------------------------------ GEN_64BIT_CASE : if (C_STRB_WIDTH = 64) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_64(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_64BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_128BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 128-bit strobe width case. -- ------------------------------------------------------------ GEN_128BIT_CASE : if (C_STRB_WIDTH = 128) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_128(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_128BIT_CASE; end implementation;
------------------------------------------------------------------------------- -- axi_datamover_ms_strb_set.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_ms_strb_set.vhd -- -- Description: -- This module implements a function to detect the most significant strobe -- bit asserted and outputs the index value of that strobe bit. It can only -- be used in applications where the asserted strobe bits are contiguous and -- always asserted from LS to MS bit positions, -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity axi_datamover_ms_strb_set is generic ( C_STRB_WIDTH : Integer := 8; -- Sets the width of the input strobe port C_INDEX_WIDTH : Integer := 3 -- Sets the width of the ms_strb_index output port -- Should be log2(C_STRB_WIDTH) ); port ( -- Input strobe value ------------------------------------------------ strbs_in : in std_logic_vector(C_STRB_WIDTH-1 downto 0); -- ---------------------------------------------------------------------- -- Specifies the index of the most significant strobe set ------------ ms_strb_index : out std_logic_vector(C_INDEX_WIDTH-1 downto 0); -- ---------------------------------------------------------------------- -- Invalid strobe input Indcation ------------------------------------ strb_error : Out std_logic -- -- Indicates an error with the strobe input, either a hole in the -- -- asserted strobes or not asserted from LS bits upwards. -- ---------------------------------------------------------------------- ); end entity axi_datamover_ms_strb_set; architecture implementation of axi_datamover_ms_strb_set is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_2 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 2-bit wide strobe value. -- ------------------------------------------------------------------- function get_ms_index_2 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(1 downto 0); begin var_strb_value := input_strobe(1 downto 0); case var_strb_value is when "01" => var_ms_strb_index := 0; when "11" | "10" => var_ms_strb_index := 1; when others => var_ms_strb_index := 2; end case; Return (var_ms_strb_index); end function get_ms_index_2; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_4 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 4-bit wide strobe value. -- ------------------------------------------------------------------- function get_ms_index_4 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(3 downto 0); begin var_strb_value := input_strobe(3 downto 0); case var_strb_value is when "0001" => var_ms_strb_index := 0; when "0011" | "0010" => var_ms_strb_index := 1; when "0111" | "0110" | "0100" => var_ms_strb_index := 2; when "1111" | "1110" | "1100" | "1000"=> var_ms_strb_index := 3; when others => var_ms_strb_index := 4; end case; Return (var_ms_strb_index); end function get_ms_index_4; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_8 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 8-bit wide strobe value. -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_8 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(7 downto 0); begin var_strb_value := input_strobe(7 downto 0); case var_strb_value is when "00000001" => var_ms_strb_index := 0; when "00000010" => var_ms_strb_index := 1; when "00000100" => var_ms_strb_index := 2; when "00001000" => var_ms_strb_index := 3; when "00010000" => var_ms_strb_index := 4; when "00100000" => var_ms_strb_index := 5; when "01000000" => var_ms_strb_index := 6; when "10000000" => var_ms_strb_index := 7; when others => var_ms_strb_index := 8; end case; Return (var_ms_strb_index); end function get_ms_index_8; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_16 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 16-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_16 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(15 downto 0); begin var_strb_value := input_strobe(15 downto 0); case var_strb_value is when "0000000000000001" => var_ms_strb_index := 0; when "0000000000000010" => var_ms_strb_index := 1; when "0000000000000100" => var_ms_strb_index := 2; when "0000000000001000" => var_ms_strb_index := 3; when "0000000000010000" => var_ms_strb_index := 4; when "0000000000100000" => var_ms_strb_index := 5; when "0000000001000000" => var_ms_strb_index := 6; when "0000000010000000" => var_ms_strb_index := 7; when "0000000100000000" => var_ms_strb_index := 8; when "0000001000000000" => var_ms_strb_index := 9; when "0000010000000000" => var_ms_strb_index := 10; when "0000100000000000" => var_ms_strb_index := 11; when "0001000000000000" => var_ms_strb_index := 12; when "0010000000000000" => var_ms_strb_index := 13; when "0100000000000000" => var_ms_strb_index := 14; when "1000000000000000" => var_ms_strb_index := 15; when others => var_ms_strb_index := 16; end case; Return (var_ms_strb_index); end function get_ms_index_16; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_32 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 32-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_32 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(31 downto 0); begin var_strb_value := input_strobe(31 downto 0); case var_strb_value is when "00000000000000000000000000000001" => var_ms_strb_index := 0; when "00000000000000000000000000000010" => var_ms_strb_index := 1; when "00000000000000000000000000000100" => var_ms_strb_index := 2; when "00000000000000000000000000001000" => var_ms_strb_index := 3; when "00000000000000000000000000010000" => var_ms_strb_index := 4; when "00000000000000000000000000100000" => var_ms_strb_index := 5; when "00000000000000000000000001000000" => var_ms_strb_index := 6; when "00000000000000000000000010000000" => var_ms_strb_index := 7; when "00000000000000000000000100000000" => var_ms_strb_index := 8; when "00000000000000000000001000000000" => var_ms_strb_index := 9; when "00000000000000000000010000000000" => var_ms_strb_index := 10; when "00000000000000000000100000000000" => var_ms_strb_index := 11; when "00000000000000000001000000000000" => var_ms_strb_index := 12; when "00000000000000000010000000000000" => var_ms_strb_index := 13; when "00000000000000000100000000000000" => var_ms_strb_index := 14; when "00000000000000001000000000000000" => var_ms_strb_index := 15; when "00000000000000010000000000000000" => var_ms_strb_index := 16; when "00000000000000100000000000000000" => var_ms_strb_index := 17; when "00000000000001000000000000000000" => var_ms_strb_index := 18; when "00000000000010000000000000000000" => var_ms_strb_index := 19; when "00000000000100000000000000000000" => var_ms_strb_index := 20; when "00000000001000000000000000000000" => var_ms_strb_index := 21; when "00000000010000000000000000000000" => var_ms_strb_index := 22; when "00000000100000000000000000000000" => var_ms_strb_index := 23; when "00000001000000000000000000000000" => var_ms_strb_index := 24; when "00000010000000000000000000000000" => var_ms_strb_index := 25; when "00000100000000000000000000000000" => var_ms_strb_index := 26; when "00001000000000000000000000000000" => var_ms_strb_index := 27; when "00010000000000000000000000000000" => var_ms_strb_index := 28; when "00100000000000000000000000000000" => var_ms_strb_index := 29; when "01000000000000000000000000000000" => var_ms_strb_index := 30; when "10000000000000000000000000000000" => var_ms_strb_index := 31; when others => var_ms_strb_index := 32; end case; Return (var_ms_strb_index); end function get_ms_index_32; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_64 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 64-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_64 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(63 downto 0); begin var_strb_value := input_strobe(63 downto 0); case var_strb_value is when "0000000000000000000000000000000000000000000000000000000000000001" => var_ms_strb_index := 0; when "0000000000000000000000000000000000000000000000000000000000000010" => var_ms_strb_index := 1; when "0000000000000000000000000000000000000000000000000000000000000100" => var_ms_strb_index := 2; when "0000000000000000000000000000000000000000000000000000000000001000" => var_ms_strb_index := 3; when "0000000000000000000000000000000000000000000000000000000000010000" => var_ms_strb_index := 4; when "0000000000000000000000000000000000000000000000000000000000100000" => var_ms_strb_index := 5; when "0000000000000000000000000000000000000000000000000000000001000000" => var_ms_strb_index := 6; when "0000000000000000000000000000000000000000000000000000000010000000" => var_ms_strb_index := 7; when "0000000000000000000000000000000000000000000000000000000100000000" => var_ms_strb_index := 8; when "0000000000000000000000000000000000000000000000000000001000000000" => var_ms_strb_index := 9; when "0000000000000000000000000000000000000000000000000000010000000000" => var_ms_strb_index := 10; when "0000000000000000000000000000000000000000000000000000100000000000" => var_ms_strb_index := 11; when "0000000000000000000000000000000000000000000000000001000000000000" => var_ms_strb_index := 12; when "0000000000000000000000000000000000000000000000000010000000000000" => var_ms_strb_index := 13; when "0000000000000000000000000000000000000000000000000100000000000000" => var_ms_strb_index := 14; when "0000000000000000000000000000000000000000000000001000000000000000" => var_ms_strb_index := 15; when "0000000000000000000000000000000000000000000000010000000000000000" => var_ms_strb_index := 16; when "0000000000000000000000000000000000000000000000100000000000000000" => var_ms_strb_index := 17; when "0000000000000000000000000000000000000000000001000000000000000000" => var_ms_strb_index := 18; when "0000000000000000000000000000000000000000000010000000000000000000" => var_ms_strb_index := 19; when "0000000000000000000000000000000000000000000100000000000000000000" => var_ms_strb_index := 20; when "0000000000000000000000000000000000000000001000000000000000000000" => var_ms_strb_index := 21; when "0000000000000000000000000000000000000000010000000000000000000000" => var_ms_strb_index := 22; when "0000000000000000000000000000000000000000100000000000000000000000" => var_ms_strb_index := 23; when "0000000000000000000000000000000000000001000000000000000000000000" => var_ms_strb_index := 24; when "0000000000000000000000000000000000000010000000000000000000000000" => var_ms_strb_index := 25; when "0000000000000000000000000000000000000100000000000000000000000000" => var_ms_strb_index := 26; when "0000000000000000000000000000000000001000000000000000000000000000" => var_ms_strb_index := 27; when "0000000000000000000000000000000000010000000000000000000000000000" => var_ms_strb_index := 28; when "0000000000000000000000000000000000100000000000000000000000000000" => var_ms_strb_index := 29; when "0000000000000000000000000000000001000000000000000000000000000000" => var_ms_strb_index := 30; when "0000000000000000000000000000000010000000000000000000000000000000" => var_ms_strb_index := 31; when "0000000000000000000000000000000100000000000000000000000000000000" => var_ms_strb_index := 32; when "0000000000000000000000000000001000000000000000000000000000000000" => var_ms_strb_index := 33; when "0000000000000000000000000000010000000000000000000000000000000000" => var_ms_strb_index := 34; when "0000000000000000000000000000100000000000000000000000000000000000" => var_ms_strb_index := 35; when "0000000000000000000000000001000000000000000000000000000000000000" => var_ms_strb_index := 36; when "0000000000000000000000000010000000000000000000000000000000000000" => var_ms_strb_index := 37; when "0000000000000000000000000100000000000000000000000000000000000000" => var_ms_strb_index := 38; when "0000000000000000000000001000000000000000000000000000000000000000" => var_ms_strb_index := 39; when "0000000000000000000000010000000000000000000000000000000000000000" => var_ms_strb_index := 40; when "0000000000000000000000100000000000000000000000000000000000000000" => var_ms_strb_index := 41; when "0000000000000000000001000000000000000000000000000000000000000000" => var_ms_strb_index := 42; when "0000000000000000000010000000000000000000000000000000000000000000" => var_ms_strb_index := 43; when "0000000000000000000100000000000000000000000000000000000000000000" => var_ms_strb_index := 44; when "0000000000000000001000000000000000000000000000000000000000000000" => var_ms_strb_index := 45; when "0000000000000000010000000000000000000000000000000000000000000000" => var_ms_strb_index := 46; when "0000000000000000100000000000000000000000000000000000000000000000" => var_ms_strb_index := 47; when "0000000000000001000000000000000000000000000000000000000000000000" => var_ms_strb_index := 48; when "0000000000000010000000000000000000000000000000000000000000000000" => var_ms_strb_index := 49; when "0000000000000100000000000000000000000000000000000000000000000000" => var_ms_strb_index := 50; when "0000000000001000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 51; when "0000000000010000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 52; when "0000000000100000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 53; when "0000000001000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 54; when "0000000010000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 55; when "0000000100000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 56; when "0000001000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 57; when "0000010000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 58; when "0000100000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 59; when "0001000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 60; when "0010000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 61; when "0100000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 62; when "1000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 63; when others => var_ms_strb_index := 64; end case; Return (var_ms_strb_index); end function get_ms_index_64; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_128 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 64-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_128 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(127 downto 0); begin var_strb_value := input_strobe(127 downto 0); case var_strb_value is when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001" => var_ms_strb_index := 0; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010" => var_ms_strb_index := 1; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100" => var_ms_strb_index := 2; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000" => var_ms_strb_index := 3; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000" => var_ms_strb_index := 4; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000" => var_ms_strb_index := 5; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000" => var_ms_strb_index := 6; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000" => var_ms_strb_index := 7; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000" => var_ms_strb_index := 8; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000" => var_ms_strb_index := 9; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000" => var_ms_strb_index := 10; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000" => var_ms_strb_index := 11; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000" => var_ms_strb_index := 12; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000" => var_ms_strb_index := 13; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000" => var_ms_strb_index := 14; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000" => var_ms_strb_index := 15; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000" => var_ms_strb_index := 16; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000" => var_ms_strb_index := 17; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000" => var_ms_strb_index := 18; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000" => var_ms_strb_index := 19; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000" => var_ms_strb_index := 20; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000" => var_ms_strb_index := 21; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000" => var_ms_strb_index := 22; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000" => var_ms_strb_index := 23; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000" => var_ms_strb_index := 24; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000" => var_ms_strb_index := 25; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000" => var_ms_strb_index := 26; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000" => var_ms_strb_index := 27; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000" => var_ms_strb_index := 28; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000" => var_ms_strb_index := 29; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000" => var_ms_strb_index := 30; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000" => var_ms_strb_index := 31; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000" => var_ms_strb_index := 32; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000" => var_ms_strb_index := 33; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000" => var_ms_strb_index := 34; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000" => var_ms_strb_index := 35; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000" => var_ms_strb_index := 36; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000" => var_ms_strb_index := 37; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000" => var_ms_strb_index := 38; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000" => var_ms_strb_index := 39; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000" => var_ms_strb_index := 40; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000" => var_ms_strb_index := 41; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000" => var_ms_strb_index := 42; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000" => var_ms_strb_index := 43; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000" => var_ms_strb_index := 44; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000" => var_ms_strb_index := 45; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000" => var_ms_strb_index := 46; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000" => var_ms_strb_index := 47; when "00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000" => var_ms_strb_index := 48; when "00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000" => var_ms_strb_index := 49; when "00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000" => var_ms_strb_index := 50; when "00000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 51; when "00000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 52; when "00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 53; when "00000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 54; when "00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 55; when "00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 56; when "00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 57; when "00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 58; when "00000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 59; when "00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 60; when "00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 61; when "00000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 62; when "00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 63; when "00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 64; when "00000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 65; when "00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 66; when "00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 67; when "00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 68; when "00000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 69; when "00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 70; when "00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 71; when "00000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 72; when "00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 73; when "00000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 74; when "00000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 75; when "00000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 76; when "00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 77; when "00000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 78; when "00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 79; when "00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 80; when "00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 81; when "00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 82; when "00000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 83; when "00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 84; when "00000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 85; when "00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 86; when "00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 87; when "00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 88; when "00000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 89; when "00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 90; when "00000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 91; when "00000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 92; when "00000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 93; when "00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 94; when "00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 95; when "00000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 96; when "00000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 97; when "00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 98; when "00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 99; when "00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 100; when "00000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 101; when "00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 102; when "00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 103; when "00000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 104; when "00000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 105; when "00000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 106; when "00000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 107; when "00000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 108; when "00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 109; when "00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 110; when "00000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 111; when "00000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 112; when "00000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 113; when "00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 114; when "00000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 115; when "00000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 116; when "00000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 117; when "00000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 118; when "00000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 119; when "00000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 120; when "00000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 121; when "00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 122; when "00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 123; when "00010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 124; when "00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 125; when "01000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 126; when "10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 127; when others => var_ms_strb_index := 128; end case; Return (var_ms_strb_index); end function get_ms_index_128; -- Constants Constant ERROR_INDEX : natural := C_STRB_WIDTH; Constant TEMP_NAT_MAX : natural := 255; -- allows for a 0 to 255 strobe index value Constant TEMP_UN_WIDTH : natural := 8; -- 8 bits allows for a 0 to 255 index value -- Signals signal sig_input_stbs : std_logic_vector(C_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_ms_asserted_index_un : unsigned(C_INDEX_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_error : std_logic := '0'; signal sig_temp_ms_index_un : unsigned(TEMP_UN_WIDTH-1 downto 0) := (others => '0'); signal sig_temp_ms_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin --(architecture implementation) -- Assign the ms asserted strobe value ms_strb_index <= STD_LOGIC_VECTOR(sig_ms_asserted_index_un); -- Assign the input strobe sig_input_stbs <= strbs_in ; -- assign input strobes -- Assign the strobe eror output strb_error <= sig_strb_error ; -- assign the strobe error output -- Rip the valid index bits sig_ms_asserted_index_un <= sig_temp_ms_index_un(C_INDEX_WIDTH-1 downto 0); -- Assert the Strobe Error output if an out of range index is returned sig_temp_ms_index_nat <= TO_INTEGER(sig_ms_asserted_index_un) ; sig_strb_error <= '1' When (sig_temp_ms_index_nat >= ERROR_INDEX) else '0'; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_1BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 1-bit strobe width case. -- -- ------------------------------------------------------------ GEN_1BIT_CASE : if (C_STRB_WIDTH = 1) generate begin sig_temp_ms_index_un <= TO_UNSIGNED( 0, TEMP_UN_WIDTH); end generate GEN_1BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_2BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 2-bit strobe width case. -- -- ------------------------------------------------------------ GEN_2BIT_CASE : if (C_STRB_WIDTH = 2) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin lsig_ms_asserted_index_nat <= get_ms_index_2(sig_input_stbs); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_2BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_4BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 4-bit strobe width case. -- ------------------------------------------------------------ GEN_4BIT_CASE : if (C_STRB_WIDTH = 4) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin lsig_ms_asserted_index_nat <= get_ms_index_4(sig_input_stbs); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_4BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_8BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 8-bit strobe width case. -- ------------------------------------------------------------ GEN_8BIT_CASE : if (C_STRB_WIDTH = 8) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_8(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_8BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_16BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 16-bit strobe width case. -- -- ------------------------------------------------------------ GEN_16BIT_CASE : if (C_STRB_WIDTH = 16) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_16(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_16BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_32BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 32-bit strobe width case. -- ------------------------------------------------------------ GEN_32BIT_CASE : if (C_STRB_WIDTH = 32) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_32(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_32BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_64BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 64-bit strobe width case. -- ------------------------------------------------------------ GEN_64BIT_CASE : if (C_STRB_WIDTH = 64) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_64(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_64BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_128BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 128-bit strobe width case. -- ------------------------------------------------------------ GEN_128BIT_CASE : if (C_STRB_WIDTH = 128) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_128(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_128BIT_CASE; end implementation;
------------------------------------------------------------------------------- -- axi_datamover_ms_strb_set.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_ms_strb_set.vhd -- -- Description: -- This module implements a function to detect the most significant strobe -- bit asserted and outputs the index value of that strobe bit. It can only -- be used in applications where the asserted strobe bits are contiguous and -- always asserted from LS to MS bit positions, -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity axi_datamover_ms_strb_set is generic ( C_STRB_WIDTH : Integer := 8; -- Sets the width of the input strobe port C_INDEX_WIDTH : Integer := 3 -- Sets the width of the ms_strb_index output port -- Should be log2(C_STRB_WIDTH) ); port ( -- Input strobe value ------------------------------------------------ strbs_in : in std_logic_vector(C_STRB_WIDTH-1 downto 0); -- ---------------------------------------------------------------------- -- Specifies the index of the most significant strobe set ------------ ms_strb_index : out std_logic_vector(C_INDEX_WIDTH-1 downto 0); -- ---------------------------------------------------------------------- -- Invalid strobe input Indcation ------------------------------------ strb_error : Out std_logic -- -- Indicates an error with the strobe input, either a hole in the -- -- asserted strobes or not asserted from LS bits upwards. -- ---------------------------------------------------------------------- ); end entity axi_datamover_ms_strb_set; architecture implementation of axi_datamover_ms_strb_set is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_2 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 2-bit wide strobe value. -- ------------------------------------------------------------------- function get_ms_index_2 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(1 downto 0); begin var_strb_value := input_strobe(1 downto 0); case var_strb_value is when "01" => var_ms_strb_index := 0; when "11" | "10" => var_ms_strb_index := 1; when others => var_ms_strb_index := 2; end case; Return (var_ms_strb_index); end function get_ms_index_2; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_4 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 4-bit wide strobe value. -- ------------------------------------------------------------------- function get_ms_index_4 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(3 downto 0); begin var_strb_value := input_strobe(3 downto 0); case var_strb_value is when "0001" => var_ms_strb_index := 0; when "0011" | "0010" => var_ms_strb_index := 1; when "0111" | "0110" | "0100" => var_ms_strb_index := 2; when "1111" | "1110" | "1100" | "1000"=> var_ms_strb_index := 3; when others => var_ms_strb_index := 4; end case; Return (var_ms_strb_index); end function get_ms_index_4; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_8 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 8-bit wide strobe value. -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_8 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(7 downto 0); begin var_strb_value := input_strobe(7 downto 0); case var_strb_value is when "00000001" => var_ms_strb_index := 0; when "00000010" => var_ms_strb_index := 1; when "00000100" => var_ms_strb_index := 2; when "00001000" => var_ms_strb_index := 3; when "00010000" => var_ms_strb_index := 4; when "00100000" => var_ms_strb_index := 5; when "01000000" => var_ms_strb_index := 6; when "10000000" => var_ms_strb_index := 7; when others => var_ms_strb_index := 8; end case; Return (var_ms_strb_index); end function get_ms_index_8; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_16 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 16-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_16 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(15 downto 0); begin var_strb_value := input_strobe(15 downto 0); case var_strb_value is when "0000000000000001" => var_ms_strb_index := 0; when "0000000000000010" => var_ms_strb_index := 1; when "0000000000000100" => var_ms_strb_index := 2; when "0000000000001000" => var_ms_strb_index := 3; when "0000000000010000" => var_ms_strb_index := 4; when "0000000000100000" => var_ms_strb_index := 5; when "0000000001000000" => var_ms_strb_index := 6; when "0000000010000000" => var_ms_strb_index := 7; when "0000000100000000" => var_ms_strb_index := 8; when "0000001000000000" => var_ms_strb_index := 9; when "0000010000000000" => var_ms_strb_index := 10; when "0000100000000000" => var_ms_strb_index := 11; when "0001000000000000" => var_ms_strb_index := 12; when "0010000000000000" => var_ms_strb_index := 13; when "0100000000000000" => var_ms_strb_index := 14; when "1000000000000000" => var_ms_strb_index := 15; when others => var_ms_strb_index := 16; end case; Return (var_ms_strb_index); end function get_ms_index_16; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_32 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 32-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_32 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(31 downto 0); begin var_strb_value := input_strobe(31 downto 0); case var_strb_value is when "00000000000000000000000000000001" => var_ms_strb_index := 0; when "00000000000000000000000000000010" => var_ms_strb_index := 1; when "00000000000000000000000000000100" => var_ms_strb_index := 2; when "00000000000000000000000000001000" => var_ms_strb_index := 3; when "00000000000000000000000000010000" => var_ms_strb_index := 4; when "00000000000000000000000000100000" => var_ms_strb_index := 5; when "00000000000000000000000001000000" => var_ms_strb_index := 6; when "00000000000000000000000010000000" => var_ms_strb_index := 7; when "00000000000000000000000100000000" => var_ms_strb_index := 8; when "00000000000000000000001000000000" => var_ms_strb_index := 9; when "00000000000000000000010000000000" => var_ms_strb_index := 10; when "00000000000000000000100000000000" => var_ms_strb_index := 11; when "00000000000000000001000000000000" => var_ms_strb_index := 12; when "00000000000000000010000000000000" => var_ms_strb_index := 13; when "00000000000000000100000000000000" => var_ms_strb_index := 14; when "00000000000000001000000000000000" => var_ms_strb_index := 15; when "00000000000000010000000000000000" => var_ms_strb_index := 16; when "00000000000000100000000000000000" => var_ms_strb_index := 17; when "00000000000001000000000000000000" => var_ms_strb_index := 18; when "00000000000010000000000000000000" => var_ms_strb_index := 19; when "00000000000100000000000000000000" => var_ms_strb_index := 20; when "00000000001000000000000000000000" => var_ms_strb_index := 21; when "00000000010000000000000000000000" => var_ms_strb_index := 22; when "00000000100000000000000000000000" => var_ms_strb_index := 23; when "00000001000000000000000000000000" => var_ms_strb_index := 24; when "00000010000000000000000000000000" => var_ms_strb_index := 25; when "00000100000000000000000000000000" => var_ms_strb_index := 26; when "00001000000000000000000000000000" => var_ms_strb_index := 27; when "00010000000000000000000000000000" => var_ms_strb_index := 28; when "00100000000000000000000000000000" => var_ms_strb_index := 29; when "01000000000000000000000000000000" => var_ms_strb_index := 30; when "10000000000000000000000000000000" => var_ms_strb_index := 31; when others => var_ms_strb_index := 32; end case; Return (var_ms_strb_index); end function get_ms_index_32; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_64 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 64-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_64 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(63 downto 0); begin var_strb_value := input_strobe(63 downto 0); case var_strb_value is when "0000000000000000000000000000000000000000000000000000000000000001" => var_ms_strb_index := 0; when "0000000000000000000000000000000000000000000000000000000000000010" => var_ms_strb_index := 1; when "0000000000000000000000000000000000000000000000000000000000000100" => var_ms_strb_index := 2; when "0000000000000000000000000000000000000000000000000000000000001000" => var_ms_strb_index := 3; when "0000000000000000000000000000000000000000000000000000000000010000" => var_ms_strb_index := 4; when "0000000000000000000000000000000000000000000000000000000000100000" => var_ms_strb_index := 5; when "0000000000000000000000000000000000000000000000000000000001000000" => var_ms_strb_index := 6; when "0000000000000000000000000000000000000000000000000000000010000000" => var_ms_strb_index := 7; when "0000000000000000000000000000000000000000000000000000000100000000" => var_ms_strb_index := 8; when "0000000000000000000000000000000000000000000000000000001000000000" => var_ms_strb_index := 9; when "0000000000000000000000000000000000000000000000000000010000000000" => var_ms_strb_index := 10; when "0000000000000000000000000000000000000000000000000000100000000000" => var_ms_strb_index := 11; when "0000000000000000000000000000000000000000000000000001000000000000" => var_ms_strb_index := 12; when "0000000000000000000000000000000000000000000000000010000000000000" => var_ms_strb_index := 13; when "0000000000000000000000000000000000000000000000000100000000000000" => var_ms_strb_index := 14; when "0000000000000000000000000000000000000000000000001000000000000000" => var_ms_strb_index := 15; when "0000000000000000000000000000000000000000000000010000000000000000" => var_ms_strb_index := 16; when "0000000000000000000000000000000000000000000000100000000000000000" => var_ms_strb_index := 17; when "0000000000000000000000000000000000000000000001000000000000000000" => var_ms_strb_index := 18; when "0000000000000000000000000000000000000000000010000000000000000000" => var_ms_strb_index := 19; when "0000000000000000000000000000000000000000000100000000000000000000" => var_ms_strb_index := 20; when "0000000000000000000000000000000000000000001000000000000000000000" => var_ms_strb_index := 21; when "0000000000000000000000000000000000000000010000000000000000000000" => var_ms_strb_index := 22; when "0000000000000000000000000000000000000000100000000000000000000000" => var_ms_strb_index := 23; when "0000000000000000000000000000000000000001000000000000000000000000" => var_ms_strb_index := 24; when "0000000000000000000000000000000000000010000000000000000000000000" => var_ms_strb_index := 25; when "0000000000000000000000000000000000000100000000000000000000000000" => var_ms_strb_index := 26; when "0000000000000000000000000000000000001000000000000000000000000000" => var_ms_strb_index := 27; when "0000000000000000000000000000000000010000000000000000000000000000" => var_ms_strb_index := 28; when "0000000000000000000000000000000000100000000000000000000000000000" => var_ms_strb_index := 29; when "0000000000000000000000000000000001000000000000000000000000000000" => var_ms_strb_index := 30; when "0000000000000000000000000000000010000000000000000000000000000000" => var_ms_strb_index := 31; when "0000000000000000000000000000000100000000000000000000000000000000" => var_ms_strb_index := 32; when "0000000000000000000000000000001000000000000000000000000000000000" => var_ms_strb_index := 33; when "0000000000000000000000000000010000000000000000000000000000000000" => var_ms_strb_index := 34; when "0000000000000000000000000000100000000000000000000000000000000000" => var_ms_strb_index := 35; when "0000000000000000000000000001000000000000000000000000000000000000" => var_ms_strb_index := 36; when "0000000000000000000000000010000000000000000000000000000000000000" => var_ms_strb_index := 37; when "0000000000000000000000000100000000000000000000000000000000000000" => var_ms_strb_index := 38; when "0000000000000000000000001000000000000000000000000000000000000000" => var_ms_strb_index := 39; when "0000000000000000000000010000000000000000000000000000000000000000" => var_ms_strb_index := 40; when "0000000000000000000000100000000000000000000000000000000000000000" => var_ms_strb_index := 41; when "0000000000000000000001000000000000000000000000000000000000000000" => var_ms_strb_index := 42; when "0000000000000000000010000000000000000000000000000000000000000000" => var_ms_strb_index := 43; when "0000000000000000000100000000000000000000000000000000000000000000" => var_ms_strb_index := 44; when "0000000000000000001000000000000000000000000000000000000000000000" => var_ms_strb_index := 45; when "0000000000000000010000000000000000000000000000000000000000000000" => var_ms_strb_index := 46; when "0000000000000000100000000000000000000000000000000000000000000000" => var_ms_strb_index := 47; when "0000000000000001000000000000000000000000000000000000000000000000" => var_ms_strb_index := 48; when "0000000000000010000000000000000000000000000000000000000000000000" => var_ms_strb_index := 49; when "0000000000000100000000000000000000000000000000000000000000000000" => var_ms_strb_index := 50; when "0000000000001000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 51; when "0000000000010000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 52; when "0000000000100000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 53; when "0000000001000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 54; when "0000000010000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 55; when "0000000100000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 56; when "0000001000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 57; when "0000010000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 58; when "0000100000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 59; when "0001000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 60; when "0010000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 61; when "0100000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 62; when "1000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 63; when others => var_ms_strb_index := 64; end case; Return (var_ms_strb_index); end function get_ms_index_64; ------------------------------------------------------------------- -- Function -- -- Function Name: get_ms_index_128 -- -- Function Description: -- Returns the index of the most significant strobe set in a -- 64-bit wide strobe value. -- -- -- Note that this function expects an input vector marking the -- assertion/deassertion boundaries, not the actual strobe vector. -- ------------------------------------------------------------------- function get_ms_index_128 (input_strobe : std_logic_vector) return natural is Variable var_ms_strb_index : natural := 0; Variable var_strb_value : std_logic_vector(127 downto 0); begin var_strb_value := input_strobe(127 downto 0); case var_strb_value is when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001" => var_ms_strb_index := 0; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010" => var_ms_strb_index := 1; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100" => var_ms_strb_index := 2; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000" => var_ms_strb_index := 3; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000" => var_ms_strb_index := 4; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000" => var_ms_strb_index := 5; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000" => var_ms_strb_index := 6; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000" => var_ms_strb_index := 7; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000" => var_ms_strb_index := 8; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000" => var_ms_strb_index := 9; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000" => var_ms_strb_index := 10; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000" => var_ms_strb_index := 11; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000" => var_ms_strb_index := 12; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000" => var_ms_strb_index := 13; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000" => var_ms_strb_index := 14; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000" => var_ms_strb_index := 15; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000" => var_ms_strb_index := 16; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000" => var_ms_strb_index := 17; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000" => var_ms_strb_index := 18; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000" => var_ms_strb_index := 19; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000" => var_ms_strb_index := 20; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000" => var_ms_strb_index := 21; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000" => var_ms_strb_index := 22; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000" => var_ms_strb_index := 23; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000" => var_ms_strb_index := 24; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000" => var_ms_strb_index := 25; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000" => var_ms_strb_index := 26; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000" => var_ms_strb_index := 27; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000" => var_ms_strb_index := 28; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000" => var_ms_strb_index := 29; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000" => var_ms_strb_index := 30; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000" => var_ms_strb_index := 31; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000" => var_ms_strb_index := 32; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000" => var_ms_strb_index := 33; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000" => var_ms_strb_index := 34; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000" => var_ms_strb_index := 35; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000" => var_ms_strb_index := 36; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000" => var_ms_strb_index := 37; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000" => var_ms_strb_index := 38; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000" => var_ms_strb_index := 39; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000" => var_ms_strb_index := 40; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000" => var_ms_strb_index := 41; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000" => var_ms_strb_index := 42; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000" => var_ms_strb_index := 43; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000" => var_ms_strb_index := 44; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000" => var_ms_strb_index := 45; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000" => var_ms_strb_index := 46; when "00000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000" => var_ms_strb_index := 47; when "00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000" => var_ms_strb_index := 48; when "00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000" => var_ms_strb_index := 49; when "00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000" => var_ms_strb_index := 50; when "00000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 51; when "00000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 52; when "00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 53; when "00000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 54; when "00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 55; when "00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 56; when "00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 57; when "00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 58; when "00000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 59; when "00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 60; when "00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 61; when "00000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 62; when "00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 63; when "00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 64; when "00000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 65; when "00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 66; when "00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 67; when "00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 68; when "00000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 69; when "00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 70; when "00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 71; when "00000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 72; when "00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 73; when "00000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 74; when "00000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 75; when "00000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 76; when "00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 77; when "00000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 78; when "00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 79; when "00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 80; when "00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 81; when "00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 82; when "00000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 83; when "00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 84; when "00000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 85; when "00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 86; when "00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 87; when "00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 88; when "00000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 89; when "00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 90; when "00000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 91; when "00000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 92; when "00000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 93; when "00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 94; when "00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 95; when "00000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 96; when "00000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 97; when "00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 98; when "00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 99; when "00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 100; when "00000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 101; when "00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 102; when "00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 103; when "00000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 104; when "00000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 105; when "00000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 106; when "00000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 107; when "00000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 108; when "00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 109; when "00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 110; when "00000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 111; when "00000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 112; when "00000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 113; when "00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 114; when "00000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 115; when "00000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 116; when "00000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 117; when "00000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 118; when "00000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 119; when "00000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 120; when "00000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 121; when "00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 122; when "00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 123; when "00010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 124; when "00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 125; when "01000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 126; when "10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" => var_ms_strb_index := 127; when others => var_ms_strb_index := 128; end case; Return (var_ms_strb_index); end function get_ms_index_128; -- Constants Constant ERROR_INDEX : natural := C_STRB_WIDTH; Constant TEMP_NAT_MAX : natural := 255; -- allows for a 0 to 255 strobe index value Constant TEMP_UN_WIDTH : natural := 8; -- 8 bits allows for a 0 to 255 index value -- Signals signal sig_input_stbs : std_logic_vector(C_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_ms_asserted_index_un : unsigned(C_INDEX_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_error : std_logic := '0'; signal sig_temp_ms_index_un : unsigned(TEMP_UN_WIDTH-1 downto 0) := (others => '0'); signal sig_temp_ms_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin --(architecture implementation) -- Assign the ms asserted strobe value ms_strb_index <= STD_LOGIC_VECTOR(sig_ms_asserted_index_un); -- Assign the input strobe sig_input_stbs <= strbs_in ; -- assign input strobes -- Assign the strobe eror output strb_error <= sig_strb_error ; -- assign the strobe error output -- Rip the valid index bits sig_ms_asserted_index_un <= sig_temp_ms_index_un(C_INDEX_WIDTH-1 downto 0); -- Assert the Strobe Error output if an out of range index is returned sig_temp_ms_index_nat <= TO_INTEGER(sig_ms_asserted_index_un) ; sig_strb_error <= '1' When (sig_temp_ms_index_nat >= ERROR_INDEX) else '0'; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_1BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 1-bit strobe width case. -- -- ------------------------------------------------------------ GEN_1BIT_CASE : if (C_STRB_WIDTH = 1) generate begin sig_temp_ms_index_un <= TO_UNSIGNED( 0, TEMP_UN_WIDTH); end generate GEN_1BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_2BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 2-bit strobe width case. -- -- ------------------------------------------------------------ GEN_2BIT_CASE : if (C_STRB_WIDTH = 2) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin lsig_ms_asserted_index_nat <= get_ms_index_2(sig_input_stbs); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_2BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_4BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 4-bit strobe width case. -- ------------------------------------------------------------ GEN_4BIT_CASE : if (C_STRB_WIDTH = 4) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; begin lsig_ms_asserted_index_nat <= get_ms_index_4(sig_input_stbs); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_4BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_8BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 8-bit strobe width case. -- ------------------------------------------------------------ GEN_8BIT_CASE : if (C_STRB_WIDTH = 8) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_8(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_8BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_16BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 16-bit strobe width case. -- -- ------------------------------------------------------------ GEN_16BIT_CASE : if (C_STRB_WIDTH = 16) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_16(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_16BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_32BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 32-bit strobe width case. -- ------------------------------------------------------------ GEN_32BIT_CASE : if (C_STRB_WIDTH = 32) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_32(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_32BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_64BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 64-bit strobe width case. -- ------------------------------------------------------------ GEN_64BIT_CASE : if (C_STRB_WIDTH = 64) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_64(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_64BIT_CASE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_128BIT_CASE -- -- If Generate Description: -- Generates the MS asserted strobe index for the -- 128-bit strobe width case. -- ------------------------------------------------------------ GEN_128BIT_CASE : if (C_STRB_WIDTH = 128) generate -- local signals Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0; Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0); Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0); begin -- Create a strobe vector with the most significant bit zeroed. lsig_strb_test_vect <= '0' & sig_input_stbs; ------------------------------------------------------------ -- For Generate -- -- Label: GEN_ASSERT_BNDRY_CHK -- -- For Generate Description: -- Find the assertion/deassertion boundaries in the input -- Strobe vector in the least to most significant index -- direction. -- -- ------------------------------------------------------------ GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate begin ------------------------------------------------------------- -- Combinational Process -- -- Label: IMP_FIND_DEASSERTION -- -- Process Description: -- Detects the case when two adjoining strobe bits have an -- assertion transition from asserted to deasserted moving -- from lower to higher bit ordering. -- ------------------------------------------------------------- IMP_FIND_DEASSERTION : process (lsig_strb_test_vect) begin if ((lsig_strb_test_vect(strb_index-1) = '1') and (lsig_strb_test_vect(strb_index) = '0')) then lsig_strb_last_assert_vect(strb_index-1) <= '1'; else lsig_strb_last_assert_vect(strb_index-1) <= '0'; end if; end process IMP_FIND_DEASSERTION; end generate GEN_ASSERT_BNDRY_CHK; lsig_ms_asserted_index_nat <= get_ms_index_128(lsig_strb_last_assert_vect); sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH); end generate GEN_128BIT_CASE; end implementation;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; library work; -- Main Wishbone Definitions use work.wishbone_pkg.all; -- Custom Wishbone Modules use work.dbe_wishbone_pkg.all; -- Wishbone Stream Interface use work.wb_stream_pkg.all; -- Register Bank use work.fmc150_wbgen2_pkg.all; entity wb_fmc150_tb is end wb_fmc150_tb; architecture sim of wb_fmc150_tb is -- Constants -- 100.00 MHz clock constant c_100mhz_clk_period : time := 10.00 ns; -- 200.00 MHz clock constant c_200mhz_clk_period : time := 5.00 ns; -- 61.44 MHz clock constant c_sim_adc_clk_period : time := 16.00 ns; -- 128.88 MHz clock constant c_sim_adc_clk2x_period : time := 8.00 ns; constant c_sim_time : time := 10000.00 ns; signal g_end_simulation : boolean := false; -- Set to true to halt the simulation -- Clock signals signal clk_100mhz : std_logic := '0'; signal clk_200mhz : std_logic := '0'; signal clk_sys : std_logic := '0'; signal rst_n_i : std_logic := '0'; -- Wishbone signals signal wb_slv_in : t_wishbone_slave_in := cc_dummy_slave_in; signal wb_slv_out : t_wishbone_slave_out; signal wbs_src_in : t_wbs_source_in := cc_dummy_src_in; signal wbs_src_out : t_wbs_source_out; -- Dummy signals constant cc_zero_bit : std_logic := '0'; -- Simulation signals signal s_sim_adc_clk : std_logic := '0'; signal s_sim_adc_clk2x : std_logic := '0'; signal s_sim_adc_cha_data : std_logic_vector(13 downto 0); signal s_sim_adc_chb_data : std_logic_vector(13 downto 0); signal s_sim_adc_valid : std_logic; -------------------------------- -- Functions and Procedures -------------------------------- -- Generate dummy (0) values function f_zeros(size : integer) return std_logic_vector is begin return std_logic_vector(to_unsigned(0, size)); end f_zeros; -- Generate bit with probability of '1' equals to 'prob' procedure gen_valid(prob : real; variable seed1, seed2 : inout positive; signal result : out std_logic) is variable rand: real; -- Random real-number value in range 0 to 1.0 begin uniform(seed1, seed2, rand); -- generate random number if (rand > prob) then result <= '1'; else result <= '0'; end if; end procedure; -- Generate random std_logic_vector procedure gen_data(size : positive; variable seed1, seed2 : inout positive; signal result : out std_logic_vector) is variable rand : real; -- Random real-number value in range 0 to 1.0 variable int_rand : integer; -- Random integer value in range 0..2^(c_wbs_data_width/2) variable stim : std_logic_vector(c_wbs_data_width-1 downto 0); -- Random c_wbs_data_width-1 bit stimulus begin uniform(seed1, seed2, rand); -- generate random number int_rand := integer(trunc(rand*real(2**(c_wbs_data_width/2)))); -- rescale to 0..2^(c_wbs_data_width/2), find integer part stim := std_logic_vector(to_unsigned(int_rand, stim'length)); -- convert to std_logic_vector result <= stim(size-1 downto 0); end procedure; begin -- sim p_100mhz_clk_gen : process begin while g_end_simulation = false loop wait for c_100mhz_clk_period/2; clk_100mhz <= not clk_100mhz; wait for c_100mhz_clk_period/2; clk_100mhz <= not clk_100mhz; end loop; wait; -- simulation stops here end process; p_200mhz_clk_gen : process begin while g_end_simulation = false loop wait for c_200mhz_clk_period/2; clk_200mhz <= not clk_200mhz; wait for c_200mhz_clk_period/2; clk_200mhz <= not clk_200mhz; end loop; wait; -- simulation stops here end process; -- Sim ADC clock gen p_sim_adc_clk_gen : process begin while g_end_simulation = false loop wait for c_sim_adc_clk_period/2; s_sim_adc_clk <= not s_sim_adc_clk; wait for c_sim_adc_clk_period/2; s_sim_adc_clk <= not s_sim_adc_clk; end loop; wait; -- simulation stops here end process; -- Sim ADC clock gen p_sim_adc_clk_2x_gen : process begin while g_end_simulation = false loop wait for c_sim_adc_clk2x_period/2; s_sim_adc_clk2x <= not s_sim_adc_clk2x; wait for c_sim_adc_clk2x_period/2; s_sim_adc_clk2x <= not s_sim_adc_clk2x; end loop; wait; -- simulation stops here end process; p_gen_adc_valid : process variable seed1, seed2: positive; -- Seed values for random generator begin seed1 := 67632; seed2 := 3234; s_sim_adc_valid <= '0'; -- Wait until reset completion (synch with adc clock domain) wait until rst_n_i = '1' and rising_edge(s_sim_adc_clk); l_generate_valid: loop gen_valid(0.5, seed1, seed2, s_sim_adc_valid); wait until rising_edge(s_sim_adc_clk); end loop; end process; p_gen_adc_data : process variable seed1, seed2: positive; -- Seed values for random generator begin seed1 := 432566; seed2 := 211; s_sim_adc_cha_data <= (others => '0'); s_sim_adc_chb_data <= (others => '0'); -- Wait until reset completion (synch with adc clock domain) wait until rst_n_i = '1' and rising_edge(s_sim_adc_clk); l_generate_data: loop gen_data(s_sim_adc_cha_data'length, seed1, seed2, s_sim_adc_cha_data); gen_data(s_sim_adc_chb_data'length, seed1, seed2, s_sim_adc_chb_data); wait until rising_edge(s_sim_adc_clk); end loop; end process; p_main_simulation : process begin -- Generate reset signal rst_n_i <= '0'; wait for 3*c_100mhz_clk_period; rst_n_i <= '1'; wait for c_sim_time; g_end_simulation <= true; wait; end process; cmp_dut : xwb_fmc150 generic map ( --g_interface_mode => PIPELINED, --g_address_granularity => WORD, --g_packet_size => 32 g_sim => 1 ) port map ( rst_n_i => rst_n_i, clk_sys_i => clk_sys, clk_100Mhz_i => clk_100Mhz, clk_200Mhz_i => clk_200Mhz, ----------------------------- -- Wishbone signals ----------------------------- wb_slv_i => wb_slv_in, wb_slv_o => wb_slv_out, ----------------------------- -- Simulation Only ports ----------------------------- sim_adc_clk_i => s_sim_adc_clk, sim_adc_clk2x_i => s_sim_adc_clk2x, sim_adc_cha_data_i => s_sim_adc_cha_data, sim_adc_chb_data_i => s_sim_adc_chb_data, sim_adc_data_valid => s_sim_adc_valid, ----------------------------- -- External ports ----------------------------- --Clock/Data connection to ADC on FMC150 (ADS62P49) adc_clk_ab_p_i => cc_zero_bit,--s_adc_clk_ab_p, adc_clk_ab_n_i => cc_zero_bit,--s_adc_clk_ab_n, adc_cha_p_i => f_zeros(7), adc_cha_n_i => f_zeros(7), adc_chb_p_i => f_zeros(7), adc_chb_n_i => f_zeros(7), --Clock/Data connection to DAC on FMC150 (DAC3283) dac_dclk_p_o => open, dac_dclk_n_o => open, dac_data_p_o => open, dac_data_n_o => open, dac_frame_p_o => open, dac_frame_n_o => open, txenable_o => open, --Clock/Trigger connection to FMC150 --clk_to_fpga_p_i => cc_zero_bit, --clk_to_fpga_n_i => cc_zero_bit, --ext_trigger_p_i => cc_zero_bit, --ext_trigger_n_i => cc_zero_bit, -- Control signals from/to FMC150 --Serial Peripheral Interface (SPI) spi_sclk_o => open, -- Shared SPI clock line spi_sdata_o => open, -- Shared SPI data line -- ADC specific signals adc_n_en_o => open, -- SPI chip select adc_sdo_i => cc_zero_bit, -- SPI data out adc_reset_o => open, -- SPI reset -- CDCE specific signals cdce_n_en_o => open, -- SPI chip select cdce_sdo_i => cc_zero_bit, -- SPI data out cdce_n_reset_o => open, cdce_n_pd_o => open, cdce_ref_en_o => open, cdce_pll_status_i => cc_zero_bit, -- DAC specific signals dac_n_en_o => open, -- SPI chip select dac_sdo_i => cc_zero_bit, -- SPI data out -- Monitoring specific signals mon_n_en_o => open, -- SPI chip select mon_sdo_i => cc_zero_bit, -- SPI data out mon_n_reset_o => open, mon_n_int_i => cc_zero_bit, --FMC Present status prsnt_m2c_l_i => cc_zero_bit, -- Wishbone Streaming Interface Source wbs_source_i => wbs_src_in, wbs_source_o => wbs_src_out ); clk_sys <= clk_100Mhz; end sim;
architecture RTL of FIFO is function func1 ( a : integer; b: integer ) return integer; function func1 (a : integer; b: integer ) return integer; function func1 (a : integer;b: integer) return integer; begin end architecture RTL;